content
stringlengths
1
1.04M
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc621.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:45 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:07 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:24 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00621ent IS END c03s04b01x00p01n01i00621ent; ARCHITECTURE c03s04b01x00p01n01i00621arch OF c03s04b01x00p01n01i00621ent IS type boolean_vector is array (natural range <>) of boolean; type severity_level_vector is array (natural range <>) of severity_level; type integer_vector is array (natural range <>) of integer; type real_vector is array (natural range <>) of real; type time_vector is array (natural range <>) of time; type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; subtype boolean_vector_st is boolean_vector(0 to 15); subtype severity_level_vector_st is severity_level_vector(0 to 15); subtype integer_vector_st is integer_vector(0 to 15); subtype real_vector_st is real_vector(0 to 15); subtype time_vector_st is time_vector(0 to 15); subtype natural_vector_st is natural_vector(0 to 15); subtype positive_vector_st is positive_vector(0 to 15); type record_array_st is record a:boolean_vector_st; b:severity_level_vector_st; c:integer_vector_st; d:real_vector_st; e:time_vector_st; f:natural_vector_st; g:positive_vector_st; end record; type record_array_st_file is file of record_array_st; constant C1 : boolean := true; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 3; constant C9 : positive := 3; constant C28 : boolean_vector_st :=(others => C1); constant C29 : severity_level_vector_st :=(others => C4); constant C30 : integer_vector_st :=(others => C5); constant C31 : real_vector_st :=(others => C6); constant C32 : time_vector_st :=(others => C7); constant C33 : natural_vector_st :=(others => C8); constant C34 : positive_vector_st :=(others => C9); constant C35 : record_array_st := (C28,C29,C30,C31,C32,C33,C34); signal k : integer := 0; BEGIN TESTING: PROCESS file filein : record_array_st_file open read_mode is "iofile.34"; variable v : record_array_st; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= C35) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00621" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00621 - File reading operation (record_array_st file type) failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00621arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc621.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:45 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:07 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:24 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00621ent IS END c03s04b01x00p01n01i00621ent; ARCHITECTURE c03s04b01x00p01n01i00621arch OF c03s04b01x00p01n01i00621ent IS type boolean_vector is array (natural range <>) of boolean; type severity_level_vector is array (natural range <>) of severity_level; type integer_vector is array (natural range <>) of integer; type real_vector is array (natural range <>) of real; type time_vector is array (natural range <>) of time; type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; subtype boolean_vector_st is boolean_vector(0 to 15); subtype severity_level_vector_st is severity_level_vector(0 to 15); subtype integer_vector_st is integer_vector(0 to 15); subtype real_vector_st is real_vector(0 to 15); subtype time_vector_st is time_vector(0 to 15); subtype natural_vector_st is natural_vector(0 to 15); subtype positive_vector_st is positive_vector(0 to 15); type record_array_st is record a:boolean_vector_st; b:severity_level_vector_st; c:integer_vector_st; d:real_vector_st; e:time_vector_st; f:natural_vector_st; g:positive_vector_st; end record; type record_array_st_file is file of record_array_st; constant C1 : boolean := true; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 3; constant C9 : positive := 3; constant C28 : boolean_vector_st :=(others => C1); constant C29 : severity_level_vector_st :=(others => C4); constant C30 : integer_vector_st :=(others => C5); constant C31 : real_vector_st :=(others => C6); constant C32 : time_vector_st :=(others => C7); constant C33 : natural_vector_st :=(others => C8); constant C34 : positive_vector_st :=(others => C9); constant C35 : record_array_st := (C28,C29,C30,C31,C32,C33,C34); signal k : integer := 0; BEGIN TESTING: PROCESS file filein : record_array_st_file open read_mode is "iofile.34"; variable v : record_array_st; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= C35) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00621" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00621 - File reading operation (record_array_st file type) failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00621arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc621.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:45 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:07 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:24 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00621ent IS END c03s04b01x00p01n01i00621ent; ARCHITECTURE c03s04b01x00p01n01i00621arch OF c03s04b01x00p01n01i00621ent IS type boolean_vector is array (natural range <>) of boolean; type severity_level_vector is array (natural range <>) of severity_level; type integer_vector is array (natural range <>) of integer; type real_vector is array (natural range <>) of real; type time_vector is array (natural range <>) of time; type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; subtype boolean_vector_st is boolean_vector(0 to 15); subtype severity_level_vector_st is severity_level_vector(0 to 15); subtype integer_vector_st is integer_vector(0 to 15); subtype real_vector_st is real_vector(0 to 15); subtype time_vector_st is time_vector(0 to 15); subtype natural_vector_st is natural_vector(0 to 15); subtype positive_vector_st is positive_vector(0 to 15); type record_array_st is record a:boolean_vector_st; b:severity_level_vector_st; c:integer_vector_st; d:real_vector_st; e:time_vector_st; f:natural_vector_st; g:positive_vector_st; end record; type record_array_st_file is file of record_array_st; constant C1 : boolean := true; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 3; constant C9 : positive := 3; constant C28 : boolean_vector_st :=(others => C1); constant C29 : severity_level_vector_st :=(others => C4); constant C30 : integer_vector_st :=(others => C5); constant C31 : real_vector_st :=(others => C6); constant C32 : time_vector_st :=(others => C7); constant C33 : natural_vector_st :=(others => C8); constant C34 : positive_vector_st :=(others => C9); constant C35 : record_array_st := (C28,C29,C30,C31,C32,C33,C34); signal k : integer := 0; BEGIN TESTING: PROCESS file filein : record_array_st_file open read_mode is "iofile.34"; variable v : record_array_st; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= C35) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00621" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00621 - File reading operation (record_array_st file type) failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00621arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2370.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b01x00p06n02i02370ent IS END c07s03b01x00p06n02i02370ent; ARCHITECTURE c07s03b01x00p06n02i02370arch OF c07s03b01x00p06n02i02370ent IS BEGIN TESTING: PROCESS -- Define a new string type. type KRING is array( natural range <> ) of CHARACTER; variable K : KRING( 1 to 10 ) := "it is cold"; BEGIN assert NOT( K = "it is cold" ) report "***PASSED TEST: c07s03b01x00p06n02i02370" severity NOTE; assert ( K = "it is cold" ) report "***FAILED TEST: c07s03b01x00p06n02i02370 - The type of the literal is determinable from the context in which it appears." severity ERROR; wait; END PROCESS TESTING; END c07s03b01x00p06n02i02370arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2370.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b01x00p06n02i02370ent IS END c07s03b01x00p06n02i02370ent; ARCHITECTURE c07s03b01x00p06n02i02370arch OF c07s03b01x00p06n02i02370ent IS BEGIN TESTING: PROCESS -- Define a new string type. type KRING is array( natural range <> ) of CHARACTER; variable K : KRING( 1 to 10 ) := "it is cold"; BEGIN assert NOT( K = "it is cold" ) report "***PASSED TEST: c07s03b01x00p06n02i02370" severity NOTE; assert ( K = "it is cold" ) report "***FAILED TEST: c07s03b01x00p06n02i02370 - The type of the literal is determinable from the context in which it appears." severity ERROR; wait; END PROCESS TESTING; END c07s03b01x00p06n02i02370arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2370.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b01x00p06n02i02370ent IS END c07s03b01x00p06n02i02370ent; ARCHITECTURE c07s03b01x00p06n02i02370arch OF c07s03b01x00p06n02i02370ent IS BEGIN TESTING: PROCESS -- Define a new string type. type KRING is array( natural range <> ) of CHARACTER; variable K : KRING( 1 to 10 ) := "it is cold"; BEGIN assert NOT( K = "it is cold" ) report "***PASSED TEST: c07s03b01x00p06n02i02370" severity NOTE; assert ( K = "it is cold" ) report "***FAILED TEST: c07s03b01x00p06n02i02370 - The type of the literal is determinable from the context in which it appears." severity ERROR; wait; END PROCESS TESTING; END c07s03b01x00p06n02i02370arch;
-------------------------------------------------------------------------- -- -- Copyright (C) 1993, Peter J. Ashenden -- Mail: Dept. Computer Science -- University of Adelaide, SA 5005, Australia -- e-mail: [email protected] -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 1, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- -------------------------------------------------------------------------- -- -- $RCSfile: dlx_test.vhdl,v $ $Revision: 2.1 $ $Date: 1993/10/31 22:39:49 $ -- -------------------------------------------------------------------------- -- -- Entity declaration for test bench for DLX -- entity dlx_test is end dlx_test;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity memctrl is Port ( CLK : in std_logic; RESET : in std_logic; MEM_A : in std_logic_vector(19 downto 0); MEM_DI : in std_logic_vector(7 downto 0); MEM_DO : out std_logic_vector(7 downto 0); MEM_RW : in std_logic; MEM_REQ : in std_logic; MEM_ACK : out std_logic; SRAM_A : out std_logic_vector(18 downto 0); SRAM_D : inout std_logic_vector(15 downto 0); SRAM_CE0 : out std_logic; SRAM_CE1 : out std_logic; SRAM_OE : out std_logic; SRAM_WE : out std_logic; SRAM_UB : out std_logic; SRAM_LB : out std_logic ); end memctrl; architecture Behavioral of memctrl is signal SRAM_DI : std_logic_vector(15 downto 0); signal SRAM_DO : std_logic_vector(15 downto 0); -- STATEMACHINE type STATE_TYPE is (IDLE, READ1, WRITE1, WRITE2); signal STATE : STATE_TYPE := IDLE; begin SRAM_D <= SRAM_DI; SRAM_DO <= SRAM_D; process (CLK) begin if rising_edge(CLK) then if RESET = '1' then SRAM_A <= (others=>'0'); SRAM_DI <= (others=>'Z'); SRAM_CE0 <= '1'; SRAM_CE1 <= '1'; SRAM_OE <= '1'; SRAM_WE <= '1'; SRAM_UB <= '1'; SRAM_LB <= '1'; MEM_DO <= (others=>'0'); MEM_ACK <= '0'; STATE <= IDLE; else MEM_ACK <= '0'; case STATE is when IDLE => if MEM_REQ = '1' then SRAM_A <= '0' & MEM_A(18 downto 1); if MEM_A(0) = '0' then SRAM_LB <= '0'; else SRAM_UB <= '0'; end if; if MEM_A(19) = '0' then SRAM_CE0 <= '0'; else SRAM_CE1 <= '0'; end if; if MEM_RW = '0' then SRAM_OE <= '0'; STATE <= READ1; else SRAM_DI <= MEM_DI & MEM_DI; SRAM_WE <= '0'; STATE <= WRITE1; end if; end if; when READ1 => if MEM_A(0) = '0' then MEM_DO <= SRAM_DO(7 downto 0); else MEM_DO <= SRAM_DO(15 downto 8); end if; SRAM_LB <= '1'; SRAM_UB <= '1'; SRAM_CE0 <= '1'; SRAM_CE1 <= '1'; SRAM_OE <= '1'; MEM_ACK <= '1'; STATE <= IDLE; when WRITE1 => SRAM_LB <= '1'; SRAM_UB <= '1'; SRAM_CE0 <= '1'; SRAM_CE1 <= '1'; SRAM_WE <= '1'; STATE <= WRITE2; when WRITE2 => SRAM_DI <= (others=>'Z'); MEM_ACK <= '1'; STATE <= IDLE; when others => STATE <= IDLE; end case; end if; end if; end process; end Behavioral;
--David Baldwin: 10832137 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity lab5_new is port(CLOCK_50 : in std_logic; KEY : in std_logic_vector(3 downto 0); SW : in std_logic_vector(17 downto 0); -- LEDG : out std_logic_vector(7 downto 0); colour_out : out std_logic_vector(2 downto 0); x_out : out std_logic_vector(7 downto 0); y_out : out std_logic_vector(6 downto 0); plot_out : out std_logic -- VGA_R, VGA_G, VGA_B : out std_logic_vector(9 downto 0); -- The outs go to VGA controller -- VGA_HS : out std_logic; -- VGA_VS : out std_logic; -- VGA_BLANK : out std_logic; -- VGA_SYNC : out std_logic; -- VGA_CLK : out std_logic ); end lab5_new; architecture RTL of lab5_new is -- component vga_adapter -- generic(RESOLUTION : string); -- port( -- resetn : in std_logic; -- clock : in std_logic; -- colour : in std_logic_vector(2 downto 0); -- x : in std_logic_vector(7 downto 0); -- y : in std_logic_vector(6 downto 0); -- plot : in std_logic; -- VGA_R, VGA_G, VGA_B : out std_logic_vector(9 downto 0); -- VGA_HS, VGA_VS, VGA_BLANK, VGA_SYNC, VGA_CLK : out std_logic); -- end component; signal x : std_logic_vector(7 downto 0) := "00000000"; signal y : std_logic_vector(6 downto 0) := "0000000"; signal colour : std_logic_vector(2 downto 0) := "000"; signal plot : std_logic := '0'; begin -- vga_u0 : vga_adapter -- generic map(RESOLUTION => "160x120") -- port map(resetn => KEY(3), -- clock => CLOCK_50, -- colour => colour, -- x => x, -- y => y, -- plot => plot, -- VGA_R => VGA_R, -- VGA_G => VGA_G, -- VGA_B => VGA_B, -- VGA_HS => VGA_HS, -- VGA_VS => VGA_VS, -- VGA_BLANK => VGA_BLANK, -- VGA_SYNC => VGA_SYNC, -- VGA_CLK => VGA_CLK); x_out <= x; y_out <= y; plot_out <= plot; colour_out <= colour; process(CLOCK_50, KEY(3)) type state_types is (sr, sb, sginit, sg1g, sg1f, sg2g, sg2f, sgp1, sgp2, sgpause, sgdone); variable state : state_types := sr; variable clk : unsigned(21 downto 0) := "0000000000000000000000"; variable max_clk : unsigned(21 downto 0) := "1111111111111111111111"; variable x_tmp : unsigned(7 downto 0) := "00000000"; variable y_tmp : unsigned(6 downto 0) := "0000000"; variable t1g, t1f, t2g, t2f : unsigned(6 downto 0); variable puckx : unsigned(7 downto 0) := "01010000"; variable pucky : unsigned(6 downto 0) := "0111100"; variable velx : std_logic := '0'; variable vely : std_logic := '0'; begin if (KEY(3) = '0') then state := sr; elsif (rising_edge(CLOCK_50)) then case state is when sr => colour <= "000"; plot <= '0'; x_tmp := "00000000"; y_tmp := "0000000"; puckx := "01010000"; pucky := "0111100"; --THIS ISN'T CORRECT WAY TO INITIALIZE OUR VELOCITY velx := sw(17) xor sw(0); vely := sw(16) xor sw(1); max_clk := (others => '1'); state := sb; when sb => plot <= '1'; colour <= "000"; y <= std_logic_vector(y_tmp); x <= std_logic_vector(x_tmp); x_tmp := x_tmp + 1; if (x_tmp = 160) then x_tmp := "00000000"; y_tmp := y_tmp + 1; if (y_tmp = 120) then x_tmp := "00000101"; -- 5 y_tmp := "0000101"; -- 5 state := sginit; end if; end if; when sginit => plot <= '1'; colour <= "111"; y <= std_logic_vector(y_tmp); x <= std_logic_vector(x_tmp); x_tmp := x_tmp + 1; if (x_tmp = 155) then x_tmp := "00000101"; if (y_tmp = 115) then t1g := "0110110"; t1f := "0110110"; t2g := "0110110"; t2f := "0110110"; y_tmp := "0000101"; state := sg1g; else y_tmp := "1110011"; -- 120 - 5 = 115 end if; end if; when sg1g => plot <= '1'; x_tmp := "00000101"; y_tmp := y_tmp + 1; if (y_tmp >= t1g and y_tmp <= t1g + 12 and y_tmp < 115) then colour <= "001"; elsif (y_tmp >= 115) then if (sw(17) = '1') then if (t1g > 6) then t1g := t1g - 1; end if; else if (t1g < 102) then t1g := t1g + 1; end if; end if; y_tmp := "0000101"; colour <= "111"; state := sg1f; else colour <= "000"; end if; y <= std_logic_vector(y_tmp); x <= std_logic_vector(x_tmp); when sg1f => plot <= '1'; x_tmp := "01000011"; y_tmp := y_tmp + 1; if (y_tmp >= t1f and y_tmp <= t1f + 12 and y_tmp < 115) then colour <= "001"; elsif (y_tmp >= 115) then if (sw(16) = '1') then if (t1f > 6) then t1f := t1f - 1; end if; else if (t1f < 102) then t1f := t1f + 1; end if; end if; y_tmp := "0000101"; colour <= "111"; state := sg2g; else colour <= "000"; end if; y <= std_logic_vector(y_tmp); x <= std_logic_vector(x_tmp); when sg2g => plot <= '1'; x_tmp := "10011010"; y_tmp := y_tmp + 1; if (y_tmp >= t2g and y_tmp <= t2g + 12 and y_tmp < 115) then colour <= "100"; elsif (y_tmp >= 115) then if (sw(0) = '1') then if (t2g > 6) then t2g := t2g - 1; end if; else if (t2g < 102) then t2g := t2g + 1; end if; end if; y_tmp := "0000101"; colour <= "111"; state := sg2f; else colour <= "000"; end if; y <= std_logic_vector(y_tmp); x <= std_logic_vector(x_tmp); when sg2f => plot <= '1'; x_tmp := "01011101"; y_tmp := y_tmp + 1; if (y_tmp >= t2f and y_tmp <= t2f + 12 and y_tmp < 115) then colour <= "100"; elsif (y_tmp >= 115) then if (sw(1) = '1') then if (t2f > 6) then t2f := t2f - 1; end if; else if (t2f < 102) then t2f := t2f + 1; end if; end if; colour <= "111"; state := sgp1; else colour <= "000"; end if; y <= std_logic_vector(y_tmp); x <= std_logic_vector(x_tmp); when sgp1 => plot <= '1'; colour <= "000"; y <= std_logic_vector(pucky); x <= std_logic_vector(puckx); state := sgp2; when sgp2 => --collision detection with walls --DOESN'T SEEM TO INVERT X VELOCITY --ONLY REFRESHES WHEN BALL COLLIDES WITH LEFT OR RIGHT WALL --Checks collision with LEFT wall if (puckx <= 4) then state := sr; --velx: '1'; --Checks collision with RIGHT wall elsif (puckx >= 156) then state := sr; --velx: '1'; else --Checks collision with TOP wall if (pucky <= 6) then vely := '1'; --Checks collision with BOTTOM wall elsif (pucky >= 114) then vely := '0'; end if; if (velx = '0') then puckx := puckx - 1; else puckx := puckx + 1; end if; if (vely = '0') then pucky := pucky - 1; else pucky := pucky + 1; end if; --collision detection with paddles --ONLY INVERTS X VELOCITY, NOT THE Y VELOCITY when hitting if (puckx = "00000101" and pucky >= t1g and pucky <= t1g+12) then velx := not velx; if (velx = '0') then --Why is it going by increments of '2' and not of '1'? puckx := puckx - 2; else puckx := puckx + 2; end if; elsif (puckx = "01000011" and pucky >= t1f and pucky <= t1f+12) then velx := not velx; if (velx = '0') then puckx := puckx - 2; else puckx := puckx + 2; end if; elsif (puckx = "10011010" and pucky >= t2g and pucky <= t2g+12) then velx := not velx; if (velx = '0') then puckx := puckx - 2; else puckx := puckx + 2; end if; elsif (puckx = "01011101" and pucky >= t2f and pucky <= t2f+12) then velx := not velx; if (velx = '0') then puckx := puckx - 2; else puckx := puckx + 2; end if; end if; plot <= '1'; colour <= "111"; y <= std_logic_vector(pucky); x <= std_logic_vector(puckx); --Go to pause state state := sgpause; end if; --Handles updating all game sprites when sgpause => plot <= '0'; clk := clk + 1; --initial max_clk is 4194303 --Slow down the clock with a counter (from 50MHz) --Refresh screen every 12Hz, 25Hz, 55Hz or 71Hz --First refresh will be 12Hz --Every other refresh (until initialization) will decrease by 2ms until it reaches 71Hz if (clk = max_clk) then --reset clk counter to 0 clk := "0000000000000000000000"; if (max_clk >= 4000000) then max_clk := max_clk - 100000; elsif (max_clk >= 2000000) then max_clk := max_clk - 10000; elsif (max_clk >= 900000) then max_clk := max_clk - 1000; elsif (max_clk >= 700000) then max_clk := max_clk - 100; end if; -- ledg <= std_logic_vector(max_clk(21 downto 14)); --Redraw paddles y_tmp := "0000101"; --set y to 5 colour <= "111"; state := sg1g; end if; when others => state := sb; end case; end if; end process; end RTL;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2955.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s02b00x00p02n01i02955ent IS procedure greater (i:integer; res:out boolean); procedure greater (i:integer; res:out boolean) is type mine is (vero,falso); subtype digit is integer range 1 to 10; constant high :integer:=10; variable zero :integer; variable itl :mine; begin zero := 0; if i <= high then itl:= vero; res:= TRUE; else res:= FALSE; end if; end greater; END c02s02b00x00p02n01i02955ent; ARCHITECTURE c02s02b00x00p02n01i02955arch OF c02s02b00x00p02n01i02955ent IS subtype digit is integer range 1 to 10; BEGIN TESTING: PROCESS variable i:digit; variable k:boolean; BEGIN i:= 5; greater (i,k); wait for 5 ns; assert NOT( k=TRUE ) report "***PASSED TEST: c02s02b00x00p02n01i02955" severity NOTE; assert ( k=TRUE ) report "***FAILED TEST: c02s02b00x00p02n01i02955 - Subprogram body syntax test failed." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p02n01i02955arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2955.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s02b00x00p02n01i02955ent IS procedure greater (i:integer; res:out boolean); procedure greater (i:integer; res:out boolean) is type mine is (vero,falso); subtype digit is integer range 1 to 10; constant high :integer:=10; variable zero :integer; variable itl :mine; begin zero := 0; if i <= high then itl:= vero; res:= TRUE; else res:= FALSE; end if; end greater; END c02s02b00x00p02n01i02955ent; ARCHITECTURE c02s02b00x00p02n01i02955arch OF c02s02b00x00p02n01i02955ent IS subtype digit is integer range 1 to 10; BEGIN TESTING: PROCESS variable i:digit; variable k:boolean; BEGIN i:= 5; greater (i,k); wait for 5 ns; assert NOT( k=TRUE ) report "***PASSED TEST: c02s02b00x00p02n01i02955" severity NOTE; assert ( k=TRUE ) report "***FAILED TEST: c02s02b00x00p02n01i02955 - Subprogram body syntax test failed." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p02n01i02955arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2955.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s02b00x00p02n01i02955ent IS procedure greater (i:integer; res:out boolean); procedure greater (i:integer; res:out boolean) is type mine is (vero,falso); subtype digit is integer range 1 to 10; constant high :integer:=10; variable zero :integer; variable itl :mine; begin zero := 0; if i <= high then itl:= vero; res:= TRUE; else res:= FALSE; end if; end greater; END c02s02b00x00p02n01i02955ent; ARCHITECTURE c02s02b00x00p02n01i02955arch OF c02s02b00x00p02n01i02955ent IS subtype digit is integer range 1 to 10; BEGIN TESTING: PROCESS variable i:digit; variable k:boolean; BEGIN i:= 5; greater (i,k); wait for 5 ns; assert NOT( k=TRUE ) report "***PASSED TEST: c02s02b00x00p02n01i02955" severity NOTE; assert ( k=TRUE ) report "***FAILED TEST: c02s02b00x00p02n01i02955 - Subprogram body syntax test failed." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p02n01i02955arch;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: digilentinc.com:ip:dvi2rgb:1.4 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY design_1_dvi2rgb_0_0 IS PORT ( TMDS_Clk_p : IN STD_LOGIC; TMDS_Clk_n : IN STD_LOGIC; TMDS_Data_p : IN STD_LOGIC_VECTOR(2 DOWNTO 0); TMDS_Data_n : IN STD_LOGIC_VECTOR(2 DOWNTO 0); RefClk : IN STD_LOGIC; aRst : IN STD_LOGIC; vid_pData : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); vid_pVDE : OUT STD_LOGIC; vid_pHSync : OUT STD_LOGIC; vid_pVSync : OUT STD_LOGIC; PixelClk : OUT STD_LOGIC; aPixelClkLckd : OUT STD_LOGIC; DDC_SDA_I : IN STD_LOGIC; DDC_SDA_O : OUT STD_LOGIC; DDC_SDA_T : OUT STD_LOGIC; DDC_SCL_I : IN STD_LOGIC; DDC_SCL_O : OUT STD_LOGIC; DDC_SCL_T : OUT STD_LOGIC; pRst : IN STD_LOGIC ); END design_1_dvi2rgb_0_0; ARCHITECTURE design_1_dvi2rgb_0_0_arch OF design_1_dvi2rgb_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_dvi2rgb_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT dvi2rgb IS GENERIC ( kEmulateDDC : BOOLEAN; kRstActiveHigh : BOOLEAN; kClkRange : INTEGER; kIDLY_TapValuePs : INTEGER; kIDLY_TapWidth : INTEGER ); PORT ( TMDS_Clk_p : IN STD_LOGIC; TMDS_Clk_n : IN STD_LOGIC; TMDS_Data_p : IN STD_LOGIC_VECTOR(2 DOWNTO 0); TMDS_Data_n : IN STD_LOGIC_VECTOR(2 DOWNTO 0); RefClk : IN STD_LOGIC; aRst : IN STD_LOGIC; aRst_n : IN STD_LOGIC; vid_pData : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); vid_pVDE : OUT STD_LOGIC; vid_pHSync : OUT STD_LOGIC; vid_pVSync : OUT STD_LOGIC; PixelClk : OUT STD_LOGIC; SerialClk : OUT STD_LOGIC; aPixelClkLckd : OUT STD_LOGIC; DDC_SDA_I : IN STD_LOGIC; DDC_SDA_O : OUT STD_LOGIC; DDC_SDA_T : OUT STD_LOGIC; DDC_SCL_I : IN STD_LOGIC; DDC_SCL_O : OUT STD_LOGIC; DDC_SCL_T : OUT STD_LOGIC; pRst : IN STD_LOGIC; pRst_n : IN STD_LOGIC ); END COMPONENT dvi2rgb; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF TMDS_Clk_p: SIGNAL IS "digilentinc.com:interface:tmds:1.0 TMDS CLK_P"; ATTRIBUTE X_INTERFACE_INFO OF TMDS_Clk_n: SIGNAL IS "digilentinc.com:interface:tmds:1.0 TMDS CLK_N"; ATTRIBUTE X_INTERFACE_INFO OF TMDS_Data_p: SIGNAL IS "digilentinc.com:interface:tmds:1.0 TMDS DATA_P"; ATTRIBUTE X_INTERFACE_INFO OF TMDS_Data_n: SIGNAL IS "digilentinc.com:interface:tmds:1.0 TMDS DATA_N"; ATTRIBUTE X_INTERFACE_INFO OF RefClk: SIGNAL IS "xilinx.com:signal:clock:1.0 RefClk CLK"; ATTRIBUTE X_INTERFACE_INFO OF vid_pData: SIGNAL IS "xilinx.com:interface:vid_io:1.0 RGB DATA"; ATTRIBUTE X_INTERFACE_INFO OF vid_pVDE: SIGNAL IS "xilinx.com:interface:vid_io:1.0 RGB ACTIVE_VIDEO"; ATTRIBUTE X_INTERFACE_INFO OF vid_pHSync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 RGB HSYNC"; ATTRIBUTE X_INTERFACE_INFO OF vid_pVSync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 RGB VSYNC"; ATTRIBUTE X_INTERFACE_INFO OF PixelClk: SIGNAL IS "xilinx.com:signal:clock:1.0 PixelClk CLK"; ATTRIBUTE X_INTERFACE_INFO OF DDC_SDA_I: SIGNAL IS "xilinx.com:interface:iic:1.0 DDC SDA_I"; ATTRIBUTE X_INTERFACE_INFO OF DDC_SDA_O: SIGNAL IS "xilinx.com:interface:iic:1.0 DDC SDA_O"; ATTRIBUTE X_INTERFACE_INFO OF DDC_SDA_T: SIGNAL IS "xilinx.com:interface:iic:1.0 DDC SDA_T"; ATTRIBUTE X_INTERFACE_INFO OF DDC_SCL_I: SIGNAL IS "xilinx.com:interface:iic:1.0 DDC SCL_I"; ATTRIBUTE X_INTERFACE_INFO OF DDC_SCL_O: SIGNAL IS "xilinx.com:interface:iic:1.0 DDC SCL_O"; ATTRIBUTE X_INTERFACE_INFO OF DDC_SCL_T: SIGNAL IS "xilinx.com:interface:iic:1.0 DDC SCL_T"; BEGIN U0 : dvi2rgb GENERIC MAP ( kEmulateDDC => true, kRstActiveHigh => true, kClkRange => 2, kIDLY_TapValuePs => 78, kIDLY_TapWidth => 5 ) PORT MAP ( TMDS_Clk_p => TMDS_Clk_p, TMDS_Clk_n => TMDS_Clk_n, TMDS_Data_p => TMDS_Data_p, TMDS_Data_n => TMDS_Data_n, RefClk => RefClk, aRst => aRst, aRst_n => '1', vid_pData => vid_pData, vid_pVDE => vid_pVDE, vid_pHSync => vid_pHSync, vid_pVSync => vid_pVSync, PixelClk => PixelClk, aPixelClkLckd => aPixelClkLckd, DDC_SDA_I => DDC_SDA_I, DDC_SDA_O => DDC_SDA_O, DDC_SDA_T => DDC_SDA_T, DDC_SCL_I => DDC_SCL_I, DDC_SCL_O => DDC_SCL_O, DDC_SCL_T => DDC_SCL_T, pRst => pRst, pRst_n => '1' ); END design_1_dvi2rgb_0_0_arch;
----------------------------------------------------------------------------- -- -- AVR opcode package -- -- This package defines opcode constants for the complete AVR instruction -- set. Not all variants of the AVR implement all instructions. -- -- Revision History -- 4/27/98 Glen George initial revision -- 4/14/00 Glen George updated comments -- 4/22/02 Glen George added new instructions -- 4/22/02 Glen George updated comments -- 5/16/02 Glen George fixed LPM instruction constant -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package opcodes is subtype opcode_word is std_logic_vector(15 downto 0); -- ALU opcodes constant OpADC : opcode_word := "000111----------"; -- ADC Rd, Rr constant OpADD : opcode_word := "000011----------"; -- ADD Rd, Rr constant OpADIW : opcode_word := "10010110--------"; -- ADIW Rdl, K constant OpAND : opcode_word := "001000----------"; -- AND Rd, Rr constant OpANDI : opcode_word := "0111------------"; -- ANDI Rd, K constant OpASR : opcode_word := "1001010-----0101"; -- ASR Rd constant OpBCLR : opcode_word := "100101001---1000"; -- BCLR s constant OpBLD : opcode_word := "1111100-----0---"; -- BLD Rd, b constant OpBSET : opcode_word := "100101000---1000"; -- BSET s constant OpBST : opcode_word := "1111101---------"; -- BST Rr, b constant OpCOM : opcode_word := "1001010-----0000"; -- COM Rd constant OpCP : opcode_word := "000101----------"; -- CP Rd, Rr constant OpCPC : opcode_word := "000001----------"; -- CPC Rd, Rr constant OpCPI : opcode_word := "0011------------"; -- CPI Rd, K constant OpDEC : opcode_word := "1001010-----1010"; -- DEC Rd constant OpEOR : opcode_word := "001001----------"; -- EOR Rd, Rr constant OpFMUL : opcode_word := "000000110---1---"; -- FMUL Rd, Rr constant OpFMULS : opcode_word := "000000111---0---"; -- FMULS Rd, Rr constant OpFMULSU : opcode_word := "000000111---1---"; -- FMULSU Rd, Rr constant OpINC : opcode_word := "1001010-----0011"; -- INC Rd constant OpLSR : opcode_word := "1001010-----0110"; -- LSR Rd constant OpMUL : opcode_word := "100111----------"; -- MUL Rd, Rr constant OpMULS : opcode_word := "00000010--------"; -- MULS Rd, Rr constant OpMULSU : opcode_word := "000000110---0---"; -- MULSU Rd, Rr constant OpNEG : opcode_word := "1001010-----0001"; -- NEG Rd constant OpOR : opcode_word := "001010----------"; -- OR Rd, Rr constant OpORI : opcode_word := "0110------------"; -- ORI Rd, K constant OpROR : opcode_word := "1001010-----0111"; -- ROR Rd constant OpSBC : opcode_word := "000010----------"; -- SBC Rd, Rr constant OpSBCI : opcode_word := "0100------------"; -- SBCI Rd, K constant OpSBIW : opcode_word := "10010111--------"; -- SBIW Rdl, K constant OpSUB : opcode_word := "000110----------"; -- SUB Rd, Rr constant OpSUBI : opcode_word := "0101------------"; -- SUBI Rd, K constant OpSWAP : opcode_word := "1001010-----0010"; -- SWAP Rd -- Load and Store Opcodes constant OpELPM : opcode_word := "1001010111011000"; -- ELPM constant OpELPMZ : opcode_word := "1001000-----0110"; -- ELPM Rd, Z constant OpELPMZI : opcode_word := "1001000-----0111"; -- ELPM Rd, Z+ constant OpLDX : opcode_word := "1001000-----1100"; -- LD Rd, X constant OpLDXI : opcode_word := "1001000-----1101"; -- LD Rd, X+ constant OpLDXD : opcode_word := "1001000-----1110"; -- LD Rd, -X constant OpLDYI : opcode_word := "1001000-----1001"; -- LD Rd, Y+ constant OpLDYD : opcode_word := "1001000-----1010"; -- LD Rd, -Y constant OpLDDY : opcode_word := "10-0--0-----1---"; -- LDD Rd, Y + q constant OpLDZI : opcode_word := "1001000-----0001"; -- LD Rd, Z+ constant OpLDZD : opcode_word := "1001000-----0010"; -- LD Rd, -Z constant OpLDDZ : opcode_word := "10-0--0-----0---"; -- LDD Rd, Z + q constant OpLDI : opcode_word := "1110------------"; -- LDI Rd, k constant OpLDS : opcode_word := "1001000-----0000"; -- LDS Rd, m constant OpLPM : opcode_word := "1001010111001000"; -- LPM constant OpLPMZ : opcode_word := "1001000-----0100"; -- LPM Rd, Z constant OpLPMZI : opcode_word := "1001000-----0101"; -- LPM Rd, Z+ constant OpMOV : opcode_word := "001011----------"; -- MOV Rd, Rr constant OpMOVW : opcode_word := "00000001--------"; -- MOVW Rd, Rr constant OpSPM : opcode_word := "1001010111101000"; -- SPM constant OpSTX : opcode_word := "1001001-----1100"; -- ST X, Rr constant OpSTXI : opcode_word := "1001001-----1101"; -- ST X+, Rr constant OpSTXD : opcode_word := "1001001-----1110"; -- ST -X, Rr constant OpSTYI : opcode_word := "1001001-----1001"; -- ST Y+, Rr constant OpSTYD : opcode_word := "1001001-----1010"; -- ST -Y, Rr constant OpSTDY : opcode_word := "10-0--1-----1---"; -- STD Y + q, Rr constant OpSTZI : opcode_word := "1001001-----0001"; -- ST Z+, Rr constant OpSTZD : opcode_word := "1001001-----0010"; -- ST -Z, Rr constant OpSTDZ : opcode_word := "10-0--1-----0---"; -- STD Z + q, Rr constant OpSTS : opcode_word := "1001001-----0000"; -- STS m, Rr -- Push and Pop Opcodes constant OpPOP : opcode_word := "1001000-----1111"; -- POP Rd constant OpPUSH : opcode_word := "1001001-----1111"; -- PUSH Rd -- Unconditional Branches constant OpEICALL : opcode_word := "1001010100011001"; -- EICALL constant OpEIJMP : opcode_word := "1001010000011001"; -- EIJMP constant OpJMP : opcode_word := "1001010-----110-"; -- JMP a constant OpRJMP : opcode_word := "1100------------"; -- RJMP j constant OpIJMP : opcode_word := "10010100----1001"; -- IJMP constant OpCALL : opcode_word := "1001010-----111-"; -- CALL a constant OpRCALL : opcode_word := "1101------------"; -- RCALL j constant OpICALL : opcode_word := "10010101----1001"; -- ICALL constant OpRET : opcode_word := "100101010--01000"; -- RET constant OpRETI : opcode_word := "100101010--11000"; -- RETI -- Conditional Branches constant OpBRBC : opcode_word := "111101----------"; -- BRBC s, r constant OpBRBS : opcode_word := "111100----------"; -- BRBS s, r -- Skip Instructions constant OpCPSE : opcode_word := "000100----------"; -- CPSE Rd, Rr constant OpSBIC : opcode_word := "10011001--------"; -- SBIC p, b constant OpSBIS : opcode_word := "10011011--------"; -- SBIS p, b constant OpSBRC : opcode_word := "1111110---------"; -- SBRC Rr, b constant OpSBRS : opcode_word := "1111111---------"; -- SBRS Rr, b -- I/O Instructions constant OpCBI : opcode_word := "10011000--------"; -- CBI p, b constant OpIN : opcode_word := "10110-----------"; -- IN Rd, p constant OpOUT : opcode_word := "10111-----------"; -- OUT p, Rr constant OpSBI : opcode_word := "10011010--------"; -- SBI p, b -- Miscellaneous Instructions constant OpBREAK : opcode_word := "1001010110011000"; -- BREAK constant OpNOP : opcode_word := "0000000000000000"; -- NOP constant OpSLP : opcode_word := "10010101100-1000"; -- SLEEP constant OpWDR : opcode_word := "10010101101-1000"; -- WDR end package;
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief Gigabits buffer with the differential signals. ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity igdsbuf_artix7 is generic ( generic_tech : integer := 0 ); port ( gclk_p : in std_logic; gclk_n : in std_logic; o_clk : out std_logic ); end; architecture rtl of igdsbuf_artix7 is component ibuf_inferred is port ( o : out std_logic; i : in std_logic ); end component; begin bufinf : ibuf_inferred port map ( o => o_clk, i => gclk_p ); end;
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief Gigabits buffer with the differential signals. ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity igdsbuf_artix7 is generic ( generic_tech : integer := 0 ); port ( gclk_p : in std_logic; gclk_n : in std_logic; o_clk : out std_logic ); end; architecture rtl of igdsbuf_artix7 is component ibuf_inferred is port ( o : out std_logic; i : in std_logic ); end component; begin bufinf : ibuf_inferred port map ( o => o_clk, i => gclk_p ); end;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_register.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_9; use axi_dma_v7_1_9.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_register is generic( C_NUM_REGISTERS : integer := 11 ; C_INCLUDE_SG : integer := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 --C_CHANNEL_IS_S2MM : integer range 0 to 1 := 0 CR603034 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- AXI Interface Control -- axi2ip_wrce : in std_logic_vector -- (C_NUM_REGISTERS-1 downto 0) ; -- axi2ip_wrdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- DMASR Control -- stop_dma : in std_logic ; -- halted_clr : in std_logic ; -- halted_set : in std_logic ; -- idle_set : in std_logic ; -- idle_clr : in std_logic ; -- ioc_irq_set : in std_logic ; -- dly_irq_set : in std_logic ; -- irqdelay_status : in std_logic_vector(7 downto 0) ; -- irqthresh_status : in std_logic_vector(7 downto 0) ; -- irqthresh_wren : out std_logic ; -- irqdelay_wren : out std_logic ; -- dlyirq_dsble : out std_logic ; -- CR605888 -- -- Error Control -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- ftch_interr_set : in std_logic ; -- ftch_slverr_set : in std_logic ; -- ftch_decerr_set : in std_logic ; -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_interr_set : in std_logic ; -- updt_slverr_set : in std_logic ; -- updt_decerr_set : in std_logic ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- error_in : in std_logic ; -- error_out : out std_logic ; -- introut : out std_logic ; -- soft_reset_in : in std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- CURDESC Update -- update_curdesc : in std_logic ; -- new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- TAILDESC Update -- tailpntr_updated : out std_logic ; -- -- -- Channel Register Out -- sg_ctl : out std_logic_vector (7 downto 0) ; dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- buffer_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- buffer_length_wren : out std_logic ; -- bytes_received : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- bytes_received_wren : in std_logic -- ); -- end axi_dma_register; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_register is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant DMACR_INDEX : integer := 0; -- DMACR Register index constant DMASR_INDEX : integer := 1; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 2; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 3; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 4; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 5; -- TAILDESC MSB Reg index -- CR603034 moved s2mm back to offset 6 --constant SA_ADDRESS_INDEX : integer := 6; -- Buffer Address Reg (SA) --constant DA_ADDRESS_INDEX : integer := 8; -- Buffer Address Reg (DA) -- -- --constant BUFF_ADDRESS_INDEX : integer := address_index_select -- Buffer Address Reg (SA or DA) -- (C_CHANNEL_IS_S2MM, -- Channel Type 1=rx 0=tx -- SA_ADDRESS_INDEX, -- Source Address Index -- DA_ADDRESS_INDEX); -- Destination Address Index constant BUFF_ADDRESS_INDEX : integer := 6; constant BUFF_ADDRESS_MSB_INDEX : integer := 7; constant BUFF_LENGTH_INDEX : integer := 10; -- Buffer Length Reg constant SGCTL_INDEX : integer := 11; -- Buffer Length Reg constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); constant DMA_CONFIG : std_logic_vector(0 downto 0) := std_logic_vector(to_unsigned(C_INCLUDE_SG,1)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i_64 : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_length_i : std_logic_vector (C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); -- DMASR Signals signal halted : std_logic := '0'; signal idle : std_logic := '0'; signal cmplt : std_logic := '0'; signal error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; -- interrupt coalescing support signals signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; -- soft reset support signals signal soft_reset_i : std_logic := '0'; signal run_stop_clr : std_logic := '0'; signal sg_cache_info : std_logic_vector (7 downto 0); signal diff_thresh_xor : std_logic_vector (7 downto 0); signal sig_cur_updated : std_logic; signal tmp11 : std_logic; signal tailpntr_updated_d1 : std_logic; signal tailpntr_updated_d2 : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i (31 downto 6) & "000000" ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i (31 downto 6) & "000000" ; taildesc_msb <= taildesc_msb_i ; BUFF_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin buffer_address <= buffer_address_i_64 & buffer_address_i ; end generate BUFF_ADDR_EQL64; BUFF_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin buffer_address <= buffer_address_i ; end generate BUFF_ADDR_EQL32; buffer_length <= buffer_length_i ; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; --diff_thresh_xor <= dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) xor -- axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); --different_thresh <= '0' when diff_thresh_xor = "00000000" -- else '1'; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register, Bit 3 for Key hole operation ------------------------------------------------------------------------------- DMACR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED5_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 -- bit 15 downto DMACR_RESERVED5_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 14 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 13 & axi2ip_wrdata(DMACR_DLY_IRQEN_BIT) -- bit 12 & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bits 11 downto 3 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED5_BIT); end if; end if; end process DMACR_REGISTER; DMACR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or C_ENABLE_MULTI_CHANNEL = 1)then dmacr_i(DMACR_KH_BIT) <= '0'; dmacr_i(CYCLIC_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KH_BIT) <= axi2ip_wrdata(DMACR_KH_BIT); dmacr_i(CYCLIC_BIT) <= axi2ip_wrdata(CYCLIC_BIT); end if; end if; end process DMACR_REGISTER1; ------------------------------------------------------------------------------- -- DMACR - Reset Bit ------------------------------------------------------------------------------- DMACR_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; -- If soft reset set in other channel then set -- reset bit here too elsif(soft_reset_in = '1')then dmacr_i(DMACR_RESET_BIT) <= '1'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(soft_reset_i = '0' and axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_i <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- Tail Pointer Enable fixed at 1 for this release of axi dma ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1'; ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- run_stop_clr <= '1' when error = '1' -- MM2S DataMover Error or error_in = '1' -- S2MM Error or stop_dma = '1' -- Stop due to error or soft_reset_i = '1' -- MM2S Soft Reset or soft_reset_in = '1' -- S2MM Soft Reset else '0'; DMACR_RUNSTOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_RS_BIT) <= '0'; -- Clear on sg error (i.e. error) or other channel -- error (i.e. error_in) or dma error or soft reset elsif(run_stop_clr = '1')then dmacr_i(DMACR_RS_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RS_BIT) <= axi2ip_wrdata(DMACR_RS_BIT); end if; end if; end process DMACR_RUNSTOP; --------------------------------------------------------------------------- -- DMA Status Halted bit (BIT 0) - Set by dma controller indicating DMA -- channel is halted. --------------------------------------------------------------------------- DMASR_HALTED : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or halted_set = '1')then halted <= '1'; elsif(halted_clr = '1')then halted <= '0'; end if; end if; end process DMASR_HALTED; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) - Set by dma controller indicating DMA -- channel is IDLE waiting at tail pointer. Update of Tail Pointer -- will cause engine to resume. Note: Halted channels return to a -- reset condition. --------------------------------------------------------------------------- DMASR_IDLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or idle_clr = '1' or halted_set = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= error; SG_FTCH_ERROR_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process SG_FTCH_ERROR_PROC; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGDECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 11) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; -- CR605888 Disable delay timer if halted or on delay irq set --dlyirq_dsble <= dmasr_i(DMASR_HALTED_BIT) -- CR606348 dlyirq_dsble <= not dmacr_i(DMACR_RS_BIT) -- CR606348 or dmasr_i(DMASR_DLYIRQ_BIT); --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 12) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then error_d1 <= '0'; else error_d1 <= error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= error and not error_d1; DMASR_ERRIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or soft_reset_i = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= irqdelay_status -- Bits 31 downto 24 & irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & DMA_CONFIG -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & halted; -- Bit 0 -- Generate current descriptor and tail descriptor register for Scatter Gather Mode GEN_DESC_REG_FOR_SG : if C_INCLUDE_SG = 1 generate begin GEN_SG_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 1 generate begin MM2S_SGCTL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_cache_info <= "00000011"; --(others => '0'); elsif(axi2ip_wrce(SGCTL_INDEX) = '1' ) then sg_cache_info <= axi2ip_wrdata(11 downto 8) & axi2ip_wrdata(3 downto 0); else sg_cache_info <= sg_cache_info; end if; end if; end process MM2S_SGCTL; sg_ctl <= sg_cache_info; end generate GEN_SG_CTL_REG; GEN_SG_NO_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 0 generate begin sg_ctl <= "00000011"; --(others => '0'); end generate GEN_SG_NO_CTL_REG; -- Signals not used for Scatter Gather Mode, only simple mode buffer_address_i <= (others => '0'); buffer_length_i <= (others => '0'); buffer_length_wren <= '0'; --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(CURDESC_RESERVED_BIT5 -- downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(TAILDESC_RESERVED_BIT5 -- downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CURDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH - 1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL64; end generate GEN_DESC_REG_FOR_SG; -- Generate Buffer Address and Length Register for Simple DMA Mode GEN_REG_FOR_SMPL : if C_INCLUDE_SG = 0 generate begin -- Signals not used for simple dma mode, only for sg mode curdesc_lsb_i <= (others => '0'); curdesc_msb_i <= (others => '0'); taildesc_lsb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); tailpntr_updated <= '0'; error_pointer_set <= '0'; -- Buffer Address register. Used for Source Address (SA) if MM2S -- and used for Destination Address (DA) if S2MM BUFFER_ADDR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_INDEX) = '1')then buffer_address_i <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER; GEN_BUFF_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin BUFFER_ADDR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i_64 <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_MSB_INDEX) = '1')then buffer_address_i_64 <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER1; end generate GEN_BUFF_ADDR_EQL64; -- Buffer Length register. Used for number of bytes to transfer if MM2S -- and used for size of receive buffer is S2MM BUFFER_LNGTH_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_i <= (others => '0'); -- Update with actual bytes received (Only for S2MM channel) -- elsif(bytes_received_wren = '1')then -- buffer_length_i <= bytes_received; elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1')then buffer_length_i <= axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0); end if; end if; end process BUFFER_LNGTH_REGISTER; -- Buffer Length Write Enable control. Assertion of wren will -- begin a transfer if channel is Idle. BUFFER_LNGTH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_wren <= '0'; -- Non-zero length value written elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1' and axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0) /= ZERO_VALUE(C_SG_LENGTH_WIDTH-1 downto 0))then buffer_length_wren <= '1'; else buffer_length_wren <= '0'; end if; end if; end process BUFFER_LNGTH_WRITE; end generate GEN_REG_FOR_SMPL; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_register.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_9; use axi_dma_v7_1_9.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_register is generic( C_NUM_REGISTERS : integer := 11 ; C_INCLUDE_SG : integer := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 --C_CHANNEL_IS_S2MM : integer range 0 to 1 := 0 CR603034 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- AXI Interface Control -- axi2ip_wrce : in std_logic_vector -- (C_NUM_REGISTERS-1 downto 0) ; -- axi2ip_wrdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- DMASR Control -- stop_dma : in std_logic ; -- halted_clr : in std_logic ; -- halted_set : in std_logic ; -- idle_set : in std_logic ; -- idle_clr : in std_logic ; -- ioc_irq_set : in std_logic ; -- dly_irq_set : in std_logic ; -- irqdelay_status : in std_logic_vector(7 downto 0) ; -- irqthresh_status : in std_logic_vector(7 downto 0) ; -- irqthresh_wren : out std_logic ; -- irqdelay_wren : out std_logic ; -- dlyirq_dsble : out std_logic ; -- CR605888 -- -- Error Control -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- ftch_interr_set : in std_logic ; -- ftch_slverr_set : in std_logic ; -- ftch_decerr_set : in std_logic ; -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_interr_set : in std_logic ; -- updt_slverr_set : in std_logic ; -- updt_decerr_set : in std_logic ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- error_in : in std_logic ; -- error_out : out std_logic ; -- introut : out std_logic ; -- soft_reset_in : in std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- CURDESC Update -- update_curdesc : in std_logic ; -- new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- TAILDESC Update -- tailpntr_updated : out std_logic ; -- -- -- Channel Register Out -- sg_ctl : out std_logic_vector (7 downto 0) ; dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- buffer_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- buffer_length_wren : out std_logic ; -- bytes_received : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- bytes_received_wren : in std_logic -- ); -- end axi_dma_register; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_register is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant DMACR_INDEX : integer := 0; -- DMACR Register index constant DMASR_INDEX : integer := 1; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 2; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 3; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 4; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 5; -- TAILDESC MSB Reg index -- CR603034 moved s2mm back to offset 6 --constant SA_ADDRESS_INDEX : integer := 6; -- Buffer Address Reg (SA) --constant DA_ADDRESS_INDEX : integer := 8; -- Buffer Address Reg (DA) -- -- --constant BUFF_ADDRESS_INDEX : integer := address_index_select -- Buffer Address Reg (SA or DA) -- (C_CHANNEL_IS_S2MM, -- Channel Type 1=rx 0=tx -- SA_ADDRESS_INDEX, -- Source Address Index -- DA_ADDRESS_INDEX); -- Destination Address Index constant BUFF_ADDRESS_INDEX : integer := 6; constant BUFF_ADDRESS_MSB_INDEX : integer := 7; constant BUFF_LENGTH_INDEX : integer := 10; -- Buffer Length Reg constant SGCTL_INDEX : integer := 11; -- Buffer Length Reg constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); constant DMA_CONFIG : std_logic_vector(0 downto 0) := std_logic_vector(to_unsigned(C_INCLUDE_SG,1)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i_64 : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_length_i : std_logic_vector (C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); -- DMASR Signals signal halted : std_logic := '0'; signal idle : std_logic := '0'; signal cmplt : std_logic := '0'; signal error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; -- interrupt coalescing support signals signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; -- soft reset support signals signal soft_reset_i : std_logic := '0'; signal run_stop_clr : std_logic := '0'; signal sg_cache_info : std_logic_vector (7 downto 0); signal diff_thresh_xor : std_logic_vector (7 downto 0); signal sig_cur_updated : std_logic; signal tmp11 : std_logic; signal tailpntr_updated_d1 : std_logic; signal tailpntr_updated_d2 : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i (31 downto 6) & "000000" ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i (31 downto 6) & "000000" ; taildesc_msb <= taildesc_msb_i ; BUFF_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin buffer_address <= buffer_address_i_64 & buffer_address_i ; end generate BUFF_ADDR_EQL64; BUFF_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin buffer_address <= buffer_address_i ; end generate BUFF_ADDR_EQL32; buffer_length <= buffer_length_i ; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; --diff_thresh_xor <= dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) xor -- axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); --different_thresh <= '0' when diff_thresh_xor = "00000000" -- else '1'; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register, Bit 3 for Key hole operation ------------------------------------------------------------------------------- DMACR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED5_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 -- bit 15 downto DMACR_RESERVED5_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 14 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 13 & axi2ip_wrdata(DMACR_DLY_IRQEN_BIT) -- bit 12 & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bits 11 downto 3 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED5_BIT); end if; end if; end process DMACR_REGISTER; DMACR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or C_ENABLE_MULTI_CHANNEL = 1)then dmacr_i(DMACR_KH_BIT) <= '0'; dmacr_i(CYCLIC_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KH_BIT) <= axi2ip_wrdata(DMACR_KH_BIT); dmacr_i(CYCLIC_BIT) <= axi2ip_wrdata(CYCLIC_BIT); end if; end if; end process DMACR_REGISTER1; ------------------------------------------------------------------------------- -- DMACR - Reset Bit ------------------------------------------------------------------------------- DMACR_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; -- If soft reset set in other channel then set -- reset bit here too elsif(soft_reset_in = '1')then dmacr_i(DMACR_RESET_BIT) <= '1'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(soft_reset_i = '0' and axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_i <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- Tail Pointer Enable fixed at 1 for this release of axi dma ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1'; ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- run_stop_clr <= '1' when error = '1' -- MM2S DataMover Error or error_in = '1' -- S2MM Error or stop_dma = '1' -- Stop due to error or soft_reset_i = '1' -- MM2S Soft Reset or soft_reset_in = '1' -- S2MM Soft Reset else '0'; DMACR_RUNSTOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_RS_BIT) <= '0'; -- Clear on sg error (i.e. error) or other channel -- error (i.e. error_in) or dma error or soft reset elsif(run_stop_clr = '1')then dmacr_i(DMACR_RS_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RS_BIT) <= axi2ip_wrdata(DMACR_RS_BIT); end if; end if; end process DMACR_RUNSTOP; --------------------------------------------------------------------------- -- DMA Status Halted bit (BIT 0) - Set by dma controller indicating DMA -- channel is halted. --------------------------------------------------------------------------- DMASR_HALTED : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or halted_set = '1')then halted <= '1'; elsif(halted_clr = '1')then halted <= '0'; end if; end if; end process DMASR_HALTED; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) - Set by dma controller indicating DMA -- channel is IDLE waiting at tail pointer. Update of Tail Pointer -- will cause engine to resume. Note: Halted channels return to a -- reset condition. --------------------------------------------------------------------------- DMASR_IDLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or idle_clr = '1' or halted_set = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= error; SG_FTCH_ERROR_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process SG_FTCH_ERROR_PROC; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGDECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 11) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; -- CR605888 Disable delay timer if halted or on delay irq set --dlyirq_dsble <= dmasr_i(DMASR_HALTED_BIT) -- CR606348 dlyirq_dsble <= not dmacr_i(DMACR_RS_BIT) -- CR606348 or dmasr_i(DMASR_DLYIRQ_BIT); --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 12) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then error_d1 <= '0'; else error_d1 <= error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= error and not error_d1; DMASR_ERRIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or soft_reset_i = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= irqdelay_status -- Bits 31 downto 24 & irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & DMA_CONFIG -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & halted; -- Bit 0 -- Generate current descriptor and tail descriptor register for Scatter Gather Mode GEN_DESC_REG_FOR_SG : if C_INCLUDE_SG = 1 generate begin GEN_SG_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 1 generate begin MM2S_SGCTL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_cache_info <= "00000011"; --(others => '0'); elsif(axi2ip_wrce(SGCTL_INDEX) = '1' ) then sg_cache_info <= axi2ip_wrdata(11 downto 8) & axi2ip_wrdata(3 downto 0); else sg_cache_info <= sg_cache_info; end if; end if; end process MM2S_SGCTL; sg_ctl <= sg_cache_info; end generate GEN_SG_CTL_REG; GEN_SG_NO_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 0 generate begin sg_ctl <= "00000011"; --(others => '0'); end generate GEN_SG_NO_CTL_REG; -- Signals not used for Scatter Gather Mode, only simple mode buffer_address_i <= (others => '0'); buffer_length_i <= (others => '0'); buffer_length_wren <= '0'; --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(CURDESC_RESERVED_BIT5 -- downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(TAILDESC_RESERVED_BIT5 -- downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CURDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH - 1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL64; end generate GEN_DESC_REG_FOR_SG; -- Generate Buffer Address and Length Register for Simple DMA Mode GEN_REG_FOR_SMPL : if C_INCLUDE_SG = 0 generate begin -- Signals not used for simple dma mode, only for sg mode curdesc_lsb_i <= (others => '0'); curdesc_msb_i <= (others => '0'); taildesc_lsb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); tailpntr_updated <= '0'; error_pointer_set <= '0'; -- Buffer Address register. Used for Source Address (SA) if MM2S -- and used for Destination Address (DA) if S2MM BUFFER_ADDR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_INDEX) = '1')then buffer_address_i <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER; GEN_BUFF_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin BUFFER_ADDR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i_64 <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_MSB_INDEX) = '1')then buffer_address_i_64 <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER1; end generate GEN_BUFF_ADDR_EQL64; -- Buffer Length register. Used for number of bytes to transfer if MM2S -- and used for size of receive buffer is S2MM BUFFER_LNGTH_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_i <= (others => '0'); -- Update with actual bytes received (Only for S2MM channel) -- elsif(bytes_received_wren = '1')then -- buffer_length_i <= bytes_received; elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1')then buffer_length_i <= axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0); end if; end if; end process BUFFER_LNGTH_REGISTER; -- Buffer Length Write Enable control. Assertion of wren will -- begin a transfer if channel is Idle. BUFFER_LNGTH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_wren <= '0'; -- Non-zero length value written elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1' and axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0) /= ZERO_VALUE(C_SG_LENGTH_WIDTH-1 downto 0))then buffer_length_wren <= '1'; else buffer_length_wren <= '0'; end if; end if; end process BUFFER_LNGTH_WRITE; end generate GEN_REG_FOR_SMPL; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_register.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_9; use axi_dma_v7_1_9.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_register is generic( C_NUM_REGISTERS : integer := 11 ; C_INCLUDE_SG : integer := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 --C_CHANNEL_IS_S2MM : integer range 0 to 1 := 0 CR603034 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- AXI Interface Control -- axi2ip_wrce : in std_logic_vector -- (C_NUM_REGISTERS-1 downto 0) ; -- axi2ip_wrdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- DMASR Control -- stop_dma : in std_logic ; -- halted_clr : in std_logic ; -- halted_set : in std_logic ; -- idle_set : in std_logic ; -- idle_clr : in std_logic ; -- ioc_irq_set : in std_logic ; -- dly_irq_set : in std_logic ; -- irqdelay_status : in std_logic_vector(7 downto 0) ; -- irqthresh_status : in std_logic_vector(7 downto 0) ; -- irqthresh_wren : out std_logic ; -- irqdelay_wren : out std_logic ; -- dlyirq_dsble : out std_logic ; -- CR605888 -- -- Error Control -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- ftch_interr_set : in std_logic ; -- ftch_slverr_set : in std_logic ; -- ftch_decerr_set : in std_logic ; -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_interr_set : in std_logic ; -- updt_slverr_set : in std_logic ; -- updt_decerr_set : in std_logic ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- error_in : in std_logic ; -- error_out : out std_logic ; -- introut : out std_logic ; -- soft_reset_in : in std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- CURDESC Update -- update_curdesc : in std_logic ; -- new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- TAILDESC Update -- tailpntr_updated : out std_logic ; -- -- -- Channel Register Out -- sg_ctl : out std_logic_vector (7 downto 0) ; dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- buffer_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- buffer_length_wren : out std_logic ; -- bytes_received : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- bytes_received_wren : in std_logic -- ); -- end axi_dma_register; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_register is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant DMACR_INDEX : integer := 0; -- DMACR Register index constant DMASR_INDEX : integer := 1; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 2; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 3; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 4; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 5; -- TAILDESC MSB Reg index -- CR603034 moved s2mm back to offset 6 --constant SA_ADDRESS_INDEX : integer := 6; -- Buffer Address Reg (SA) --constant DA_ADDRESS_INDEX : integer := 8; -- Buffer Address Reg (DA) -- -- --constant BUFF_ADDRESS_INDEX : integer := address_index_select -- Buffer Address Reg (SA or DA) -- (C_CHANNEL_IS_S2MM, -- Channel Type 1=rx 0=tx -- SA_ADDRESS_INDEX, -- Source Address Index -- DA_ADDRESS_INDEX); -- Destination Address Index constant BUFF_ADDRESS_INDEX : integer := 6; constant BUFF_ADDRESS_MSB_INDEX : integer := 7; constant BUFF_LENGTH_INDEX : integer := 10; -- Buffer Length Reg constant SGCTL_INDEX : integer := 11; -- Buffer Length Reg constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); constant DMA_CONFIG : std_logic_vector(0 downto 0) := std_logic_vector(to_unsigned(C_INCLUDE_SG,1)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i_64 : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_length_i : std_logic_vector (C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); -- DMASR Signals signal halted : std_logic := '0'; signal idle : std_logic := '0'; signal cmplt : std_logic := '0'; signal error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; -- interrupt coalescing support signals signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; -- soft reset support signals signal soft_reset_i : std_logic := '0'; signal run_stop_clr : std_logic := '0'; signal sg_cache_info : std_logic_vector (7 downto 0); signal diff_thresh_xor : std_logic_vector (7 downto 0); signal sig_cur_updated : std_logic; signal tmp11 : std_logic; signal tailpntr_updated_d1 : std_logic; signal tailpntr_updated_d2 : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i (31 downto 6) & "000000" ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i (31 downto 6) & "000000" ; taildesc_msb <= taildesc_msb_i ; BUFF_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin buffer_address <= buffer_address_i_64 & buffer_address_i ; end generate BUFF_ADDR_EQL64; BUFF_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin buffer_address <= buffer_address_i ; end generate BUFF_ADDR_EQL32; buffer_length <= buffer_length_i ; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; --diff_thresh_xor <= dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) xor -- axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); --different_thresh <= '0' when diff_thresh_xor = "00000000" -- else '1'; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register, Bit 3 for Key hole operation ------------------------------------------------------------------------------- DMACR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED5_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 -- bit 15 downto DMACR_RESERVED5_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 14 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 13 & axi2ip_wrdata(DMACR_DLY_IRQEN_BIT) -- bit 12 & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bits 11 downto 3 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED5_BIT); end if; end if; end process DMACR_REGISTER; DMACR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or C_ENABLE_MULTI_CHANNEL = 1)then dmacr_i(DMACR_KH_BIT) <= '0'; dmacr_i(CYCLIC_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KH_BIT) <= axi2ip_wrdata(DMACR_KH_BIT); dmacr_i(CYCLIC_BIT) <= axi2ip_wrdata(CYCLIC_BIT); end if; end if; end process DMACR_REGISTER1; ------------------------------------------------------------------------------- -- DMACR - Reset Bit ------------------------------------------------------------------------------- DMACR_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; -- If soft reset set in other channel then set -- reset bit here too elsif(soft_reset_in = '1')then dmacr_i(DMACR_RESET_BIT) <= '1'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(soft_reset_i = '0' and axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_i <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- Tail Pointer Enable fixed at 1 for this release of axi dma ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1'; ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- run_stop_clr <= '1' when error = '1' -- MM2S DataMover Error or error_in = '1' -- S2MM Error or stop_dma = '1' -- Stop due to error or soft_reset_i = '1' -- MM2S Soft Reset or soft_reset_in = '1' -- S2MM Soft Reset else '0'; DMACR_RUNSTOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_RS_BIT) <= '0'; -- Clear on sg error (i.e. error) or other channel -- error (i.e. error_in) or dma error or soft reset elsif(run_stop_clr = '1')then dmacr_i(DMACR_RS_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RS_BIT) <= axi2ip_wrdata(DMACR_RS_BIT); end if; end if; end process DMACR_RUNSTOP; --------------------------------------------------------------------------- -- DMA Status Halted bit (BIT 0) - Set by dma controller indicating DMA -- channel is halted. --------------------------------------------------------------------------- DMASR_HALTED : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or halted_set = '1')then halted <= '1'; elsif(halted_clr = '1')then halted <= '0'; end if; end if; end process DMASR_HALTED; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) - Set by dma controller indicating DMA -- channel is IDLE waiting at tail pointer. Update of Tail Pointer -- will cause engine to resume. Note: Halted channels return to a -- reset condition. --------------------------------------------------------------------------- DMASR_IDLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or idle_clr = '1' or halted_set = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= error; SG_FTCH_ERROR_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process SG_FTCH_ERROR_PROC; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGDECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 11) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; -- CR605888 Disable delay timer if halted or on delay irq set --dlyirq_dsble <= dmasr_i(DMASR_HALTED_BIT) -- CR606348 dlyirq_dsble <= not dmacr_i(DMACR_RS_BIT) -- CR606348 or dmasr_i(DMASR_DLYIRQ_BIT); --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 12) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then error_d1 <= '0'; else error_d1 <= error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= error and not error_d1; DMASR_ERRIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or soft_reset_i = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= irqdelay_status -- Bits 31 downto 24 & irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & DMA_CONFIG -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & halted; -- Bit 0 -- Generate current descriptor and tail descriptor register for Scatter Gather Mode GEN_DESC_REG_FOR_SG : if C_INCLUDE_SG = 1 generate begin GEN_SG_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 1 generate begin MM2S_SGCTL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_cache_info <= "00000011"; --(others => '0'); elsif(axi2ip_wrce(SGCTL_INDEX) = '1' ) then sg_cache_info <= axi2ip_wrdata(11 downto 8) & axi2ip_wrdata(3 downto 0); else sg_cache_info <= sg_cache_info; end if; end if; end process MM2S_SGCTL; sg_ctl <= sg_cache_info; end generate GEN_SG_CTL_REG; GEN_SG_NO_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 0 generate begin sg_ctl <= "00000011"; --(others => '0'); end generate GEN_SG_NO_CTL_REG; -- Signals not used for Scatter Gather Mode, only simple mode buffer_address_i <= (others => '0'); buffer_length_i <= (others => '0'); buffer_length_wren <= '0'; --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(CURDESC_RESERVED_BIT5 -- downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(TAILDESC_RESERVED_BIT5 -- downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CURDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH - 1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL64; end generate GEN_DESC_REG_FOR_SG; -- Generate Buffer Address and Length Register for Simple DMA Mode GEN_REG_FOR_SMPL : if C_INCLUDE_SG = 0 generate begin -- Signals not used for simple dma mode, only for sg mode curdesc_lsb_i <= (others => '0'); curdesc_msb_i <= (others => '0'); taildesc_lsb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); tailpntr_updated <= '0'; error_pointer_set <= '0'; -- Buffer Address register. Used for Source Address (SA) if MM2S -- and used for Destination Address (DA) if S2MM BUFFER_ADDR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_INDEX) = '1')then buffer_address_i <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER; GEN_BUFF_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin BUFFER_ADDR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i_64 <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_MSB_INDEX) = '1')then buffer_address_i_64 <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER1; end generate GEN_BUFF_ADDR_EQL64; -- Buffer Length register. Used for number of bytes to transfer if MM2S -- and used for size of receive buffer is S2MM BUFFER_LNGTH_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_i <= (others => '0'); -- Update with actual bytes received (Only for S2MM channel) -- elsif(bytes_received_wren = '1')then -- buffer_length_i <= bytes_received; elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1')then buffer_length_i <= axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0); end if; end if; end process BUFFER_LNGTH_REGISTER; -- Buffer Length Write Enable control. Assertion of wren will -- begin a transfer if channel is Idle. BUFFER_LNGTH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_wren <= '0'; -- Non-zero length value written elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1' and axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0) /= ZERO_VALUE(C_SG_LENGTH_WIDTH-1 downto 0))then buffer_length_wren <= '1'; else buffer_length_wren <= '0'; end if; end if; end process BUFFER_LNGTH_WRITE; end generate GEN_REG_FOR_SMPL; end implementation;
-- -- Taken from rtl/commonlib/types_util.vhd of https://github.com/sergeykhbr/riscv_vhdl -- ----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Package for common testbenches implementation. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; package types_util is function strlen(s: in string) return integer; function StringToUVector(inStr: string) return std_ulogic_vector; function StringToSVector(inStr: string) return std_logic_vector; function UnsignedToSigned(inUnsigned: std_ulogic_vector) return std_logic_vector; function SignalFromString(inStr: string; ind : integer ) return std_logic; function SymbolToSVector(inStr: string; idx: integer) return std_logic_vector; function tost(v:std_logic_vector) return string; function tost(v:std_logic) return string; function tost(i : integer) return string; procedure print(s : string); end; package body types_util is function strlen(s: in string) return integer is variable n: integer:=0; variable sj: integer:=s'left; begin loop if sj>s'right then exit; elsif s(sj)=NUL then exit; --sequential if protects sj > length else sj:=sj+1; n:=n+1; end if; end loop; return n; end strlen; function SignalFromString(inStr: string; ind : integer ) return std_logic is variable temp: std_logic := 'X'; begin if(inStr(inStr'high-ind)='1') then temp := '1'; elsif(inStr(inStr'high-ind)='0') then temp := '0'; end if; return temp; end function SignalFromString; function StringToUVector(inStr: string) return std_ulogic_vector is variable temp: std_ulogic_vector(inStr'range) := (others => 'X'); begin for i in inStr'range loop -- if(inStr(inStr'high-i+1)='1') then temp(i) := '1'; elsif(inStr(inStr'high-i+1)='0') then temp(i) := '0'; end if; end loop; return temp(inStr'high downto 1); end function StringToUVector; -- conversion function function StringToSVector(inStr: string) return std_logic_vector is variable temp: std_logic_vector(inStr'range) := (others => 'X'); begin for i in inStr'range loop -- if(inStr(inStr'high-i+1)='1') then temp(i) := '1'; elsif(inStr(inStr'high-i+1)='0') then temp(i) := '0'; end if; end loop; return temp(inStr'high downto 1); end function StringToSVector; function SymbolToSVector(inStr: string; idx: integer) return std_logic_vector is constant ss: string(1 to inStr'length) := inStr; variable c : integer; variable temp: std_logic_vector(7 downto 0) := (others => 'X'); begin c := character'pos(ss(idx+1)); for i in 0 to 7 loop -- temp(i) := to_unsigned(c,8)(i); end loop; return temp; end function SymbolToSVector; function UnsignedToSigned(inUnsigned: std_ulogic_vector) return std_logic_vector is variable temp: std_logic_vector(inUnsigned'length-1 downto 0) := (others => 'X'); variable i: integer:=0; begin while i < inUnsigned'length loop if(inUnsigned(i)='1') then temp(i) := '1'; elsif(inUnsigned(i)='0') then temp(i) := '0'; end if; i := i+1; end loop; return temp; end function UnsignedToSigned; subtype nibble is std_logic_vector(3 downto 0); function todec(i:integer) return character is begin case i is when 0 => return('0'); when 1 => return('1'); when 2 => return('2'); when 3 => return('3'); when 4 => return('4'); when 5 => return('5'); when 6 => return('6'); when 7 => return('7'); when 8 => return('8'); when 9 => return('9'); when others => return('0'); end case; end; function tohex(n:nibble) return character is begin case n is when "0000" => return('0'); when "0001" => return('1'); when "0010" => return('2'); when "0011" => return('3'); when "0100" => return('4'); when "0101" => return('5'); when "0110" => return('6'); when "0111" => return('7'); when "1000" => return('8'); when "1001" => return('9'); when "1010" => return('a'); when "1011" => return('b'); when "1100" => return('c'); when "1101" => return('d'); when "1110" => return('e'); when "1111" => return('f'); when others => return('X'); end case; end; function tost(v:std_logic_vector) return string is constant vlen : natural := v'length; --' constant slen : natural := (vlen+3)/4; variable vv : std_logic_vector(0 to slen*4-1) := (others => '0'); variable s : string(1 to slen); variable nz : boolean := false; variable index : integer := -1; begin vv(slen*4-vlen to slen*4-1) := v; for i in 0 to slen-1 loop if (vv(i*4 to i*4+3) = "0000") and nz and (i /= (slen-1)) then index := i; else nz := false; s(i+1) := tohex(vv(i*4 to i*4+3)); end if; end loop; if ((index +2) = slen) then return(s(slen to slen)); else return(string'("0x") & s(index+2 to slen)); end if; --' end; function tost(v:std_logic) return string is begin if to_x01(v) = '1' then return("1"); else return("0"); end if; end; function tost(i : integer) return string is variable L : line; variable s, x : string(1 to 128); variable n, tmp : integer := 0; begin tmp := i; if i < 0 then tmp := -i; end if; loop s(128-n) := todec(tmp mod 10); tmp := tmp / 10; n := n+1; if tmp = 0 then exit; end if; end loop; x(1 to n) := s(129-n to 128); if i < 0 then return "-" & x(1 to n); end if; return(x(1 to n)); end; procedure print(s : string) is variable L : line; begin L := new string'(s); writeline(output, L); end; end;
-- -- Taken from rtl/commonlib/types_util.vhd of https://github.com/sergeykhbr/riscv_vhdl -- ----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Package for common testbenches implementation. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; package types_util is function strlen(s: in string) return integer; function StringToUVector(inStr: string) return std_ulogic_vector; function StringToSVector(inStr: string) return std_logic_vector; function UnsignedToSigned(inUnsigned: std_ulogic_vector) return std_logic_vector; function SignalFromString(inStr: string; ind : integer ) return std_logic; function SymbolToSVector(inStr: string; idx: integer) return std_logic_vector; function tost(v:std_logic_vector) return string; function tost(v:std_logic) return string; function tost(i : integer) return string; procedure print(s : string); end; package body types_util is function strlen(s: in string) return integer is variable n: integer:=0; variable sj: integer:=s'left; begin loop if sj>s'right then exit; elsif s(sj)=NUL then exit; --sequential if protects sj > length else sj:=sj+1; n:=n+1; end if; end loop; return n; end strlen; function SignalFromString(inStr: string; ind : integer ) return std_logic is variable temp: std_logic := 'X'; begin if(inStr(inStr'high-ind)='1') then temp := '1'; elsif(inStr(inStr'high-ind)='0') then temp := '0'; end if; return temp; end function SignalFromString; function StringToUVector(inStr: string) return std_ulogic_vector is variable temp: std_ulogic_vector(inStr'range) := (others => 'X'); begin for i in inStr'range loop -- if(inStr(inStr'high-i+1)='1') then temp(i) := '1'; elsif(inStr(inStr'high-i+1)='0') then temp(i) := '0'; end if; end loop; return temp(inStr'high downto 1); end function StringToUVector; -- conversion function function StringToSVector(inStr: string) return std_logic_vector is variable temp: std_logic_vector(inStr'range) := (others => 'X'); begin for i in inStr'range loop -- if(inStr(inStr'high-i+1)='1') then temp(i) := '1'; elsif(inStr(inStr'high-i+1)='0') then temp(i) := '0'; end if; end loop; return temp(inStr'high downto 1); end function StringToSVector; function SymbolToSVector(inStr: string; idx: integer) return std_logic_vector is constant ss: string(1 to inStr'length) := inStr; variable c : integer; variable temp: std_logic_vector(7 downto 0) := (others => 'X'); begin c := character'pos(ss(idx+1)); for i in 0 to 7 loop -- temp(i) := to_unsigned(c,8)(i); end loop; return temp; end function SymbolToSVector; function UnsignedToSigned(inUnsigned: std_ulogic_vector) return std_logic_vector is variable temp: std_logic_vector(inUnsigned'length-1 downto 0) := (others => 'X'); variable i: integer:=0; begin while i < inUnsigned'length loop if(inUnsigned(i)='1') then temp(i) := '1'; elsif(inUnsigned(i)='0') then temp(i) := '0'; end if; i := i+1; end loop; return temp; end function UnsignedToSigned; subtype nibble is std_logic_vector(3 downto 0); function todec(i:integer) return character is begin case i is when 0 => return('0'); when 1 => return('1'); when 2 => return('2'); when 3 => return('3'); when 4 => return('4'); when 5 => return('5'); when 6 => return('6'); when 7 => return('7'); when 8 => return('8'); when 9 => return('9'); when others => return('0'); end case; end; function tohex(n:nibble) return character is begin case n is when "0000" => return('0'); when "0001" => return('1'); when "0010" => return('2'); when "0011" => return('3'); when "0100" => return('4'); when "0101" => return('5'); when "0110" => return('6'); when "0111" => return('7'); when "1000" => return('8'); when "1001" => return('9'); when "1010" => return('a'); when "1011" => return('b'); when "1100" => return('c'); when "1101" => return('d'); when "1110" => return('e'); when "1111" => return('f'); when others => return('X'); end case; end; function tost(v:std_logic_vector) return string is constant vlen : natural := v'length; --' constant slen : natural := (vlen+3)/4; variable vv : std_logic_vector(0 to slen*4-1) := (others => '0'); variable s : string(1 to slen); variable nz : boolean := false; variable index : integer := -1; begin vv(slen*4-vlen to slen*4-1) := v; for i in 0 to slen-1 loop if (vv(i*4 to i*4+3) = "0000") and nz and (i /= (slen-1)) then index := i; else nz := false; s(i+1) := tohex(vv(i*4 to i*4+3)); end if; end loop; if ((index +2) = slen) then return(s(slen to slen)); else return(string'("0x") & s(index+2 to slen)); end if; --' end; function tost(v:std_logic) return string is begin if to_x01(v) = '1' then return("1"); else return("0"); end if; end; function tost(i : integer) return string is variable L : line; variable s, x : string(1 to 128); variable n, tmp : integer := 0; begin tmp := i; if i < 0 then tmp := -i; end if; loop s(128-n) := todec(tmp mod 10); tmp := tmp / 10; n := n+1; if tmp = 0 then exit; end if; end loop; x(1 to n) := s(129-n to 128); if i < 0 then return "-" & x(1 to n); end if; return(x(1 to n)); end; procedure print(s : string) is variable L : line; begin L := new string'(s); writeline(output, L); end; end;
-- $Id: pdp11_decode.vhd 427 2011-11-19 21:04:11Z mueller $ -- -- Copyright 2006-2011 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: pdp11_decode - syn -- Description: pdp11: instruction decoder -- -- Dependencies: - -- Test bench: tb/tb_pdp11_core (implicit) -- Target Devices: generic -- Tool versions: xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29 -- Revision History: -- Date Rev Version Comment -- 2011-11-18 427 1.0.6 now numeric_std clean -- 2010-09-18 300 1.0.5 rename (adlm)box->(oalm)unit -- 2008-11-30 174 1.0.4 BUGFIX: add updt_dstadsrc; set for MFP(I/D) -- 2008-05-03 143 1.0.3 get fork_srcr,fork_dstr,fork_dsta assign out of if -- 2008-04-27 139 1.0.2 BUGFIX: mtp now via do_fork_op; is_dsta logic mods -- 2007-06-14 56 1.0.1 Use slvtypes.all -- 2007-05-12 26 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.pdp11.all; -- ---------------------------------------------------------------------------- entity pdp11_decode is -- instruction decoder port ( IREG : in slv16; -- input instruction word STAT : out decode_stat_type -- status output ); end pdp11_decode; architecture syn of pdp11_decode is begin proc_idecode: process (IREG) alias OPCODE : slv4 is IREG(15 downto 12); -- basic opcode (upper 4 bits) alias OPPRIM : slv3 is IREG(14 downto 12); -- basic opcode without B bit alias OPBYTE : slbit is IREG(15); -- byte flag of basic opcode alias OPEXT1 : slv3 is IREG(11 downto 9); -- extended opcode, part 1 alias OPEXT2 : slv3 is IREG(8 downto 6); -- extended opcode, part 2 alias OPEXT3 : slv3 is IREG(5 downto 3); -- extended opcode, part 3 alias OPEXT4 : slv3 is IREG(2 downto 0); -- extended opcode, part 4 alias SRCMODF : slv3 is IREG(11 downto 9); -- src register full mode alias DSTMODF : slv3 is IREG(5 downto 3); -- dst register full mode alias SRCMOD : slv2 is IREG(11 downto 10); -- src register mode high alias SRCDEF : slbit is IREG(9); -- src register mode defered alias SRCREG : slv3 is IREG(8 downto 6); -- src register number alias DSTMOD : slv2 is IREG(5 downto 4); -- dst register mode high alias DSTDEF : slbit is IREG(3); -- dst register mode defered alias DSTREG : slv3 is IREG(2 downto 0); -- dst register number variable nstat : decode_stat_type; variable is_srcr : slbit := '0'; -- source is read variable is_dstr : slbit := '0'; -- destination is read variable is_dstm : slbit := '0'; -- destination is modified variable is_dstw : slbit := '0'; -- destination is written variable is_srcmode0 : slbit := '0'; -- source is register mode variable is_dstmode0notpc : slbit := '0'; -- dest. is register mode, not PC begin is_srcr := '0'; is_dstr := '0'; is_dstm := '0'; is_dstw := '0'; is_srcmode0 := '0'; is_dstmode0notpc := '0'; nstat.is_dstmode0 := '0'; nstat.is_srcpc := '0'; nstat.is_srcpcmode1 := '0'; nstat.is_dstpc := '0'; nstat.is_dstw_reg := '0'; nstat.is_dstw_pc := '0'; nstat.is_rmwop := '0'; nstat.is_bytop := '0'; nstat.is_res := '1'; nstat.op_rtt := '0'; nstat.op_mov := '0'; nstat.trap_vec := "000"; nstat.force_srcsp := '0'; nstat.updt_dstadsrc := '0'; nstat.aunit_srcmod := c_aunit_mod_pass; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_pass; nstat.aunit_cc1op := '0'; nstat.aunit_ccmode := IREG(8 downto 6); -- STATIC nstat.lunit_func := (others=>'0'); nstat.munit_func := (others=>'0'); nstat.res_sel := c_dpath_res_ounit; nstat.fork_op := (others=>'0'); nstat.fork_srcr := (others=>'0'); nstat.fork_dstr := (others=>'0'); nstat.fork_dsta := (others=>'0'); nstat.fork_opg := (others=>'0'); nstat.fork_opa := (others=>'0'); nstat.do_fork_op := '0'; nstat.do_fork_srcr := '0'; nstat.do_fork_dstr := '0'; nstat.do_fork_dsta := '0'; nstat.do_fork_opg := '0'; nstat.do_pref_dec := '0'; if SRCMODF = "000" then is_srcmode0 := '1'; end if; if DSTMODF = "000" then nstat.is_dstmode0 := '1'; if DSTREG /= c_gpr_pc then is_dstmode0notpc := '1'; end if; end if; if SRCREG = c_gpr_pc then nstat.is_srcpc := '1'; if SRCMODF = "001" then nstat.is_srcpcmode1 := '1'; end if; end if; if DSTREG = c_gpr_pc then nstat.is_dstpc := '1'; end if; if OPPRIM = "000" then if OPBYTE='0' and OPEXT1="000" then if OPEXT2="000" and OPEXT3="000" then -- HALT,...,RTT nstat.is_res := '0'; case OPEXT4 is when "000" => -- HALT nstat.fork_op := c_fork_op_halt; nstat.do_fork_op := '1'; when "001" => -- WAIT nstat.fork_op := c_fork_op_wait; nstat.do_fork_op := '1'; when "010" => -- RTI nstat.force_srcsp := '1'; nstat.fork_op := c_fork_op_rtti; nstat.do_fork_op := '1'; when "011" => -- BPT (trap to 14) nstat.trap_vec := "011"; nstat.fork_op := c_fork_op_trap; nstat.do_fork_op := '1'; when "100" => -- IOT (trap to 20) nstat.trap_vec := "100"; nstat.fork_op := c_fork_op_trap; nstat.do_fork_op := '1'; when "101" => -- RESET nstat.fork_op := c_fork_op_reset; nstat.do_fork_op := '1'; when "110" => -- RTT nstat.op_rtt := '1'; nstat.force_srcsp := '1'; nstat.fork_op := c_fork_op_rtti; nstat.do_fork_op := '1'; when others => nstat.is_res := '1'; end case; end if; if OPEXT2 = "001" then -- JMP nstat.is_res := '0'; nstat.fork_opa := c_fork_opa_jmp; nstat.do_fork_dsta := '1'; end if; if OPEXT2 = "010" then if OPEXT3 = "000" then -- RTS nstat.is_res := '0'; nstat.force_srcsp := '1'; nstat.fork_op := c_fork_op_rts; nstat.do_fork_op := '1'; end if; if OPEXT3 = "011" then -- SPL nstat.is_res := '0'; nstat.fork_op := c_fork_op_spl; nstat.do_fork_op := '1'; end if; end if; if OPEXT2 = "010" then if OPEXT3(2) = '1' then -- SEx/CLx nstat.is_res := '0'; nstat.fork_op := c_fork_op_mcc; nstat.do_fork_op := '1'; --!!!nstat.do_pref_dec := '1'; --??? ensure ireg_we .... end if; end if; if OPEXT2 = "011" then -- SWAP nstat.is_res := '0'; is_dstm := '1'; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; nstat.lunit_func := c_lunit_func_swap; nstat.res_sel := c_dpath_res_lunit; end if; end if; -- OPBYTE='0' and OPEXT1="000" if OPEXT1(2)='0' and -- BR class instructions ((OPBYTE='0' and OPEXT2(2)='1') or -- BR (OPBYTE='0' and (OPEXT1(0)='1' or OPEXT1(1)='1')) or -- BNE,..,BLE OPBYTE='1') then -- BPL,..,BCS nstat.is_res := '0'; nstat.fork_op := c_fork_op_br; nstat.do_fork_op := '1'; end if; if OPBYTE='0' and OPEXT1="100" then -- JSR nstat.is_res := '0'; nstat.fork_opa := c_fork_opa_jsr; nstat.do_fork_dsta := '1'; end if; if OPBYTE='1' and OPEXT1="100" then -- EMT, TRAP nstat.is_res := '0'; if OPEXT2(2) = '0' then -- EMT (trap tp 30) nstat.trap_vec := "110"; else -- TRAP (trap to 34) nstat.trap_vec := "111"; end if; nstat.fork_op := c_fork_op_trap; nstat.do_fork_op := '1'; end if; if OPEXT1 = "101" then -- CLR(B),...,TST(B) nstat.is_res := '0'; nstat.res_sel := c_dpath_res_aunit; if OPBYTE = '1' then nstat.is_bytop := '1'; end if; nstat.aunit_cc1op := '1'; case OPEXT2 is when "000" => -- CLR: 0 + 0 + 0 (0) is_dstw := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_zero; nstat.aunit_cimod := c_aunit_mod_zero; when "001" => -- COM: 0 + ~DST + 0 (~dst) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_inv; nstat.aunit_cimod := c_aunit_mod_zero; when "010" => -- INC: 0 + DST + 1 (dst+1) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_one; when "011" => -- DEC: ~0 + DST + 0 (dst-1) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_one; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_zero; when "100" => -- NEG: 0 + ~DST + 1 (-dst) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_inv; nstat.aunit_cimod := c_aunit_mod_one; when "101" => -- ADC: 0 + DST + CI (dst+ci) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_pass; when "110" => -- SBC: ~0 + DST + ~CI (dst-ci) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_one; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_inv; when "111" => -- TST: 0 + DST + 0 (dst) is_dstr := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_zero; when others => null; end case; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; end if; if OPEXT1 = "110" then if OPEXT2(2) = '0' then -- ROR(B),...,ASL(B) nstat.is_res := '0'; is_dstm := '1'; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; if OPBYTE = '1' then nstat.is_bytop := '1'; end if; nstat.res_sel := c_dpath_res_lunit; case OPEXT2(1 downto 0) is when "00" => -- ROR nstat.lunit_func := c_lunit_func_ror; when "01" => -- ROL nstat.lunit_func := c_lunit_func_rol; when "10" => -- ASR nstat.lunit_func := c_lunit_func_asr; when "11" => -- ASL nstat.lunit_func := c_lunit_func_asl; when others => null; end case; end if; if OPBYTE='0' and OPEXT2="100" then -- MARK nstat.is_res := '0'; nstat.fork_op := c_fork_op_mark; nstat.do_fork_op := '1'; end if; if OPEXT2 = "101" then -- MFP(I/D) nstat.is_res := '0'; nstat.force_srcsp := '1'; if DSTREG = c_gpr_sp then -- is dst reg == sp ? nstat.updt_dstadsrc := '1'; -- ensure DSRC update in dsta flow end if; nstat.res_sel := c_dpath_res_ounit; if nstat.is_dstmode0 = '1' then nstat.fork_opa := c_fork_opa_mfp_reg; else nstat.fork_opa := c_fork_opa_mfp_mem; end if; nstat.do_fork_dsta := '1'; end if; if OPEXT2 = "110" then -- MTP(I/D) nstat.is_res := '0'; nstat.force_srcsp := '1'; nstat.res_sel := c_dpath_res_ounit; nstat.fork_opa := c_fork_opa_mtp; nstat.fork_op := c_fork_op_mtp; nstat.do_fork_op := '1'; end if; if OPBYTE='0' and OPEXT2="111" then -- SXT nstat.is_res := '0'; is_dstw := '1'; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; nstat.lunit_func := c_lunit_func_sxt; nstat.res_sel := c_dpath_res_lunit; end if; end if; end if; -- OPPRIM="000" if OPPRIM/="000" and OPPRIM/="111" then nstat.is_res := '0'; case OPPRIM is when "001" => -- MOV is_srcr := '1'; is_dstw := '1'; nstat.op_mov := '1'; nstat.lunit_func := c_lunit_func_mov; nstat.res_sel := c_dpath_res_lunit; nstat.is_bytop := OPBYTE; when "010" => -- CMP is_srcr := '1'; is_dstr := '1'; nstat.res_sel := c_dpath_res_aunit; nstat.aunit_srcmod := c_aunit_mod_pass; nstat.aunit_dstmod := c_aunit_mod_inv; nstat.aunit_cimod := c_aunit_mod_one; nstat.is_bytop := OPBYTE; when "011" => -- BIT is_srcr := '1'; is_dstr := '1'; nstat.lunit_func := c_lunit_func_bit; nstat.res_sel := c_dpath_res_lunit; nstat.is_bytop := OPBYTE; when "100" => -- BIC is_srcr := '1'; is_dstm := '1'; nstat.lunit_func := c_lunit_func_bic; nstat.res_sel := c_dpath_res_lunit; nstat.is_bytop := OPBYTE; when "101" => -- BIS is_srcr := '1'; is_dstm := '1'; nstat.lunit_func := c_lunit_func_bis; nstat.res_sel := c_dpath_res_lunit; nstat.is_bytop := OPBYTE; when "110" => is_srcr := '1'; is_dstm := '1'; nstat.res_sel := c_dpath_res_aunit; if OPBYTE = '0' then -- ADD nstat.aunit_srcmod := c_aunit_mod_pass; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_zero; else -- SUB nstat.aunit_srcmod := c_aunit_mod_inv; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_one; end if; when others => null; end case; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_srcmode0 and is_dstmode0notpc; end if; if OPBYTE='0' and OPPRIM="111" then case OPEXT1 is when "000" => -- MUL nstat.is_res := '0'; is_dstr := '1'; nstat.munit_func := c_munit_func_mul; nstat.res_sel := c_dpath_res_munit; nstat.fork_opg := c_fork_opg_mul; nstat.do_fork_opg := '1'; when "001" => -- DIV nstat.is_res := '0'; is_dstr := '1'; nstat.munit_func := c_munit_func_div; nstat.res_sel := c_dpath_res_munit; nstat.fork_opg := c_fork_opg_div; nstat.do_fork_opg := '1'; when "010" => -- ASH nstat.is_res := '0'; is_dstr := '1'; nstat.munit_func := c_munit_func_ash; nstat.res_sel := c_dpath_res_munit; nstat.fork_opg := c_fork_opg_ash; nstat.do_fork_opg := '1'; when "011" => -- ASHC nstat.is_res := '0'; is_dstr := '1'; nstat.munit_func := c_munit_func_ashc; nstat.res_sel := c_dpath_res_munit; nstat.fork_opg := c_fork_opg_ashc; nstat.do_fork_opg := '1'; when "100" => -- XOR nstat.is_res := '0'; is_dstm := '1'; nstat.lunit_func := c_lunit_func_xor; nstat.res_sel := c_dpath_res_lunit; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; when "111" => -- SOB: SRC + ~0 + 0 (src-1) nstat.is_res := '0'; nstat.aunit_srcmod := c_aunit_mod_pass; nstat.aunit_dstmod := c_aunit_mod_one; nstat.aunit_cimod := c_aunit_mod_zero; nstat.res_sel := c_dpath_res_aunit; nstat.fork_op := c_fork_op_sob; nstat.do_fork_op := '1'; when others => null; end case; end if; if OPBYTE='1' and OPPRIM="111" then -- FPU nstat.is_res := '1'; -- ??? FPU not yet handled end if; case SRCMOD is when "00" => nstat.fork_srcr := c_fork_srcr_def; when "01" => nstat.fork_srcr := c_fork_srcr_inc; when "10" => nstat.fork_srcr := c_fork_srcr_dec; when "11" => nstat.fork_srcr := c_fork_srcr_ind; when others => null; end case; if is_srcr='1' and SRCMODF /="000" then nstat.do_fork_srcr := '1'; end if; case DSTMOD is when "00" => nstat.fork_dstr := c_fork_dstr_def; when "01" => nstat.fork_dstr := c_fork_dstr_inc; when "10" => nstat.fork_dstr := c_fork_dstr_dec; when "11" => nstat.fork_dstr := c_fork_dstr_ind; when others => null; end case; if (is_dstr or is_dstm)='1' and nstat.is_dstmode0='0' then nstat.do_fork_dstr := '1'; end if; if is_dstw='1' and nstat.is_dstmode0='0' then case DSTMOD is when "00" => nstat.fork_opg := c_fork_opg_wdef; when "01" => nstat.fork_opg := c_fork_opg_winc; when "10" => nstat.fork_opg := c_fork_opg_wdec; when "11" => nstat.fork_opg := c_fork_opg_wind; when others => null; end case; end if; if is_dstm='1' and nstat.is_dstmode0='0' then nstat.is_rmwop := '1'; end if; case DSTMOD is when "00" => nstat.fork_dsta := c_fork_dsta_def; when "01" => nstat.fork_dsta := c_fork_dsta_inc; when "10" => nstat.fork_dsta := c_fork_dsta_dec; when "11" => nstat.fork_dsta := c_fork_dsta_ind; when others => null; end case; if (is_dstw or is_dstm)='1' and nstat.is_dstmode0='1' then nstat.is_dstw_reg := '1'; if DSTREG = c_gpr_pc then nstat.is_dstw_pc := '1'; --??? hack rename -> is_dstw_pc end if; end if; STAT <= nstat; end process proc_idecode; end syn;
-- $Id: pdp11_decode.vhd 427 2011-11-19 21:04:11Z mueller $ -- -- Copyright 2006-2011 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: pdp11_decode - syn -- Description: pdp11: instruction decoder -- -- Dependencies: - -- Test bench: tb/tb_pdp11_core (implicit) -- Target Devices: generic -- Tool versions: xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29 -- Revision History: -- Date Rev Version Comment -- 2011-11-18 427 1.0.6 now numeric_std clean -- 2010-09-18 300 1.0.5 rename (adlm)box->(oalm)unit -- 2008-11-30 174 1.0.4 BUGFIX: add updt_dstadsrc; set for MFP(I/D) -- 2008-05-03 143 1.0.3 get fork_srcr,fork_dstr,fork_dsta assign out of if -- 2008-04-27 139 1.0.2 BUGFIX: mtp now via do_fork_op; is_dsta logic mods -- 2007-06-14 56 1.0.1 Use slvtypes.all -- 2007-05-12 26 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.pdp11.all; -- ---------------------------------------------------------------------------- entity pdp11_decode is -- instruction decoder port ( IREG : in slv16; -- input instruction word STAT : out decode_stat_type -- status output ); end pdp11_decode; architecture syn of pdp11_decode is begin proc_idecode: process (IREG) alias OPCODE : slv4 is IREG(15 downto 12); -- basic opcode (upper 4 bits) alias OPPRIM : slv3 is IREG(14 downto 12); -- basic opcode without B bit alias OPBYTE : slbit is IREG(15); -- byte flag of basic opcode alias OPEXT1 : slv3 is IREG(11 downto 9); -- extended opcode, part 1 alias OPEXT2 : slv3 is IREG(8 downto 6); -- extended opcode, part 2 alias OPEXT3 : slv3 is IREG(5 downto 3); -- extended opcode, part 3 alias OPEXT4 : slv3 is IREG(2 downto 0); -- extended opcode, part 4 alias SRCMODF : slv3 is IREG(11 downto 9); -- src register full mode alias DSTMODF : slv3 is IREG(5 downto 3); -- dst register full mode alias SRCMOD : slv2 is IREG(11 downto 10); -- src register mode high alias SRCDEF : slbit is IREG(9); -- src register mode defered alias SRCREG : slv3 is IREG(8 downto 6); -- src register number alias DSTMOD : slv2 is IREG(5 downto 4); -- dst register mode high alias DSTDEF : slbit is IREG(3); -- dst register mode defered alias DSTREG : slv3 is IREG(2 downto 0); -- dst register number variable nstat : decode_stat_type; variable is_srcr : slbit := '0'; -- source is read variable is_dstr : slbit := '0'; -- destination is read variable is_dstm : slbit := '0'; -- destination is modified variable is_dstw : slbit := '0'; -- destination is written variable is_srcmode0 : slbit := '0'; -- source is register mode variable is_dstmode0notpc : slbit := '0'; -- dest. is register mode, not PC begin is_srcr := '0'; is_dstr := '0'; is_dstm := '0'; is_dstw := '0'; is_srcmode0 := '0'; is_dstmode0notpc := '0'; nstat.is_dstmode0 := '0'; nstat.is_srcpc := '0'; nstat.is_srcpcmode1 := '0'; nstat.is_dstpc := '0'; nstat.is_dstw_reg := '0'; nstat.is_dstw_pc := '0'; nstat.is_rmwop := '0'; nstat.is_bytop := '0'; nstat.is_res := '1'; nstat.op_rtt := '0'; nstat.op_mov := '0'; nstat.trap_vec := "000"; nstat.force_srcsp := '0'; nstat.updt_dstadsrc := '0'; nstat.aunit_srcmod := c_aunit_mod_pass; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_pass; nstat.aunit_cc1op := '0'; nstat.aunit_ccmode := IREG(8 downto 6); -- STATIC nstat.lunit_func := (others=>'0'); nstat.munit_func := (others=>'0'); nstat.res_sel := c_dpath_res_ounit; nstat.fork_op := (others=>'0'); nstat.fork_srcr := (others=>'0'); nstat.fork_dstr := (others=>'0'); nstat.fork_dsta := (others=>'0'); nstat.fork_opg := (others=>'0'); nstat.fork_opa := (others=>'0'); nstat.do_fork_op := '0'; nstat.do_fork_srcr := '0'; nstat.do_fork_dstr := '0'; nstat.do_fork_dsta := '0'; nstat.do_fork_opg := '0'; nstat.do_pref_dec := '0'; if SRCMODF = "000" then is_srcmode0 := '1'; end if; if DSTMODF = "000" then nstat.is_dstmode0 := '1'; if DSTREG /= c_gpr_pc then is_dstmode0notpc := '1'; end if; end if; if SRCREG = c_gpr_pc then nstat.is_srcpc := '1'; if SRCMODF = "001" then nstat.is_srcpcmode1 := '1'; end if; end if; if DSTREG = c_gpr_pc then nstat.is_dstpc := '1'; end if; if OPPRIM = "000" then if OPBYTE='0' and OPEXT1="000" then if OPEXT2="000" and OPEXT3="000" then -- HALT,...,RTT nstat.is_res := '0'; case OPEXT4 is when "000" => -- HALT nstat.fork_op := c_fork_op_halt; nstat.do_fork_op := '1'; when "001" => -- WAIT nstat.fork_op := c_fork_op_wait; nstat.do_fork_op := '1'; when "010" => -- RTI nstat.force_srcsp := '1'; nstat.fork_op := c_fork_op_rtti; nstat.do_fork_op := '1'; when "011" => -- BPT (trap to 14) nstat.trap_vec := "011"; nstat.fork_op := c_fork_op_trap; nstat.do_fork_op := '1'; when "100" => -- IOT (trap to 20) nstat.trap_vec := "100"; nstat.fork_op := c_fork_op_trap; nstat.do_fork_op := '1'; when "101" => -- RESET nstat.fork_op := c_fork_op_reset; nstat.do_fork_op := '1'; when "110" => -- RTT nstat.op_rtt := '1'; nstat.force_srcsp := '1'; nstat.fork_op := c_fork_op_rtti; nstat.do_fork_op := '1'; when others => nstat.is_res := '1'; end case; end if; if OPEXT2 = "001" then -- JMP nstat.is_res := '0'; nstat.fork_opa := c_fork_opa_jmp; nstat.do_fork_dsta := '1'; end if; if OPEXT2 = "010" then if OPEXT3 = "000" then -- RTS nstat.is_res := '0'; nstat.force_srcsp := '1'; nstat.fork_op := c_fork_op_rts; nstat.do_fork_op := '1'; end if; if OPEXT3 = "011" then -- SPL nstat.is_res := '0'; nstat.fork_op := c_fork_op_spl; nstat.do_fork_op := '1'; end if; end if; if OPEXT2 = "010" then if OPEXT3(2) = '1' then -- SEx/CLx nstat.is_res := '0'; nstat.fork_op := c_fork_op_mcc; nstat.do_fork_op := '1'; --!!!nstat.do_pref_dec := '1'; --??? ensure ireg_we .... end if; end if; if OPEXT2 = "011" then -- SWAP nstat.is_res := '0'; is_dstm := '1'; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; nstat.lunit_func := c_lunit_func_swap; nstat.res_sel := c_dpath_res_lunit; end if; end if; -- OPBYTE='0' and OPEXT1="000" if OPEXT1(2)='0' and -- BR class instructions ((OPBYTE='0' and OPEXT2(2)='1') or -- BR (OPBYTE='0' and (OPEXT1(0)='1' or OPEXT1(1)='1')) or -- BNE,..,BLE OPBYTE='1') then -- BPL,..,BCS nstat.is_res := '0'; nstat.fork_op := c_fork_op_br; nstat.do_fork_op := '1'; end if; if OPBYTE='0' and OPEXT1="100" then -- JSR nstat.is_res := '0'; nstat.fork_opa := c_fork_opa_jsr; nstat.do_fork_dsta := '1'; end if; if OPBYTE='1' and OPEXT1="100" then -- EMT, TRAP nstat.is_res := '0'; if OPEXT2(2) = '0' then -- EMT (trap tp 30) nstat.trap_vec := "110"; else -- TRAP (trap to 34) nstat.trap_vec := "111"; end if; nstat.fork_op := c_fork_op_trap; nstat.do_fork_op := '1'; end if; if OPEXT1 = "101" then -- CLR(B),...,TST(B) nstat.is_res := '0'; nstat.res_sel := c_dpath_res_aunit; if OPBYTE = '1' then nstat.is_bytop := '1'; end if; nstat.aunit_cc1op := '1'; case OPEXT2 is when "000" => -- CLR: 0 + 0 + 0 (0) is_dstw := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_zero; nstat.aunit_cimod := c_aunit_mod_zero; when "001" => -- COM: 0 + ~DST + 0 (~dst) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_inv; nstat.aunit_cimod := c_aunit_mod_zero; when "010" => -- INC: 0 + DST + 1 (dst+1) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_one; when "011" => -- DEC: ~0 + DST + 0 (dst-1) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_one; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_zero; when "100" => -- NEG: 0 + ~DST + 1 (-dst) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_inv; nstat.aunit_cimod := c_aunit_mod_one; when "101" => -- ADC: 0 + DST + CI (dst+ci) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_pass; when "110" => -- SBC: ~0 + DST + ~CI (dst-ci) is_dstm := '1'; nstat.aunit_srcmod := c_aunit_mod_one; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_inv; when "111" => -- TST: 0 + DST + 0 (dst) is_dstr := '1'; nstat.aunit_srcmod := c_aunit_mod_zero; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_zero; when others => null; end case; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; end if; if OPEXT1 = "110" then if OPEXT2(2) = '0' then -- ROR(B),...,ASL(B) nstat.is_res := '0'; is_dstm := '1'; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; if OPBYTE = '1' then nstat.is_bytop := '1'; end if; nstat.res_sel := c_dpath_res_lunit; case OPEXT2(1 downto 0) is when "00" => -- ROR nstat.lunit_func := c_lunit_func_ror; when "01" => -- ROL nstat.lunit_func := c_lunit_func_rol; when "10" => -- ASR nstat.lunit_func := c_lunit_func_asr; when "11" => -- ASL nstat.lunit_func := c_lunit_func_asl; when others => null; end case; end if; if OPBYTE='0' and OPEXT2="100" then -- MARK nstat.is_res := '0'; nstat.fork_op := c_fork_op_mark; nstat.do_fork_op := '1'; end if; if OPEXT2 = "101" then -- MFP(I/D) nstat.is_res := '0'; nstat.force_srcsp := '1'; if DSTREG = c_gpr_sp then -- is dst reg == sp ? nstat.updt_dstadsrc := '1'; -- ensure DSRC update in dsta flow end if; nstat.res_sel := c_dpath_res_ounit; if nstat.is_dstmode0 = '1' then nstat.fork_opa := c_fork_opa_mfp_reg; else nstat.fork_opa := c_fork_opa_mfp_mem; end if; nstat.do_fork_dsta := '1'; end if; if OPEXT2 = "110" then -- MTP(I/D) nstat.is_res := '0'; nstat.force_srcsp := '1'; nstat.res_sel := c_dpath_res_ounit; nstat.fork_opa := c_fork_opa_mtp; nstat.fork_op := c_fork_op_mtp; nstat.do_fork_op := '1'; end if; if OPBYTE='0' and OPEXT2="111" then -- SXT nstat.is_res := '0'; is_dstw := '1'; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; nstat.lunit_func := c_lunit_func_sxt; nstat.res_sel := c_dpath_res_lunit; end if; end if; end if; -- OPPRIM="000" if OPPRIM/="000" and OPPRIM/="111" then nstat.is_res := '0'; case OPPRIM is when "001" => -- MOV is_srcr := '1'; is_dstw := '1'; nstat.op_mov := '1'; nstat.lunit_func := c_lunit_func_mov; nstat.res_sel := c_dpath_res_lunit; nstat.is_bytop := OPBYTE; when "010" => -- CMP is_srcr := '1'; is_dstr := '1'; nstat.res_sel := c_dpath_res_aunit; nstat.aunit_srcmod := c_aunit_mod_pass; nstat.aunit_dstmod := c_aunit_mod_inv; nstat.aunit_cimod := c_aunit_mod_one; nstat.is_bytop := OPBYTE; when "011" => -- BIT is_srcr := '1'; is_dstr := '1'; nstat.lunit_func := c_lunit_func_bit; nstat.res_sel := c_dpath_res_lunit; nstat.is_bytop := OPBYTE; when "100" => -- BIC is_srcr := '1'; is_dstm := '1'; nstat.lunit_func := c_lunit_func_bic; nstat.res_sel := c_dpath_res_lunit; nstat.is_bytop := OPBYTE; when "101" => -- BIS is_srcr := '1'; is_dstm := '1'; nstat.lunit_func := c_lunit_func_bis; nstat.res_sel := c_dpath_res_lunit; nstat.is_bytop := OPBYTE; when "110" => is_srcr := '1'; is_dstm := '1'; nstat.res_sel := c_dpath_res_aunit; if OPBYTE = '0' then -- ADD nstat.aunit_srcmod := c_aunit_mod_pass; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_zero; else -- SUB nstat.aunit_srcmod := c_aunit_mod_inv; nstat.aunit_dstmod := c_aunit_mod_pass; nstat.aunit_cimod := c_aunit_mod_one; end if; when others => null; end case; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_srcmode0 and is_dstmode0notpc; end if; if OPBYTE='0' and OPPRIM="111" then case OPEXT1 is when "000" => -- MUL nstat.is_res := '0'; is_dstr := '1'; nstat.munit_func := c_munit_func_mul; nstat.res_sel := c_dpath_res_munit; nstat.fork_opg := c_fork_opg_mul; nstat.do_fork_opg := '1'; when "001" => -- DIV nstat.is_res := '0'; is_dstr := '1'; nstat.munit_func := c_munit_func_div; nstat.res_sel := c_dpath_res_munit; nstat.fork_opg := c_fork_opg_div; nstat.do_fork_opg := '1'; when "010" => -- ASH nstat.is_res := '0'; is_dstr := '1'; nstat.munit_func := c_munit_func_ash; nstat.res_sel := c_dpath_res_munit; nstat.fork_opg := c_fork_opg_ash; nstat.do_fork_opg := '1'; when "011" => -- ASHC nstat.is_res := '0'; is_dstr := '1'; nstat.munit_func := c_munit_func_ashc; nstat.res_sel := c_dpath_res_munit; nstat.fork_opg := c_fork_opg_ashc; nstat.do_fork_opg := '1'; when "100" => -- XOR nstat.is_res := '0'; is_dstm := '1'; nstat.lunit_func := c_lunit_func_xor; nstat.res_sel := c_dpath_res_lunit; nstat.fork_opg := c_fork_opg_gen; nstat.do_fork_opg := '1'; nstat.do_pref_dec := is_dstmode0notpc; when "111" => -- SOB: SRC + ~0 + 0 (src-1) nstat.is_res := '0'; nstat.aunit_srcmod := c_aunit_mod_pass; nstat.aunit_dstmod := c_aunit_mod_one; nstat.aunit_cimod := c_aunit_mod_zero; nstat.res_sel := c_dpath_res_aunit; nstat.fork_op := c_fork_op_sob; nstat.do_fork_op := '1'; when others => null; end case; end if; if OPBYTE='1' and OPPRIM="111" then -- FPU nstat.is_res := '1'; -- ??? FPU not yet handled end if; case SRCMOD is when "00" => nstat.fork_srcr := c_fork_srcr_def; when "01" => nstat.fork_srcr := c_fork_srcr_inc; when "10" => nstat.fork_srcr := c_fork_srcr_dec; when "11" => nstat.fork_srcr := c_fork_srcr_ind; when others => null; end case; if is_srcr='1' and SRCMODF /="000" then nstat.do_fork_srcr := '1'; end if; case DSTMOD is when "00" => nstat.fork_dstr := c_fork_dstr_def; when "01" => nstat.fork_dstr := c_fork_dstr_inc; when "10" => nstat.fork_dstr := c_fork_dstr_dec; when "11" => nstat.fork_dstr := c_fork_dstr_ind; when others => null; end case; if (is_dstr or is_dstm)='1' and nstat.is_dstmode0='0' then nstat.do_fork_dstr := '1'; end if; if is_dstw='1' and nstat.is_dstmode0='0' then case DSTMOD is when "00" => nstat.fork_opg := c_fork_opg_wdef; when "01" => nstat.fork_opg := c_fork_opg_winc; when "10" => nstat.fork_opg := c_fork_opg_wdec; when "11" => nstat.fork_opg := c_fork_opg_wind; when others => null; end case; end if; if is_dstm='1' and nstat.is_dstmode0='0' then nstat.is_rmwop := '1'; end if; case DSTMOD is when "00" => nstat.fork_dsta := c_fork_dsta_def; when "01" => nstat.fork_dsta := c_fork_dsta_inc; when "10" => nstat.fork_dsta := c_fork_dsta_dec; when "11" => nstat.fork_dsta := c_fork_dsta_ind; when others => null; end case; if (is_dstw or is_dstm)='1' and nstat.is_dstmode0='1' then nstat.is_dstw_reg := '1'; if DSTREG = c_gpr_pc then nstat.is_dstw_pc := '1'; --??? hack rename -> is_dstw_pc end if; end if; STAT <= nstat; end process proc_idecode; end syn;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
------------------------------------------------------ -- FSM for a SDRAM controller -- -- Version 0.1 - Ready to simulate -- -- Author: Mike Field ([email protected]) -- -- Feel free to use it however you would like, but -- just drop me an email to say thanks. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity sdram_controller is generic ( HIGH_BIT: integer := 24; MHZ: integer := 96; REFRESH_CYCLES: integer := 4096; ADDRESS_BITS: integer := 12 ); PORT ( clock_100: in std_logic; clock_100_delayed_3ns: in std_logic; rst: in std_logic; -- Signals to/from the SDRAM chip DRAM_ADDR : OUT STD_LOGIC_VECTOR (ADDRESS_BITS-1 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; pending: out std_logic; --- Inputs from rest of the system address : IN STD_LOGIC_VECTOR (HIGH_BIT downto 2); req_read : IN STD_LOGIC; req_write : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR (31 downto 0); data_out_valid : OUT STD_LOGIC; data_in : IN STD_LOGIC_VECTOR (31 downto 0); data_mask : IN STD_LOGIC_VECTOR (3 downto 0) ); end entity; architecture rtl of sdram_controller is type reg is record address : std_logic_vector(ADDRESS_BITS-1 downto 0); bank : std_logic_vector( 1 downto 0); init_counter : std_logic_vector(14 downto 0); rf_counter : integer; rf_pending : std_logic; rd_pending : std_logic; wr_pending : std_logic; act_row : std_logic_vector(ADDRESS_BITS-1 downto 0); act_ba : std_logic_vector(1 downto 0); data_out_low : std_logic_vector(15 downto 0); req_addr_q : std_logic_vector(HIGH_BIT downto 2); req_data_write: std_logic_vector(31 downto 0); req_mask : std_logic_vector(3 downto 0); data_out_valid: std_logic; dq_masks : std_logic_vector(1 downto 0); tristate : std_logic; end record; signal r : reg; signal n : reg; signal rstate : std_logic_vector(8 downto 0); signal nstate : std_logic_vector(8 downto 0); signal rdata_write : std_logic_vector(15 downto 0); signal ndata_write : std_logic_vector(15 downto 0); -- Vectors for each SDRAM 'command' --- CS_N, RAS_N, CAS_N, WE_N constant cmd_nop : std_logic_vector(3 downto 0) := "0111"; constant cmd_read : std_logic_vector(3 downto 0) := "0101"; -- Must be sure A10 is low. constant cmd_write : std_logic_vector(3 downto 0) := "0100"; constant cmd_act : std_logic_vector(3 downto 0) := "0011"; constant cmd_pre : std_logic_vector(3 downto 0) := "0010"; -- Must set A10 to '1'. constant cmd_ref : std_logic_vector(3 downto 0) := "0001"; constant cmd_mrs : std_logic_vector(3 downto 0) := "0000"; -- Mode register set -- State assignments constant s_init_nop_id: std_logic_vector(4 downto 0) := "00000"; constant s_init_nop : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_nop; constant s_init_pre : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_pre; constant s_init_ref : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_ref; constant s_init_mrs : std_logic_vector(8 downto 0) := s_init_nop_id & cmd_mrs; constant s_idle_id: std_logic_vector(4 downto 0) := "00001"; constant s_idle : std_logic_vector(8 downto 0) := s_idle_id & cmd_nop; constant s_rf0_id: std_logic_vector(4 downto 0) := "00010"; constant s_rf0 : std_logic_vector(8 downto 0) := s_rf0_id & cmd_ref; constant s_rf1_id: std_logic_vector(4 downto 0) := "00011"; constant s_rf1 : std_logic_vector(8 downto 0) := "00011" & cmd_nop; constant s_rf2_id: std_logic_vector(4 downto 0) := "00100"; constant s_rf2 : std_logic_vector(8 downto 0) := "00100" & cmd_nop; constant s_rf3_id: std_logic_vector(4 downto 0) := "00101"; constant s_rf3 : std_logic_vector(8 downto 0) := "00101" & cmd_nop; constant s_rf4_id: std_logic_vector(4 downto 0) := "00110"; constant s_rf4 : std_logic_vector(8 downto 0) := "00110" & cmd_nop; constant s_rf5_id: std_logic_vector(4 downto 0) := "00111"; constant s_rf5 : std_logic_vector(8 downto 0) := "00111" & cmd_nop; constant s_ra0_id: std_logic_vector(4 downto 0) := "01000"; constant s_ra0 : std_logic_vector(8 downto 0) := "01000" & cmd_act; constant s_ra1_id: std_logic_vector(4 downto 0) := "01001"; constant s_ra1 : std_logic_vector(8 downto 0) := "01001" & cmd_nop; constant s_ra2_id: std_logic_vector(4 downto 0) := "01010"; constant s_ra2 : std_logic_vector(8 downto 0) := "01010" & cmd_nop; constant s_dr0_id: std_logic_vector(4 downto 0) := "01011"; constant s_dr0 : std_logic_vector(8 downto 0) := "01011" & cmd_pre; constant s_dr1_id: std_logic_vector(4 downto 0) := "01100"; constant s_dr1 : std_logic_vector(8 downto 0) := "01100" & cmd_nop; constant s_wr0_id: std_logic_vector(4 downto 0) := "01101"; constant s_wr0 : std_logic_vector(8 downto 0) := "01101" & cmd_write; constant s_wr1_id: std_logic_vector(4 downto 0) := "01110"; constant s_wr1 : std_logic_vector(8 downto 0) := "01110" & cmd_nop; constant s_wr2_id: std_logic_vector(4 downto 0) := "01111"; constant s_wr2 : std_logic_vector(8 downto 0) := "01111" & cmd_nop; constant s_wr3_id: std_logic_vector(4 downto 0) := "10000"; constant s_wr3 : std_logic_vector(8 downto 0) := "10000" & cmd_write; constant s_rd0_id: std_logic_vector(4 downto 0) := "10001"; constant s_rd0 : std_logic_vector(8 downto 0) := "10001" & cmd_read; constant s_rd1_id: std_logic_vector(4 downto 0) := "10010"; constant s_rd1 : std_logic_vector(8 downto 0) := "10010" & cmd_read; constant s_rd2_id: std_logic_vector(4 downto 0) := "10011"; constant s_rd2 : std_logic_vector(8 downto 0) := "10011" & cmd_nop; constant s_rd3_id: std_logic_vector(4 downto 0) := "10100"; constant s_rd3 : std_logic_vector(8 downto 0) := "10100" & cmd_read; constant s_rd4_id: std_logic_vector(4 downto 0) := "10101"; constant s_rd4 : std_logic_vector(8 downto 0) := "10101" & cmd_read; constant s_rd5_id: std_logic_vector(4 downto 0) := "10110"; constant s_rd5 : std_logic_vector(8 downto 0) := "10110" & cmd_read; constant s_rd6_id: std_logic_vector(4 downto 0) := "10111"; constant s_rd6 : std_logic_vector(8 downto 0) := "10111" & cmd_nop; constant s_rd7_id: std_logic_vector(4 downto 0) := "11000"; constant s_rd7 : std_logic_vector(8 downto 0) := "11000" & cmd_nop; constant s_rd8_id: std_logic_vector(4 downto 0) := "11001"; constant s_rd8 : std_logic_vector(8 downto 0) := "11001" & cmd_nop; constant s_rd9_id: std_logic_vector(4 downto 0) := "11011"; constant s_rd9 : std_logic_vector(8 downto 0) := "11011" & cmd_nop; constant s_drdr0_id: std_logic_vector(4 downto 0) := "11101"; constant s_drdr0 : std_logic_vector(8 downto 0) := "11101" & cmd_pre; constant s_drdr1_id: std_logic_vector(4 downto 0) := "11110"; constant s_drdr1 : std_logic_vector(8 downto 0) := "11110" & cmd_nop; constant s_drdr2_id: std_logic_vector(4 downto 0) := "11111"; constant s_drdr2 : std_logic_vector(8 downto 0) := "11111" & cmd_nop; signal addr_row : std_logic_vector(ADDRESS_BITS-1 downto 0); signal addr_bank: std_logic_vector(1 downto 0); constant COLUMN_HIGH: integer := HIGH_BIT - addr_row'LENGTH - addr_bank'LENGTH - 1; -- last 1 means 16 bit width signal addr_col : std_logic_vector(7 downto 0); signal captured : std_logic_vector(15 downto 0); signal busy: std_logic; constant tOPD: time := 2.1 ns; constant tHZ: time := 8 ns; signal dram_dq_dly : std_logic_vector(15 downto 0); -- Debug only signal debug_cmd: std_logic_vector(3 downto 0); signal not_clock_100_delayed_3ns: std_logic; constant RELOAD: integer := (((64000000/REFRESH_CYCLES)*MHZ)/1000) - 10; attribute IOB: string; signal i_DRAM_CS_N: std_logic; attribute IOB of i_DRAM_CS_N: signal is "true"; signal i_DRAM_RAS_N: std_logic; attribute IOB of i_DRAM_RAS_N: signal is "true"; signal i_DRAM_CAS_N: std_logic; attribute IOB of i_DRAM_CAS_N: signal is "true"; signal i_DRAM_WE_N: std_logic; attribute IOB of i_DRAM_WE_N: signal is "true"; signal i_DRAM_ADDR: std_logic_vector(ADDRESS_BITS-1 downto 0); attribute IOB of i_DRAM_ADDR: signal is "true"; signal i_DRAM_BA: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_BA: signal is "true"; signal i_DRAM_DQM: std_logic_vector(1 downto 0); attribute IOB of i_DRAM_DQM: signal is "true"; attribute IOB of rdata_write: signal is "true"; attribute IOB of captured: signal is "true"; signal i_DRAM_CLK: std_logic; attribute fsm_encoding: string; attribute fsm_encoding of nstate: signal is "user"; attribute fsm_encoding of rstate: signal is "user"; begin debug_cmd <= rstate(3 downto 0); -- Addressing is in 32 bit words - twice that of the DRAM width, -- so each burst of four access two system words. --addr_row <= address(23 downto 11); --addr_bank <= address(10 downto 9); process(r.req_addr_q) begin addr_bank <= r.req_addr_q(HIGH_BIT downto (HIGH_BIT-addr_bank'LENGTH)+1); -- (24-2) downto (24-2 - 2 - 13 - 1) -- 22 downto 6 addr_row <= --r.req_addr_q(HIGH_BIT-addr_bank'LENGTH downto COLUMN_HIGH+2); r.req_addr_q(ADDRESS_BITS-1+9 downto 9); addr_col <= (others => '0'); addr_col <= --r.req_addr_q(COLUMN_HIGH+1 downto 2) & "0"; r.req_addr_q(8 downto 2) & "0"; end process; not_clock_100_delayed_3ns <= not clock_100_delayed_3ns; clock: ODDR2 generic map ( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "ASYNC") port map ( D0 => '1', D1 => '0', Q => i_DRAM_CLK, C0 => clock_100_delayed_3ns, C1 => not_clock_100_delayed_3ns, CE => '1', R => '0', S => '0' ); DRAM_CKE <= '1'; DRAM_CLK <= transport i_DRAM_CLK after tOPD; i_DRAM_CS_N <= transport rstate(3) after tOPD; DRAM_CS_N <= i_DRAM_CS_N; i_DRAM_RAS_N <= transport rstate(2) after tOPD; DRAM_RAS_N <= i_DRAM_RAS_N; i_DRAM_CAS_N <= transport rstate(1) after tOPD; DRAM_CAS_N <= i_DRAM_CAS_N; i_DRAM_WE_N <= transport rstate(0) after tOPD; DRAM_WE_N <= i_DRAM_WE_N; i_DRAM_ADDR <= transport r.address after tOPD; DRAM_ADDR <= i_DRAM_ADDR; i_DRAM_BA <= transport r.bank after tOPD; DRAM_BA <= i_DRAM_BA; i_DRAM_DQM <= transport r.dq_masks after tOPD; DRAM_DQM <= i_DRAM_DQM; DATA_OUT <= r.data_out_low & captured;--r.data_out_low & captured; data_out_valid <= r.data_out_valid; DRAM_DQ <= (others => 'Z') after tHZ when r.tristate='1' else rdata_write; pending <= '1' when r.wr_pending='1' or r.rd_pending='1' else '0'; process (r, rstate, address, req_read, rdata_write, req_write, addr_row, addr_bank, addr_col, data_in, captured) begin -- copy the existing values n <= r; nstate <= rstate; ndata_write <= rdata_write; if req_read = '1' then n.rd_pending <= '1'; if r.rd_pending='0' then n.req_addr_q <= address; end if; end if; if req_write = '1' then n.wr_pending <= '1'; if r.wr_pending='0' then n.req_addr_q <= address; -- Queue data here n.req_data_write <= data_in; n.req_mask <= data_mask; end if; end if; n.dq_masks <= "11"; -- first off, do we need to perform a refresh cycle ASAP? if r.rf_counter = RELOAD then -- 781 = 64,000,000ns / 8192 / 10ns n.rf_counter <= 0; n.rf_pending <= '1'; else -- only start looking for refreshes outside of the initialisation state. if not(rstate(8 downto 4) = s_init_nop(8 downto 4)) then n.rf_counter <= r.rf_counter + 1; end if; end if; -- Set the data bus into HIZ, high and low bytes masked --DRAM_DQ <= (others => 'Z'); n.tristate <= '0'; n.init_counter <= r.init_counter-1; --ndata_write <= (others => DontCareValue); n.data_out_valid <= '0'; -- alvie- here, no ? -- Process the FSM case rstate(8 downto 4) is when s_init_nop_id => --s_init_nop(8 downto 4) => nstate <= s_init_nop; n.address <= (others => '0'); n.bank <= (others => '0'); n.act_ba <= (others => '0'); n.rf_counter <= 0; -- n.data_out_valid <= '1'; -- alvie- not here -- T-130, precharge all banks. if r.init_counter = "000000010000010" then nstate <= s_init_pre; n.address(10) <= '1'; end if; -- T-127, T-111, T-95, T-79, T-63, T-47, T-31, T-15, the 8 refreshes if r.init_counter(14 downto 7) = 0 and r.init_counter(3 downto 0) = 15 then nstate <= s_init_ref; end if; -- T-3, the load mode register if r.init_counter = 3 then nstate <= s_init_mrs; -- Mode register is as follows: -- resvd wr_b OpMd CAS=3 Seq bust=1 n.address <= "00" & "0" & "00" & "011" & "0" & "000"; -- resvd n.bank <= "00"; end if; -- T-1 The switch to the FSM (first command will be a NOP if r.init_counter = 1 then nstate <= s_idle; end if; ------------------------------ -- The Idle section ------------------------------ when s_idle_id => nstate <= s_idle; -- do we have to activate a row? if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; -- refreshes take priority over everything if r.rf_pending = '1' then nstate <= s_rf0; n.rf_pending <= '0'; end if; ------------------------------ -- Row activation -- s_ra2 is also the "idle with active row" state and provides -- a resting point between operations on the same row ------------------------------ when s_ra0_id => nstate <= s_ra1; when s_ra1_id => nstate <= s_ra2; when s_ra2_id=> -- we can stay in this state until we have something to do nstate <= s_ra2; n.tristate<='0'; if r.rf_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; else -- If there is a read pending, deactivate the row if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_dr0; n.address(10) <= '1'; end if; -- unless we have a read to perform on the same row? do that instead if r.rd_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_rd0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks <= "00"; n.rd_pending <= '0'; --n.tristate<='1'; end if; -- unless we have a write on the same row? writes take priroty over reads if r.wr_pending = '1' and r.act_row = addr_row and addr_bank=r.bank then nstate <= s_wr0; n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; ndata_write <= r.req_data_write(31 downto 16); n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= not r.req_mask(3 downto 2); n.wr_pending <= '0'; --n.tristate <= '0'; end if; end if; -- nstate <= s_dr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- n.wr_pending <= r.wr_pending; --n.tristate <= '0'; --end if; ------------------------------------------------------ -- Deactivate the current row and return to idle state ------------------------------------------------------ when s_dr0_id => nstate <= s_dr1; when s_dr1_id => nstate <= s_idle; ------------------------------ -- The Refresh section ------------------------------ when s_rf0_id => nstate <= s_rf1; when s_rf1_id => nstate <= s_rf2; when s_rf2_id => nstate <= s_rf3; when s_rf3_id => nstate <= s_rf4; when s_rf4_id => nstate <= s_rf5; when s_rf5_id => nstate <= s_idle; ------------------------------ -- The Write section ------------------------------ when s_wr0_id => nstate <= s_wr3; n.bank <= addr_bank; n.address(0) <= '1'; ndata_write <= r.req_data_write(15 downto 0);--data_in(31 downto 16); --DRAM_DQ <= rdata_write; n.dq_masks<= not r.req_mask(1 downto 0); n.tristate <= '0'; when s_wr1_id => null; when s_wr2_id => nstate <= s_dr0; n.address(10) <= '1'; when s_wr3_id => -- Default to the idle+row active state nstate <= s_ra2; --DRAM_DQ <= rdata_write; n.data_out_valid<='1'; -- alvie- ack write n.tristate <= '0'; n.dq_masks<= "11"; -- If there is a read or write then deactivate the row --if r.rd_pending = '1' or r.wr_pending = '1' then -- nstate <= s_dr0; -- n.address(10) <= '1'; --end if; -- But if there is a read pending in the same row, do that --if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_rd0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; -- --n.act_ba <= addr_bank; -- n.dq_masks <= "00"; -- n.rd_pending <= '0'; --end if; -- unless there is a write pending in the same row, do that --if r.wr_pending = '1' and r.act_row = addr_row and r.act_ba = addr_bank then -- nstate <= s_wr0; -- n.address <= (others => '0'); -- n.address(addr_col'HIGH downto 0) <= addr_col; -- n.bank <= addr_bank; --n.act_ba <= addr_bank; -- n.dq_masks<= "00"; -- n.wr_pending <= '0'; --end if; -- But always try and refresh if one is pending! if r.rf_pending = '1' then nstate <= s_wr2; --dr0; --n.address(10) <= '1'; end if; ------------------------------ -- The Read section ------------------------------ when s_rd0_id => -- 10001 nstate <= s_rd1; n.tristate<='1'; n.dq_masks <= "00"; n.address(0)<='1'; when s_rd1_id => -- 10010 nstate <= s_rd2; n.dq_masks <= "00"; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd3; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; end if; when s_rd2_id => -- 10011 nstate <= s_rd7; n.dq_masks <= "00"; n.tristate<='1'; when s_rd3_id => -- 10100 nstate <= s_rd4; n.dq_masks <= "00"; n.address(0) <= '1'; n.tristate<='1'; -- Data is still not ready... when s_rd4_id => -- 10101 nstate <= s_rd5; n.dq_masks <= "00"; --n.address(0)<='1'; n.tristate<='1'; if r.rd_pending = '1' and r.act_row = addr_row and r.act_ba=addr_bank then nstate <= s_rd5; -- Another request came, and we can pipeline - n.address <= (others => '0'); n.address(addr_col'HIGH downto 0) <= addr_col; n.bank <= addr_bank; n.act_ba <= addr_bank; n.dq_masks<= "00"; n.rd_pending <= '0'; else nstate <= s_rd6; -- NOTE: not correct end if; --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; -- n.rd_pending <= r.rd_pending; -- Keep request --end if; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_rd5_id => -- If a refresh is pending then always deactivate the row --if r.rf_pending = '1' then -- nstate <= s_drdr0; -- n.address(10) <= '1'; --end if; n.address(0) <= '1'; nstate <= s_rd4; -- Another request came, and we can pipeline - n.dq_masks <= "00"; n.tristate<='1'; when s_rd6_id => nstate <= s_rd7; n.dq_masks<= "00"; n.tristate<='1'; when s_rd7_id => nstate <= s_ra2; n.data_out_low <= captured; n.data_out_valid <= '1'; n.tristate<='1'; when s_rd8_id => null; when s_rd9_id => null; -- The Deactivate row during read section ------------------------------ when s_drdr0_id => nstate <= s_drdr1; when s_drdr1_id => nstate <= s_drdr2; n.data_out_low <= captured; n.data_out_valid <= '1'; when s_drdr2_id => nstate <= s_idle; if r.rf_pending = '1' then nstate <= s_rf0; end if; if r.rd_pending = '1' or r.wr_pending = '1' then nstate <= s_ra0; n.address <= addr_row; n.act_row <= addr_row; n.bank <= addr_bank; end if; when others => nstate <= s_init_nop; end case; end process; --- The clock driven logic process (clock_100, n) begin if clock_100'event and clock_100 = '1' then if rst='1' then rstate <= (others => '0'); r.address <= (others => '0'); r.bank <= (others => '0'); r.init_counter <= "100000000000000"; -- synopsys translate_off r.init_counter <= "000000100000000"; -- synopsys translate_on r.rf_counter <= 0; r.rf_pending <= '0'; r.rd_pending <= '0'; r.wr_pending <= '0'; r.act_row <= (others => '0'); r.data_out_low <= (others => '0'); r.data_out_valid <= '0'; r.dq_masks <= "11"; r.tristate<='1'; else r <= n; rstate <= nstate; rdata_write <= ndata_write; end if; end if; end process; dram_dq_dly <= transport dram_dq after 1.9 ns; -- process (clock_100_delayed_3ns, dram_dq_dly) -- begin -- if clock_100_delayed_3ns'event and clock_100_delayed_3ns = '1' then -- captured <= dram_dq_dly; -- end if; -- end process; process (clock_100) begin if falling_edge(clock_100) then captured <= dram_dq_dly; end if; end process; end rtl;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: user.org:user:router:1.0 -- IP Revision: 7 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY sys_router_20_1 IS PORT ( CLOCK : IN STD_LOGIC; RESET : IN STD_LOGIC; L_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); L_VIN : IN STD_LOGIC; L_RIN : OUT STD_LOGIC; L_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); L_VOUT : OUT STD_LOGIC; L_ROUT : IN STD_LOGIC; S_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_VIN : IN STD_LOGIC; S_RIN : OUT STD_LOGIC; S_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); S_VOUT : OUT STD_LOGIC; S_ROUT : IN STD_LOGIC; W_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_VIN : IN STD_LOGIC; W_RIN : OUT STD_LOGIC; W_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); W_VOUT : OUT STD_LOGIC; W_ROUT : IN STD_LOGIC ); END sys_router_20_1; ARCHITECTURE sys_router_20_1_arch OF sys_router_20_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_router_20_1_arch: ARCHITECTURE IS "yes"; COMPONENT router_struct IS GENERIC ( ADDR_X : INTEGER; ADDR_Y : INTEGER; N_INST : BOOLEAN; S_INST : BOOLEAN; E_INST : BOOLEAN; W_INST : BOOLEAN ); PORT ( CLOCK : IN STD_LOGIC; RESET : IN STD_LOGIC; L_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); L_VIN : IN STD_LOGIC; L_RIN : OUT STD_LOGIC; L_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); L_VOUT : OUT STD_LOGIC; L_ROUT : IN STD_LOGIC; N_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); N_VIN : IN STD_LOGIC; N_RIN : OUT STD_LOGIC; N_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); N_VOUT : OUT STD_LOGIC; N_ROUT : IN STD_LOGIC; S_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_VIN : IN STD_LOGIC; S_RIN : OUT STD_LOGIC; S_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); S_VOUT : OUT STD_LOGIC; S_ROUT : IN STD_LOGIC; E_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); E_VIN : IN STD_LOGIC; E_RIN : OUT STD_LOGIC; E_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); E_VOUT : OUT STD_LOGIC; E_ROUT : IN STD_LOGIC; W_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_VIN : IN STD_LOGIC; W_RIN : OUT STD_LOGIC; W_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); W_VOUT : OUT STD_LOGIC; W_ROUT : IN STD_LOGIC ); END COMPONENT router_struct; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLOCK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLOCK CLK"; ATTRIBUTE X_INTERFACE_INFO OF RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 RESET RST"; ATTRIBUTE X_INTERFACE_INFO OF L_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TDATA"; ATTRIBUTE X_INTERFACE_INFO OF L_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TVALID"; ATTRIBUTE X_INTERFACE_INFO OF L_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TREADY"; ATTRIBUTE X_INTERFACE_INFO OF L_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TDATA"; ATTRIBUTE X_INTERFACE_INFO OF L_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF L_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 S_IN TDATA"; ATTRIBUTE X_INTERFACE_INFO OF S_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 S_IN TVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 S_IN TREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 S_OUT TDATA"; ATTRIBUTE X_INTERFACE_INFO OF S_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 S_OUT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 S_OUT TREADY"; ATTRIBUTE X_INTERFACE_INFO OF W_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TDATA"; ATTRIBUTE X_INTERFACE_INFO OF W_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TVALID"; ATTRIBUTE X_INTERFACE_INFO OF W_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TREADY"; ATTRIBUTE X_INTERFACE_INFO OF W_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TDATA"; ATTRIBUTE X_INTERFACE_INFO OF W_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF W_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TREADY"; BEGIN U0 : router_struct GENERIC MAP ( ADDR_X => 2, ADDR_Y => 2, N_INST => false, S_INST => true, E_INST => false, W_INST => true ) PORT MAP ( CLOCK => CLOCK, RESET => RESET, L_DIN => L_DIN, L_VIN => L_VIN, L_RIN => L_RIN, L_DOUT => L_DOUT, L_VOUT => L_VOUT, L_ROUT => L_ROUT, N_DIN => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), N_VIN => '0', N_ROUT => '0', S_DIN => S_DIN, S_VIN => S_VIN, S_RIN => S_RIN, S_DOUT => S_DOUT, S_VOUT => S_VOUT, S_ROUT => S_ROUT, E_DIN => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), E_VIN => '0', E_ROUT => '0', W_DIN => W_DIN, W_VIN => W_VIN, W_RIN => W_RIN, W_DOUT => W_DOUT, W_VOUT => W_VOUT, W_ROUT => W_ROUT ); END sys_router_20_1_arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --library proc_common_v1_00_b; --use proc_common_v1_00_b.proc_common_pkg.all; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; library osif_core_v2_03_a; use osif_core_v2_03_a.all; entity mmu is generic ( --C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_AWIDTH : integer := 32; C_DWIDTH : integer := 32; C_DCR_AWIDTH : integer := 10; C_DCR_DWIDTH : integer := 32; C_MMU_STAT_REGS : boolean := false; C_TLB_TAG_WIDTH : integer := 20; C_TLB_DATA_WIDTH : integer := 21 ); port ( clk : in std_logic; rst : in std_logic; -- incoming memory interface i_swrq : in std_logic; i_srrq : in std_logic; i_bwrq : in std_logic; i_brrq : in std_logic; i_addr : in std_logic_vector(C_AWIDTH - 1 downto 0); i_laddr : in std_logic_vector(C_AWIDTH - 1 downto 0); o_data : out std_logic_vector(C_AWIDTH - 1 downto 0); o_busy : out std_logic; o_rdone : out std_logic; o_wdone : out std_logic; -- outgoing memory interface o_swrq : out std_logic; o_srrq : out std_logic; o_bwrq : out std_logic; o_brrq : out std_logic; o_addr : out std_logic_vector(C_AWIDTH - 1 downto 0); o_laddr : out std_logic_vector(C_AWIDTH - 1 downto 0); i_data : in std_logic_vector(C_AWIDTH - 1 downto 0); i_busy : in std_logic; i_rdone : in std_logic; i_wdone : in std_logic; -- configuration interface i_cfg : in std_logic_vector(C_AWIDTH - 1 downto 0); i_repeat : in std_logic; i_setpgd : in std_logic; -- status registers o_state_fault : out std_logic; o_state_access_violation : out std_logic; -- tlb interface i_tlb_match : in std_logic; i_tlb_busy : in std_logic; --i_tlb_wdone : in std_logic; o_tlb_we : out std_logic; o_tlb_request : out std_logic; i_tlb_data : in std_logic_vector(C_TLB_DATA_WIDTH - 1 downto 0); o_tlb_data : out std_logic_vector(C_TLB_DATA_WIDTH - 1 downto 0); o_tlb_tag : out std_logic_vector(C_TLB_TAG_WIDTH - 1 downto 0); -- diagnosis registers o_tlb_miss_count : out std_logic_vector(C_DCR_DWIDTH - 1 downto 0); o_tlb_hit_count : out std_logic_vector(C_DCR_DWIDTH - 1 downto 0); o_page_fault_count : out std_logic_vector(C_DCR_DWIDTH - 1 downto 0) ); end entity; architecture imp of mmu is type state_t is ( STATE_FETCH_REQUEST, STATE_TLB_LOOKUP_1, STATE_TLB_LOOKUP_2, STATE_READ_PGDE, STATE_SAVE_PGDE, STATE_READ_PTE, STATE_SAVE_PTE, STATE_TLB_STORE_1, STATE_TLB_STORE_2, STATE_WAIT_FOR_BUSY, STATE_DONE, STATE_FAULT, STATE_ACCESS_VIOLATION ); signal rq : std_logic; signal busy : std_logic; signal active : std_logic; signal pgd : std_logic_vector(C_AWIDTH - 1 downto 0); signal srrq : std_logic; signal data : std_logic_vector(C_AWIDTH - 1 downto 0); signal request : std_logic_vector(3 downto 0); signal step : state_t; signal tlb_miss_count : std_logic_vector(C_DCR_DWIDTH - 1 downto 0); signal tlb_hit_count : std_logic_vector(C_DCR_DWIDTH - 1 downto 0); signal page_fault_count : std_logic_vector(C_DCR_DWIDTH - 1 downto 0); begin enable_mmu_stat_regs : if C_MMU_STAT_REGS generate o_tlb_miss_count <= tlb_miss_count; o_tlb_hit_count <= tlb_hit_count; o_page_fault_count <= page_fault_count; end generate; disable_mmu_stat_regs : if not C_MMU_STAT_REGS generate o_tlb_miss_count <= (others => '0'); o_tlb_hit_count <= (others => '0'); o_page_fault_count <= (others => '0'); end generate; rq <= i_swrq or i_srrq or i_bwrq or i_brrq; memory_interface_mux : process(active, busy, srrq, i_laddr, i_busy, i_rdone, i_wdone, request, data, i_data) begin if active = '1' then o_laddr <= (others => '0');--C_BASEADDR; o_data <= data; o_busy <= busy; o_rdone <= '0'; o_wdone <= '0'; o_srrq <= srrq; o_swrq <= '0'; o_brrq <= '0'; o_bwrq <= '0'; else o_laddr <= i_laddr; o_data <= i_data; o_busy <= i_busy or busy; o_rdone <= i_rdone; o_wdone <= i_wdone; o_srrq <= request(3); o_swrq <= request(2); o_brrq <= request(1); o_bwrq <= request(0); end if; end process; mmu_configuration : process(clk, rst, i_setpgd) begin if rst = '1' then pgd <= (others => '0'); elsif rising_edge(clk) then if i_setpgd = '1' then pgd <= i_cfg; end if; end if; end process; handle_rq : process(clk, rst, rq) variable vaddr : std_logic_vector(31 downto 0); variable pte : std_logic_vector(31 downto 0); variable writable : std_logic; variable waiting : std_logic; begin if rst = '1' then step <= STATE_FETCH_REQUEST; active <= '1'; waiting := '0'; busy <= '0'; srrq <= '0'; request <= (others => '0'); o_state_fault <= '0'; o_state_access_violation <= '0'; data <= X"DADADADA"; tlb_miss_count <= (others => '0'); tlb_hit_count <= (others => '0'); page_fault_count <= (others => '0'); o_tlb_we <= '0'; o_tlb_request <= '0'; elsif rising_edge(clk) then if rq = '1' or waiting = '1' then case step is when STATE_FETCH_REQUEST => -- 0 request <= i_srrq & i_swrq & i_brrq & i_bwrq; vaddr := i_addr; -- save virtual address busy <= '1'; waiting := '1'; o_tlb_request <= '1'; step <= STATE_TLB_LOOKUP_1; when STATE_TLB_LOOKUP_1 => o_tlb_tag <= vaddr(31 downto 12); if i_tlb_busy = '0' then step <= STATE_TLB_LOOKUP_2; end if; when STATE_TLB_LOOKUP_2 => o_tlb_request <= '0'; if i_tlb_match = '1' then o_addr <= i_tlb_data(20 downto 1) & vaddr(11 downto 0); if C_MMU_STAT_REGS then tlb_hit_count <= tlb_hit_count + 1; end if; if i_tlb_data(0) = '0' and (request(0) = '1' or request(2) = '1') then step <= STATE_ACCESS_VIOLATION; else active <= '0'; -- release memory interface step <= STATE_WAIT_FOR_BUSY; end if; else if C_MMU_STAT_REGS then tlb_miss_count <= tlb_miss_count + 1; end if; step <= STATE_READ_PGDE; end if; when STATE_READ_PGDE => -- read pgd entry srrq <= '1'; o_addr <= pgd(31 downto 12) & vaddr(31 downto 22) & b"00"; step <= STATE_SAVE_PGDE; when STATE_SAVE_PGDE => --1 -- save pgd entry srrq <= '0'; if i_rdone = '1' then --pgde := i_data; if i_data(10) = '0' then --page_fault_count <= page_fault_count + 1; step <= STATE_FAULT; else o_addr <= i_data(31 downto 12) & vaddr(21 downto 12) & b"00"; step <= STATE_READ_PTE; end if; end if; when STATE_READ_PTE => -- 2 -- read pte srrq <= '1'; step <= STATE_SAVE_PTE; when STATE_SAVE_PTE => -- 3 -- save pte srrq <= '0'; if i_rdone = '1' then pte := i_data; writable := pte(8); o_addr <= pte(31 downto 12) & vaddr(11 downto 0); if pte(1) = '0' then -- page not present step <= STATE_FAULT; elsif writable = '0' and (request(0) = '1' or request(2) = '1') then step <= STATE_ACCESS_VIOLATION; else o_tlb_request <= '1'; step <= STATE_TLB_STORE_1; end if; end if; when STATE_TLB_STORE_1 => if i_tlb_busy = '0' then o_tlb_we <= '1'; o_tlb_tag <= vaddr(31 downto 12); o_tlb_data <= pte(31 downto 12) & writable; step <= STATE_TLB_STORE_2; end if; when STATE_TLB_STORE_2 => o_tlb_we <= '0'; o_tlb_request <= '0'; if writable = '0' and (request(0) = '1' or request(2) = '1') then step <= STATE_ACCESS_VIOLATION; else active <= '0'; step <= STATE_WAIT_FOR_BUSY; end if; when STATE_WAIT_FOR_BUSY => -- 4 request <= (others => '0'); if i_busy = '1' then busy <= '0'; -- at this point o_busy is generated by the memory controller step <= STATE_DONE; end if; when STATE_DONE => -- 5 if i_busy = '0' and (i_rdone = '1' or i_wdone = '1') then -- i_done stays '1' for exactly one clock cycle after request finishes data <= i_data; active <= '1'; -- claim memory interface waiting := '0'; step <= STATE_FETCH_REQUEST; end if; when STATE_FAULT => -- 6 if i_repeat = '1' then o_state_fault <= '0'; if C_MMU_STAT_REGS then page_fault_count <= page_fault_count + 1; end if; step <= STATE_READ_PGDE; else o_state_fault <= '1'; data <= vaddr; end if; -- when a writable page is first mapped into ram its PTE may be marked read-only in -- order to create a page fault at the first write access (the page can then be marked dirty. -- by the OS). If that is the case, we repeat the address lookup. when STATE_ACCESS_VIOLATION => if i_repeat = '1' then o_state_access_violation <= '0'; if C_MMU_STAT_REGS then page_fault_count <= page_fault_count + 1; end if; step <= STATE_READ_PGDE; else o_state_access_violation <= '1'; data <= vaddr; end if; end case; end if; end if; end process; end architecture;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY design_1_lmb_bram_0 IS PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_lmb_bram_0; ARCHITECTURE design_1_lmb_bram_0_arch OF design_1_lmb_bram_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_lmb_bram_0_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_USE_URAM : INTEGER; C_EN_RDADDRA_CHG : INTEGER; C_EN_RDADDRB_CHG : INTEGER; C_EN_DEEPSLEEP_PIN : INTEGER; C_EN_SHUTDOWN_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); sleep : IN STD_LOGIC; deepsleep : IN STD_LOGIC; shutdown : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_lmb_bram_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2015.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_lmb_bram_0_arch : ARCHITECTURE IS "design_1_lmb_bram_0,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_lmb_bram_0_arch: ARCHITECTURE IS "design_1_lmb_bram_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2015.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=1,C_ENABLE_32BIT_ADDRESS=1,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=2,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=design_1_lmb_bram_0.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=4,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=8192,C_READ_DEPTH_A=8192,C_ADDRA_WIDTH=32,C_HAS_RSTB=1,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=4,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=32,C_READ_WIDTH_B=32,C_WRITE_DEPTH_B=8192,C_READ_DEPTH_B=8192,C_ADDRB_WIDTH=32,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=8,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 20.388 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF rstb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB RST"; ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF web: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB WE"; ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dinb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN"; ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "artix7", C_XDEVICEFAMILY => "artix7", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 1, C_ENABLE_32BIT_ADDRESS => 1, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 2, C_BYTE_SIZE => 8, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 0, C_INIT_FILE_NAME => "no_coe_file_loaded", C_INIT_FILE => "design_1_lmb_bram_0.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 1, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 1, C_WEA_WIDTH => 4, C_WRITE_MODE_A => "WRITE_FIRST", C_WRITE_WIDTH_A => 32, C_READ_WIDTH_A => 32, C_WRITE_DEPTH_A => 8192, C_READ_DEPTH_A => 8192, C_ADDRA_WIDTH => 32, C_HAS_RSTB => 1, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 1, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 1, C_WEB_WIDTH => 4, C_WRITE_MODE_B => "WRITE_FIRST", C_WRITE_WIDTH_B => 32, C_READ_WIDTH_B => 32, C_WRITE_DEPTH_B => 8192, C_READ_DEPTH_B => 8192, C_ADDRB_WIDTH => 32, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 0, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_USE_URAM => 0, C_EN_RDADDRA_CHG => 0, C_EN_RDADDRB_CHG => 0, C_EN_DEEPSLEEP_PIN => 0, C_EN_SHUTDOWN_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "8", C_COUNT_18K_BRAM => "0", C_EST_POWER_SUMMARY => "Estimated Power for IP : 20.388 mW" ) PORT MAP ( clka => clka, rsta => rsta, ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, douta => douta, clkb => clkb, rstb => rstb, enb => enb, regceb => '0', web => web, addrb => addrb, dinb => dinb, doutb => doutb, injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', deepsleep => '0', shutdown => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END design_1_lmb_bram_0_arch;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY design_1_lmb_bram_0 IS PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_lmb_bram_0; ARCHITECTURE design_1_lmb_bram_0_arch OF design_1_lmb_bram_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_lmb_bram_0_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_USE_URAM : INTEGER; C_EN_RDADDRA_CHG : INTEGER; C_EN_RDADDRB_CHG : INTEGER; C_EN_DEEPSLEEP_PIN : INTEGER; C_EN_SHUTDOWN_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); sleep : IN STD_LOGIC; deepsleep : IN STD_LOGIC; shutdown : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_lmb_bram_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2015.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_lmb_bram_0_arch : ARCHITECTURE IS "design_1_lmb_bram_0,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_lmb_bram_0_arch: ARCHITECTURE IS "design_1_lmb_bram_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2015.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=1,C_ENABLE_32BIT_ADDRESS=1,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=2,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=design_1_lmb_bram_0.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=4,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=8192,C_READ_DEPTH_A=8192,C_ADDRA_WIDTH=32,C_HAS_RSTB=1,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=4,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=32,C_READ_WIDTH_B=32,C_WRITE_DEPTH_B=8192,C_READ_DEPTH_B=8192,C_ADDRB_WIDTH=32,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=8,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 20.388 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF rstb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB RST"; ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF web: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB WE"; ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dinb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN"; ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "artix7", C_XDEVICEFAMILY => "artix7", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 1, C_ENABLE_32BIT_ADDRESS => 1, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 2, C_BYTE_SIZE => 8, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 0, C_INIT_FILE_NAME => "no_coe_file_loaded", C_INIT_FILE => "design_1_lmb_bram_0.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 1, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 1, C_WEA_WIDTH => 4, C_WRITE_MODE_A => "WRITE_FIRST", C_WRITE_WIDTH_A => 32, C_READ_WIDTH_A => 32, C_WRITE_DEPTH_A => 8192, C_READ_DEPTH_A => 8192, C_ADDRA_WIDTH => 32, C_HAS_RSTB => 1, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 1, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 1, C_WEB_WIDTH => 4, C_WRITE_MODE_B => "WRITE_FIRST", C_WRITE_WIDTH_B => 32, C_READ_WIDTH_B => 32, C_WRITE_DEPTH_B => 8192, C_READ_DEPTH_B => 8192, C_ADDRB_WIDTH => 32, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 0, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_USE_URAM => 0, C_EN_RDADDRA_CHG => 0, C_EN_RDADDRB_CHG => 0, C_EN_DEEPSLEEP_PIN => 0, C_EN_SHUTDOWN_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "8", C_COUNT_18K_BRAM => "0", C_EST_POWER_SUMMARY => "Estimated Power for IP : 20.388 mW" ) PORT MAP ( clka => clka, rsta => rsta, ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, douta => douta, clkb => clkb, rstb => rstb, enb => enb, regceb => '0', web => web, addrb => addrb, dinb => dinb, doutb => doutb, injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', deepsleep => '0', shutdown => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END design_1_lmb_bram_0_arch;
-- Copyright 2017 Google Inc. -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity minispartan_expansion is Port ( -- miniSpartan LEDs MS_LEDS : out std_logic_vector(7 downto 0); -- miniSpartan serial port MS_SERIAL_TX : out std_logic := '1'; MS_SERIAL_RTS : out std_logic := '1'; MS_SERIAL_RX : in std_logic; MS_SERIAL_CTS : in std_logic; -- miniSpartan SD card MS_SD_MOSI : out std_logic := '1'; MS_SD_MISO : in std_logic; MS_SD_SCK : out std_logic := '1'; MS_SD_SS : out std_logic := '0'; -- clock inputs from ULA elk_16MHZ : in std_logic; -- buffered 16MHZ from ULA elk_16MHZ_DIV13 : in std_logic; -- buffered 1.23 MHz from ULA elk_PHI0 : in std_logic; -- buffered PHI0 from ULA -- reset line from ULA elk_nRST : in std_logic; -- buffered nRST from ULA -- RDY: pulled up in the ULA elk_RDY : in std_logic; -- buffered RDY from elk nDEASSERT_RDY : out std_logic := '1'; -- drives RDY=0 if '0' -- nNMI: pulled up on the Elk motherboard elk_nNMI : in std_logic; -- buffered nNMI from elk nASSERT_nNMI : out std_logic := '1'; -- drives nNMI=0 if '0' -- nIRQ: pulled up on the Elk motherboard elk_nIRQ : in std_logic; -- buffered nIRQ from elk nASSERT_nIRQ : out std_logic := '1'; -- drives nIRQ=0 if '0' -- RnW: driven by the 6502 elk_RnW : in std_logic; -- buffered RnW from elk RnW_out : out std_logic := '1'; -- RnW that we can drive RnW_nOE : out std_logic := '1'; -- fpga drives RnW if '0', high-Z if '1' -- bidirectional data bus elk_D : inout std_logic_vector(7 downto 0) := (others => 'Z'); DATA_nOE : out std_logic := '1'; -- '0' to enable data buffer DATA_READ : out std_logic := '1'; -- elk->fpga if '1', fpga->elk if '0' -- address bus: driven by the 6502 elk_A : in std_logic_vector(15 downto 0); A_DIR : out std_logic := '1' -- elk->fpga if '1', fpga->elk if '0' ); end minispartan_expansion; architecture Behavioural of minispartan_expansion is -- '1' when elk_A is in sideways space signal SIDEWAYS : std_logic; -- '1' when elk_A = FCFx signal DEBUG : std_logic; signal debug_reg : std_logic_vector(7 downto 0); -- '1' when elk_A = FCB1 (Elk User Port for UPURS) signal EUP_SERIAL : std_logic; -- '1' when elk_A = FC71 (parallel port data reg) signal EPP_DATA : std_logic; -- '1' when elk_A = FC72 (parallel port status reg) signal EPP_STATUS : std_logic; -- currently selected memory bank, defaults to BASIC signal bank : std_logic_vector(3 downto 0) := x"A"; -- '1' when we're selected signal driving_bus : std_logic; -- '1' when reading from the embedded ROM signal reading_rom_zero : std_logic; -- internal wiring for embedded ROM signal rom_zero_D : std_logic_vector(7 downto 0); -- '1' when reading from the embedded ROM signal reading_rom_upurs : std_logic; -- internal wiring for embedded ROM signal rom_upurs_D : std_logic_vector(7 downto 0); -- '1' when reading from the embedded ROM signal reading_rom_mmfs : std_logic; -- internal wiring for embedded ROM signal rom_mmfs_D : std_logic_vector(7 downto 0); begin -- sideways address space SIDEWAYS <= '1' when elk_A(15 downto 14) = "10" else '0'; -- debug register DEBUG <= '1' when elk_A(15 downto 4) = x"FCF" else '0'; -- EUP serial and MMFS parallel EUP_SERIAL <= '1' when elk_A = x"FCB1" else '0'; EPP_DATA <= '1' when elk_A = x"FC71" else '0'; EPP_STATUS <= '1' when elk_A = x"FC72" else '0'; -- '1' when reading from the embedded ROM reading_rom_zero <= '1' when SIDEWAYS = '1' and bank = x"0" else '0'; reading_rom_upurs <= '1' when SIDEWAYS = '1' and bank = x"6" else '0'; reading_rom_mmfs <= '1' when SIDEWAYS = '1' and bank = x"7" else '0'; -- the actual embedded rom rom_zero : entity work.RomZero port map ( CLK => elk_PHI0, A => elk_A(13 downto 0), D => rom_zero_D, CS => reading_rom_zero ); rom_upurs : entity work.RomUPURS port map ( CLK => elk_PHI0, A => elk_A(13 downto 0), D => rom_upurs_D, CS => reading_rom_upurs ); rom_mmfs : entity work.RomMMFS port map ( CLK => elk_PHI0, A => elk_A(13 downto 0), D => rom_mmfs_D, CS => reading_rom_mmfs ); -- data bus access driving_bus <= -- never when cpu is writing '0' when elk_RnW = '0' else -- drive when reading embedded rom '1' when reading_rom_zero = '1' or reading_rom_upurs = '1' or reading_rom_mmfs = '1' else -- drive when reading registers '1' when DEBUG = '1' or EUP_SERIAL = '1' or EPP_STATUS = '1' else -- we're not selected '0'; -- only drive buffers during high clock period and -- either the cpu is writing, or we're selected and -- the cpu is reading DATA_nOE <= '0' when ( elk_PHI0 = '1' and (elk_RnW = '0' or driving_bus = '1') ) else '1'; -- data direction matches elk_RnW DATA_READ <= '0' when elk_RnW = '1' else '1'; -- data bus elk_D <= -- failsafe: tristate when the buffers are pointing elk->fpga "ZZZZZZZZ" when (elk_PHI0 = '0' or elk_RnW = '0' or driving_bus = '0') else -- reading data from the embedded ROM rom_zero_D when reading_rom_zero = '1' else rom_upurs_D when reading_rom_upurs = '1' else rom_mmfs_D when reading_rom_mmfs = '1' else -- reading debug register debug_reg when elk_A = x"FCF0" else elk_A(3 downto 0) & elk_A(3 downto 0) when DEBUG = '1' else -- reading SPI status MS_SD_MISO & "0000000" when EPP_STATUS = '1' else -- reading serial RX MS_SERIAL_RX & "11111" & MS_SERIAL_CTS & "1" when EUP_SERIAL = '1' else -- default: this should never happen "10101010"; process (--elk_nRST, elk_PHI0) begin -- TODO figure out why nRST is going low. -- multimeter shows it at ~2.3V; is it shorted to PHI0? PORTA5 --if elk_nRST = '0' then -- -- default to BASIC ROM -- bank <= x"A"; -- MS_LEDS <= x"55"; --els if falling_edge(elk_PHI0) then -- set sideways bank if ( elk_RnW = '0' and elk_A(15 downto 8) & elk_A(3 downto 0) = x"FE5" and elk_D(7 downto 4) = x"0" ) then bank <= elk_D(3 downto 0); end if; -- set serial output if elk_RnW = '0' and EUP_SERIAL = '1' then MS_SERIAL_RTS <= elk_D(6); MS_SERIAL_TX <= elk_D(0); end if; -- set SPI output if elk_RnW = '0' and EPP_DATA = '1' then MS_SD_MOSI <= elk_D(0); MS_SD_SCK <= elk_D(1); end if; -- set leds on minispartan board by writing to FCFx if elk_RnW = '0' and DEBUG = '1' then MS_LEDS <= elk_D; debug_reg <= elk_D; end if; end if; end process; end Behavioural;
-- NEED RESULT: ARCH00411.P1: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00411: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00411: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00411: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00411: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: P1: Inertial transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00411 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (3) -- 9.5.2 (1) -- -- DESIGN UNIT ORDERING: -- -- ENT00411(ARCH00411) -- ENT00411_Test_Bench(ARCH00411_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00411 is port ( s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- end ENT00411 ; -- -- architecture ARCH00411 of ENT00411 is subtype chk_time_type is Time ; signal s_st_rec3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 6 ; signal st_rec3_select : select_type := 1 ; -- begin CHG1 : process variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3.f3(lowb,true) <= -- c_st_rec3_2.f3(lowb,true) after 10 ns, -- c_st_rec3_1.f3(lowb,true) after 20 ns ; -- when 1 => correct := s_st_rec3.f3(lowb,true) = c_st_rec3_2.f3(lowb,true) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f3(lowb,true) = c_st_rec3_1.f3(lowb,true) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00411.P1" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3.f3(lowb,true) <= -- c_st_rec3_2.f3(lowb,true) after 10 ns , -- c_st_rec3_1.f3(lowb,true) after 20 ns , -- c_st_rec3_2.f3(lowb,true) after 30 ns , -- c_st_rec3_1.f3(lowb,true) after 40 ns ; -- when 3 => correct := s_st_rec3.f3(lowb,true) = c_st_rec3_2.f3(lowb,true) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3.f3(lowb,true) <= -- c_st_rec3_1.f3(lowb,true) after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f3(lowb,true) = c_st_rec3_1.f3(lowb,true) and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00411" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 4 ; -- s_st_rec3.f3(lowb,true) <= -- c_st_rec3_1.f3(lowb,true) after 100 ns ; -- when 5 => correct := correct and s_st_rec3.f3(lowb,true) = c_st_rec3_1.f3(lowb,true) and (s_st_rec3_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00411" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 5 ; -- s_st_rec3.f3(lowb,true) <= -- c_st_rec3_2.f3(lowb,true) after 10 ns , -- c_st_rec3_1.f3(lowb,true) after 20 ns , -- c_st_rec3_2.f3(lowb,true) after 30 ns , -- c_st_rec3_1.f3(lowb,true) after 40 ns ; -- when 6 => correct := correct and s_st_rec3.f3(lowb,true) = c_st_rec3_2.f3(lowb,true) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00411" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 6 ; -- Last transaction above is marked -- s_st_rec3.f3(lowb,true) <= -- c_st_rec3_1.f3(lowb,true) after 40 ns ; -- when 7 => correct := correct and s_st_rec3.f3(lowb,true) = c_st_rec3_1.f3(lowb,true) and (s_st_rec3_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec3.f3(lowb,true) = c_st_rec3_1.f3(lowb,true) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00411" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00411" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; wait until (not s_st_rec3.f3(lowb,true)'Quiet) and (s_st_rec3_savt /= Std.Standard.Now) ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions completed entirely", chk_st_rec3 = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- with st_rec3_select select s_st_rec3.f3(lowb,true) <= c_st_rec3_2.f3(lowb,true) after 10 ns, c_st_rec3_1.f3(lowb,true) after 20 ns when 1, -- c_st_rec3_2.f3(lowb,true) after 10 ns , c_st_rec3_1.f3(lowb,true) after 20 ns , c_st_rec3_2.f3(lowb,true) after 30 ns , c_st_rec3_1.f3(lowb,true) after 40 ns when 2, -- c_st_rec3_1.f3(lowb,true) after 5 ns when 3, -- c_st_rec3_1.f3(lowb,true) after 100 ns when 4, -- c_st_rec3_2.f3(lowb,true) after 10 ns , c_st_rec3_1.f3(lowb,true) after 20 ns , c_st_rec3_2.f3(lowb,true) after 30 ns , c_st_rec3_1.f3(lowb,true) after 40 ns when 5, -- -- Last transaction above is marked c_st_rec3_1.f3(lowb,true) after 40 ns when 6 ; -- end ARCH00411 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00411_Test_Bench is signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00411_Test_Bench ; -- -- architecture ARCH00411_Test_Bench of ENT00411_Test_Bench is begin L1: block component UUT port ( s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00411 ( ARCH00411 ) ; begin CIS1 : UUT port map ( s_st_rec3 ) ; end block L1 ; end ARCH00411_Test_Bench ;
------------------------------------------------------------------------------ -- Copyright (c) 2019 by Paul Scherrer Institute, Switzerland -- All rights reserved. -- Authors: Oliver Bruendler ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- Description ------------------------------------------------------------------------------ -- This entity implements a simple I2C-master (multi master capable) ------------------------------------------------------------------------------ -- Libraries ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.psi_common_math_pkg.all; use work.psi_common_logic_pkg.all; ------------------------------------------------------------------------------ -- Package for Interface Simplification ------------------------------------------------------------------------------ package psi_common_i2c_master_pkg is constant CMD_START : std_logic_vector(2 downto 0) := "000"; constant CMD_STOP : std_logic_vector(2 downto 0) := "001"; constant CMD_REPSTART : std_logic_vector(2 downto 0) := "010"; constant CMD_SEND : std_logic_vector(2 downto 0) := "011"; constant CMD_REC : std_logic_vector(2 downto 0) := "100"; end package; ------------------------------------------------------------------------------ -- Libraries ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.psi_common_math_pkg.all; use work.psi_common_logic_pkg.all; use work.psi_common_i2c_master_pkg.all; ------------------------------------------------------------------------------ -- Entity Declaration ------------------------------------------------------------------------------ -- $$ processes=stim,i2c $$ -- $$ tbpkg=work.psi_tb_compare_pkg,work.psi_tb_activity_pkg,work.psi_tb_txt_util,work.psi_tb_i2c_pkg $$ entity psi_common_i2c_master is generic ( ClockFrequency_g : real := 125.0e6; -- in Hz $$ constant=125.0e6 $$ I2cFrequency_g : real := 100.0e3; -- in Hz $$ constant=1.0e6 $$ BusBusyTimeout_g : real := 1.0e-3; -- in sec $$ constant=100.0e-6 $$ CmdTimeout_g : real := 100.0e-6; -- in sec $$ constant=10.0e-6 $$ InternalTriState_g : boolean := true; -- $$ constant=true $$ DisableAsserts_g : boolean := false ); port ( -- Control Signals Clk : in std_logic; -- $$ type=clk; freq=125e6 $$ Rst : in std_logic; -- $$ type=rst; clk=Clk $$ -- Command Interface CmdRdy : out std_logic; CmdVld : in std_logic; CmdType : in std_logic_vector(2 downto 0); CmdData : in std_logic_vector(7 downto 0); CmdAck : in std_logic; -- Response Interface RspVld : out std_logic; RspType : out std_logic_vector(2 downto 0); RspData : out std_logic_vector(7 downto 0); RspAck : out std_logic; RspArbLost : out std_logic; RspSeq : out std_logic; -- Status Interface BusBusy : out std_logic; TimeoutCmd : out std_logic; -- I2c Interface with internal Tri-State (InternalTriState_g = true) I2cScl : inout std_logic := 'Z'; I2cSda : inout std_logic := 'Z'; -- I2c Interface with external Tri-State (InternalTriState_g = false) I2cScl_I : in std_logic := '0'; I2cScl_O : out std_logic; I2cScl_T : out std_logic; I2cSda_I : in std_logic := '0'; I2cSda_O : out std_logic; I2cSda_T : out std_logic ); end entity; ------------------------------------------------------------------------------ -- Architecture Declaration ------------------------------------------------------------------------------ architecture rtl of psi_common_i2c_master is -- *** Constants *** constant BusyTimoutLimit_c : integer := integer(ClockFrequency_g*BusBusyTimeout_g)-1; constant QuarterPeriodLimit_c : integer := integer(ceil(ClockFrequency_g/I2cFrequency_g/4.0))-1; constant CmdTimeoutLimit_c : integer := integer(ClockFrequency_g*CmdTimeout_g)-1; -- *** Types *** type Fsm_t is ( BusIdle_s, BusBusy_s, MinIdle_s, Start1_s, Start2_s, WaitCmd_s, WaitLowCenter_s, Stop1_s, Stop2_s, Stop3_s, RepStart1_s, DataBit1_s, DataBit2_s, DataBit3_s, DataBit4_s, ArbitLost_s); -- *** Two Process Method *** type two_process_r is record BusBusy : std_logic; CmdRdy : std_logic; SclLast : std_logic; SdaLast : std_logic; BusBusyToCnt : unsigned(log2ceil(BusyTimoutLimit_c+1)-1 downto 0); TimeoutCmdCnt : unsigned(log2ceil(CmdTimeoutLimit_c+1)-1 downto 0); QuartPeriodCnt : unsigned(log2ceil(QuarterPeriodLimit_c+1)-1 downto 0); QPeriodTick : std_logic; CmdTypeLatch : std_logic_vector(CmdType'range); CmdAckLatch : std_logic; Fsm : Fsm_t; SclOut : std_logic; SdaOut : std_logic; RspVld : std_logic; RspAck : std_logic; RspSeq : std_logic; RspData : std_logic_vector(7 downto 0); RspArbLost : std_logic; BitCnt : unsigned(3 downto 0); -- 8 Data + 1 Ack = 9 = 4 bits ShReg : std_logic_vector(8 downto 0); CmdTimeout : std_logic; TimeoutCmd : std_logic; end record; signal r, r_next : two_process_r; attribute dont_touch : string; attribute dont_touch of r : signal is "true"; -- Required to Fix Vivado 2018.2 Synthesis Bug! Is fixed in Vivado 2019.1 according to Xilinx. -- Tri-state buffer muxing signal I2cScl_Input : std_logic; signal I2cSda_Input : std_logic; signal I2cScl_Sync : std_logic; signal I2cSda_Sync : std_logic; begin -------------------------------------------------------------------------- -- Combinatorial Proccess -------------------------------------------------------------------------- p_comb : process( Clk, r, I2cScl_Sync, I2cSda_Sync, CmdVld, CmdType, CmdData, CmdAck) variable v : two_process_r; variable SclRe_v, SclFe_v, SdaRe_v, SdaFe_v : std_logic; variable I2cStart_v, I2cStop_v : std_logic; begin -- *** hold variables stable *** v := r; -- *** Edge Detection *** SclRe_v := not r.SclLast and I2cScl_Sync; SclFe_v := r.SclLast and not I2cScl_Sync; SdaRe_v := not r.SdaLast and I2cSda_Sync; SdaFe_v := r.SdaLast and not I2cSda_Sync; v.SclLast := I2cScl_Sync; v.SdaLast := I2cSda_Sync; -- *** Start/Stop Detection *** I2cStart_v := r.SclLast and I2cScl_Sync and SdaFe_v; I2cStop_v := r.SclLast and I2cScl_Sync and SdaRe_v; -- *** Quarter Period Counter *** -- The FSM may overwrite the counter in some cases! v.QPeriodTick := '0'; if (r.Fsm = BusIdle_s) or (r.Fsm = BusBusy_s) then v.QuartPeriodCnt := (others => '0'); elsif r.QuartPeriodCnt = QuarterPeriodLimit_c then v.QuartPeriodCnt := (others => '0'); v.QPeriodTick := '1'; else v.QuartPeriodCnt := r.QuartPeriodCnt + 1; end if; -- *** Command Timeout Detection *** if r.Fsm = WaitCmd_s then -- Timeout if r.TimeoutCmdCnt = CmdTimeoutLimit_c then v.CmdTimeout := '1'; -- Count else v.TimeoutCmdCnt := r.TimeoutCmdCnt + 1; end if; -- In all states except waiting for command, reset the timer else v.TimeoutCmdCnt := (others => '0'); end if; -- *** Latch Command *** if (r.CmdRdy = '1') and (CmdVld = '1') then v.CmdTypeLatch := CmdType; v.CmdAckLatch := CmdAck; end if; -- *** Default Values *** v.RspVld := '0'; v.RspAck := not r.ShReg(0); v.RspData := r.ShReg(8 downto 1); v.RspSeq := '0'; v.RspArbLost := '0'; v.TimeoutCmd := '0'; v.CmdRdy := '0'; -- *** FSM *** case r.Fsm is -- ********************************************************************************************** -- Bus Idle -- ********************************************************************************************** when BusIdle_s => -- Default Outputs v.CmdRdy := '1'; v.BusBusyToCnt := (others => '0'); v.SclOut := '1'; v.SdaOut := '1'; v.CmdTimeout := '0'; -- Detect Bus Busy by Start Command if (r.CmdRdy = '1') and (CmdVld = '1') then -- Everyting else than START commands is ignored and an error is printed in this case assert (CmdType = CMD_START) or DisableAsserts_g report "###ERROR###: psi_common_i2c_master: In idle state, only CMD_START commands are allowed!" severity error; if CmdType = CMD_START then v.Fsm := Start1_s; v.CmdRdy := '0'; v.CmdTypeLatch := CmdType; else v.RspVld := '1'; v.RspSeq := '1'; end if; -- Detect Busy from other master elsif (I2cScl_Sync = '0') or (I2cStart_v = '1') then v.Fsm := BusBusy_s; v.CmdRdy := '0'; end if; -- ********************************************************************************************** -- Bus Busy by other master -- ********************************************************************************************** when BusBusy_s => -- Bus released if I2cStop_v = '1' then v.Fsm := MinIdle_s; end if; -- Timeout Handling if I2cScl_Sync = '0' then v.BusBusyToCnt := (others => '0'); elsif r.BusBusyToCnt = BusyTimoutLimit_c then v.Fsm := BusIdle_s; else v.BusBusyToCnt := r.BusBusyToCnt + 1; end if; v.SclOut := '1'; v.SdaOut := '1'; -- Ensure that SDA stays low for at least half a clock period when MinIdle_s => if r.QPeriodTick = '1' then v.Fsm := BusIdle_s; end if; v.SclOut := '1'; v.SdaOut := '1'; -- ********************************************************************************************** -- Start Condition -- ********************************************************************************************** -- State BusBusy_s Start1_s Start2_s WaitCmd_s -- __________________________________ -- Scl ... |___________ ... -- _______________________ -- SDA ... |______________________ ... -- ********************************************************************************************** when Start1_s => if r.QPeriodTick = '1' then v.Fsm := Start2_s; end if; -- Handle Clock Stretching in case of a repeated start (slave keeps SCL low) if I2cScl_Sync = '0' and r.CmdTypeLatch = CMD_REPSTART then v.QuartPeriodCnt := (others => '0'); end if; -- Handle Arbitration (other master transmits start condition first) if I2cSda_Sync = '0' then v.Fsm := ArbitLost_s; end if; v.SclOut := '1'; v.SdaOut := '1'; when Start2_s => if r.QPeriodTick = '1' then v.Fsm := WaitCmd_s; v.RspVld := '1'; end if; v.SclOut := '1'; v.SdaOut := '0'; -- ********************************************************************************************** -- Wait for user command (in first half of SCL low phase) -- ********************************************************************************************** when WaitCmd_s => -- Default Outputs v.CmdRdy := '1'; v.SclOut := '0'; -- All commands except START are allowed, START commands are ignored if (r.CmdRdy = '1') and (CmdVld = '1') then assert (CmdType = CMD_STOP) or (CmdType = CMD_REPSTART) or (CmdType = CMD_SEND) or (CmdType = CMD_REC) or DisableAsserts_g report "###ERROR###: psi_common_i2c_master: In WaitCmd_s state, CMD_START commands are not allowed!" severity error; if (CmdType = CMD_STOP) or (CmdType = CMD_REPSTART) or (CmdType = CMD_SEND) or (CmdType = CMD_REC) then v.Fsm := WaitLowCenter_s; v.CmdRdy := '0'; else v.RspVld := '1'; v.RspSeq := '1'; end if; -- Latch data (used for SEND) v.ShReg := CmdData & '0'; -- Command timeout - In this case send a STOP to free the bus elsif r.CmdTimeout = '1' then v.Fsm := WaitLowCenter_s; v.CmdRdy := '0'; v.TimeoutCmd := '1'; end if; -- ********************************************************************************************** -- Wait for center of SCL low phase (after user command arrived) -- ********************************************************************************************** when WaitLowCenter_s => -- State Handling v.SclOut := '0'; v.BitCnt := (others => '0'); -- Switch to commands if r.QPeriodTick = '1' then -- In timeout case, send a STOP to free the bus if r.CmdTimeout = '1' then v.Fsm := Stop1_s; -- Else, go to requested command else case r.CmdTypeLatch is when CMD_STOP => v.Fsm := Stop1_s; when CMD_REPSTART => v.Fsm := RepStart1_s; when CMD_SEND => v.Fsm := DataBit1_s; when CMD_REC => v.Fsm := DataBit1_s; when others => null; end case; end if; end if; -- ********************************************************************************************** -- Start Condition -- ********************************************************************************************** -- State RepStart1_s Start1_s Start2_s WaitCmd_s -- _____________________ -- Scl ..._________________| |___________ ... -- __________________________ -- SDA ...XXX |_____________________ ... -- ********************************************************************************************** -- States after RepStart1_s are shared with normal start condition when RepStart1_s => if r.QPeriodTick = '1' then -- The rest of the sequence is same as for START v.Fsm := Start1_s; -- Handle Arbitration other master prvents repeating start by transmitting 0 if I2cSda_Sync = '0' then v.Fsm := ArbitLost_s; end if; end if; v.SclOut := '0'; v.SdaOut := '1'; -- ********************************************************************************************** -- Start Condition -- ********************************************************************************************** -- State DataBit1_s DataBit2_s DataBit3_s WaitCmd_s / DataBit4_s -- _________________________ -- Scl ...___________| |___________ ... -- -- SDA ...XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- ********************************************************************************************** -- The DataBit1_s is the second half of the SCL low period. So the -- SDA Line is set at the beginning of DataBit1_s. After the SCL high period -- of the last bit, the state is changed to WaitCmd_s. Otherwise the first half of the SCL low -- period is executed (DataBit4_s) before the next bit starts (DataBit1_s) when DataBit1_s => if r.QPeriodTick = '1' then v.Fsm := DataBit2_s; end if; v.SclOut := '0'; -- Send Operation if r.CmdTypeLatch = CMD_SEND then -- For Ack, receive data if r.BitCnt = 8 then v.SdaOut := '1'; -- .. else send data else v.SdaOut := r.ShReg(8); end if; -- Receive Operatiom else -- Ack Handling if r.BitCnt = 8 then if r.CmdAckLatch = '1' then v.SdaOut := '0'; else v.SdaOut := '1'; end if; -- .. else tri-state for receiving else v.SdaOut := '1'; end if; end if; when DataBit2_s => if r.QPeriodTick = '1' then v.Fsm := DataBit3_s; -- Shift register in the middle of the CLK pulse v.ShReg := r.ShReg(7 downto 0) & I2cSda_Sync; end if; v.SclOut := '1'; -- Handle Clock Stretching (slave keeps SCL low) if I2cScl_Sync = '0' then v.QuartPeriodCnt := (others => '0'); end if; -- Handle Arbitration for Sending (only databits, not ack) if (r.CmdTypeLatch = CMD_SEND) and (r.BitCnt /= 8) then if I2cSda_Sync /= r.SdaOut then v.Fsm := ArbitLost_s; end if; -- Receiving does not need arbitration since slave addresses are unique end if; when DataBit3_s => if r.QPeriodTick = '1' then -- Command Done after 9 bits (8 Data + 1 Ack) if r.BitCnt = 8 then v.Fsm := WaitCmd_s; v.RspVld := '1'; -- Else goto next bit else v.Fsm := DataBit4_s; end if; end if; v.SclOut := '1'; -- Handle Arbitration for Sending (only databits, not ack) if (r.CmdTypeLatch = CMD_SEND) and (r.BitCnt /= 8) then if I2cSda_Sync /= r.SdaOut then v.Fsm := ArbitLost_s; end if; -- Receiving does not need arbitration since slave addresses are unique end if; when DataBit4_s => if r.QPeriodTick = '1' then v.Fsm := DataBit1_s; v.BitCnt:= r.BitCnt + 1; end if; v.SclOut := '0'; -- ********************************************************************************************** -- Stop Condition -- ********************************************************************************************** -- State WaitCmd_s Stop1_s Stop2_s Stop3_s BusIdle_s -- _____________________ -- Scl ..._____________________| |__________ ... -- _____________________ -- SDA ...XXXXXXXXXXXX____________________| ... -- ********************************************************************************************** when Stop1_s => if r.QPeriodTick = '1' then v.Fsm := Stop2_s; end if; v.SclOut := '0'; v.SdaOut := '0'; when Stop2_s => if r.QPeriodTick = '1' then v.Fsm := Stop3_s; end if; v.SclOut := '1'; v.SdaOut := '0'; -- Handle Clock Stretching (slave keeps SCL low) if I2cScl_Sync = '0' then v.QuartPeriodCnt := (others => '0'); end if; when Stop3_s => if r.QPeriodTick = '1' then -- Handle Arbitration if I2cSda_Sync = '0' then v.Fsm := ArbitLost_s; -- Else the STOP was successful else v.Fsm := BusIdle_s; v.RspVld := '1'; end if; end if; v.SclOut := '1'; v.SdaOut := '1'; -- ********************************************************************************************** -- Send Response in case the arbitration was lost -- ********************************************************************************************** when ArbitLost_s => v.Fsm := BusBusy_s; v.RspVld := '1'; v.RspAck := '0'; v.RspArbLost := '1'; v.SclOut := '1'; v.SdaOut := '1'; when others => null; end case; -- TODO: FSM Stuck detection timeout! -- *** Bus Busy *** if r.Fsm = BusIdle_s then v.BusBusy := '0'; else v.BusBusy := '1'; end if; -- *** assign signal *** r_next <= v; end process; -------------------------------------------------------------------------- -- Outputs -------------------------------------------------------------------------- BusBusy <= r.BusBusy; CmdRdy <= r.CmdRdy; RspVld <= r.RspVld; RspType <= r.CmdTypeLatch; RspArbLost <= r.RspArbLost; RspAck <= r.RspAck; RspData <= r.RspData; RspSeq <= r.RspSeq; TimeoutCmd <= r.TimeoutCmd; g_intTristate : if InternalTriState_g generate I2cScl <= 'Z' when r.SclOut = '1' else '0'; I2cSda <= 'Z' when r.SdaOut = '1' else '0'; I2cScl_O <= '0'; I2cSda_O <= '0'; I2cScl_T <= '1'; I2cSda_T <= '1'; end generate; g_extTristatte : if not InternalTriState_g generate I2cScl_O <= r.SclOut; I2cSda_O <= r.SdaOut; I2cScl_T <= r.SclOut; I2cSda_T <= r.SdaOut; I2cScl <= 'Z'; I2cSda <= 'Z'; end generate; -------------------------------------------------------------------------- -- Sequential Proccess -------------------------------------------------------------------------- p_seq : process(Clk) begin if rising_edge(Clk) then r <= r_next; if Rst = '1' then r.BusBusy <= '0'; r.CmdRdy <= '0'; r.SclLast <= '1'; r.SdaLast <= '1'; r.BusBusyToCnt <= (others => '0'); r.Fsm <= BusIdle_s; r.SclOut <= '1'; r.SdaOut <= '1'; r.RspVld <= '0'; end if; end if; end process; -------------------------------------------------------------------------- -- Component Instantiations -------------------------------------------------------------------------- I2cScl_Input <= To01X(I2cScl) when InternalTriState_g else I2cScl_I; I2cSda_Input <= To01X(I2cSda) when InternalTriState_g else I2cSda_I; i_sync : entity work.psi_common_bit_cc generic map ( NumBits_g => 2 ) port map ( BitsA(0) => I2cScl_Input, BitsA(1) => I2cSda_Input, ClkB => Clk, BitsB(0) => I2cScl_Sync, BitsB(1) => I2cSda_Sync ); end;
-- Esse exemplo de descricao de memoria ROM em VHDL foi obtido no -- site: http://www.edaboard.com/thread38052.html -- -- Esse site foi encontrado ao se realizar uma busca no google com -- a expressao (sem aspas): ROM VHDL -- -- O exemplo original do site foi adaptado (pequenas modificacoes) para -- se adequar a especificacao do trabalho pratico da disciplina de -- Circuitos e Tecnicas Digitais, semestre 2015/1. Podem ser necessarias -- modificacoes adicionais. -- -- Esse exemplo foi compilado e simulado utilizando a ferramenta on-line: -- http://www.edaplayground.com/ -- -- Nao foi testado com o Quartus/ModelSim, logo nao existe garantia de -- funcionamento, principalmente por ter sido encontrado na Internet. -- Podem existir, inclusive, erros de sintaxe ao ser utilizado no Quartus. -- -- Eduardo Bezerra, junho de 2015. -- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ROM IS PORT( player : in std_logic; address : in std_logic_vector(1 downto 0); data : out std_logic_vector(13 downto 0) ); END ENTITY; ARCHITECTURE BEV OF ROM IS type mem is array ( 0 to 2**2 - 1) of std_logic_vector(13 downto 0); constant p1 : mem := ( -- memoria do player 1 0 => "00011100000000", 1 => "01000000000010", 2 => "01000000010000", 3 => "00000000010000" ); constant p2 : mem := ( -- memoria do player 2 0 => "00000001000000", 1 => "00010001000010", 2 => "00010001000000", 3 => "01100000000000" ); BEGIN process (player, address) begin if player = '1' then case address is when "00" => data <= p1(0); when "01" => data <= p1(1); when "10" => data <= p1(2); when "11" => data <= p1(3); when others => data <= (others => '0'); end case; else case address is when "00" => data <= p2(0); when "01" => data <= p2(1); when "10" => data <= p2(2); when "11" => data <= p2(3); when others => data <= (others => '0'); end case; end if; end process; END BEV;
-- rising_edge_detect.vhd -- detect rising edge -> output a pulse library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity rising_edge_detect is port ( input, clock: in std_logic; edge_out: out std_logic ); end rising_edge_detect; architecture a of rising_edge_detect is signal power_on: std_logic := '0'; -- init to 0 signal input_delay: std_logic; begin process(clock) begin if (rising_edge(clock)) then if power_on = '0' then edge_out <= '0'; input_delay <= '1'; power_on <= '1'; else if input = '1' and input_delay = '0' then edge_out <= '1'; else edge_out <= '0'; end if; input_delay <= input; end if; end if; end process; end a;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- ============================================================================= -- Authors: Patrick Lehmann -- -- Package: Global simulation constants and shared varibales. -- -- Description: -- ------------------------------------- -- .. TODO:: No documentation available. -- -- License: -- ============================================================================= -- Copyright 2007-2016 Technische Universitaet Dresden - Germany -- Chair of VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library PoC; use PoC.FileIO.all; use PoC.sim_protected.all; package sim_global is -- The default global status objects. -- =========================================================================== shared variable globalSimulationStatus : T_SIM_STATUS; shared variable globalLogFile : T_LOGFILE; shared variable globalStdOut : T_STDOUT; end package;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc551.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s04b00x00p03n03i00551ent IS END c03s04b00x00p03n03i00551ent; ARCHITECTURE c03s04b00x00p03n03i00551arch OF c03s04b00x00p03n03i00551ent IS type A is access integer; type R is record E: A; end record; type FT5 is file of R; -- Failure_here BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s04b00x00p03n03i00551 - Subtype denoted by a filetype cannot have a base type of a file or access type." severity ERROR; wait; END PROCESS TESTING; END c03s04b00x00p03n03i00551arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc551.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s04b00x00p03n03i00551ent IS END c03s04b00x00p03n03i00551ent; ARCHITECTURE c03s04b00x00p03n03i00551arch OF c03s04b00x00p03n03i00551ent IS type A is access integer; type R is record E: A; end record; type FT5 is file of R; -- Failure_here BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s04b00x00p03n03i00551 - Subtype denoted by a filetype cannot have a base type of a file or access type." severity ERROR; wait; END PROCESS TESTING; END c03s04b00x00p03n03i00551arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc551.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s04b00x00p03n03i00551ent IS END c03s04b00x00p03n03i00551ent; ARCHITECTURE c03s04b00x00p03n03i00551arch OF c03s04b00x00p03n03i00551ent IS type A is access integer; type R is record E: A; end record; type FT5 is file of R; -- Failure_here BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s04b00x00p03n03i00551 - Subtype denoted by a filetype cannot have a base type of a file or access type." severity ERROR; wait; END PROCESS TESTING; END c03s04b00x00p03n03i00551arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity DCMSID1 is port (CLKIN_IN : in std_logic; RST : in std_logic := '0'; CLK0_OUT : out std_logic; CLK0_OUT1 : out std_logic; CLK2X_OUT : out std_logic; LOCKED : out std_logic ); end DCMSID1; architecture BEHAVIORAL of DCMSID1 is signal CLKFX_BUF : std_logic; signal CLKIN_IBUFG : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKFX_BUFG_INST : BUFG port map (I => CLKFX_BUF, O => CLK0_OUT); DCM_INST : DCM generic map(CLK_FEEDBACK => "NONE", CLKDV_DIVIDE => 4.0, CLKFX_DIVIDE => 12, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => false, CLKIN_PERIOD => 65.1, CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => true, FACTORY_JF => x"C080", PHASE_SHIFT => 0, STARTUP_WAIT => false) port map (CLKFB => GND_BIT, CLKIN => CLKIN_IN, DSSEN => GND_BIT, PSCLK => GND_BIT, PSEN => GND_BIT, PSINCDEC => GND_BIT, RST => RST, CLKDV => open, CLKFX => CLKFX_BUF, CLKFX180 => open, CLK0 => open, CLK2X => CLK2X_OUT, CLK2X180 => open, CLK90 => open, CLK180 => open, CLK270 => open, LOCKED => LOCKED, PSDONE => open, STATUS => open); end BEHAVIORAL;
------------------------------------------------------------------------------- -- Entity: fmc_rom -- Author: Waj ------------------------------------------------------------------------------- -- Description: -- ROM for Floppy-Music Controller (channel-dependent content) -- NOTE: -- Since XST does not support the 'val attribute, the following cannot be used -- to form the channel-number dependent MIF file name: -- "fmc_rom_" & character'val(N+48) & ".mif" -- As a workaround, a wrapper unit with conditional generate statements is -- used. ------------------------------------------------------------------------------- -- Total # of FFs: FMC_ROM_DW ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- FMC ROM core unit ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; use work.mcu_pkg.all; entity fmc_rom_core is generic(MIF : string := "rom.mif" -- ROM init file ); port(clk : in std_logic; addr : in std_logic_vector(FMC_ROM_AW-1 downto 0); data : out std_logic_vector(FMC_ROM_DW-1 downto 0) ); end fmc_rom_core; architecture rtl of fmc_rom_core is type t_rom is array (0 to 2**FMC_ROM_AW-1) of std_logic_vector(FMC_ROM_DW-1 downto 0); impure function f_assign_mif(file_name : in string) return t_rom is FILE f : text open read_mode is file_name; variable l : line; variable s : string(FMC_ROM_DW downto 1); variable r : t_rom; begin for i in t_rom'range loop if not endfile(f) then -- Note: The last row in .mif should have no CR readline(f,l); read(l,s); for k in s'range loop if s(k) = '1' then r(i)(k-1) := '1'; else r(i)(k-1) := '0'; end if; end loop; end if; end loop; return r; end function; signal rom_table : t_rom := f_assign_mif(MIF); signal data_reg : std_logic_vector(FMC_ROM_DW-1 downto 0); begin ----------------------------------------------------------------------------- -- Behavioral description of ROM with latency of 2 cc ----------------------------------------------------------------------------- P_rom: process(clk) begin if rising_edge(clk) then data_reg <= rom_table(to_integer(unsigned(addr))); data <= data_reg; end if; end process; end rtl; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Wrapper Unit (workaround) ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mcu_pkg.all; entity fmc_rom is generic(N : natural := 0 -- channel number ); port(clk : in std_logic; addr : in std_logic_vector(FMC_ROM_AW-1 downto 0); data : out std_logic_vector(FMC_ROM_DW-1 downto 0) ); end fmc_rom; architecture rtl of fmc_rom is begin -- ROM 0 ------------------------------------ rom_0: if N = 0 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_0.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 1 ------------------------------------ rom_1: if N = 1 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_1.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 2 ------------------------------------ rom_2: if N = 2 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_2.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 3 ------------------------------------ rom_3: if N = 3 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_3.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 4 ------------------------------------ rom_4: if N = 4 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_4.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 5 ------------------------------------ rom_5: if N = 5 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_5.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 6 ------------------------------------ rom_6: if N = 6 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_6.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 7 ------------------------------------ rom_7: if N = 7 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_7.mif") port map (clk => clk, addr => addr, data => data ); end generate; end rtl;
------------------------------------------------------------------------------- -- Entity: fmc_rom -- Author: Waj ------------------------------------------------------------------------------- -- Description: -- ROM for Floppy-Music Controller (channel-dependent content) -- NOTE: -- Since XST does not support the 'val attribute, the following cannot be used -- to form the channel-number dependent MIF file name: -- "fmc_rom_" & character'val(N+48) & ".mif" -- As a workaround, a wrapper unit with conditional generate statements is -- used. ------------------------------------------------------------------------------- -- Total # of FFs: FMC_ROM_DW ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- FMC ROM core unit ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; use work.mcu_pkg.all; entity fmc_rom_core is generic(MIF : string := "rom.mif" -- ROM init file ); port(clk : in std_logic; addr : in std_logic_vector(FMC_ROM_AW-1 downto 0); data : out std_logic_vector(FMC_ROM_DW-1 downto 0) ); end fmc_rom_core; architecture rtl of fmc_rom_core is type t_rom is array (0 to 2**FMC_ROM_AW-1) of std_logic_vector(FMC_ROM_DW-1 downto 0); impure function f_assign_mif(file_name : in string) return t_rom is FILE f : text open read_mode is file_name; variable l : line; variable s : string(FMC_ROM_DW downto 1); variable r : t_rom; begin for i in t_rom'range loop if not endfile(f) then -- Note: The last row in .mif should have no CR readline(f,l); read(l,s); for k in s'range loop if s(k) = '1' then r(i)(k-1) := '1'; else r(i)(k-1) := '0'; end if; end loop; end if; end loop; return r; end function; signal rom_table : t_rom := f_assign_mif(MIF); signal data_reg : std_logic_vector(FMC_ROM_DW-1 downto 0); begin ----------------------------------------------------------------------------- -- Behavioral description of ROM with latency of 2 cc ----------------------------------------------------------------------------- P_rom: process(clk) begin if rising_edge(clk) then data_reg <= rom_table(to_integer(unsigned(addr))); data <= data_reg; end if; end process; end rtl; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Wrapper Unit (workaround) ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mcu_pkg.all; entity fmc_rom is generic(N : natural := 0 -- channel number ); port(clk : in std_logic; addr : in std_logic_vector(FMC_ROM_AW-1 downto 0); data : out std_logic_vector(FMC_ROM_DW-1 downto 0) ); end fmc_rom; architecture rtl of fmc_rom is begin -- ROM 0 ------------------------------------ rom_0: if N = 0 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_0.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 1 ------------------------------------ rom_1: if N = 1 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_1.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 2 ------------------------------------ rom_2: if N = 2 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_2.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 3 ------------------------------------ rom_3: if N = 3 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_3.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 4 ------------------------------------ rom_4: if N = 4 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_4.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 5 ------------------------------------ rom_5: if N = 5 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_5.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 6 ------------------------------------ rom_6: if N = 6 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_6.mif") port map (clk => clk, addr => addr, data => data ); end generate; -- ROM 7 ------------------------------------ rom_7: if N = 7 generate rom_core : entity work.fmc_rom_core generic map(MIF => "fmc_rom_7.mif") port map (clk => clk, addr => addr, data => data ); end generate; end rtl;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity muxb_784 is port ( in_sel : in std_logic; out_data : out std_logic_vector(31 downto 0); in_data0 : in std_logic_vector(31 downto 0); in_data1 : in std_logic_vector(31 downto 0) ); end muxb_784; architecture augh of muxb_784 is begin out_data <= in_data0 when in_sel = '0' else in_data1; end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity muxb_784 is port ( in_sel : in std_logic; out_data : out std_logic_vector(31 downto 0); in_data0 : in std_logic_vector(31 downto 0); in_data1 : in std_logic_vector(31 downto 0) ); end muxb_784; architecture augh of muxb_784 is begin out_data <= in_data0 when in_sel = '0' else in_data1; end architecture;
package other_pkg is type rec_t is record field : integer; end record; end package; package body other_pkg is end package body; package pkg is type prot_t is protected end protected; end package; -- Uncomment to make it work. -- library library_name; use work.other_pkg.all; package body pkg is type prot_t is protected body variable rec : rec_t; procedure method is begin report integer'image(rec.field); end procedure; end protected body; end package body;
package other_pkg is type rec_t is record field : integer; end record; end package; package body other_pkg is end package body; package pkg is type prot_t is protected end protected; end package; -- Uncomment to make it work. -- library library_name; use work.other_pkg.all; package body pkg is type prot_t is protected body variable rec : rec_t; procedure method is begin report integer'image(rec.field); end procedure; end protected body; end package body;
package other_pkg is type rec_t is record field : integer; end record; end package; package body other_pkg is end package body; package pkg is type prot_t is protected end protected; end package; -- Uncomment to make it work. -- library library_name; use work.other_pkg.all; package body pkg is type prot_t is protected body variable rec : rec_t; procedure method is begin report integer'image(rec.field); end procedure; end protected body; end package body;
-------------------------------------------------------------------------------- -- Author: Elahe Jalalpour ([email protected]) -- -- Create Date: 28-08-2015 -- Module Name: mul.vhd -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity mul is port(a,b : in std_logic_vector(1 downto 0); cout : out std_logic_vector(3 downto 0)); end mul; architecture rtl of mul is component ha port(a, b : in std_logic; s, c : out std_logic); end component; signal y : std_logic; signal hell1, hell2, hell3:std_logic; begin cout(0) <= a(0) and b(0); hell1<=a(0) and b(1); hell2<=a(1) and b(0); hell3<=a(1) and b(1); L1: ha port map (hell1, hell2, cout(1), y); L2: ha port map (y, hell3, cout(2), cout(3)); end rtl;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use std.textio.all; use ieee.std_logic_textio.all; entity top is port( clk_50mhz: in std_logic; rs232_dce_txd: out std_logic; rs232_dce_rxd: in std_logic; led: out std_logic_vector(7 downto 0); buttons: in std_logic_vector(7 downto 0); -- ROTARY rot_a: in std_logic; rot_b: in std_logic; rot_center: in std_logic; -- PS/2 PS2_CLK1: inout std_logic; PS2_DATA1: inout std_logic; PS2_CLK2: inout std_logic; PS2_DATA2: inout std_logic ); end top; architecture Behavioral of top is -- [ TYPES ] -- -- [ TYPES ] - [Îáùèé òèï ñîñòîÿíèé] -- type STATE_TYPE is ( S_DOIT, S_WAIT ); -- [ TYPES ] - [Òèï ñîñòîÿíèé äëÿ ïàðñåðà] - [Ôîðìàò 0xAA 0x55 <äëèíà 2 áàéòà> <êîä óñòðîéñòâà> <äàííûå>] -- type PARSER_STATE_TYPE is ( S_AA, S_55, S_LENGTH_HIGH, S_LENGTH_LOW, S_CODE, S_DATA ); -- [TYPES] - [Òèï ñîñòîÿíèé äëÿ ñ÷èòûâàíèÿ ñ áóôåðà] -- type BUFFER_READ_STATE_TYPE is ( S_WAIT_BYTE, S_BYTE_READY, S_READ_BYTE ); -- [ RESET ] -- [ Èíèöèàëèçèðóåò óñòðîéñòâà ] signal reset: std_logic := '1'; -- [ RS232 ] -- constant system_speed: natural := 11538500; constant baudrate: natural := 9600; -- [ RS232 ] - [ receiver ] -- signal rs232_receiver_ack: std_logic := '0'; signal rs232_receiver_dat: unsigned(7 downto 0) := (others => '0'); signal rs232_receiver_stb: std_logic := '0'; -- [ RS232 ] - [ sender ] -- signal rs232_sender_ack: std_logic := '0'; signal rs232_sender_dat: unsigned(7 downto 0); signal rs232_sender_stb: std_logic := '0'; -- [ CLK ] -- signal clk_main: std_logic; -- [ FIFO ] - [ IN ] -- signal fifo_WriteEn : STD_LOGIC; signal fifo_DataIn : STD_LOGIC_VECTOR (7 downto 0); signal fifo_ReadEn : STD_LOGIC; signal fifo_DataOut : STD_LOGIC_VECTOR (7 downto 0); signal fifo_Empty : STD_LOGIC; signal fifo_Full : STD_LOGIC; -- [ FIFO ] - [ OUT ] -- signal fifo_out_WriteEn : STD_LOGIC; signal fifo_out_DataIn : STD_LOGIC_VECTOR (7 downto 0); signal fifo_out_ReadEn : STD_LOGIC; signal fifo_out_DataOut : STD_LOGIC_VECTOR (7 downto 0); signal fifo_out_Empty : STD_LOGIC; signal fifo_out_Full : STD_LOGIC; -- [ USER DEVICES ] -- -- [ ECHO ] -- -- signal echo_data_i : std_logic_vector(7 downto 0) := (others => '0'); -- signal echo_stb_i : std_logic := '0'; -- signal echo_ack_send_i : std_logic := '0'; -- signal echo_done_i : std_logic := '0'; -- signal echo_ready_receive_o : std_logic; -- signal echo_ack_rec_o : std_logic; -- signal echo_data_o : std_logic_vector(7 downto 0); -- signal echo_stb_o : std_logic; -- signal echo_package_length_o : std_logic_vector(15 downto 0); -- signal echo_ready_send_o : std_logic; signal echo_data_i : std_logic_vector(7 downto 0) := (others => '0'); signal echo_data_o : std_logic_vector(7 downto 0); signal echo_package_length_o : std_logic_vector(3 downto 0); signal echo_read_i : std_logic := '0'; signal echo_write_i : std_logic := '0'; signal echo_full_o : std_logic := '0'; signal echo_empty_o : std_logic := '0'; signal echo_read_state: BUFFER_READ_STATE_TYPE := S_WAIT_BYTE; -- [ WEB_LED ] -- signal led_o: std_logic_vector(7 downto 0) := (others => '0'); signal led_i: std_logic_vector(7 downto 0) := (others => '0'); signal led_ack: std_logic := '0'; signal led_strobe: std_logic := '0'; -- [ WEB_BUTTON ] -- [ ðåàëèçîâàí òîëüêî îäíèì ñèãíàëîì, êîòîðûé ñâÿçûâàåò ïåðåäàííûé áàéò îò ñåðâåðà ñ ïîëüçîâàòåëüñêèì êîäîì ] signal button_data_o: std_logic_vector(7 downto 0) := (others => '0'); signal button_rs232_data_i: std_logic_vector(7 downto 0) := (others => '0'); -- [ WEB_ROTARY ] -- [] -- signal web_rotary_rot_a_i: std_logic := '0'; signal web_rotary_rot_b_i: std_logic := '0'; signal web_rotary_rot_center_i: std_logic := '0'; signal web_rotary_rot_a_o: std_logic := '0'; signal web_rotary_rot_b_o: std_logic := '0'; signal web_rotary_rot_center_o: std_logic := '0'; -- [ WEB_OUTPUT ] -- [ ] -- signal web_output_write_i: std_logic := '0'; signal web_output_data_i: std_logic_vector(7 downto 0) := (others => '0'); signal web_output_read_i: std_logic := '0'; signal web_output_data_o: std_logic_vector(7 downto 0) := (others => '0'); signal web_output_empty_o: std_logic := '0'; signal web_output_full_o: std_logic := '0'; signal web_output_ready_i: std_logic := '0'; signal web_output_read_state: BUFFER_READ_STATE_TYPE := S_WAIT_BYTE; -- [ WEB_KEYBOARD ] -- [] -- signal web_kbd_busy: std_logic := '0'; signal web_kbd_data_i: std_logic_vector(7 downto 0) := (others => '0'); signal web_kbd_rx_en: std_logic := '0'; signal web_kbd_rx_done: std_logic := '0'; signal web_kbd_data_o: std_logic_vector(7 downto 0) := (others => '0'); signal web_kbd_tx_done: std_logic := '0'; signal web_kbd_ps2d: std_logic := 'Z'; signal web_kbd_ps2c: std_logic := 'Z'; -- [ /USER DEVICES ] -- -- [STATES] -- signal rs232_sender_state: STATE_TYPE := S_WAIT; signal rs232_receiver_state: STATE_TYPE := S_WAIT; signal device_parser_send: PARSER_STATE_TYPE := S_AA; signal device_parser_receive: PARSER_STATE_TYPE := S_AA; signal device_send: STATE_TYPE := S_DOIT; signal device_receive: STATE_TYPE := S_WAIT; signal buffer_in_read_state: BUFFER_READ_STATE_TYPE := S_WAIT_BYTE; signal buffer_out_read_state: BUFFER_READ_STATE_TYPE := S_WAIT_BYTE; -- [COMPONENTS] -- -- [COMPONENTS] - [ Clock generator ] -- component coregen PORT( CLKIN_IN : IN std_logic; RST_IN : IN std_logic; CLKFX_OUT : OUT std_logic; CLKIN_IBUFG_OUT : OUT std_logic; CLK0_OUT : OUT std_logic; LOCKED_OUT : OUT std_logic ); end component; signal PLL_LOCKED_OUT: std_logic; begin -- [COMPNENT INSTANCE] -- -- [ CLK ] -- inst_coregen: coregen port map( CLKIN_IN => clk_50mhz, RST_IN => '0', CLKFX_OUT => clk_main, CLKIN_IBUFG_OUT => open, CLK0_OUT => open, LOCKED_OUT => PLL_LOCKED_OUT ); --clk_main <= clk_50mhz; -- [ RS232 ] - [sender] - [ Îòïðàâëÿåò áàéò íà com ïîðò ] -- inst_rs232_sender: entity work.rs232_sender generic map(system_speed, baudrate) port map( ack_o => rs232_sender_ack, clk_i => clk_main, dat_i => rs232_sender_dat, rst_i => reset, stb_i => rs232_sender_stb, tx => rs232_dce_txd ); -- [ RS232 ] - [receiver] - [ Ïðèíèìàåò áàéò ñ com ïîðòà ] -- inst_rs232_receiver: entity work.rs232_receiver generic map(system_speed, baudrate) port map( ack_i => rs232_receiver_ack, clk_i => clk_main, dat_o => rs232_receiver_dat, rst_i => reset, stb_o => rs232_receiver_stb, rx => rs232_dce_rxd ); -- [ FIFO ] -- -- [ Áóôåðèçèðóåò ïðèíÿòûå äàííûå ñ com ïîðòà ] -- inst_fifo_in: entity work.fifo generic map (64, 8) port map ( CLK => clk_main, RST => reset, WriteEn => fifo_WriteEn, DataIn => fifo_DataIn, ReadEn => fifo_ReadEn, DataOut => fifo_DataOut, Empty => fifo_Empty, Full => fifo_Full ); -- [ Áóôåðèçèðóåò äàííûå äëÿ îòïðàâêè íà com ïîðò ] -- inst_fifo_out: entity work.fifo generic map (64, 8) port map ( CLK => clk_main, RST => reset, WriteEn => fifo_out_WriteEn, DataIn => fifo_out_DataIn, ReadEn => fifo_out_ReadEn, DataOut => fifo_out_DataOut, Empty => fifo_out_Empty, Full => fifo_out_Full ); -- [USER DEVICES] -- -- [ECHO] - [0x01] -- inst_echo: entity work.echo port map ( clk => clk_main, reset => reset, data_i => echo_data_i, data_o => echo_data_o, length_o => echo_package_length_o, read_i => echo_read_i, write_i => echo_write_i, full_o => echo_full_o, empty_o => echo_empty_o ); -- [ WEB_LED ] - [0x02] -- inst_web_led: entity work.web_led port map ( data_o => led_o, data_i => led_i, ack_i => led_ack, strobe_o => led_strobe, rst_i => reset, clk => clk_main ); led <= led_o; -- ôèçè÷åñêèå ñâåòîäèîäû -- [ WEB_BUTTON ] - [0x03] -- inst_web_button: entity work.web_button port map ( data_o => button_data_o, rs232_data_i => button_rs232_data_i, physical_data_i => buttons, rst_i => reset, clk => clk_main ); -- [ WEB_ROTARY ] -- [0x04] -- inst_web_rotary: entity work.web_rotary port map ( rot_a_o => web_rotary_rot_a_o, rot_b_o => web_rotary_rot_b_o, rot_center_o => web_rotary_rot_center_o, rot_a_i => rot_a, rot_b_i => rot_b, rot_center_i => rot_center, rot_a_rs232_i => web_rotary_rot_a_i, rot_b_rs232_i => web_rotary_rot_b_i, rot_center_rs232_i => web_rotary_rot_center_i, rst_i => reset, clk => clk_main ); -- [ WEB_OUTPUT ] - [0x05] -- [ Âûâîä äàííûõ â êîíñîëü áðàóçåðà ] -- inst_web_output: entity work.fifo generic map (8, 8) port map ( CLK => clk_main, RST => reset, WriteEn => web_output_write_i, DataIn => web_output_data_i, ReadEn => web_output_read_i, DataOut => web_output_data_o, Empty => web_output_empty_o, Full => web_output_full_o ); -- [ WEB_KEYBOARD ] - [ 0x06 ] - [ Èììèòàòîð êëàâèàòóðû ] inst_web_keyboard: entity work.web_keyboard port map( clk => clk_main, rst => reset, busy => web_kbd_busy, data_i => web_kbd_data_i, rx_en => web_kbd_rx_en, rx_done => web_kbd_rx_done, data_o => web_kbd_data_o, tx_done => web_kbd_tx_done, ps2d => web_kbd_ps2d, ps2c => web_kbd_ps2c, led => open ); -- [PROCESS STATEMENTS] -- -- [ Îáðàáîò÷èê ïðèíÿòèÿ áàéòà ñ COM ïîðòà] -- rs232_receive_proc: process(clk_main) begin if rising_edge(clk_main) then case rs232_receiver_state is -- îæèäàåì âçâîäà strobe when S_WAIT => fifo_WriteEn <= '0'; if rs232_receiver_stb = '1' then -- ïåðåõîä ê îæèäàíèþ îêîí÷àíèÿ ñ÷èòûâàíèÿ áàéòà rs232_receiver_state <= S_DOIT; rs232_receiver_ack <= '1'; end if; -- îæèäàåì îêîí÷àíèå ïðèíÿòèÿ áàéòà when S_DOIT => if rs232_receiver_stb <= '0' then rs232_receiver_ack <= '0'; -- Åñëè áóôôåð íå ïîëîí, òî çàïèñûâàåì òóäà ïðèíÿòûé áàéò if fifo_Full /= '1' then fifo_DataIn <= std_logic_vector(rs232_receiver_dat); fifo_WriteEn <= '1'; end if; -- îæèäàåì ñëåäóþùèé áàéò rs232_receiver_state <= S_WAIT; end if; end case; end if; end process; -- [ Îáðàáîò÷èê ïåðåäà÷è áàéòà íà COM ïîðò] -- rs232_send_proc: process(clk_main) variable byte: std_logic_vector(7 downto 0) := (others => '0'); variable has_byte: boolean := false; begin if rising_edge(clk_main) then case buffer_out_read_state is when S_WAIT_BYTE => if fifo_out_Empty /= '1' and has_byte = false then fifo_out_ReadEn <= '1'; buffer_out_read_state <= S_BYTE_READY; end if; when S_BYTE_READY => buffer_out_read_state <= S_READ_BYTE; fifo_out_ReadEn <= '0'; when S_READ_BYTE => has_byte := true; byte := fifo_out_DataOut; buffer_out_read_state <= S_WAIT_BYTE; end case; case rs232_sender_state is when S_WAIT => if rs232_sender_ack = '0' and has_byte then has_byte := false; rs232_sender_dat <= unsigned(byte); rs232_sender_stb <= '1'; rs232_sender_state <= S_DOIT; end if; when S_DOIT => if rs232_sender_ack = '1' then rs232_sender_stb <= '0'; rs232_sender_state <= S_WAIT; end if; end case; end if; end process; -- [ Ïàðñåð îòïðàâêè äàííûõ â óñòðîéñòâî ] -- parser_send_proc: process(clk_main) -- variable i: integer := 0; variable code: std_logic_vector(7 downto 0) := (others => '0'); variable len: std_logic_vector(15 downto 0) := (others => '0'); variable byte: std_logic_vector(7 downto 0) := (others => '0'); variable flag: boolean; variable has_byte: boolean := false; begin if rising_edge(clk_main) then -- Ñ÷èòûâàåì äàííûå ñ áóôåðà case buffer_in_read_state is -- åñëè åñòü áàéò äëÿ ñ÷èòûâàíèÿ, òî óêàçûâàåì íà ñ÷èòûâàíèå when S_WAIT_BYTE => if fifo_Empty /= '1' and has_byte = false then fifo_ReadEn <= '1'; buffer_in_read_state <= S_BYTE_READY; end if; -- òàêò ñ÷èòûâàíèÿ when S_BYTE_READY => fifo_ReadEn <= '0'; buffer_in_read_state <= S_READ_BYTE; -- çàáèðàåì áàéò when S_READ_BYTE => byte := fifo_DataOut; has_byte := true; buffer_in_read_state <= S_WAIT_BYTE; end case; -- Ïàðñèì ïàêåò äàííûõ case device_parser_send is -- Ïåðâûé áàéò 0xAA when S_AA => if has_byte then has_byte := false; if byte = X"AA" then device_parser_send <= S_55; end if; end if; -- Âòîðîé áàéò 0x55 when S_55 => if has_byte then has_byte := false; if byte = X"55" then device_parser_send <= S_LENGTH_HIGH; else device_parser_send <= S_AA; end if; end if; -- Ñòàðøèé áàéò äëèíû ïàêåòà when S_LENGTH_HIGH => if has_byte then has_byte := false; len(15 downto 8) := byte; device_parser_send <= S_LENGTH_LOW; end if; -- Ìëàäøèé áàéò äëèíû ïàêåòà when S_LENGTH_LOW => if has_byte then has_byte := false; len(7 downto 0) := byte; device_parser_send <= S_CODE; end if; -- Êîä óñòðîéñòâà when S_CODE => if has_byte then has_byte := false; code := byte; device_parser_send <= S_DATA; end if; -- Äàííûå when S_DATA => -- Åñëè äëèíà îáíóëèëàñü, çíà÷èò ïàêåò äàííûõ ïðèíÿò ïîëíîñòüþ if len = X"0000" then -- îáíóëÿåì ñîñòîÿíèÿ, è çàïðåùàåì ñ÷èòûâàíèå äàííûõ ñ áóôåðà device_parser_send <= S_AA; device_send <= S_DOIT; else -- Ïåðåäà÷à äàííûõ óñòðîéñòâàì case device_send is -- Ïåðåäàåì äàííûå ê óñòðîéñòâó when S_DOIT => -- [ Âûáèðàåì óñòðîéñòâî, êîòîðîìó ïåðåäàåì äàííûå ] -- case code is -- Ýõî óñòðîéñòâî when X"01" => if echo_full_o = '0' and has_byte then has_byte := false; echo_data_i <= byte; echo_write_i <= '1'; device_send <= S_WAIT; end if; -- Buttons when X"03" => if has_byte then has_byte := false; button_rs232_data_i <= byte; device_send <= S_WAIT; end if; when X"04" => if has_byte then has_byte := false; web_rotary_rot_a_i <= byte(0); web_rotary_rot_b_i <= byte(1); web_rotary_rot_center_i <= byte(2); device_send <= S_WAIT; end if; -- Keyboard when X"06" => if has_byte and web_kbd_busy = '0' then has_byte := false; web_kbd_data_i <= byte; web_kbd_rx_en <= '1'; device_send <= S_WAIT; end if; -- Åñëè óñòðîéñòâî íå íàéäåíî, òî ðåèíèöèàëèçàöèÿ when others => device_parser_send <= S_AA; device_send <= S_DOIT; end case; -- Æäåì óñïåøíîãî ïðèíÿòèÿ äàííûõ when S_WAIT => -- [ Âûáèðàåì óñòðîéñòâî ] -- case code is when X"01" => echo_write_i <= '0'; len := len - '1'; device_send <= S_DOIT; -- Buttons when X"03" => len := len - '1'; device_send <= S_DOIT; -- Rotary when X"04" => len := len - '1'; device_send <= S_DOIT; -- Keyboard when X"06" => if web_kbd_rx_done = '1' then len := len - '1'; web_kbd_rx_en <= '0'; device_send <= S_DOIT; end if; -- Åñëè óñòðîéñòâî íå íàéäåíî, òî ðåèíèöèàëèçàöèÿ when others => device_parser_send <= S_AA; device_send <= S_DOIT; end case; end case; end if; end case; end if; end process; -- [ Ïàðñåð ïðèåìà äàííûõ îò óñòðîéñòâà ] -- parser_receive_proc: process(clk_main, reset) variable code: std_logic_vector(7 downto 0) := (others => '0'); variable len: std_logic_vector(15 downto 0) := (others => '0'); variable resolve_receive: boolean := false; variable was_full: boolean := false; variable has_byte: boolean := false; begin if reset = '1' then code := X"00"; len := X"0000"; resolve_receive := false; fifo_out_WriteEn <= '0'; device_receive <= S_WAIT; device_parser_receive <= S_AA; echo_read_state <= S_WAIT_BYTE; web_output_read_state <= S_WAIT_BYTE; has_byte := false; elsif rising_edge(clk_main) then fifo_out_WriteEn <= '0'; if has_byte then if fifo_out_Full /= '1' then fifo_out_WriteEn <= '1'; has_byte := false; end if; else case device_receive is when S_WAIT => code := X"00"; -- Âûáèðàåì óñòðîéñòâî, êîòîðîå ãîòîâî ïåðåäàâàòü äàííûå -- [ ECHO ] if echo_empty_o = '0' then code := X"01"; len := X"000" & echo_package_length_o; echo_read_state <= S_WAIT_BYTE; device_receive <= S_DOIT; -- [ LED ] -- elsif led_strobe = '1' then code := X"02"; len := X"0001"; device_receive <= S_DOIT; -- [ WEB_OUTPUT ] -- elsif web_output_empty_o /= '1' then code := X"05"; len := X"0001"; device_receive <= S_DOIT; -- [ WEB_KEYBOARD ] -- elsif web_kbd_tx_done = '1' then code := X"06"; len := X"0001"; device_receive <= S_DOIT; end if; when S_DOIT => case device_parser_receive is when S_AA => fifo_out_DataIn <= X"AA"; device_parser_receive <= S_55; has_byte := true; when S_55 => fifo_out_DataIn <= X"55"; device_parser_receive <= S_LENGTH_HIGH; has_byte := true; when S_LENGTH_HIGH => fifo_out_DataIn <= len(15 downto 8); device_parser_receive <= S_LENGTH_LOW; has_byte := true; when S_LENGTH_LOW => fifo_out_DataIn <= len(7 downto 0); device_parser_receive <= S_CODE; has_byte := true; when S_CODE => fifo_out_DataIn <= code; device_parser_receive <= S_DATA; has_byte := true; when S_DATA => case code is -- [Ýõî óñòðîéñòâî] when X"01" => case echo_read_state is when S_WAIT_BYTE => echo_read_i <= '1'; echo_read_state <= S_BYTE_READY; when S_BYTE_READY => echo_read_i <= '0'; echo_read_state <= S_READ_BYTE; when S_READ_BYTE => fifo_out_DataIn <= echo_data_o; echo_read_state <= S_WAIT_BYTE; has_byte := true; len := len - 1; if unsigned(len) = X"0000" then device_parser_receive <= S_AA; device_receive <= S_WAIT; end if; end case; -- [ LED ] -- when X"02" => if led_strobe = '1' then led_ack <= '1'; fifo_out_DataIn <= led_o; has_byte := true; else led_ack <= '0'; device_receive <= S_WAIT; device_parser_receive <= S_AA; end if; when X"05" => case web_output_read_state is when S_WAIT_BYTE => web_output_read_i <= '1'; web_output_read_state <= S_BYTE_READY; when S_BYTE_READY => web_output_read_i <= '0'; web_output_read_state <= S_READ_BYTE; when S_READ_BYTE => fifo_out_DataIn <= web_output_data_o; web_output_read_state <= S_WAIT_BYTE; has_byte := true; len := len - 1; if unsigned(len) = X"0000" then device_parser_receive <= S_AA; device_receive <= S_WAIT; end if; end case; -- [WEB_KEYBOARD] -- when X"06" => fifo_out_DataIn <= web_kbd_data_o; has_byte := true; device_receive <= S_WAIT; device_parser_receive <= S_AA; when others => device_receive <= S_WAIT; device_parser_receive <= S_AA; fifo_out_WriteEn <= '0'; end case; -- code end case; -- device_parser_receive end case; -- device_receive end if; end if; end process; web_output_ready_i <= not web_output_full_o; -- USER CODE inst_user_code: entity work.user_code port map( -- [ LED ] -- led => led_i, -- [ BUTTONS ] -- buttons => button_data_o, -- [ WEB_OTPUT ] -- web_output_write_o => web_output_write_i, web_output_data_o => web_output_data_i, web_output_ready_i => web_output_ready_i, rot_a => web_rotary_rot_a_o, rot_b => web_rotary_rot_b_o, rot_center => web_rotary_rot_center_o, web_ps2_kbd_data => web_kbd_ps2d, web_ps2_kbd_clk => web_kbd_ps2c, ps2_data1 => PS2_DATA1, ps2_clk1 => PS2_CLK1, ps2_data2 => PS2_DATA2, ps2_clk2 => PS2_CLK2, reset_o => reset, clk => clk_main ); end Behavioral;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: testmem_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY testmem_tb IS END ENTITY; ARCHITECTURE testmem_tb_ARCH OF testmem_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; testmem_synth_inst:ENTITY work.testmem_synth PORT MAP( CLK_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; entity iteration is port ( clk : in std_logic; -- inputs x : in std_logic_vector (17 downto 0); y : in std_logic_vector (17 downto 0); x0 : in std_logic_vector (17 downto 0); y0 : in std_logic_vector (17 downto 0); -- outputs x_out : out std_logic_vector (17 downto 0); y_out : out std_logic_vector (17 downto 0); ov : out std_logic); end iteration; architecture Behavioral of iteration is signal px : std_logic_vector (17 downto 0); signal py : std_logic_vector (17 downto 0); signal sumx : std_logic_vector (17 downto 0); signal sumy : std_logic_vector (17 downto 0); component multiplier port ( clk: in std_logic; ar: in std_logic_vector(17 downto 0); ai: in std_logic_vector(17 downto 0); br: in std_logic_vector(17 downto 0); bi: in std_logic_vector(17 downto 0); pr: out std_logic_vector(17 downto 0); pi: out std_logic_vector(17 downto 0)); end component; constant escape : std_logic_vector(17 downto 0) := "11"& x"0000"; begin mul1 : multiplier port map ( clk => clk, ar => x, ai => y, br => x, bi => y, pr => px, pi => py); sumx <= px + x0; sumy <= py + y0; --ov <= sumx(7) or sumy(5); x_out <= x; y_out <= y; ov <= '1' when (sumx > escape) or (sumy > escape) else '0'; end Behavioral;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; ENTITY PSR_modifier_tb IS END PSR_modifier_tb; ARCHITECTURE behavior OF PSR_modifier_tb IS COMPONENT PSR_modifier PORT( ALUOP : IN std_logic_vector(5 downto 0); RESULT : IN std_logic_vector(31 downto 0); RS1 : IN std_logic_vector(31 downto 0); RS2 : IN std_logic_vector(31 downto 0); NZVC : OUT std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs signal ALUOP : std_logic_vector(5 downto 0) := (others => '0'); signal RESULT : std_logic_vector(31 downto 0) := (others => '0'); signal RS1 : std_logic_vector(31 downto 0) := (others => '0'); signal RS2 : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal NZVC : std_logic_vector(3 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: PSR_modifier PORT MAP ( ALUOP => ALUOP, RESULT => RESULT, RS1 => RS1, RS2 => RS2, NZVC => NZVC ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. ALUOP <= "010000" ; -- addcc RESULT <= "11111111111111111111111111111110" ; RS1 <= "11111111111111111111111111111111" ; RS2 <= "11111111111111111111111111111111" ; wait for 10 ns; ALUOP <= "010100" ; --SUBCC RESULT <= "00000000000000000000000000000000" ; RS1 <= "01111111111111111111111111111111" ; RS2 <= "01111111111111111111111111111111"; wait for 10 ns; ALUOP <= "010001" ; -- andcc RESULT <= "00000000000000000000000000000000" ; RS1 <= "11111111111111111111111111111111" ; RS2 <= "00000000000000000000000000000000" ; wait for 10 ns; ALUOP <= "010010" ;--orcc RESULT <= "10000000000000000000000000000000" ; RS1 <= "00000000000000000000000000000000" ; RS2 <= "10000000000000000000000000000000" ; wait for 10 ns; --hola wait; end process; END;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; library config; use work.config.all; use IEEE.NUMERIC_STD.ALL; library unisim; use unisim.VCOMPONENTS.ALL; entity rom_intf is Port ( memAddress : in STD_LOGIC_VECTOR (26 downto 0); dataIn : in STD_LOGIC_VECTOR (7 downto 0); dataOut : out STD_LOGIC_VECTOR (7 downto 0); valid : in STD_LOGIC; done : out STD_LOGIC; write : in STD_LOGIC; chip_select : in STD_LOGIC; err : out STD_LOGIC; clk, rst : in STD_LOGIC; -- ROM SPI signals cs_n: out STD_LOGIC; dq: inout std_logic_vector(3 downto 0) ); end rom_intf; architecture Behavioral of rom_intf is type ROM_state is ( INIT, IDLE, SETUP, SETUP_HOLD, FINISH, COMMAND_SET, COMMAND_SEND, COMMAND_HOLD_LOW, COMMAND_HOLD_HIGH, ADDR_SET, ADDR_SEND, ADDR_HOLD_LOW, ADDR_HOLD_HIGH, DATA_READ, DATA_SET, DATA_HOLD_LOW, DATA_HOLD_HIGH); signal curr_state : ROM_state := idle; signal init_counter : integer := 0; signal s_memAddress : STD_LOGIC_VECTOR (23 downto 0); signal s_dataIn : STD_LOGIC_VECTOR (7 downto 0); signal sck: std_logic; constant SPI_OUT : integer := 1; constant SPI_IN : integer := 0; constant READ_COMMAND : STD_LOGIC_VECTOR (7 downto 0) := x"03"; signal count : integer; begin STARTUPE2_inst : STARTUPE2 generic map ( PROG_USR => "FALSE", -- Activate program event security feature. Requires encrypted bitstreams. SIM_CCLK_FREQ => 10.0 -- Set the Configuration Clock Frequency(ns) for simulation. ) port map ( CFGCLK => open, -- 1-bit output: Configuration main clock output CFGMCLK => open, -- 1-bit output: Configuration internal oscillator clock output EOS => open, -- 1-bit output: Active high output signal indicating the End Of Startup. PREQ => open, -- 1-bit output: PROGRAM request to fabric output CLK => '0', -- 1-bit input: User start-up clock input GSR => '0', -- 1-bit input: Global Set/Reset input (GSR cannot be used for the port name) GTS => '0', -- 1-bit input: Global 3-state input (GTS cannot be used for the port name) KEYCLEARB => '0', -- 1-bit input: Clear AES Decrypter Key input from Battery-Backed RAM (BBRAM) PACK => '0', -- 1-bit input: PROGRAM acknowledge input USRCCLKO => sck, -- 1-bit input: User CCLK input USRCCLKTS => '0', -- 1-bit input: User CCLK 3-state enable input USRDONEO => '1', -- 1-bit input: User DONE pin output control USRDONETS => '0' -- 1-bit input: User DONE 3-state enable output ); ROM_FSM: process( clk ) begin if(rising_edge(clk)) then case curr_state is when INIT => init_counter <= init_counter + 1; if( init_counter > INIT_WAIT ) then curr_state <= idle; end if; done <= '0'; cs_n <= '1'; sck <= '0'; dq(SPI_OUT) <= 'Z'; dq(SPI_IN) <= 'Z'; dq(2) <= 'Z'; dq(3) <= 'Z'; when IDLE => done <= '0'; cs_n <= '1'; sck <= '0'; dq(SPI_OUT) <= 'Z'; dq(SPI_IN) <= 'Z'; if((valid = '1') and (chip_select = '1')) then curr_state <= SETUP; end if; when SETUP => if( (memAddress(26 downto 24) /= "000") or (write = '1')) then err <= '1'; done <= '1'; curr_state <= IDLE; else s_memAddress <= MemAddress(23 downto 0); s_dataIn <= DataIn; cs_n <= '0'; sck <= '0'; count <= 7; curr_state <= SETUP_HOLD; end if; when SETUP_HOLD => curr_state <= COMMAND_SET; when COMMAND_SET => sck <= '0'; dq(SPI_IN) <= READ_COMMAND(count); curr_state <= COMMAND_HOLD_LOW; when COMMAND_HOLD_LOW => curr_state <= COMMAND_SEND; when COMMAND_SEND => sck <= '1'; curr_state <= COMMAND_HOLD_HIGH; when COMMAND_HOLD_HIGH => if( count = 0 ) then count <= 23; curr_state <= ADDR_SET; else count <= count - 1; curr_state <= COMMAND_SET; end if; when ADDR_SET => sck <= '0'; dq(SPI_IN) <= s_memAddress(count); curr_state <= ADDR_HOLD_LOW; when ADDR_HOLD_LOW => curr_state <= ADDR_SEND; when ADDR_SEND => sck <= '1'; curr_state <= ADDR_HOLD_HIGH; when ADDR_HOLD_HIGH => if( count = 0 ) then count <= 8; curr_state <= DATA_READ; else count <= count - 1; curr_state <= ADDR_SET; end if; when DATA_READ => sck <= '0'; if( count /= 8) then dataOut(count) <= dq(SPI_OUT); end if; curr_state <= DATA_HOLD_LOW; when DATA_HOLD_LOW => curr_state <= DATA_SET; when DATA_SET => sck <= '1'; curr_state <= DATA_HOLD_HIGH; when DATA_HOLD_HIGH => if( count = 0 ) then curr_state <= FINISH; else count <= count - 1; curr_state <= DATA_READ; end if; when FINISH => done <= '1'; dq(SPI_IN) <= 'Z'; sck <= '0'; cs_n <= '1'; if(valid <= '0') then curr_state <= IDLE; end if; end case; if('1' = rst) then curr_state <= INIT; init_counter <= 0; end if; end if; end process; end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc391.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x01p06n01i00391ent IS END c03s02b01x01p06n01i00391ent; ARCHITECTURE c03s02b01x01p06n01i00391arch OF c03s02b01x01p06n01i00391ent IS type I1 is range 1 to 1; type A1 is array (integer range <>) of bit; BEGIN TESTING: PROCESS variable V2: A1 := B"00"; -- Failure_here -- ERROR - SEMANTIC ERROR: SUBTYPE INDICATION OF ARRAY OBJECT DECLARATION -- MUST DENOTE A CONSTRAINED ARRAY BEGIN assert FALSE report "***FAILED TEST: c03s02b01x01p06n01i00391 - Subtype indication of array object declaration must denote a constrained array." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p06n01i00391arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc391.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x01p06n01i00391ent IS END c03s02b01x01p06n01i00391ent; ARCHITECTURE c03s02b01x01p06n01i00391arch OF c03s02b01x01p06n01i00391ent IS type I1 is range 1 to 1; type A1 is array (integer range <>) of bit; BEGIN TESTING: PROCESS variable V2: A1 := B"00"; -- Failure_here -- ERROR - SEMANTIC ERROR: SUBTYPE INDICATION OF ARRAY OBJECT DECLARATION -- MUST DENOTE A CONSTRAINED ARRAY BEGIN assert FALSE report "***FAILED TEST: c03s02b01x01p06n01i00391 - Subtype indication of array object declaration must denote a constrained array." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p06n01i00391arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc391.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x01p06n01i00391ent IS END c03s02b01x01p06n01i00391ent; ARCHITECTURE c03s02b01x01p06n01i00391arch OF c03s02b01x01p06n01i00391ent IS type I1 is range 1 to 1; type A1 is array (integer range <>) of bit; BEGIN TESTING: PROCESS variable V2: A1 := B"00"; -- Failure_here -- ERROR - SEMANTIC ERROR: SUBTYPE INDICATION OF ARRAY OBJECT DECLARATION -- MUST DENOTE A CONSTRAINED ARRAY BEGIN assert FALSE report "***FAILED TEST: c03s02b01x01p06n01i00391 - Subtype indication of array object declaration must denote a constrained array." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p06n01i00391arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library virtual_button_lib; use virtual_button_lib.utils.all; use virtual_button_lib.constants.all; use virtual_button_lib.uart_constants.all; use virtual_button_lib.uart_functions.all; entity track_decoder_tb is end; architecture tb of track_decoder_tb is signal clk_50mhz : std_logic; signal pb_0 : std_logic := '0'; signal pb_1 : std_logic := '0'; signal sw_0 : std_logic := '1'; signal sw_1 : std_logic := '0'; signal led_0 : std_logic; signal led_1 : std_logic; signal pi_to_fpga_pin : std_logic := '1'; signal fpga_to_pi_pin : std_logic; signal light_square_data : std_logic; constant cpol : integer := 0; constant cpha : integer := 0; signal send : boolean; signal force_cs_low : boolean := false; signal ready : boolean; signal data : std_logic_vector(7 downto 0); signal cs_n : std_logic := '1'; signal sclk : std_logic; signal mosi : std_logic := '0'; signal miso : std_logic; constant block_size : integer := 200; begin mock_spi_master_1 : entity work.mock_spi_master port map ( frequency => 5_000_000, cpol => cpol, cpha => cpha, send => send, force_cs_low => force_cs_low, ready => ready, data => data, cs_n => cs_n, sclk => sclk, mosi => mosi); top_1 : entity work.top port map ( clk_50mhz => clk_50mhz, pb_0 => pb_0, pb_1 => pb_1, sw_0 => sw_0, sw_1 => sw_1, led_0 => led_0, led_1 => led_1, pi_to_fpga_pin => pi_to_fpga_pin, fpga_to_pi_pin => fpga_to_pi_pin, sclk => sclk, cs_n => cs_n, mosi => mosi, miso => miso, light_square_data => light_square_data); -- Clock process definitions clk_process : process begin clk_50mhz <= '0'; wait for clk_period/2; clk_50mhz <= '1'; wait for clk_period/2; end process; stim_proc : process type charfile is file of character; file midi_file : charfile; variable remaining_bytes : integer := 0; variable read_char : character; variable midi_byte : std_logic_vector(7 downto 0); begin sw_0 <= '0'; wait for 1 us; sw_0 <= '1'; wait for 1 us; --file_open(midi_file, "does.mid", read_mode); file_open(midi_file, "deck.mid", read_mode); while not endfile(midi_file) loop if remaining_bytes /= 0 then read(midi_file, read_char); midi_byte := std_logic_vector(to_unsigned(character'pos(read_char), 8)); end if; if not ready then wait until ready; end if; if remaining_bytes = 0 then data <= std_logic_vector(to_unsigned(block_size, 8)); remaining_bytes := block_size; else data <= midi_byte; remaining_bytes := remaining_bytes - 1; end if; wait for 1 ps; send <= true; wait for 1 ps; send <= false; wait for 1 ps; end loop; uart_send(std_logic_vector(to_unsigned(character'pos('q'), 8)), 115200, pi_to_fpga_pin); wait; end process; end;
-- NEED RESULT: ARCH00095.P1: Multi transport transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00095.P2: Multi transport transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00095.P3: Multi transport transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00095: One transport transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00095: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00095: One transport transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00095: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00095: One transport transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00095: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: P3: Transport transactions entirely completed passed -- NEED RESULT: P2: Transport transactions entirely completed passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00095 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00095) -- ENT00095_Test_Bench(ARCH00095_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00095 of E00000 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr1_vector : chk_sig_type := -1 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; signal chk_st_arr3_vector : chk_sig_type := -1 ; -- signal s_st_arr1_vector : st_arr1_vector := c_st_arr1_vector_1 ; signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; signal s_st_arr3_vector : st_arr3_vector := c_st_arr3_vector_1 ; -- begin PGEN_CHKP_1 : process ( chk_st_arr1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_arr1_vector = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_arr1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_arr1_vector(lowb) ( st_arr1'Left) <= transport c_st_arr1_vector_2(highb) ( st_arr1'Right) after 10 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 20 ns ; -- when 1 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_2(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00095.P1" , "Multi transport transactions occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= transport c_st_arr1_vector_2(highb) ( st_arr1'Right) after 10 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 20 ns, c_st_arr1_vector_2(highb) ( st_arr1'Right) after 30 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 40 ns ; -- when 3 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_2(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= transport c_st_arr1_vector_1(highb) ( st_arr1'Right) after 5 ns; -- when 4 => correct := correct and s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00095" , "One transport transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00095" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00095" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P1 ; -- PGEN_CHKP_2 : process ( chk_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions entirely completed", chk_st_arr2_vector = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- P2 : process ( s_st_arr2_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= transport c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns ; -- when 1 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00095.P2" , "Multi transport transactions occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= transport c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns, c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 30 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 40 ns ; -- when 3 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= transport c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00095" , "One transport transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00095" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00095" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P2 ; -- PGEN_CHKP_3 : process ( chk_st_arr3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions entirely completed", chk_st_arr3_vector = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- P3 : process ( s_st_arr3_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= transport c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns ; -- when 1 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00095.P3" , "Multi transport transactions occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= transport c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns, c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 30 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 40 ns ; -- when 3 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= transport c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00095" , "One transport transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00095" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00095" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P3 ; -- -- end ARCH00095 ; -- entity ENT00095_Test_Bench is end ENT00095_Test_Bench ; -- architecture ARCH00095_Test_Bench of ENT00095_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00095 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00095_Test_Bench ;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; entity median is generic ( LINE_WIDTH_MAX : integer; CLK_PROC_FREQ : integer; IN_SIZE : integer; OUT_SIZE : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ------------------------- in flow ----------------------- in_data : in std_logic_vector(IN_SIZE-1 downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector(OUT_SIZE-1 downto 0); out_fv : out std_logic; out_dv : out std_logic; --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end median; architecture rtl of median is component median_process generic ( LINE_WIDTH_MAX : integer; CLK_PROC_FREQ : integer; IN_SIZE : integer; OUT_SIZE : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : in std_logic; widthimg_reg_width : in std_logic_vector(15 downto 0); ------------------------- in flow ----------------------- in_data : in std_logic_vector(IN_SIZE-1 downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector(OUT_SIZE-1 downto 0); out_fv : out std_logic; out_dv : out std_logic ); end component; component median_slave generic ( CLK_PROC_FREQ : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : out std_logic; widthimg_reg_width : out std_logic_vector(15 downto 0); --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end component; signal status_reg_enable_bit : std_logic; signal widthimg_reg_width : std_logic_vector (15 downto 0); begin median_process_inst : median_process generic map ( CLK_PROC_FREQ => CLK_PROC_FREQ, LINE_WIDTH_MAX => LINE_WIDTH_MAX, IN_SIZE => IN_SIZE, OUT_SIZE => OUT_SIZE ) port map ( clk_proc => clk_proc, reset_n => reset_n, status_reg_enable_bit => status_reg_enable_bit, widthimg_reg_width => widthimg_reg_width, in_data => in_data, in_fv => in_fv, in_dv => in_dv, out_data => out_data, out_fv => out_fv, out_dv => out_dv ); median_slave_inst : median_slave generic map ( CLK_PROC_FREQ => CLK_PROC_FREQ ) port map ( clk_proc => clk_proc, reset_n => reset_n, status_reg_enable_bit => status_reg_enable_bit, widthimg_reg_width => widthimg_reg_width, addr_rel_i => addr_rel_i, wr_i => wr_i, rd_i => rd_i, datawr_i => datawr_i, datard_o => datard_o ); end rtl;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.srl_fifo_f; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_MST_AWIDTH -- Master interface address bus width -- C_MST_DWIDTH -- Master interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response -- IP2Bus_MstRd_Req -- IP to Bus master read request -- IP2Bus_MstWr_Req -- IP to Bus master write request -- IP2Bus_Mst_Addr -- IP to Bus master address bus -- IP2Bus_Mst_BE -- IP to Bus master byte enables -- IP2Bus_Mst_Lock -- IP to Bus master lock -- IP2Bus_Mst_Reset -- IP to Bus master reset -- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement -- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion -- Bus2IP_Mst_Error -- Bus to IP master error response -- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate -- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout -- Bus2IP_MstRd_d -- Bus to IP master read data bus -- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready -- IP2Bus_MstWr_d -- IP to Bus master write data bus -- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_MST_AWIDTH : integer := 32; C_MST_DWIDTH : integer := 32; C_NUM_REG : integer := 5 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_MstRd_Req : out std_logic; IP2Bus_MstWr_Req : out std_logic; IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1); IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1); IP2Bus_Mst_Lock : out std_logic; IP2Bus_Mst_Reset : out std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Rearbitrate : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstRd_src_rdy_n : in std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstWr_dst_rdy_n : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; ------------------------------------------ -- Signals for user logic master model example ------------------------------------------ -- signals for master model control/status registers write/read signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal mst_reg_write_req : std_logic; signal mst_reg_read_req : std_logic; signal mst_reg_write_sel : std_logic_vector(0 to 3); signal mst_reg_read_sel : std_logic_vector(0 to 3); signal mst_write_ack : std_logic; signal mst_read_ack : std_logic; -- signals for master model control/status registers type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7); signal mst_reg : BYTE_REG_TYPE; signal mst_byte_we : std_logic_vector(0 to 15); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_ip2bus_be : std_logic_vector(0 to 15); signal mst_go : std_logic; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1); signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to demonstrate user logic master model functionality -- -- Note: -- The example code presented here is to show you one way of stimulating -- the PLBv46 master interface under user control. It is provided for -- demonstration purposes only and allows the user to exercise the PLBv46 -- master interface during test and evaluation of the template. -- This user logic master model contains a 16-byte flattened register and -- the user is required to initialize the value to desire and then write to -- the model's 'Go' port to initiate the user logic master operation. -- -- Control Register (C_BASEADDR + OFFSET + 0x0): -- bit 0 - Rd (Read Request Control) -- bit 1 - Wr (Write Request Control) -- bit 2 - BL (Bus Lock Control) -- bit 3 - Brst (Burst Assertion Control) -- bit 4-7 - Spare (Spare Control Bits) -- Status Register (C_BASEADDR + OFFSET + 0x1): -- bit 0 - Done (Transfer Done Status) -- bit 1 - Busy (User Logic Master is Busy) -- bit 2 - Error (User Logic Master request got error response) -- bit 3 - Tmout (User Logic Master request is timeout) -- bit 2-7 - Spare (Spare Status Bits) -- Addrress Register (C_BASEADDR + OFFSET + 0x4): -- bit 0-31 - Target Address (This 32-bit value is used to populate the -- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write -- user logic master operation) -- Byte Enable Register (C_BASEADDR + OFFSET + 0x8): -- bit 0-15 - Master BE (This 16-bit value is used to populate the -- IP2Bus_Mst_BE byte enable bus during a Read or Write user -- logic master operation for single data beat transfer) -- Length Register (C_BASEADDR + OFFSET + 0xC): -- bit 0-3 - Reserved -- bit 4-15 - Transfer Length (This 12-bit value is used to populate the -- IP2Bus_Mst_Length(0:11) transfer length bus which specifies -- the number of bytes (1 to 4096) to transfer during user logic -- master Read or Write fixed length burst operations) -- Go Register (C_BASEADDR + OFFSET + 0xF): -- bit 0-7 - Go Port (Write to this byte address initiates the user -- logic master transfer, data key value of 0x0A must be used) -- -- Note: OFFSET may be different depending on your address space configuration, -- by default it's either 0x0 or 0x100. Refer to IPIF address range array -- for actual value. -- -- Here's an example procedure in your software application to initiate a 4-byte -- write operation (single data beat) of this master model: -- 1. write 0x40 to the control register -- 2. write the target address to the address register -- 3. write valid byte lane value to the be register -- - note: this value must be aligned with ip2bus address -- 4. write 0x0004 to the length register -- 5. write 0x0a to the go register, this will start the master write operation -- ------------------------------------------ mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); mst_reg_write_sel <= Bus2IP_WrCE(1 to 4); mst_reg_read_sel <= Bus2IP_RdCE(1 to 4); mst_write_ack <= mst_reg_write_req; mst_read_ack <= mst_reg_read_req; -- rip control bits from master model registers mst_cntl_rd_req <= mst_reg(0)(0); mst_cntl_wr_req <= mst_reg(0)(1); mst_cntl_bus_lock <= mst_reg(0)(2); mst_cntl_burst <= mst_reg(0)(3); mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7); mst_ip2bus_be <= mst_reg(8) & mst_reg(9); mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13); -- implement byte write enable for each byte slice of the master model registers MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin for byte_index in 0 to 15 loop mst_byte_we(byte_index) <= mst_reg_write_req and mst_reg_write_sel(byte_index/BE_WIDTH) and Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH); end loop; end process MASTER_REG_BYTE_WR_EN; -- implement master model registers MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then mst_reg(0 to 14) <= (others => "00000000"); else -- control register (byte 0) if ( mst_byte_we(0) = '1' ) then mst_reg(0) <= Bus2IP_Data(0 to 7); end if; -- status register (byte 1) mst_reg(1)(1) <= mst_cmd_sm_busy; if ( mst_byte_we(1) = '1' ) then -- allows a clear of the 'Done'/'error'/'timeout' mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8); mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2); mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3); else -- 'Done'/'error'/'timeout' from master control state machine mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0); mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2); mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3); end if; -- byte 2 and 3 are reserved -- address register (byte 4 to 7) -- be register (byte 8 to 9) -- length register (byte 12 to 13) -- byte 10, 11 and 14 are reserved for byte_index in 4 to 14 loop if ( mst_byte_we(byte_index) = '1' ) then mst_reg(byte_index) <= Bus2IP_Data( (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7); end if; end loop; end if; end if; end process MASTER_REG_WRITE_PROC; -- implement master model write only 'go' port MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A"; constant GO_BYTE_LANE : integer := 15; constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then mst_go <= '0'; elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to (GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then mst_go <= '1'; else null; end if; end if; end process MASTER_WRITE_GO_PORT; -- implement master model register read mux MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin case mst_reg_read_sel is when "1000" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index); end loop; when "0100" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index); end loop; when "0010" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index); end loop; when "0001" => for byte_index in 0 to BE_WIDTH-1 loop if ( byte_index = BE_WIDTH-1 ) then -- go port is not readable mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0'); else mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index); end if; end loop; when others => mst_ip2bus_data <= (others => '0'); end case; end process MASTER_REG_READ_PROC; -- user logic master command interface assignments IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req; IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req; IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be; IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock; IP2Bus_Mst_Reset <= mst_cmd_sm_reset; --implement master command interface state machine MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; -- state transition case mst_cmd_sm_state is when CMD_IDLE => if ( mst_go = '1' ) then mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_clr_go <= '1'; else mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end if; when CMD_RUN => if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; elsif ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; mst_cmd_sm_set_timeout <= '1'; elsif ( Bus2IP_Mst_Error = '1' ) then -- PLB data transfer error mst_cmd_sm_set_error <= '1'; end if; else mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_rd_req <= mst_cntl_rd_req; mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15); mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; end if; when CMD_WAIT_FOR_DATA => if ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; else mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; end if; when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_set_done <= '1'; mst_cmd_sm_busy <= '0'; when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; -- local srl fifo for data storage mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n); mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n); DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f generic map ( C_DWIDTH => C_MST_DWIDTH, C_DEPTH => 16 ) port map ( Clk => Bus2IP_Clk, Reset => Bus2IP_Reset, FIFO_Write => mst_fifo_valid_write_xfer, Data_In => Bus2IP_MstRd_d, FIFO_Read => mst_fifo_valid_read_xfer, Data_Out => IP2Bus_MstWr_d, FIFO_Full => open, FIFO_Empty => open, Addr => open ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else mst_ip2bus_data when mst_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack or mst_write_ack; IP2Bus_RdAck <= slv_read_ack or mst_read_ack; IP2Bus_Error <= '0'; end IMP;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.srl_fifo_f; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_MST_AWIDTH -- Master interface address bus width -- C_MST_DWIDTH -- Master interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response -- IP2Bus_MstRd_Req -- IP to Bus master read request -- IP2Bus_MstWr_Req -- IP to Bus master write request -- IP2Bus_Mst_Addr -- IP to Bus master address bus -- IP2Bus_Mst_BE -- IP to Bus master byte enables -- IP2Bus_Mst_Lock -- IP to Bus master lock -- IP2Bus_Mst_Reset -- IP to Bus master reset -- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement -- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion -- Bus2IP_Mst_Error -- Bus to IP master error response -- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate -- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout -- Bus2IP_MstRd_d -- Bus to IP master read data bus -- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready -- IP2Bus_MstWr_d -- IP to Bus master write data bus -- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_MST_AWIDTH : integer := 32; C_MST_DWIDTH : integer := 32; C_NUM_REG : integer := 5 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_MstRd_Req : out std_logic; IP2Bus_MstWr_Req : out std_logic; IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1); IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1); IP2Bus_Mst_Lock : out std_logic; IP2Bus_Mst_Reset : out std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Rearbitrate : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstRd_src_rdy_n : in std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstWr_dst_rdy_n : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; ------------------------------------------ -- Signals for user logic master model example ------------------------------------------ -- signals for master model control/status registers write/read signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal mst_reg_write_req : std_logic; signal mst_reg_read_req : std_logic; signal mst_reg_write_sel : std_logic_vector(0 to 3); signal mst_reg_read_sel : std_logic_vector(0 to 3); signal mst_write_ack : std_logic; signal mst_read_ack : std_logic; -- signals for master model control/status registers type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7); signal mst_reg : BYTE_REG_TYPE; signal mst_byte_we : std_logic_vector(0 to 15); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_ip2bus_be : std_logic_vector(0 to 15); signal mst_go : std_logic; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1); signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to demonstrate user logic master model functionality -- -- Note: -- The example code presented here is to show you one way of stimulating -- the PLBv46 master interface under user control. It is provided for -- demonstration purposes only and allows the user to exercise the PLBv46 -- master interface during test and evaluation of the template. -- This user logic master model contains a 16-byte flattened register and -- the user is required to initialize the value to desire and then write to -- the model's 'Go' port to initiate the user logic master operation. -- -- Control Register (C_BASEADDR + OFFSET + 0x0): -- bit 0 - Rd (Read Request Control) -- bit 1 - Wr (Write Request Control) -- bit 2 - BL (Bus Lock Control) -- bit 3 - Brst (Burst Assertion Control) -- bit 4-7 - Spare (Spare Control Bits) -- Status Register (C_BASEADDR + OFFSET + 0x1): -- bit 0 - Done (Transfer Done Status) -- bit 1 - Busy (User Logic Master is Busy) -- bit 2 - Error (User Logic Master request got error response) -- bit 3 - Tmout (User Logic Master request is timeout) -- bit 2-7 - Spare (Spare Status Bits) -- Addrress Register (C_BASEADDR + OFFSET + 0x4): -- bit 0-31 - Target Address (This 32-bit value is used to populate the -- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write -- user logic master operation) -- Byte Enable Register (C_BASEADDR + OFFSET + 0x8): -- bit 0-15 - Master BE (This 16-bit value is used to populate the -- IP2Bus_Mst_BE byte enable bus during a Read or Write user -- logic master operation for single data beat transfer) -- Length Register (C_BASEADDR + OFFSET + 0xC): -- bit 0-3 - Reserved -- bit 4-15 - Transfer Length (This 12-bit value is used to populate the -- IP2Bus_Mst_Length(0:11) transfer length bus which specifies -- the number of bytes (1 to 4096) to transfer during user logic -- master Read or Write fixed length burst operations) -- Go Register (C_BASEADDR + OFFSET + 0xF): -- bit 0-7 - Go Port (Write to this byte address initiates the user -- logic master transfer, data key value of 0x0A must be used) -- -- Note: OFFSET may be different depending on your address space configuration, -- by default it's either 0x0 or 0x100. Refer to IPIF address range array -- for actual value. -- -- Here's an example procedure in your software application to initiate a 4-byte -- write operation (single data beat) of this master model: -- 1. write 0x40 to the control register -- 2. write the target address to the address register -- 3. write valid byte lane value to the be register -- - note: this value must be aligned with ip2bus address -- 4. write 0x0004 to the length register -- 5. write 0x0a to the go register, this will start the master write operation -- ------------------------------------------ mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); mst_reg_write_sel <= Bus2IP_WrCE(1 to 4); mst_reg_read_sel <= Bus2IP_RdCE(1 to 4); mst_write_ack <= mst_reg_write_req; mst_read_ack <= mst_reg_read_req; -- rip control bits from master model registers mst_cntl_rd_req <= mst_reg(0)(0); mst_cntl_wr_req <= mst_reg(0)(1); mst_cntl_bus_lock <= mst_reg(0)(2); mst_cntl_burst <= mst_reg(0)(3); mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7); mst_ip2bus_be <= mst_reg(8) & mst_reg(9); mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13); -- implement byte write enable for each byte slice of the master model registers MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin for byte_index in 0 to 15 loop mst_byte_we(byte_index) <= mst_reg_write_req and mst_reg_write_sel(byte_index/BE_WIDTH) and Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH); end loop; end process MASTER_REG_BYTE_WR_EN; -- implement master model registers MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then mst_reg(0 to 14) <= (others => "00000000"); else -- control register (byte 0) if ( mst_byte_we(0) = '1' ) then mst_reg(0) <= Bus2IP_Data(0 to 7); end if; -- status register (byte 1) mst_reg(1)(1) <= mst_cmd_sm_busy; if ( mst_byte_we(1) = '1' ) then -- allows a clear of the 'Done'/'error'/'timeout' mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8); mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2); mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3); else -- 'Done'/'error'/'timeout' from master control state machine mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0); mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2); mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3); end if; -- byte 2 and 3 are reserved -- address register (byte 4 to 7) -- be register (byte 8 to 9) -- length register (byte 12 to 13) -- byte 10, 11 and 14 are reserved for byte_index in 4 to 14 loop if ( mst_byte_we(byte_index) = '1' ) then mst_reg(byte_index) <= Bus2IP_Data( (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7); end if; end loop; end if; end if; end process MASTER_REG_WRITE_PROC; -- implement master model write only 'go' port MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A"; constant GO_BYTE_LANE : integer := 15; constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then mst_go <= '0'; elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to (GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then mst_go <= '1'; else null; end if; end if; end process MASTER_WRITE_GO_PORT; -- implement master model register read mux MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin case mst_reg_read_sel is when "1000" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index); end loop; when "0100" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index); end loop; when "0010" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index); end loop; when "0001" => for byte_index in 0 to BE_WIDTH-1 loop if ( byte_index = BE_WIDTH-1 ) then -- go port is not readable mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0'); else mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index); end if; end loop; when others => mst_ip2bus_data <= (others => '0'); end case; end process MASTER_REG_READ_PROC; -- user logic master command interface assignments IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req; IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req; IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be; IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock; IP2Bus_Mst_Reset <= mst_cmd_sm_reset; --implement master command interface state machine MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; -- state transition case mst_cmd_sm_state is when CMD_IDLE => if ( mst_go = '1' ) then mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_clr_go <= '1'; else mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end if; when CMD_RUN => if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; elsif ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; mst_cmd_sm_set_timeout <= '1'; elsif ( Bus2IP_Mst_Error = '1' ) then -- PLB data transfer error mst_cmd_sm_set_error <= '1'; end if; else mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_rd_req <= mst_cntl_rd_req; mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15); mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; end if; when CMD_WAIT_FOR_DATA => if ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; else mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; end if; when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_set_done <= '1'; mst_cmd_sm_busy <= '0'; when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; -- local srl fifo for data storage mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n); mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n); DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f generic map ( C_DWIDTH => C_MST_DWIDTH, C_DEPTH => 16 ) port map ( Clk => Bus2IP_Clk, Reset => Bus2IP_Reset, FIFO_Write => mst_fifo_valid_write_xfer, Data_In => Bus2IP_MstRd_d, FIFO_Read => mst_fifo_valid_read_xfer, Data_Out => IP2Bus_MstWr_d, FIFO_Full => open, FIFO_Empty => open, Addr => open ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else mst_ip2bus_data when mst_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack or mst_write_ack; IP2Bus_RdAck <= slv_read_ack or mst_read_ack; IP2Bus_Error <= '0'; end IMP;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.srl_fifo_f; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_MST_AWIDTH -- Master interface address bus width -- C_MST_DWIDTH -- Master interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response -- IP2Bus_MstRd_Req -- IP to Bus master read request -- IP2Bus_MstWr_Req -- IP to Bus master write request -- IP2Bus_Mst_Addr -- IP to Bus master address bus -- IP2Bus_Mst_BE -- IP to Bus master byte enables -- IP2Bus_Mst_Lock -- IP to Bus master lock -- IP2Bus_Mst_Reset -- IP to Bus master reset -- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement -- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion -- Bus2IP_Mst_Error -- Bus to IP master error response -- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate -- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout -- Bus2IP_MstRd_d -- Bus to IP master read data bus -- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready -- IP2Bus_MstWr_d -- IP to Bus master write data bus -- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_MST_AWIDTH : integer := 32; C_MST_DWIDTH : integer := 32; C_NUM_REG : integer := 5 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_MstRd_Req : out std_logic; IP2Bus_MstWr_Req : out std_logic; IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1); IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1); IP2Bus_Mst_Lock : out std_logic; IP2Bus_Mst_Reset : out std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Rearbitrate : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstRd_src_rdy_n : in std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstWr_dst_rdy_n : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; ------------------------------------------ -- Signals for user logic master model example ------------------------------------------ -- signals for master model control/status registers write/read signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal mst_reg_write_req : std_logic; signal mst_reg_read_req : std_logic; signal mst_reg_write_sel : std_logic_vector(0 to 3); signal mst_reg_read_sel : std_logic_vector(0 to 3); signal mst_write_ack : std_logic; signal mst_read_ack : std_logic; -- signals for master model control/status registers type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7); signal mst_reg : BYTE_REG_TYPE; signal mst_byte_we : std_logic_vector(0 to 15); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_ip2bus_be : std_logic_vector(0 to 15); signal mst_go : std_logic; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1); signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to demonstrate user logic master model functionality -- -- Note: -- The example code presented here is to show you one way of stimulating -- the PLBv46 master interface under user control. It is provided for -- demonstration purposes only and allows the user to exercise the PLBv46 -- master interface during test and evaluation of the template. -- This user logic master model contains a 16-byte flattened register and -- the user is required to initialize the value to desire and then write to -- the model's 'Go' port to initiate the user logic master operation. -- -- Control Register (C_BASEADDR + OFFSET + 0x0): -- bit 0 - Rd (Read Request Control) -- bit 1 - Wr (Write Request Control) -- bit 2 - BL (Bus Lock Control) -- bit 3 - Brst (Burst Assertion Control) -- bit 4-7 - Spare (Spare Control Bits) -- Status Register (C_BASEADDR + OFFSET + 0x1): -- bit 0 - Done (Transfer Done Status) -- bit 1 - Busy (User Logic Master is Busy) -- bit 2 - Error (User Logic Master request got error response) -- bit 3 - Tmout (User Logic Master request is timeout) -- bit 2-7 - Spare (Spare Status Bits) -- Addrress Register (C_BASEADDR + OFFSET + 0x4): -- bit 0-31 - Target Address (This 32-bit value is used to populate the -- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write -- user logic master operation) -- Byte Enable Register (C_BASEADDR + OFFSET + 0x8): -- bit 0-15 - Master BE (This 16-bit value is used to populate the -- IP2Bus_Mst_BE byte enable bus during a Read or Write user -- logic master operation for single data beat transfer) -- Length Register (C_BASEADDR + OFFSET + 0xC): -- bit 0-3 - Reserved -- bit 4-15 - Transfer Length (This 12-bit value is used to populate the -- IP2Bus_Mst_Length(0:11) transfer length bus which specifies -- the number of bytes (1 to 4096) to transfer during user logic -- master Read or Write fixed length burst operations) -- Go Register (C_BASEADDR + OFFSET + 0xF): -- bit 0-7 - Go Port (Write to this byte address initiates the user -- logic master transfer, data key value of 0x0A must be used) -- -- Note: OFFSET may be different depending on your address space configuration, -- by default it's either 0x0 or 0x100. Refer to IPIF address range array -- for actual value. -- -- Here's an example procedure in your software application to initiate a 4-byte -- write operation (single data beat) of this master model: -- 1. write 0x40 to the control register -- 2. write the target address to the address register -- 3. write valid byte lane value to the be register -- - note: this value must be aligned with ip2bus address -- 4. write 0x0004 to the length register -- 5. write 0x0a to the go register, this will start the master write operation -- ------------------------------------------ mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); mst_reg_write_sel <= Bus2IP_WrCE(1 to 4); mst_reg_read_sel <= Bus2IP_RdCE(1 to 4); mst_write_ack <= mst_reg_write_req; mst_read_ack <= mst_reg_read_req; -- rip control bits from master model registers mst_cntl_rd_req <= mst_reg(0)(0); mst_cntl_wr_req <= mst_reg(0)(1); mst_cntl_bus_lock <= mst_reg(0)(2); mst_cntl_burst <= mst_reg(0)(3); mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7); mst_ip2bus_be <= mst_reg(8) & mst_reg(9); mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13); -- implement byte write enable for each byte slice of the master model registers MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin for byte_index in 0 to 15 loop mst_byte_we(byte_index) <= mst_reg_write_req and mst_reg_write_sel(byte_index/BE_WIDTH) and Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH); end loop; end process MASTER_REG_BYTE_WR_EN; -- implement master model registers MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then mst_reg(0 to 14) <= (others => "00000000"); else -- control register (byte 0) if ( mst_byte_we(0) = '1' ) then mst_reg(0) <= Bus2IP_Data(0 to 7); end if; -- status register (byte 1) mst_reg(1)(1) <= mst_cmd_sm_busy; if ( mst_byte_we(1) = '1' ) then -- allows a clear of the 'Done'/'error'/'timeout' mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8); mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2); mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3); else -- 'Done'/'error'/'timeout' from master control state machine mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0); mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2); mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3); end if; -- byte 2 and 3 are reserved -- address register (byte 4 to 7) -- be register (byte 8 to 9) -- length register (byte 12 to 13) -- byte 10, 11 and 14 are reserved for byte_index in 4 to 14 loop if ( mst_byte_we(byte_index) = '1' ) then mst_reg(byte_index) <= Bus2IP_Data( (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7); end if; end loop; end if; end if; end process MASTER_REG_WRITE_PROC; -- implement master model write only 'go' port MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A"; constant GO_BYTE_LANE : integer := 15; constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then mst_go <= '0'; elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to (GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then mst_go <= '1'; else null; end if; end if; end process MASTER_WRITE_GO_PORT; -- implement master model register read mux MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin case mst_reg_read_sel is when "1000" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index); end loop; when "0100" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index); end loop; when "0010" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index); end loop; when "0001" => for byte_index in 0 to BE_WIDTH-1 loop if ( byte_index = BE_WIDTH-1 ) then -- go port is not readable mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0'); else mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index); end if; end loop; when others => mst_ip2bus_data <= (others => '0'); end case; end process MASTER_REG_READ_PROC; -- user logic master command interface assignments IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req; IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req; IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be; IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock; IP2Bus_Mst_Reset <= mst_cmd_sm_reset; --implement master command interface state machine MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; -- state transition case mst_cmd_sm_state is when CMD_IDLE => if ( mst_go = '1' ) then mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_clr_go <= '1'; else mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end if; when CMD_RUN => if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; elsif ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; mst_cmd_sm_set_timeout <= '1'; elsif ( Bus2IP_Mst_Error = '1' ) then -- PLB data transfer error mst_cmd_sm_set_error <= '1'; end if; else mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_rd_req <= mst_cntl_rd_req; mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15); mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; end if; when CMD_WAIT_FOR_DATA => if ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; else mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; end if; when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_set_done <= '1'; mst_cmd_sm_busy <= '0'; when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; -- local srl fifo for data storage mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n); mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n); DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f generic map ( C_DWIDTH => C_MST_DWIDTH, C_DEPTH => 16 ) port map ( Clk => Bus2IP_Clk, Reset => Bus2IP_Reset, FIFO_Write => mst_fifo_valid_write_xfer, Data_In => Bus2IP_MstRd_d, FIFO_Read => mst_fifo_valid_read_xfer, Data_Out => IP2Bus_MstWr_d, FIFO_Full => open, FIFO_Empty => open, Addr => open ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else mst_ip2bus_data when mst_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack or mst_write_ack; IP2Bus_RdAck <= slv_read_ack or mst_read_ack; IP2Bus_Error <= '0'; end IMP;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.srl_fifo_f; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_MST_AWIDTH -- Master interface address bus width -- C_MST_DWIDTH -- Master interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response -- IP2Bus_MstRd_Req -- IP to Bus master read request -- IP2Bus_MstWr_Req -- IP to Bus master write request -- IP2Bus_Mst_Addr -- IP to Bus master address bus -- IP2Bus_Mst_BE -- IP to Bus master byte enables -- IP2Bus_Mst_Lock -- IP to Bus master lock -- IP2Bus_Mst_Reset -- IP to Bus master reset -- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement -- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion -- Bus2IP_Mst_Error -- Bus to IP master error response -- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate -- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout -- Bus2IP_MstRd_d -- Bus to IP master read data bus -- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready -- IP2Bus_MstWr_d -- IP to Bus master write data bus -- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_MST_AWIDTH : integer := 32; C_MST_DWIDTH : integer := 32; C_NUM_REG : integer := 5 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_MstRd_Req : out std_logic; IP2Bus_MstWr_Req : out std_logic; IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1); IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1); IP2Bus_Mst_Lock : out std_logic; IP2Bus_Mst_Reset : out std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Rearbitrate : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstRd_src_rdy_n : in std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstWr_dst_rdy_n : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; ------------------------------------------ -- Signals for user logic master model example ------------------------------------------ -- signals for master model control/status registers write/read signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal mst_reg_write_req : std_logic; signal mst_reg_read_req : std_logic; signal mst_reg_write_sel : std_logic_vector(0 to 3); signal mst_reg_read_sel : std_logic_vector(0 to 3); signal mst_write_ack : std_logic; signal mst_read_ack : std_logic; -- signals for master model control/status registers type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7); signal mst_reg : BYTE_REG_TYPE; signal mst_byte_we : std_logic_vector(0 to 15); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_ip2bus_be : std_logic_vector(0 to 15); signal mst_go : std_logic; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1); signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to demonstrate user logic master model functionality -- -- Note: -- The example code presented here is to show you one way of stimulating -- the PLBv46 master interface under user control. It is provided for -- demonstration purposes only and allows the user to exercise the PLBv46 -- master interface during test and evaluation of the template. -- This user logic master model contains a 16-byte flattened register and -- the user is required to initialize the value to desire and then write to -- the model's 'Go' port to initiate the user logic master operation. -- -- Control Register (C_BASEADDR + OFFSET + 0x0): -- bit 0 - Rd (Read Request Control) -- bit 1 - Wr (Write Request Control) -- bit 2 - BL (Bus Lock Control) -- bit 3 - Brst (Burst Assertion Control) -- bit 4-7 - Spare (Spare Control Bits) -- Status Register (C_BASEADDR + OFFSET + 0x1): -- bit 0 - Done (Transfer Done Status) -- bit 1 - Busy (User Logic Master is Busy) -- bit 2 - Error (User Logic Master request got error response) -- bit 3 - Tmout (User Logic Master request is timeout) -- bit 2-7 - Spare (Spare Status Bits) -- Addrress Register (C_BASEADDR + OFFSET + 0x4): -- bit 0-31 - Target Address (This 32-bit value is used to populate the -- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write -- user logic master operation) -- Byte Enable Register (C_BASEADDR + OFFSET + 0x8): -- bit 0-15 - Master BE (This 16-bit value is used to populate the -- IP2Bus_Mst_BE byte enable bus during a Read or Write user -- logic master operation for single data beat transfer) -- Length Register (C_BASEADDR + OFFSET + 0xC): -- bit 0-3 - Reserved -- bit 4-15 - Transfer Length (This 12-bit value is used to populate the -- IP2Bus_Mst_Length(0:11) transfer length bus which specifies -- the number of bytes (1 to 4096) to transfer during user logic -- master Read or Write fixed length burst operations) -- Go Register (C_BASEADDR + OFFSET + 0xF): -- bit 0-7 - Go Port (Write to this byte address initiates the user -- logic master transfer, data key value of 0x0A must be used) -- -- Note: OFFSET may be different depending on your address space configuration, -- by default it's either 0x0 or 0x100. Refer to IPIF address range array -- for actual value. -- -- Here's an example procedure in your software application to initiate a 4-byte -- write operation (single data beat) of this master model: -- 1. write 0x40 to the control register -- 2. write the target address to the address register -- 3. write valid byte lane value to the be register -- - note: this value must be aligned with ip2bus address -- 4. write 0x0004 to the length register -- 5. write 0x0a to the go register, this will start the master write operation -- ------------------------------------------ mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); mst_reg_write_sel <= Bus2IP_WrCE(1 to 4); mst_reg_read_sel <= Bus2IP_RdCE(1 to 4); mst_write_ack <= mst_reg_write_req; mst_read_ack <= mst_reg_read_req; -- rip control bits from master model registers mst_cntl_rd_req <= mst_reg(0)(0); mst_cntl_wr_req <= mst_reg(0)(1); mst_cntl_bus_lock <= mst_reg(0)(2); mst_cntl_burst <= mst_reg(0)(3); mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7); mst_ip2bus_be <= mst_reg(8) & mst_reg(9); mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13); -- implement byte write enable for each byte slice of the master model registers MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin for byte_index in 0 to 15 loop mst_byte_we(byte_index) <= mst_reg_write_req and mst_reg_write_sel(byte_index/BE_WIDTH) and Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH); end loop; end process MASTER_REG_BYTE_WR_EN; -- implement master model registers MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then mst_reg(0 to 14) <= (others => "00000000"); else -- control register (byte 0) if ( mst_byte_we(0) = '1' ) then mst_reg(0) <= Bus2IP_Data(0 to 7); end if; -- status register (byte 1) mst_reg(1)(1) <= mst_cmd_sm_busy; if ( mst_byte_we(1) = '1' ) then -- allows a clear of the 'Done'/'error'/'timeout' mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8); mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2); mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3); else -- 'Done'/'error'/'timeout' from master control state machine mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0); mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2); mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3); end if; -- byte 2 and 3 are reserved -- address register (byte 4 to 7) -- be register (byte 8 to 9) -- length register (byte 12 to 13) -- byte 10, 11 and 14 are reserved for byte_index in 4 to 14 loop if ( mst_byte_we(byte_index) = '1' ) then mst_reg(byte_index) <= Bus2IP_Data( (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7); end if; end loop; end if; end if; end process MASTER_REG_WRITE_PROC; -- implement master model write only 'go' port MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A"; constant GO_BYTE_LANE : integer := 15; constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then mst_go <= '0'; elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to (GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then mst_go <= '1'; else null; end if; end if; end process MASTER_WRITE_GO_PORT; -- implement master model register read mux MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin case mst_reg_read_sel is when "1000" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index); end loop; when "0100" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index); end loop; when "0010" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index); end loop; when "0001" => for byte_index in 0 to BE_WIDTH-1 loop if ( byte_index = BE_WIDTH-1 ) then -- go port is not readable mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0'); else mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index); end if; end loop; when others => mst_ip2bus_data <= (others => '0'); end case; end process MASTER_REG_READ_PROC; -- user logic master command interface assignments IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req; IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req; IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be; IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock; IP2Bus_Mst_Reset <= mst_cmd_sm_reset; --implement master command interface state machine MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; -- state transition case mst_cmd_sm_state is when CMD_IDLE => if ( mst_go = '1' ) then mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_clr_go <= '1'; else mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end if; when CMD_RUN => if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; elsif ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; mst_cmd_sm_set_timeout <= '1'; elsif ( Bus2IP_Mst_Error = '1' ) then -- PLB data transfer error mst_cmd_sm_set_error <= '1'; end if; else mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_rd_req <= mst_cntl_rd_req; mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15); mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; end if; when CMD_WAIT_FOR_DATA => if ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; else mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; end if; when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_set_done <= '1'; mst_cmd_sm_busy <= '0'; when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; -- local srl fifo for data storage mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n); mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n); DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f generic map ( C_DWIDTH => C_MST_DWIDTH, C_DEPTH => 16 ) port map ( Clk => Bus2IP_Clk, Reset => Bus2IP_Reset, FIFO_Write => mst_fifo_valid_write_xfer, Data_In => Bus2IP_MstRd_d, FIFO_Read => mst_fifo_valid_read_xfer, Data_Out => IP2Bus_MstWr_d, FIFO_Full => open, FIFO_Empty => open, Addr => open ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else mst_ip2bus_data when mst_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack or mst_write_ack; IP2Bus_RdAck <= slv_read_ack or mst_read_ack; IP2Bus_Error <= '0'; end IMP;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.srl_fifo_f; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_MST_AWIDTH -- Master interface address bus width -- C_MST_DWIDTH -- Master interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response -- IP2Bus_MstRd_Req -- IP to Bus master read request -- IP2Bus_MstWr_Req -- IP to Bus master write request -- IP2Bus_Mst_Addr -- IP to Bus master address bus -- IP2Bus_Mst_BE -- IP to Bus master byte enables -- IP2Bus_Mst_Lock -- IP to Bus master lock -- IP2Bus_Mst_Reset -- IP to Bus master reset -- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement -- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion -- Bus2IP_Mst_Error -- Bus to IP master error response -- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate -- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout -- Bus2IP_MstRd_d -- Bus to IP master read data bus -- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready -- IP2Bus_MstWr_d -- IP to Bus master write data bus -- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_MST_AWIDTH : integer := 32; C_MST_DWIDTH : integer := 32; C_NUM_REG : integer := 5 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_MstRd_Req : out std_logic; IP2Bus_MstWr_Req : out std_logic; IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1); IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1); IP2Bus_Mst_Lock : out std_logic; IP2Bus_Mst_Reset : out std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Rearbitrate : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstRd_src_rdy_n : in std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstWr_dst_rdy_n : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; ------------------------------------------ -- Signals for user logic master model example ------------------------------------------ -- signals for master model control/status registers write/read signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal mst_reg_write_req : std_logic; signal mst_reg_read_req : std_logic; signal mst_reg_write_sel : std_logic_vector(0 to 3); signal mst_reg_read_sel : std_logic_vector(0 to 3); signal mst_write_ack : std_logic; signal mst_read_ack : std_logic; -- signals for master model control/status registers type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7); signal mst_reg : BYTE_REG_TYPE; signal mst_byte_we : std_logic_vector(0 to 15); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_ip2bus_be : std_logic_vector(0 to 15); signal mst_go : std_logic; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1); signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to demonstrate user logic master model functionality -- -- Note: -- The example code presented here is to show you one way of stimulating -- the PLBv46 master interface under user control. It is provided for -- demonstration purposes only and allows the user to exercise the PLBv46 -- master interface during test and evaluation of the template. -- This user logic master model contains a 16-byte flattened register and -- the user is required to initialize the value to desire and then write to -- the model's 'Go' port to initiate the user logic master operation. -- -- Control Register (C_BASEADDR + OFFSET + 0x0): -- bit 0 - Rd (Read Request Control) -- bit 1 - Wr (Write Request Control) -- bit 2 - BL (Bus Lock Control) -- bit 3 - Brst (Burst Assertion Control) -- bit 4-7 - Spare (Spare Control Bits) -- Status Register (C_BASEADDR + OFFSET + 0x1): -- bit 0 - Done (Transfer Done Status) -- bit 1 - Busy (User Logic Master is Busy) -- bit 2 - Error (User Logic Master request got error response) -- bit 3 - Tmout (User Logic Master request is timeout) -- bit 2-7 - Spare (Spare Status Bits) -- Addrress Register (C_BASEADDR + OFFSET + 0x4): -- bit 0-31 - Target Address (This 32-bit value is used to populate the -- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write -- user logic master operation) -- Byte Enable Register (C_BASEADDR + OFFSET + 0x8): -- bit 0-15 - Master BE (This 16-bit value is used to populate the -- IP2Bus_Mst_BE byte enable bus during a Read or Write user -- logic master operation for single data beat transfer) -- Length Register (C_BASEADDR + OFFSET + 0xC): -- bit 0-3 - Reserved -- bit 4-15 - Transfer Length (This 12-bit value is used to populate the -- IP2Bus_Mst_Length(0:11) transfer length bus which specifies -- the number of bytes (1 to 4096) to transfer during user logic -- master Read or Write fixed length burst operations) -- Go Register (C_BASEADDR + OFFSET + 0xF): -- bit 0-7 - Go Port (Write to this byte address initiates the user -- logic master transfer, data key value of 0x0A must be used) -- -- Note: OFFSET may be different depending on your address space configuration, -- by default it's either 0x0 or 0x100. Refer to IPIF address range array -- for actual value. -- -- Here's an example procedure in your software application to initiate a 4-byte -- write operation (single data beat) of this master model: -- 1. write 0x40 to the control register -- 2. write the target address to the address register -- 3. write valid byte lane value to the be register -- - note: this value must be aligned with ip2bus address -- 4. write 0x0004 to the length register -- 5. write 0x0a to the go register, this will start the master write operation -- ------------------------------------------ mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); mst_reg_write_sel <= Bus2IP_WrCE(1 to 4); mst_reg_read_sel <= Bus2IP_RdCE(1 to 4); mst_write_ack <= mst_reg_write_req; mst_read_ack <= mst_reg_read_req; -- rip control bits from master model registers mst_cntl_rd_req <= mst_reg(0)(0); mst_cntl_wr_req <= mst_reg(0)(1); mst_cntl_bus_lock <= mst_reg(0)(2); mst_cntl_burst <= mst_reg(0)(3); mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7); mst_ip2bus_be <= mst_reg(8) & mst_reg(9); mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13); -- implement byte write enable for each byte slice of the master model registers MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin for byte_index in 0 to 15 loop mst_byte_we(byte_index) <= mst_reg_write_req and mst_reg_write_sel(byte_index/BE_WIDTH) and Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH); end loop; end process MASTER_REG_BYTE_WR_EN; -- implement master model registers MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then mst_reg(0 to 14) <= (others => "00000000"); else -- control register (byte 0) if ( mst_byte_we(0) = '1' ) then mst_reg(0) <= Bus2IP_Data(0 to 7); end if; -- status register (byte 1) mst_reg(1)(1) <= mst_cmd_sm_busy; if ( mst_byte_we(1) = '1' ) then -- allows a clear of the 'Done'/'error'/'timeout' mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8); mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2); mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3); else -- 'Done'/'error'/'timeout' from master control state machine mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0); mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2); mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3); end if; -- byte 2 and 3 are reserved -- address register (byte 4 to 7) -- be register (byte 8 to 9) -- length register (byte 12 to 13) -- byte 10, 11 and 14 are reserved for byte_index in 4 to 14 loop if ( mst_byte_we(byte_index) = '1' ) then mst_reg(byte_index) <= Bus2IP_Data( (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7); end if; end loop; end if; end if; end process MASTER_REG_WRITE_PROC; -- implement master model write only 'go' port MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A"; constant GO_BYTE_LANE : integer := 15; constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then mst_go <= '0'; elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to (GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then mst_go <= '1'; else null; end if; end if; end process MASTER_WRITE_GO_PORT; -- implement master model register read mux MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin case mst_reg_read_sel is when "1000" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index); end loop; when "0100" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index); end loop; when "0010" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index); end loop; when "0001" => for byte_index in 0 to BE_WIDTH-1 loop if ( byte_index = BE_WIDTH-1 ) then -- go port is not readable mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0'); else mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index); end if; end loop; when others => mst_ip2bus_data <= (others => '0'); end case; end process MASTER_REG_READ_PROC; -- user logic master command interface assignments IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req; IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req; IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be; IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock; IP2Bus_Mst_Reset <= mst_cmd_sm_reset; --implement master command interface state machine MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; -- state transition case mst_cmd_sm_state is when CMD_IDLE => if ( mst_go = '1' ) then mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_clr_go <= '1'; else mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end if; when CMD_RUN => if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; elsif ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; mst_cmd_sm_set_timeout <= '1'; elsif ( Bus2IP_Mst_Error = '1' ) then -- PLB data transfer error mst_cmd_sm_set_error <= '1'; end if; else mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_rd_req <= mst_cntl_rd_req; mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15); mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; end if; when CMD_WAIT_FOR_DATA => if ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; else mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; end if; when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_set_done <= '1'; mst_cmd_sm_busy <= '0'; when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; -- local srl fifo for data storage mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n); mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n); DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f generic map ( C_DWIDTH => C_MST_DWIDTH, C_DEPTH => 16 ) port map ( Clk => Bus2IP_Clk, Reset => Bus2IP_Reset, FIFO_Write => mst_fifo_valid_write_xfer, Data_In => Bus2IP_MstRd_d, FIFO_Read => mst_fifo_valid_read_xfer, Data_Out => IP2Bus_MstWr_d, FIFO_Full => open, FIFO_Empty => open, Addr => open ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else mst_ip2bus_data when mst_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack or mst_write_ack; IP2Bus_RdAck <= slv_read_ack or mst_read_ack; IP2Bus_Error <= '0'; end IMP;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.srl_fifo_f; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_MST_AWIDTH -- Master interface address bus width -- C_MST_DWIDTH -- Master interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response -- IP2Bus_MstRd_Req -- IP to Bus master read request -- IP2Bus_MstWr_Req -- IP to Bus master write request -- IP2Bus_Mst_Addr -- IP to Bus master address bus -- IP2Bus_Mst_BE -- IP to Bus master byte enables -- IP2Bus_Mst_Lock -- IP to Bus master lock -- IP2Bus_Mst_Reset -- IP to Bus master reset -- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement -- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion -- Bus2IP_Mst_Error -- Bus to IP master error response -- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate -- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout -- Bus2IP_MstRd_d -- Bus to IP master read data bus -- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready -- IP2Bus_MstWr_d -- IP to Bus master write data bus -- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_MST_AWIDTH : integer := 32; C_MST_DWIDTH : integer := 32; C_NUM_REG : integer := 5 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_MstRd_Req : out std_logic; IP2Bus_MstWr_Req : out std_logic; IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1); IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1); IP2Bus_Mst_Lock : out std_logic; IP2Bus_Mst_Reset : out std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Rearbitrate : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstRd_src_rdy_n : in std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstWr_dst_rdy_n : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; ------------------------------------------ -- Signals for user logic master model example ------------------------------------------ -- signals for master model control/status registers write/read signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal mst_reg_write_req : std_logic; signal mst_reg_read_req : std_logic; signal mst_reg_write_sel : std_logic_vector(0 to 3); signal mst_reg_read_sel : std_logic_vector(0 to 3); signal mst_write_ack : std_logic; signal mst_read_ack : std_logic; -- signals for master model control/status registers type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7); signal mst_reg : BYTE_REG_TYPE; signal mst_byte_we : std_logic_vector(0 to 15); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_ip2bus_be : std_logic_vector(0 to 15); signal mst_go : std_logic; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1); signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to demonstrate user logic master model functionality -- -- Note: -- The example code presented here is to show you one way of stimulating -- the PLBv46 master interface under user control. It is provided for -- demonstration purposes only and allows the user to exercise the PLBv46 -- master interface during test and evaluation of the template. -- This user logic master model contains a 16-byte flattened register and -- the user is required to initialize the value to desire and then write to -- the model's 'Go' port to initiate the user logic master operation. -- -- Control Register (C_BASEADDR + OFFSET + 0x0): -- bit 0 - Rd (Read Request Control) -- bit 1 - Wr (Write Request Control) -- bit 2 - BL (Bus Lock Control) -- bit 3 - Brst (Burst Assertion Control) -- bit 4-7 - Spare (Spare Control Bits) -- Status Register (C_BASEADDR + OFFSET + 0x1): -- bit 0 - Done (Transfer Done Status) -- bit 1 - Busy (User Logic Master is Busy) -- bit 2 - Error (User Logic Master request got error response) -- bit 3 - Tmout (User Logic Master request is timeout) -- bit 2-7 - Spare (Spare Status Bits) -- Addrress Register (C_BASEADDR + OFFSET + 0x4): -- bit 0-31 - Target Address (This 32-bit value is used to populate the -- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write -- user logic master operation) -- Byte Enable Register (C_BASEADDR + OFFSET + 0x8): -- bit 0-15 - Master BE (This 16-bit value is used to populate the -- IP2Bus_Mst_BE byte enable bus during a Read or Write user -- logic master operation for single data beat transfer) -- Length Register (C_BASEADDR + OFFSET + 0xC): -- bit 0-3 - Reserved -- bit 4-15 - Transfer Length (This 12-bit value is used to populate the -- IP2Bus_Mst_Length(0:11) transfer length bus which specifies -- the number of bytes (1 to 4096) to transfer during user logic -- master Read or Write fixed length burst operations) -- Go Register (C_BASEADDR + OFFSET + 0xF): -- bit 0-7 - Go Port (Write to this byte address initiates the user -- logic master transfer, data key value of 0x0A must be used) -- -- Note: OFFSET may be different depending on your address space configuration, -- by default it's either 0x0 or 0x100. Refer to IPIF address range array -- for actual value. -- -- Here's an example procedure in your software application to initiate a 4-byte -- write operation (single data beat) of this master model: -- 1. write 0x40 to the control register -- 2. write the target address to the address register -- 3. write valid byte lane value to the be register -- - note: this value must be aligned with ip2bus address -- 4. write 0x0004 to the length register -- 5. write 0x0a to the go register, this will start the master write operation -- ------------------------------------------ mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); mst_reg_write_sel <= Bus2IP_WrCE(1 to 4); mst_reg_read_sel <= Bus2IP_RdCE(1 to 4); mst_write_ack <= mst_reg_write_req; mst_read_ack <= mst_reg_read_req; -- rip control bits from master model registers mst_cntl_rd_req <= mst_reg(0)(0); mst_cntl_wr_req <= mst_reg(0)(1); mst_cntl_bus_lock <= mst_reg(0)(2); mst_cntl_burst <= mst_reg(0)(3); mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7); mst_ip2bus_be <= mst_reg(8) & mst_reg(9); mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13); -- implement byte write enable for each byte slice of the master model registers MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin for byte_index in 0 to 15 loop mst_byte_we(byte_index) <= mst_reg_write_req and mst_reg_write_sel(byte_index/BE_WIDTH) and Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH); end loop; end process MASTER_REG_BYTE_WR_EN; -- implement master model registers MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then mst_reg(0 to 14) <= (others => "00000000"); else -- control register (byte 0) if ( mst_byte_we(0) = '1' ) then mst_reg(0) <= Bus2IP_Data(0 to 7); end if; -- status register (byte 1) mst_reg(1)(1) <= mst_cmd_sm_busy; if ( mst_byte_we(1) = '1' ) then -- allows a clear of the 'Done'/'error'/'timeout' mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8); mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2); mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3); else -- 'Done'/'error'/'timeout' from master control state machine mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0); mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2); mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3); end if; -- byte 2 and 3 are reserved -- address register (byte 4 to 7) -- be register (byte 8 to 9) -- length register (byte 12 to 13) -- byte 10, 11 and 14 are reserved for byte_index in 4 to 14 loop if ( mst_byte_we(byte_index) = '1' ) then mst_reg(byte_index) <= Bus2IP_Data( (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7); end if; end loop; end if; end if; end process MASTER_REG_WRITE_PROC; -- implement master model write only 'go' port MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A"; constant GO_BYTE_LANE : integer := 15; constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then mst_go <= '0'; elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to (GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then mst_go <= '1'; else null; end if; end if; end process MASTER_WRITE_GO_PORT; -- implement master model register read mux MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin case mst_reg_read_sel is when "1000" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index); end loop; when "0100" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index); end loop; when "0010" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index); end loop; when "0001" => for byte_index in 0 to BE_WIDTH-1 loop if ( byte_index = BE_WIDTH-1 ) then -- go port is not readable mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0'); else mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index); end if; end loop; when others => mst_ip2bus_data <= (others => '0'); end case; end process MASTER_REG_READ_PROC; -- user logic master command interface assignments IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req; IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req; IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be; IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock; IP2Bus_Mst_Reset <= mst_cmd_sm_reset; --implement master command interface state machine MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; -- state transition case mst_cmd_sm_state is when CMD_IDLE => if ( mst_go = '1' ) then mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_clr_go <= '1'; else mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end if; when CMD_RUN => if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; elsif ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; mst_cmd_sm_set_timeout <= '1'; elsif ( Bus2IP_Mst_Error = '1' ) then -- PLB data transfer error mst_cmd_sm_set_error <= '1'; end if; else mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_rd_req <= mst_cntl_rd_req; mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15); mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; end if; when CMD_WAIT_FOR_DATA => if ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; else mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; end if; when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_set_done <= '1'; mst_cmd_sm_busy <= '0'; when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; -- local srl fifo for data storage mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n); mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n); DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f generic map ( C_DWIDTH => C_MST_DWIDTH, C_DEPTH => 16 ) port map ( Clk => Bus2IP_Clk, Reset => Bus2IP_Reset, FIFO_Write => mst_fifo_valid_write_xfer, Data_In => Bus2IP_MstRd_d, FIFO_Read => mst_fifo_valid_read_xfer, Data_Out => IP2Bus_MstWr_d, FIFO_Full => open, FIFO_Empty => open, Addr => open ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else mst_ip2bus_data when mst_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack or mst_write_ack; IP2Bus_RdAck <= slv_read_ack or mst_read_ack; IP2Bus_Error <= '0'; end IMP;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.srl_fifo_f; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_MST_AWIDTH -- Master interface address bus width -- C_MST_DWIDTH -- Master interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response -- IP2Bus_MstRd_Req -- IP to Bus master read request -- IP2Bus_MstWr_Req -- IP to Bus master write request -- IP2Bus_Mst_Addr -- IP to Bus master address bus -- IP2Bus_Mst_BE -- IP to Bus master byte enables -- IP2Bus_Mst_Lock -- IP to Bus master lock -- IP2Bus_Mst_Reset -- IP to Bus master reset -- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement -- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion -- Bus2IP_Mst_Error -- Bus to IP master error response -- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate -- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout -- Bus2IP_MstRd_d -- Bus to IP master read data bus -- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready -- IP2Bus_MstWr_d -- IP to Bus master write data bus -- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_MST_AWIDTH : integer := 32; C_MST_DWIDTH : integer := 32; C_NUM_REG : integer := 5 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_MstRd_Req : out std_logic; IP2Bus_MstWr_Req : out std_logic; IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1); IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1); IP2Bus_Mst_Lock : out std_logic; IP2Bus_Mst_Reset : out std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Rearbitrate : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstRd_src_rdy_n : in std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstWr_dst_rdy_n : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; ------------------------------------------ -- Signals for user logic master model example ------------------------------------------ -- signals for master model control/status registers write/read signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal mst_reg_write_req : std_logic; signal mst_reg_read_req : std_logic; signal mst_reg_write_sel : std_logic_vector(0 to 3); signal mst_reg_read_sel : std_logic_vector(0 to 3); signal mst_write_ack : std_logic; signal mst_read_ack : std_logic; -- signals for master model control/status registers type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7); signal mst_reg : BYTE_REG_TYPE; signal mst_byte_we : std_logic_vector(0 to 15); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_ip2bus_be : std_logic_vector(0 to 15); signal mst_go : std_logic; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1); signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to demonstrate user logic master model functionality -- -- Note: -- The example code presented here is to show you one way of stimulating -- the PLBv46 master interface under user control. It is provided for -- demonstration purposes only and allows the user to exercise the PLBv46 -- master interface during test and evaluation of the template. -- This user logic master model contains a 16-byte flattened register and -- the user is required to initialize the value to desire and then write to -- the model's 'Go' port to initiate the user logic master operation. -- -- Control Register (C_BASEADDR + OFFSET + 0x0): -- bit 0 - Rd (Read Request Control) -- bit 1 - Wr (Write Request Control) -- bit 2 - BL (Bus Lock Control) -- bit 3 - Brst (Burst Assertion Control) -- bit 4-7 - Spare (Spare Control Bits) -- Status Register (C_BASEADDR + OFFSET + 0x1): -- bit 0 - Done (Transfer Done Status) -- bit 1 - Busy (User Logic Master is Busy) -- bit 2 - Error (User Logic Master request got error response) -- bit 3 - Tmout (User Logic Master request is timeout) -- bit 2-7 - Spare (Spare Status Bits) -- Addrress Register (C_BASEADDR + OFFSET + 0x4): -- bit 0-31 - Target Address (This 32-bit value is used to populate the -- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write -- user logic master operation) -- Byte Enable Register (C_BASEADDR + OFFSET + 0x8): -- bit 0-15 - Master BE (This 16-bit value is used to populate the -- IP2Bus_Mst_BE byte enable bus during a Read or Write user -- logic master operation for single data beat transfer) -- Length Register (C_BASEADDR + OFFSET + 0xC): -- bit 0-3 - Reserved -- bit 4-15 - Transfer Length (This 12-bit value is used to populate the -- IP2Bus_Mst_Length(0:11) transfer length bus which specifies -- the number of bytes (1 to 4096) to transfer during user logic -- master Read or Write fixed length burst operations) -- Go Register (C_BASEADDR + OFFSET + 0xF): -- bit 0-7 - Go Port (Write to this byte address initiates the user -- logic master transfer, data key value of 0x0A must be used) -- -- Note: OFFSET may be different depending on your address space configuration, -- by default it's either 0x0 or 0x100. Refer to IPIF address range array -- for actual value. -- -- Here's an example procedure in your software application to initiate a 4-byte -- write operation (single data beat) of this master model: -- 1. write 0x40 to the control register -- 2. write the target address to the address register -- 3. write valid byte lane value to the be register -- - note: this value must be aligned with ip2bus address -- 4. write 0x0004 to the length register -- 5. write 0x0a to the go register, this will start the master write operation -- ------------------------------------------ mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); mst_reg_write_sel <= Bus2IP_WrCE(1 to 4); mst_reg_read_sel <= Bus2IP_RdCE(1 to 4); mst_write_ack <= mst_reg_write_req; mst_read_ack <= mst_reg_read_req; -- rip control bits from master model registers mst_cntl_rd_req <= mst_reg(0)(0); mst_cntl_wr_req <= mst_reg(0)(1); mst_cntl_bus_lock <= mst_reg(0)(2); mst_cntl_burst <= mst_reg(0)(3); mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7); mst_ip2bus_be <= mst_reg(8) & mst_reg(9); mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13); -- implement byte write enable for each byte slice of the master model registers MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin for byte_index in 0 to 15 loop mst_byte_we(byte_index) <= mst_reg_write_req and mst_reg_write_sel(byte_index/BE_WIDTH) and Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH); end loop; end process MASTER_REG_BYTE_WR_EN; -- implement master model registers MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then mst_reg(0 to 14) <= (others => "00000000"); else -- control register (byte 0) if ( mst_byte_we(0) = '1' ) then mst_reg(0) <= Bus2IP_Data(0 to 7); end if; -- status register (byte 1) mst_reg(1)(1) <= mst_cmd_sm_busy; if ( mst_byte_we(1) = '1' ) then -- allows a clear of the 'Done'/'error'/'timeout' mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8); mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2); mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3); else -- 'Done'/'error'/'timeout' from master control state machine mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0); mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2); mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3); end if; -- byte 2 and 3 are reserved -- address register (byte 4 to 7) -- be register (byte 8 to 9) -- length register (byte 12 to 13) -- byte 10, 11 and 14 are reserved for byte_index in 4 to 14 loop if ( mst_byte_we(byte_index) = '1' ) then mst_reg(byte_index) <= Bus2IP_Data( (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7); end if; end loop; end if; end if; end process MASTER_REG_WRITE_PROC; -- implement master model write only 'go' port MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A"; constant GO_BYTE_LANE : integer := 15; constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then mst_go <= '0'; elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to (GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then mst_go <= '1'; else null; end if; end if; end process MASTER_WRITE_GO_PORT; -- implement master model register read mux MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin case mst_reg_read_sel is when "1000" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index); end loop; when "0100" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index); end loop; when "0010" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index); end loop; when "0001" => for byte_index in 0 to BE_WIDTH-1 loop if ( byte_index = BE_WIDTH-1 ) then -- go port is not readable mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0'); else mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index); end if; end loop; when others => mst_ip2bus_data <= (others => '0'); end case; end process MASTER_REG_READ_PROC; -- user logic master command interface assignments IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req; IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req; IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be; IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock; IP2Bus_Mst_Reset <= mst_cmd_sm_reset; --implement master command interface state machine MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; -- state transition case mst_cmd_sm_state is when CMD_IDLE => if ( mst_go = '1' ) then mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_clr_go <= '1'; else mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end if; when CMD_RUN => if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; elsif ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; mst_cmd_sm_set_timeout <= '1'; elsif ( Bus2IP_Mst_Error = '1' ) then -- PLB data transfer error mst_cmd_sm_set_error <= '1'; end if; else mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_rd_req <= mst_cntl_rd_req; mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15); mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; end if; when CMD_WAIT_FOR_DATA => if ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; else mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; end if; when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_set_done <= '1'; mst_cmd_sm_busy <= '0'; when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; -- local srl fifo for data storage mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n); mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n); DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f generic map ( C_DWIDTH => C_MST_DWIDTH, C_DEPTH => 16 ) port map ( Clk => Bus2IP_Clk, Reset => Bus2IP_Reset, FIFO_Write => mst_fifo_valid_write_xfer, Data_In => Bus2IP_MstRd_d, FIFO_Read => mst_fifo_valid_read_xfer, Data_Out => IP2Bus_MstWr_d, FIFO_Full => open, FIFO_Empty => open, Addr => open ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else mst_ip2bus_data when mst_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack or mst_write_ack; IP2Bus_RdAck <= slv_read_ack or mst_read_ack; IP2Bus_Error <= '0'; end IMP;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.srl_fifo_f; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_MST_AWIDTH -- Master interface address bus width -- C_MST_DWIDTH -- Master interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response -- IP2Bus_MstRd_Req -- IP to Bus master read request -- IP2Bus_MstWr_Req -- IP to Bus master write request -- IP2Bus_Mst_Addr -- IP to Bus master address bus -- IP2Bus_Mst_BE -- IP to Bus master byte enables -- IP2Bus_Mst_Lock -- IP to Bus master lock -- IP2Bus_Mst_Reset -- IP to Bus master reset -- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement -- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion -- Bus2IP_Mst_Error -- Bus to IP master error response -- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate -- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout -- Bus2IP_MstRd_d -- Bus to IP master read data bus -- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready -- IP2Bus_MstWr_d -- IP to Bus master write data bus -- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_MST_AWIDTH : integer := 32; C_MST_DWIDTH : integer := 32; C_NUM_REG : integer := 5 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_MstRd_Req : out std_logic; IP2Bus_MstWr_Req : out std_logic; IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1); IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1); IP2Bus_Mst_Lock : out std_logic; IP2Bus_Mst_Reset : out std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Rearbitrate : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstRd_src_rdy_n : in std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstWr_dst_rdy_n : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; ------------------------------------------ -- Signals for user logic master model example ------------------------------------------ -- signals for master model control/status registers write/read signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal mst_reg_write_req : std_logic; signal mst_reg_read_req : std_logic; signal mst_reg_write_sel : std_logic_vector(0 to 3); signal mst_reg_read_sel : std_logic_vector(0 to 3); signal mst_write_ack : std_logic; signal mst_read_ack : std_logic; -- signals for master model control/status registers type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7); signal mst_reg : BYTE_REG_TYPE; signal mst_byte_we : std_logic_vector(0 to 15); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_ip2bus_be : std_logic_vector(0 to 15); signal mst_go : std_logic; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1); signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to demonstrate user logic master model functionality -- -- Note: -- The example code presented here is to show you one way of stimulating -- the PLBv46 master interface under user control. It is provided for -- demonstration purposes only and allows the user to exercise the PLBv46 -- master interface during test and evaluation of the template. -- This user logic master model contains a 16-byte flattened register and -- the user is required to initialize the value to desire and then write to -- the model's 'Go' port to initiate the user logic master operation. -- -- Control Register (C_BASEADDR + OFFSET + 0x0): -- bit 0 - Rd (Read Request Control) -- bit 1 - Wr (Write Request Control) -- bit 2 - BL (Bus Lock Control) -- bit 3 - Brst (Burst Assertion Control) -- bit 4-7 - Spare (Spare Control Bits) -- Status Register (C_BASEADDR + OFFSET + 0x1): -- bit 0 - Done (Transfer Done Status) -- bit 1 - Busy (User Logic Master is Busy) -- bit 2 - Error (User Logic Master request got error response) -- bit 3 - Tmout (User Logic Master request is timeout) -- bit 2-7 - Spare (Spare Status Bits) -- Addrress Register (C_BASEADDR + OFFSET + 0x4): -- bit 0-31 - Target Address (This 32-bit value is used to populate the -- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write -- user logic master operation) -- Byte Enable Register (C_BASEADDR + OFFSET + 0x8): -- bit 0-15 - Master BE (This 16-bit value is used to populate the -- IP2Bus_Mst_BE byte enable bus during a Read or Write user -- logic master operation for single data beat transfer) -- Length Register (C_BASEADDR + OFFSET + 0xC): -- bit 0-3 - Reserved -- bit 4-15 - Transfer Length (This 12-bit value is used to populate the -- IP2Bus_Mst_Length(0:11) transfer length bus which specifies -- the number of bytes (1 to 4096) to transfer during user logic -- master Read or Write fixed length burst operations) -- Go Register (C_BASEADDR + OFFSET + 0xF): -- bit 0-7 - Go Port (Write to this byte address initiates the user -- logic master transfer, data key value of 0x0A must be used) -- -- Note: OFFSET may be different depending on your address space configuration, -- by default it's either 0x0 or 0x100. Refer to IPIF address range array -- for actual value. -- -- Here's an example procedure in your software application to initiate a 4-byte -- write operation (single data beat) of this master model: -- 1. write 0x40 to the control register -- 2. write the target address to the address register -- 3. write valid byte lane value to the be register -- - note: this value must be aligned with ip2bus address -- 4. write 0x0004 to the length register -- 5. write 0x0a to the go register, this will start the master write operation -- ------------------------------------------ mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); mst_reg_write_sel <= Bus2IP_WrCE(1 to 4); mst_reg_read_sel <= Bus2IP_RdCE(1 to 4); mst_write_ack <= mst_reg_write_req; mst_read_ack <= mst_reg_read_req; -- rip control bits from master model registers mst_cntl_rd_req <= mst_reg(0)(0); mst_cntl_wr_req <= mst_reg(0)(1); mst_cntl_bus_lock <= mst_reg(0)(2); mst_cntl_burst <= mst_reg(0)(3); mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7); mst_ip2bus_be <= mst_reg(8) & mst_reg(9); mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13); -- implement byte write enable for each byte slice of the master model registers MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin for byte_index in 0 to 15 loop mst_byte_we(byte_index) <= mst_reg_write_req and mst_reg_write_sel(byte_index/BE_WIDTH) and Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH); end loop; end process MASTER_REG_BYTE_WR_EN; -- implement master model registers MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then mst_reg(0 to 14) <= (others => "00000000"); else -- control register (byte 0) if ( mst_byte_we(0) = '1' ) then mst_reg(0) <= Bus2IP_Data(0 to 7); end if; -- status register (byte 1) mst_reg(1)(1) <= mst_cmd_sm_busy; if ( mst_byte_we(1) = '1' ) then -- allows a clear of the 'Done'/'error'/'timeout' mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8); mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2); mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3); else -- 'Done'/'error'/'timeout' from master control state machine mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0); mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2); mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3); end if; -- byte 2 and 3 are reserved -- address register (byte 4 to 7) -- be register (byte 8 to 9) -- length register (byte 12 to 13) -- byte 10, 11 and 14 are reserved for byte_index in 4 to 14 loop if ( mst_byte_we(byte_index) = '1' ) then mst_reg(byte_index) <= Bus2IP_Data( (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7); end if; end loop; end if; end if; end process MASTER_REG_WRITE_PROC; -- implement master model write only 'go' port MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A"; constant GO_BYTE_LANE : integer := 15; constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then mst_go <= '0'; elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to (GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then mst_go <= '1'; else null; end if; end if; end process MASTER_WRITE_GO_PORT; -- implement master model register read mux MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin case mst_reg_read_sel is when "1000" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index); end loop; when "0100" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index); end loop; when "0010" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index); end loop; when "0001" => for byte_index in 0 to BE_WIDTH-1 loop if ( byte_index = BE_WIDTH-1 ) then -- go port is not readable mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0'); else mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index); end if; end loop; when others => mst_ip2bus_data <= (others => '0'); end case; end process MASTER_REG_READ_PROC; -- user logic master command interface assignments IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req; IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req; IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be; IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock; IP2Bus_Mst_Reset <= mst_cmd_sm_reset; --implement master command interface state machine MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; -- state transition case mst_cmd_sm_state is when CMD_IDLE => if ( mst_go = '1' ) then mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_clr_go <= '1'; else mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end if; when CMD_RUN => if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; elsif ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; mst_cmd_sm_set_timeout <= '1'; elsif ( Bus2IP_Mst_Error = '1' ) then -- PLB data transfer error mst_cmd_sm_set_error <= '1'; end if; else mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_rd_req <= mst_cntl_rd_req; mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15); mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; end if; when CMD_WAIT_FOR_DATA => if ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; else mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; end if; when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_set_done <= '1'; mst_cmd_sm_busy <= '0'; when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; -- local srl fifo for data storage mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n); mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n); DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f generic map ( C_DWIDTH => C_MST_DWIDTH, C_DEPTH => 16 ) port map ( Clk => Bus2IP_Clk, Reset => Bus2IP_Reset, FIFO_Write => mst_fifo_valid_write_xfer, Data_In => Bus2IP_MstRd_d, FIFO_Read => mst_fifo_valid_read_xfer, Data_Out => IP2Bus_MstWr_d, FIFO_Full => open, FIFO_Empty => open, Addr => open ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else mst_ip2bus_data when mst_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack or mst_write_ack; IP2Bus_RdAck <= slv_read_ack or mst_read_ack; IP2Bus_Error <= '0'; end IMP;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.srl_fifo_f; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_MST_AWIDTH -- Master interface address bus width -- C_MST_DWIDTH -- Master interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response -- IP2Bus_MstRd_Req -- IP to Bus master read request -- IP2Bus_MstWr_Req -- IP to Bus master write request -- IP2Bus_Mst_Addr -- IP to Bus master address bus -- IP2Bus_Mst_BE -- IP to Bus master byte enables -- IP2Bus_Mst_Lock -- IP to Bus master lock -- IP2Bus_Mst_Reset -- IP to Bus master reset -- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement -- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion -- Bus2IP_Mst_Error -- Bus to IP master error response -- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate -- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout -- Bus2IP_MstRd_d -- Bus to IP master read data bus -- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready -- IP2Bus_MstWr_d -- IP to Bus master write data bus -- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_MST_AWIDTH : integer := 32; C_MST_DWIDTH : integer := 32; C_NUM_REG : integer := 5 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_MstRd_Req : out std_logic; IP2Bus_MstWr_Req : out std_logic; IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1); IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1); IP2Bus_Mst_Lock : out std_logic; IP2Bus_Mst_Reset : out std_logic; Bus2IP_Mst_CmdAck : in std_logic; Bus2IP_Mst_Cmplt : in std_logic; Bus2IP_Mst_Error : in std_logic; Bus2IP_Mst_Rearbitrate : in std_logic; Bus2IP_Mst_Cmd_Timeout : in std_logic; Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstRd_src_rdy_n : in std_logic; IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1); Bus2IP_MstWr_dst_rdy_n : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; ------------------------------------------ -- Signals for user logic master model example ------------------------------------------ -- signals for master model control/status registers write/read signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal mst_reg_write_req : std_logic; signal mst_reg_read_req : std_logic; signal mst_reg_write_sel : std_logic_vector(0 to 3); signal mst_reg_read_sel : std_logic_vector(0 to 3); signal mst_write_ack : std_logic; signal mst_read_ack : std_logic; -- signals for master model control/status registers type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7); signal mst_reg : BYTE_REG_TYPE; signal mst_byte_we : std_logic_vector(0 to 15); signal mst_cntl_rd_req : std_logic; signal mst_cntl_wr_req : std_logic; signal mst_cntl_bus_lock : std_logic; signal mst_cntl_burst : std_logic; signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_xfer_length : std_logic_vector(0 to 11); signal mst_ip2bus_be : std_logic_vector(0 to 15); signal mst_go : std_logic; -- signals for master model command interface state machine type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE); signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE; signal mst_cmd_sm_set_done : std_logic; signal mst_cmd_sm_set_error : std_logic; signal mst_cmd_sm_set_timeout : std_logic; signal mst_cmd_sm_busy : std_logic; signal mst_cmd_sm_clr_go : std_logic; signal mst_cmd_sm_rd_req : std_logic; signal mst_cmd_sm_wr_req : std_logic; signal mst_cmd_sm_reset : std_logic; signal mst_cmd_sm_bus_lock : std_logic; signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1); signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1); signal mst_fifo_valid_write_xfer : std_logic; signal mst_fifo_valid_read_xfer : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to demonstrate user logic master model functionality -- -- Note: -- The example code presented here is to show you one way of stimulating -- the PLBv46 master interface under user control. It is provided for -- demonstration purposes only and allows the user to exercise the PLBv46 -- master interface during test and evaluation of the template. -- This user logic master model contains a 16-byte flattened register and -- the user is required to initialize the value to desire and then write to -- the model's 'Go' port to initiate the user logic master operation. -- -- Control Register (C_BASEADDR + OFFSET + 0x0): -- bit 0 - Rd (Read Request Control) -- bit 1 - Wr (Write Request Control) -- bit 2 - BL (Bus Lock Control) -- bit 3 - Brst (Burst Assertion Control) -- bit 4-7 - Spare (Spare Control Bits) -- Status Register (C_BASEADDR + OFFSET + 0x1): -- bit 0 - Done (Transfer Done Status) -- bit 1 - Busy (User Logic Master is Busy) -- bit 2 - Error (User Logic Master request got error response) -- bit 3 - Tmout (User Logic Master request is timeout) -- bit 2-7 - Spare (Spare Status Bits) -- Addrress Register (C_BASEADDR + OFFSET + 0x4): -- bit 0-31 - Target Address (This 32-bit value is used to populate the -- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write -- user logic master operation) -- Byte Enable Register (C_BASEADDR + OFFSET + 0x8): -- bit 0-15 - Master BE (This 16-bit value is used to populate the -- IP2Bus_Mst_BE byte enable bus during a Read or Write user -- logic master operation for single data beat transfer) -- Length Register (C_BASEADDR + OFFSET + 0xC): -- bit 0-3 - Reserved -- bit 4-15 - Transfer Length (This 12-bit value is used to populate the -- IP2Bus_Mst_Length(0:11) transfer length bus which specifies -- the number of bytes (1 to 4096) to transfer during user logic -- master Read or Write fixed length burst operations) -- Go Register (C_BASEADDR + OFFSET + 0xF): -- bit 0-7 - Go Port (Write to this byte address initiates the user -- logic master transfer, data key value of 0x0A must be used) -- -- Note: OFFSET may be different depending on your address space configuration, -- by default it's either 0x0 or 0x100. Refer to IPIF address range array -- for actual value. -- -- Here's an example procedure in your software application to initiate a 4-byte -- write operation (single data beat) of this master model: -- 1. write 0x40 to the control register -- 2. write the target address to the address register -- 3. write valid byte lane value to the be register -- - note: this value must be aligned with ip2bus address -- 4. write 0x0004 to the length register -- 5. write 0x0a to the go register, this will start the master write operation -- ------------------------------------------ mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); mst_reg_write_sel <= Bus2IP_WrCE(1 to 4); mst_reg_read_sel <= Bus2IP_RdCE(1 to 4); mst_write_ack <= mst_reg_write_req; mst_read_ack <= mst_reg_read_req; -- rip control bits from master model registers mst_cntl_rd_req <= mst_reg(0)(0); mst_cntl_wr_req <= mst_reg(0)(1); mst_cntl_bus_lock <= mst_reg(0)(2); mst_cntl_burst <= mst_reg(0)(3); mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7); mst_ip2bus_be <= mst_reg(8) & mst_reg(9); mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13); -- implement byte write enable for each byte slice of the master model registers MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin for byte_index in 0 to 15 loop mst_byte_we(byte_index) <= mst_reg_write_req and mst_reg_write_sel(byte_index/BE_WIDTH) and Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH); end loop; end process MASTER_REG_BYTE_WR_EN; -- implement master model registers MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then mst_reg(0 to 14) <= (others => "00000000"); else -- control register (byte 0) if ( mst_byte_we(0) = '1' ) then mst_reg(0) <= Bus2IP_Data(0 to 7); end if; -- status register (byte 1) mst_reg(1)(1) <= mst_cmd_sm_busy; if ( mst_byte_we(1) = '1' ) then -- allows a clear of the 'Done'/'error'/'timeout' mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8); mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2); mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3); else -- 'Done'/'error'/'timeout' from master control state machine mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0); mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2); mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3); end if; -- byte 2 and 3 are reserved -- address register (byte 4 to 7) -- be register (byte 8 to 9) -- length register (byte 12 to 13) -- byte 10, 11 and 14 are reserved for byte_index in 4 to 14 loop if ( mst_byte_we(byte_index) = '1' ) then mst_reg(byte_index) <= Bus2IP_Data( (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to (byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7); end if; end loop; end if; end if; end process MASTER_REG_WRITE_PROC; -- implement master model write only 'go' port MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A"; constant GO_BYTE_LANE : integer := 15; constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then mst_go <= '0'; elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to (GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then mst_go <= '1'; else null; end if; end if; end process MASTER_WRITE_GO_PORT; -- implement master model register read mux MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is constant BE_WIDTH : integer := C_SLV_DWIDTH/8; begin case mst_reg_read_sel is when "1000" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index); end loop; when "0100" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index); end loop; when "0010" => for byte_index in 0 to BE_WIDTH-1 loop mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index); end loop; when "0001" => for byte_index in 0 to BE_WIDTH-1 loop if ( byte_index = BE_WIDTH-1 ) then -- go port is not readable mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0'); else mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index); end if; end loop; when others => mst_ip2bus_data <= (others => '0'); end case; end process MASTER_REG_READ_PROC; -- user logic master command interface assignments IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req; IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req; IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr; IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be; IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock; IP2Bus_Mst_Reset <= mst_cmd_sm_reset; --implement master command interface state machine MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is begin if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then if ( Bus2IP_Reset = '1' ) then -- reset condition mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '0'; else -- default condition mst_cmd_sm_clr_go <= '0'; mst_cmd_sm_rd_req <= '0'; mst_cmd_sm_wr_req <= '0'; mst_cmd_sm_bus_lock <= '0'; mst_cmd_sm_reset <= '0'; mst_cmd_sm_ip2bus_addr <= (others => '0'); mst_cmd_sm_ip2bus_be <= (others => '0'); mst_cmd_sm_set_done <= '0'; mst_cmd_sm_set_error <= '0'; mst_cmd_sm_set_timeout <= '0'; mst_cmd_sm_busy <= '1'; -- state transition case mst_cmd_sm_state is when CMD_IDLE => if ( mst_go = '1' ) then mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_clr_go <= '1'; else mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end if; when CMD_RUN => if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; elsif ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then -- PLB address phase timeout mst_cmd_sm_set_error <= '1'; mst_cmd_sm_set_timeout <= '1'; elsif ( Bus2IP_Mst_Error = '1' ) then -- PLB data transfer error mst_cmd_sm_set_error <= '1'; end if; else mst_cmd_sm_state <= CMD_RUN; mst_cmd_sm_rd_req <= mst_cntl_rd_req; mst_cmd_sm_wr_req <= mst_cntl_wr_req; mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr; mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15); mst_cmd_sm_bus_lock <= mst_cntl_bus_lock; end if; when CMD_WAIT_FOR_DATA => if ( Bus2IP_Mst_Cmplt = '1' ) then mst_cmd_sm_state <= CMD_DONE; else mst_cmd_sm_state <= CMD_WAIT_FOR_DATA; end if; when CMD_DONE => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_set_done <= '1'; mst_cmd_sm_busy <= '0'; when others => mst_cmd_sm_state <= CMD_IDLE; mst_cmd_sm_busy <= '0'; end case; end if; end if; end process MASTER_CMD_SM_PROC; -- local srl fifo for data storage mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n); mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n); DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f generic map ( C_DWIDTH => C_MST_DWIDTH, C_DEPTH => 16 ) port map ( Clk => Bus2IP_Clk, Reset => Bus2IP_Reset, FIFO_Write => mst_fifo_valid_write_xfer, Data_In => Bus2IP_MstRd_d, FIFO_Read => mst_fifo_valid_read_xfer, Data_Out => IP2Bus_MstWr_d, FIFO_Full => open, FIFO_Empty => open, Addr => open ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else mst_ip2bus_data when mst_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack or mst_write_ack; IP2Bus_RdAck <= slv_read_ack or mst_read_ack; IP2Bus_Error <= '0'; end IMP;
-- Truth Table for 2-to-4 decoder -- ---------------------------------------------------- -- | A1 | A0 | Z3 | Z2 | Z1 | Z0 | -- ---------------------------------------------------- -- | 0 | 0 | 0 | 0 | 0 | 1 | -- ---------------------------------------------------- -- | 0 | 1 | 0 | 0 | 1 | 0 | -- ---------------------------------------------------- -- | 1 | 0 | 0 | 1 | 0 | 0 | -- ---------------------------------------------------- -- | 1 | 1 | 1 | 0 | 0 | 0 | -- ---------------------------------------------------- -- NATURAL: a predefined subtype with integer values from 0 to the max integer value. -- range <>: undefined range, so std_logic_vector can be used for a logic vector of any size. library ieee; use ieee.std_logic_1164.all; entity decoder is port(a: in std_logic_vector (1 downto 0); -- to z: out std_logic_vector (3 downto 0));
--************************************************************************************************ -- Component declaration for the synchronizer -- Version 0.2 -- Designed by Ruslan Lepetenok -- Modified 10.08.2003 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; package SynchronizerCompPack is -- Transparent D latch component SynchronizerLatch is port( D : in std_logic; G : in std_logic; Q : out std_logic; QN : out std_logic); end component; -- Falling edge triggered flip-flop component SynchronizerDFF is port( NRST : in std_logic; CLK : in std_logic; D : in std_logic; Q : out std_logic); end component; end SynchronizerCompPack;
--************************************************************************************************ -- Component declaration for the synchronizer -- Version 0.2 -- Designed by Ruslan Lepetenok -- Modified 10.08.2003 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; package SynchronizerCompPack is -- Transparent D latch component SynchronizerLatch is port( D : in std_logic; G : in std_logic; Q : out std_logic; QN : out std_logic); end component; -- Falling edge triggered flip-flop component SynchronizerDFF is port( NRST : in std_logic; CLK : in std_logic; D : in std_logic; Q : out std_logic); end component; end SynchronizerCompPack;
--************************************************************************************************ -- Component declaration for the synchronizer -- Version 0.2 -- Designed by Ruslan Lepetenok -- Modified 10.08.2003 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; package SynchronizerCompPack is -- Transparent D latch component SynchronizerLatch is port( D : in std_logic; G : in std_logic; Q : out std_logic; QN : out std_logic); end component; -- Falling edge triggered flip-flop component SynchronizerDFF is port( NRST : in std_logic; CLK : in std_logic; D : in std_logic; Q : out std_logic); end component; end SynchronizerCompPack;
--************************************************************************************************ -- Component declaration for the synchronizer -- Version 0.2 -- Designed by Ruslan Lepetenok -- Modified 10.08.2003 --************************************************************************************************ library IEEE; use IEEE.std_logic_1164.all; package SynchronizerCompPack is -- Transparent D latch component SynchronizerLatch is port( D : in std_logic; G : in std_logic; Q : out std_logic; QN : out std_logic); end component; -- Falling edge triggered flip-flop component SynchronizerDFF is port( NRST : in std_logic; CLK : in std_logic; D : in std_logic; Q : out std_logic); end component; end SynchronizerCompPack;
library verilog; use verilog.vl_types.all; entity decoder is port( if_pc : in vl_logic_vector(29 downto 0); if_insn : in vl_logic_vector(31 downto 0); if_en : in vl_logic; gpr_rd_data_0 : in vl_logic_vector(31 downto 0); gpr_rd_data_1 : in vl_logic_vector(31 downto 0); gpr_rd_addr_0 : out vl_logic_vector(4 downto 0); gpr_rd_addr_1 : out vl_logic_vector(4 downto 0); id_en : in vl_logic; id_dst_addr : in vl_logic_vector(4 downto 0); id_gpr_we_n : in vl_logic; id_mem_op : in vl_logic_vector(1 downto 0); ex_en : in vl_logic; ex_dst_addr : in vl_logic_vector(4 downto 0); ex_gpr_we_n : in vl_logic; ex_fwd_data : in vl_logic_vector(31 downto 0); mem_fwd_data : in vl_logic_vector(31 downto 0); exe_mode : in vl_logic_vector(0 downto 0); creg_rd_data : in vl_logic_vector(31 downto 0); creg_rd_addr : out vl_logic_vector(4 downto 0); alu_op : out vl_logic_vector(3 downto 0); alu_in_0 : out vl_logic_vector(31 downto 0); alu_in_1 : out vl_logic_vector(31 downto 0); br_addr : out vl_logic_vector(29 downto 0); br_taken : out vl_logic; br_flag : out vl_logic; mem_op : out vl_logic_vector(1 downto 0); mem_wr_data : out vl_logic_vector(31 downto 0); ctrl_op : out vl_logic_vector(1 downto 0); dst_addr : out vl_logic_vector(4 downto 0); gpr_we_n : out vl_logic; exp_code : out vl_logic_vector(2 downto 0); ld_hazard : out vl_logic ); end decoder;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:09:01 02/20/2012 -- Design Name: -- Module Name: arp_SYNC - Behavioral - synchronises between rx and tx clock domains -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.arp_types.all; entity arp_SYNC is port ( -- REQ to TX arp_nwk_req : in arp_nwk_request_t; -- request for a translation from IP to MAC send_who_has : out std_logic; ip_entry : out std_logic_vector (31 downto 0); -- RX to TX recv_who_has : in std_logic; -- this is for us, we will respond arp_entry_for_who_has : in arp_entry_t; send_I_have : out std_logic; arp_entry : out arp_entry_t; -- RX to REQ I_have_received : in std_logic; nwk_result_status : out arp_nwk_rslt_t; -- System Signals rx_clk : in std_logic; tx_clk : in std_logic; reset : in std_logic ); end arp_SYNC; architecture Behavioral of arp_SYNC is type sync_state_t is (IDLE, HOLD1, HOLD2); -- state registers signal ip_entry_state : sync_state_t; signal arp_entry_state : sync_state_t; signal ip_entry_reg : std_logic_vector (31 downto 0); signal arp_entry_reg : arp_entry_t; -- synchronisation registers signal send_who_has_r1 : std_logic; signal send_who_has_r2 : std_logic; signal send_I_have_r1 : std_logic; signal send_I_have_r2 : std_logic; begin combinatorial : process ( -- input signals arp_nwk_req, recv_who_has, arp_entry_for_who_has, I_have_received, reset, -- state ip_entry_state, ip_entry_reg, arp_entry_state, arp_entry_reg, -- synchronisation registers send_who_has_r1, send_who_has_r2, send_I_have_r1, send_I_have_r2 ) begin -- set output followers send_who_has <= send_who_has_r2; ip_entry <= ip_entry_reg; send_I_have <= send_I_have_r2; arp_entry <= arp_entry_reg; -- combinaltorial outputs if I_have_received = '1' then nwk_result_status <= RECEIVED; else nwk_result_status <= IDLE; end if; end process; -- process for stablisising RX clock domain data registers -- essentially holds data registers ip_entry and arp_entry static for 2 rx clk cycles -- during transfer to TX clk domain rx_sequential : process (tx_clk) begin if rising_edge(tx_clk) then if reset = '1' then -- reset state variables ip_entry_reg <= (others => '0'); arp_entry_reg.ip <= (others => '0'); arp_entry_reg.mac <= (others => '0'); else -- normal (non reset) processing case ip_entry_state is when IDLE => if arp_nwk_req.req = '1' then ip_entry_reg <= arp_nwk_req.ip; ip_entry_state <= HOLD1; else ip_entry_reg <= ip_entry_reg; ip_entry_state <= IDLE; end if; when HOLD1 => ip_entry_reg <= ip_entry_reg; ip_entry_state <= HOLD2; when HOLD2 => ip_entry_reg <= ip_entry_reg; ip_entry_state <= IDLE; end case; case arp_entry_state is when IDLE => if recv_who_has = '1' then arp_entry_reg <= arp_entry_for_who_has; arp_entry_state <= HOLD1; else arp_entry_reg <= arp_entry_reg; arp_entry_state <= IDLE; end if; when HOLD1 => arp_entry_reg <= arp_entry_reg; arp_entry_state <= HOLD2; when HOLD2 => arp_entry_reg <= arp_entry_reg; arp_entry_state <= IDLE; end case; end if; end if; end process; -- process for syncing to the TX clock domain -- clocks control signals through 2 layers of tx clocking tx_sequential : process (tx_clk) begin if rising_edge(tx_clk) then if reset = '1' then -- reset state variables send_who_has_r1 <= '0'; send_who_has_r2 <= '0'; send_I_have_r1 <= '0'; send_I_have_r2 <= '0'; else -- normal (non reset) processing send_who_has_r1 <= arp_nwk_req.req; send_who_has_r2 <= send_who_has_r1; send_I_have_r1 <= recv_who_has; send_I_have_r2 <= send_I_have_r1; end if; end if; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:09:01 02/20/2012 -- Design Name: -- Module Name: arp_SYNC - Behavioral - synchronises between rx and tx clock domains -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.arp_types.all; entity arp_SYNC is port ( -- REQ to TX arp_nwk_req : in arp_nwk_request_t; -- request for a translation from IP to MAC send_who_has : out std_logic; ip_entry : out std_logic_vector (31 downto 0); -- RX to TX recv_who_has : in std_logic; -- this is for us, we will respond arp_entry_for_who_has : in arp_entry_t; send_I_have : out std_logic; arp_entry : out arp_entry_t; -- RX to REQ I_have_received : in std_logic; nwk_result_status : out arp_nwk_rslt_t; -- System Signals rx_clk : in std_logic; tx_clk : in std_logic; reset : in std_logic ); end arp_SYNC; architecture Behavioral of arp_SYNC is type sync_state_t is (IDLE, HOLD1, HOLD2); -- state registers signal ip_entry_state : sync_state_t; signal arp_entry_state : sync_state_t; signal ip_entry_reg : std_logic_vector (31 downto 0); signal arp_entry_reg : arp_entry_t; -- synchronisation registers signal send_who_has_r1 : std_logic; signal send_who_has_r2 : std_logic; signal send_I_have_r1 : std_logic; signal send_I_have_r2 : std_logic; begin combinatorial : process ( -- input signals arp_nwk_req, recv_who_has, arp_entry_for_who_has, I_have_received, reset, -- state ip_entry_state, ip_entry_reg, arp_entry_state, arp_entry_reg, -- synchronisation registers send_who_has_r1, send_who_has_r2, send_I_have_r1, send_I_have_r2 ) begin -- set output followers send_who_has <= send_who_has_r2; ip_entry <= ip_entry_reg; send_I_have <= send_I_have_r2; arp_entry <= arp_entry_reg; -- combinaltorial outputs if I_have_received = '1' then nwk_result_status <= RECEIVED; else nwk_result_status <= IDLE; end if; end process; -- process for stablisising RX clock domain data registers -- essentially holds data registers ip_entry and arp_entry static for 2 rx clk cycles -- during transfer to TX clk domain rx_sequential : process (tx_clk) begin if rising_edge(tx_clk) then if reset = '1' then -- reset state variables ip_entry_reg <= (others => '0'); arp_entry_reg.ip <= (others => '0'); arp_entry_reg.mac <= (others => '0'); else -- normal (non reset) processing case ip_entry_state is when IDLE => if arp_nwk_req.req = '1' then ip_entry_reg <= arp_nwk_req.ip; ip_entry_state <= HOLD1; else ip_entry_reg <= ip_entry_reg; ip_entry_state <= IDLE; end if; when HOLD1 => ip_entry_reg <= ip_entry_reg; ip_entry_state <= HOLD2; when HOLD2 => ip_entry_reg <= ip_entry_reg; ip_entry_state <= IDLE; end case; case arp_entry_state is when IDLE => if recv_who_has = '1' then arp_entry_reg <= arp_entry_for_who_has; arp_entry_state <= HOLD1; else arp_entry_reg <= arp_entry_reg; arp_entry_state <= IDLE; end if; when HOLD1 => arp_entry_reg <= arp_entry_reg; arp_entry_state <= HOLD2; when HOLD2 => arp_entry_reg <= arp_entry_reg; arp_entry_state <= IDLE; end case; end if; end if; end process; -- process for syncing to the TX clock domain -- clocks control signals through 2 layers of tx clocking tx_sequential : process (tx_clk) begin if rising_edge(tx_clk) then if reset = '1' then -- reset state variables send_who_has_r1 <= '0'; send_who_has_r2 <= '0'; send_I_have_r1 <= '0'; send_I_have_r2 <= '0'; else -- normal (non reset) processing send_who_has_r1 <= arp_nwk_req.req; send_who_has_r2 <= send_who_has_r1; send_I_have_r1 <= recv_who_has; send_I_have_r2 <= send_I_have_r1; end if; end if; end process; end Behavioral;
-- file: clk_base.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___250.000______0.000______50.0______110.209_____98.575 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_base is port (-- Clock in ports clk_raw : in std_logic; -- Clock out ports clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_base; architecture xilinx of clk_base is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_base_clk_wiz port (-- Clock in ports clk_raw : in std_logic; -- Clock out ports clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_base_clk_wiz port map ( -- Clock in ports clk_raw => clk_raw, -- Clock out ports clk_250MHz => clk_250MHz, -- Status and control signals locked => locked ); end xilinx;
-- file: clk_base.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___250.000______0.000______50.0______110.209_____98.575 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_base is port (-- Clock in ports clk_raw : in std_logic; -- Clock out ports clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_base; architecture xilinx of clk_base is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_base_clk_wiz port (-- Clock in ports clk_raw : in std_logic; -- Clock out ports clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_base_clk_wiz port map ( -- Clock in ports clk_raw => clk_raw, -- Clock out ports clk_250MHz => clk_250MHz, -- Status and control signals locked => locked ); end xilinx;
--! @file symbolizer_even_tb.vhd --! @brief Symbolizer block testbench --! @author Scott Teal ([email protected]) --! @date 2013-11-05 --! @copyright --! Copyright 2013 Richard Scott Teal, Jr. --! --! Licensed under the Apache License, Version 2.0 (the "License"); you may not --! use this file except in compliance with the License. You may obtain a copy --! of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, WITHOUT --! WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the --! License for the specific language governing permissions and limitations --! under the License. --! Standard IEEE library library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; library boostdsp; use boostdsp.fixed_pkg.all; use boostdsp.util_pkg.all; use boostdsp.basic_pkg; entity symbolizer_even_tb is end entity symbolizer_even_tb; architecture rtl of symbolizer_even_tb is constant clk_hp : time := 1 ns; signal clk : std_logic := '1'; signal rst : std_logic := '1'; signal data_in : unsigned(15 downto 0) := (others => '0'); signal data_in_std : std_logic_vector(data_in'range) := (others => '0'); signal busy : std_logic; signal data_valid : std_logic := '0'; signal fetch_symbol : std_logic := '0'; signal symbol_out : std_logic_vector(3 downto 0) := (others => '0'); begin data_in_std <= std_logic_vector(data_in); uut : basic_pkg.symbolizer_even port map ( clk => clk, rst => rst, data_in => data_in_std, busy => busy, data_valid => data_valid, fetch_symbol => fetch_symbol, symbol_out => symbol_out ); clk_proc : process begin wait for clk_hp; clk <= not clk; end process; rst_proc : process begin wait for clk_hp*4; rst <= '0'; end process; fetch_symbols : process begin wait for clk_hp*4; while(true) loop wait for (clk_hp*2)*3; fetch_symbol <= '1'; wait for (clk_hp*2); fetch_symbol <= '0'; end loop; end process; send_data : process begin wait for clk_hp*4; if busy = '0' then data_in <= data_in + 1; data_valid <= '1'; wait for clk_hp*2; data_valid <= '0'; end if; end process; end rtl;
library IEEE; use IEEE.std_logic_1164.all; entity InterfaceModem is port (CLOCK, RESET, LIGA, DadoSerial, CD, RD, CTS : in std_logic; Enviar : in std_logic; DTR, RTS, TD, temDadoRecebido, DadoRecebido : out std_logic; envioOK : out std_logic; estado_transmissao, estado_recepcao : out std_logic_vector(1 downto 0)); end InterfaceModem; architecture hierarquico of InterfaceModem is component transmissor is port(liga : in std_logic; enviar : in std_logic; reset : in std_logic; clock : in std_logic; dado_serial : in std_logic; CTS : in std_logic; envioOk : out std_logic; DTR : out std_logic; RTS : out std_logic; TD : out std_logic; d_estado : out std_logic_vector(1 downto 0)); end component; component receptor is port ( CLOCK, RESET, LIGA, CD, RD : in std_logic; DTR, temDadoRecebido, DadoRecebido : out std_logic; dep_estado : out std_logic_vector(1 downto 0) ); end component; signal s_dtr_1, s_dtr_2 : std_logic; begin R: transmissor port map(LIGA, Enviar, RESET, CLOCK, DadoSerial, CTS, envioOK, s_dtr_1, RTS, TD, estado_transmissao); T: receptor port map(CLOCK, RESET, LIGA, CD, RD, s_dtr_2, temDadoRecebido, DadoRecebido, estado_recepcao); DTR <= s_dtr_1 or s_dtr_2; end hierarquico;
entity repro7 is end repro7; architecture behav of repro7 is type my_rec is record a : bit; w : bit_vector; end record; procedure check (signal v : my_rec) is begin assert v.a = '0' and v.w = "01" severity failure; end check; procedure pack (signal a : bit; signal w : bit_vector) is begin check (v.a => a, v.w => w); end pack; signal sa : bit; signal sw : bit_vector (1 to 2); begin process begin sa <= '0'; sw <= "01"; wait for 0 ns; pack (sa, sw); wait; end process; end;
-- $Id: sys_tst_rlink_n4.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2013-2019 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: sys_tst_rlink_n4 - syn -- Description: rlink tester design for nexys4 -- -- Dependencies: vlib/xlib/s7_cmt_sfs -- vlib/genlib/clkdivce -- bplib/bpgen/bp_rs232_4line_iob -- bplib/bpgen/sn_humanio_rbus -- vlib/rlink/rlink_sp1c -- rbd_tst_rlink -- bplib/bpgen/rgbdrv_master -- bplib/bpgen/rgbdrv_analog_rbus -- bplib/sysmon/sysmonx_rbus_base -- vlib/rbus/rbd_usracc -- vlib/rbus/rb_sres_or_2 -- vlib/rbus/rb_sres_or_6 -- -- Test bench: tb/tb_tst_rlink_n4 -- -- Target Devices: generic -- Tool versions: viv 2014.4-2018.3; ghdl 0.29-0.35 (ise 14.5-14.7 retired) -- -- Synthesized: -- Date Rev viv Target flop lutl lutm bram slic -- 2019-02-02 1108 2018.3 xc7a100t-1 1179 1725 36 3.0 606 -- 2019-02-02 1108 2017.2 xc7a100t-1 1179 1813 36 3.0 627 -- 2016-04-02 758 2015.4 xc7a100t-1 1113 1461 36 3.0 528 usracc -- 2016-03-27 753 2015.4 xc7a100t-1 1124 1461 36 3.0 522 meminf -- 2016-03-13 743 2015.4 xc7a100t-1 1124 1463 64 4.5 567 +XADC -- 2016-02-20 734 2015.4 xc7a100t-1 1080 1424 64 4.5 502 +RGB -- 2015-01-31 640 2014.4 xc7a100t-1 990 1360 64 4.5 495 -- -- Revision History: -- Date Rev Version Comment -- 2016-06-05 772 1.5.3 use CDUWIDTH=7, 120 MHz clock is natural choice -- 2016-04-02 758 1.5.2 add rbd_usracc_e2 (bitfile+jtag timestamp access) -- 2016-03-19 748 1.5.1 define rlink SYSID -- 2016-03-12 741 1.5 add sysmon_rbus -- 2016-02-20 734 1.4.2 add rgbdrv_analog_rbus for two rgb leds -- 2015-04-11 666 1.4.1 rearrange XON handling -- 2015-02-06 643 1.4 factor out memory -- 2015-02-01 641 1.3.1 separate I_BTNRST_N; autobaud on msb of display -- 2015-01-31 640 1.3 drop fusp iface; use new sn_hio -- 2014-11-09 603 1.2 use new rlink v4 iface and 4 bit STAT -- 2014-08-15 583 1.1 rb_mreq addr now 16 bit -- 2013-09-28 535 1.0 Initial version (derived from sys_tst_rlink_n3) ------------------------------------------------------------------------------ -- Usage of Nexys 4 Switches, Buttons, LEDs: -- -- SWI(7:2): no function (only connected to sn_humanio_rbus) -- SWI(1): 1 enable XON -- SWI(0): -unused- -- -- LED(7): SER_MONI.abact -- LED(6:2): no function (only connected to sn_humanio_rbus) -- LED(1): timer 1 busy -- LED(0): timer 0 busy -- -- DSP: SER_MONI.clkdiv (from auto bauder) -- DP(3): not SER_MONI.txok (shows tx back pressure) -- DP(2): SER_MONI.txact (shows tx activity) -- DP(1): not SER_MONI.rxok (shows rx back pressure) -- DP(0): SER_MONI.rxact (shows rx activity) -- library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.xlib.all; use work.genlib.all; use work.serportlib.all; use work.rblib.all; use work.rbdlib.all; use work.rlinklib.all; use work.bpgenlib.all; use work.bpgenrbuslib.all; use work.sysmonrbuslib.all; use work.sys_conf.all; -- ---------------------------------------------------------------------------- entity sys_tst_rlink_n4 is -- top level -- implements nexys4_aif port ( I_CLK100 : in slbit; -- 100 MHz clock I_RXD : in slbit; -- receive data (board view) O_TXD : out slbit; -- transmit data (board view) O_RTS_N : out slbit; -- rx rts (board view; act.low) I_CTS_N : in slbit; -- tx cts (board view; act.low) I_SWI : in slv16; -- n4 switches I_BTN : in slv5; -- n4 buttons I_BTNRST_N : in slbit; -- n4 reset button O_LED : out slv16; -- n4 leds O_RGBLED0 : out slv3; -- n4 rgb-led 0 O_RGBLED1 : out slv3; -- n4 rgb-led 1 O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low) O_SEG_N : out slv8 -- 7 segment disp: segments (act.low) ); end sys_tst_rlink_n4; architecture syn of sys_tst_rlink_n4 is signal CLK : slbit := '0'; signal RXD : slbit := '1'; signal TXD : slbit := '0'; signal RTS_N : slbit := '0'; signal CTS_N : slbit := '0'; signal SWI : slv16 := (others=>'0'); signal BTN : slv5 := (others=>'0'); signal LED : slv16 := (others=>'0'); signal DSP_DAT : slv32 := (others=>'0'); signal DSP_DP : slv8 := (others=>'0'); signal RESET : slbit := '0'; signal CE_USEC : slbit := '0'; signal CE_MSEC : slbit := '0'; signal RB_MREQ : rb_mreq_type := rb_mreq_init; signal RB_SRES : rb_sres_type := rb_sres_init; signal RB_SRES_HIO : rb_sres_type := rb_sres_init; signal RB_SRES_TST : rb_sres_type := rb_sres_init; signal RB_SRES_RGB0 : rb_sres_type := rb_sres_init; signal RB_SRES_RGB1 : rb_sres_type := rb_sres_init; signal RB_SRES_RGB : rb_sres_type := rb_sres_init; signal RB_SRES_SYSMON : rb_sres_type := rb_sres_init; signal RB_SRES_USRACC : rb_sres_type := rb_sres_init; signal RB_LAM : slv16 := (others=>'0'); signal RB_STAT : slv4 := (others=>'0'); signal SER_MONI : serport_moni_type := serport_moni_init; signal STAT : slv8 := (others=>'0'); signal RGBCNTL : slv3 := (others=>'0'); signal DIMCNTL : slv12 := (others=>'0'); constant rbaddr_hio : slv16 := x"fef0"; -- fef0/0008: 1111 1110 1111 0xxx constant rbaddr_rgb0 : slv16 := x"fc00"; -- fe00/0004: 1111 1100 0000 00xx constant rbaddr_rgb1 : slv16 := x"fc04"; -- fe04/0004: 1111 1100 0000 01xx constant rbaddr_sysmon: slv16 := x"fb00"; -- fb00/0080: 1111 1011 0xxx xxxx constant sysid_proj : slv16 := x"0101"; -- tst_rlink constant sysid_board : slv8 := x"05"; -- nexys4 constant sysid_vers : slv8 := x"00"; begin assert (sys_conf_clksys mod 1000000) = 0 report "assert sys_conf_clksys on MHz grid" severity failure; RESET <= '0'; -- so far not used GEN_CLKSYS : s7_cmt_sfs generic map ( VCO_DIVIDE => sys_conf_clksys_vcodivide, VCO_MULTIPLY => sys_conf_clksys_vcomultiply, OUT_DIVIDE => sys_conf_clksys_outdivide, CLKIN_PERIOD => 10.0, CLKIN_JITTER => 0.01, STARTUP_WAIT => false, GEN_TYPE => sys_conf_clksys_gentype) port map ( CLKIN => I_CLK100, CLKFX => CLK, LOCKED => open ); CLKDIV : clkdivce generic map ( CDUWIDTH => 7, -- good up to 127 MHz USECDIV => sys_conf_clksys_mhz, MSECDIV => 1000) port map ( CLK => CLK, CE_USEC => CE_USEC, CE_MSEC => CE_MSEC ); IOB_RS232 : bp_rs232_4line_iob port map ( CLK => CLK, RXD => RXD, TXD => TXD, CTS_N => CTS_N, RTS_N => RTS_N, I_RXD => I_RXD, O_TXD => O_TXD, I_CTS_N => I_CTS_N, O_RTS_N => O_RTS_N ); HIO : sn_humanio_rbus generic map ( SWIDTH => 16, BWIDTH => 5, LWIDTH => 16, DCWIDTH => 3, DEBOUNCE => sys_conf_hio_debounce, RB_ADDR => rbaddr_hio) port map ( CLK => CLK, RESET => RESET, CE_MSEC => CE_MSEC, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_HIO, SWI => SWI, BTN => BTN, LED => LED, DSP_DAT => DSP_DAT, DSP_DP => DSP_DP, I_SWI => I_SWI, I_BTN => I_BTN, O_LED => O_LED, O_ANO_N => O_ANO_N, O_SEG_N => O_SEG_N ); RLINK : rlink_sp1c generic map ( BTOWIDTH => 6, RTAWIDTH => 12, SYSID => sysid_proj & sysid_board & sysid_vers, IFAWIDTH => 5, OFAWIDTH => 5, ENAPIN_RLMON => sbcntl_sbf_rlmon, ENAPIN_RBMON => sbcntl_sbf_rbmon, CDWIDTH => 12, CDINIT => sys_conf_ser2rri_cdinit, RBMON_AWIDTH => 0, -- must be 0, rbmon in rbd_tst_rlink RBMON_RBADDR => (others=>'0')) port map ( CLK => CLK, CE_USEC => CE_USEC, CE_MSEC => CE_MSEC, CE_INT => CE_MSEC, RESET => RESET, ENAXON => SWI(1), ESCFILL => '0', RXSD => RXD, TXSD => TXD, CTS_N => CTS_N, RTS_N => RTS_N, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES, RB_LAM => RB_LAM, RB_STAT => RB_STAT, RL_MONI => open, SER_MONI => SER_MONI ); RBDTST : entity work.rbd_tst_rlink port map ( CLK => CLK, RESET => RESET, CE_USEC => CE_USEC, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_TST, RB_LAM => RB_LAM, RB_STAT => RB_STAT, RB_SRES_TOP => RB_SRES, RXSD => RXD, RXACT => SER_MONI.rxact, STAT => STAT ); RGBMSTR : rgbdrv_master generic map ( DWIDTH => DIMCNTL'length) port map ( CLK => CLK, RESET => RESET, CE_USEC => CE_USEC, RGBCNTL => RGBCNTL, DIMCNTL => DIMCNTL ); RGB0 : rgbdrv_analog_rbus generic map ( DWIDTH => DIMCNTL'length, RB_ADDR => rbaddr_rgb0) port map ( CLK => CLK, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_RGB0, RGBCNTL => RGBCNTL, DIMCNTL => DIMCNTL, O_RGBLED => O_RGBLED0 ); RGB1 : rgbdrv_analog_rbus generic map ( DWIDTH => DIMCNTL'length, RB_ADDR => rbaddr_rgb1) port map ( CLK => CLK, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_RGB1, RGBCNTL => RGBCNTL, DIMCNTL => DIMCNTL, O_RGBLED => O_RGBLED1 ); SMRB : if sys_conf_rbd_sysmon generate I0: sysmonx_rbus_base generic map ( -- use default INIT_ (Vccint=1.00) CLK_MHZ => sys_conf_clksys_mhz, RB_ADDR => rbaddr_sysmon) port map ( CLK => CLK, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_SYSMON, ALM => open, OT => open, TEMP => open ); end generate SMRB; UARB : rbd_usracc port map ( CLK => CLK, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_USRACC ); RB_SRES_ORRGB : rb_sres_or_2 port map ( RB_SRES_1 => RB_SRES_RGB0, RB_SRES_2 => RB_SRES_RGB1, RB_SRES_OR => RB_SRES_RGB ); RB_SRES_OR1 : rb_sres_or_6 port map ( RB_SRES_1 => RB_SRES_HIO, RB_SRES_2 => RB_SRES_TST, RB_SRES_3 => RB_SRES_RGB, RB_SRES_4 => RB_SRES_SYSMON, RB_SRES_5 => RB_SRES_USRACC, RB_SRES_OR => RB_SRES ); DSP_DAT(31 downto 20) <= SER_MONI.abclkdiv(11 downto 0); DSP_DAT(19) <= '0'; DSP_DAT(18 downto 16) <= SER_MONI.abclkdiv_f; DSP_DP(7 downto 4) <= "0010"; DSP_DAT(15 downto 0) <= (others=>'0'); DSP_DP(3) <= not SER_MONI.txok; DSP_DP(2) <= SER_MONI.txact; DSP_DP(1) <= not SER_MONI.rxok; DSP_DP(0) <= SER_MONI.rxact; LED(15 downto 8) <= SWI(15 downto 8); LED(7) <= SER_MONI.abact; LED(6 downto 2) <= (others=>'0'); LED(1) <= STAT(1); LED(0) <= STAT(0); end syn;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2012 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file afifo_32_s6.vhd when simulating -- the core, afifo_32_s6. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY afifo_32_s6 IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END afifo_32_s6; ARCHITECTURE afifo_32_s6_a OF afifo_32_s6 IS -- synthesis translate_off COMPONENT wrapped_afifo_32_s6 PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_afifo_32_s6 USE ENTITY XilinxCoreLib.fifo_generator_v8_2(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 4, c_default_value => "BlankString", c_din_width => 32, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 32, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 2, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 1, c_preload_regs => 0, c_prim_fifo_type => "512x36", c_prog_empty_thresh_assert_val => 2, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 3, c_prog_empty_type => 0, c_prog_empty_type_axis => 5, c_prog_empty_type_rach => 5, c_prog_empty_type_rdch => 5, c_prog_empty_type_wach => 5, c_prog_empty_type_wdch => 5, c_prog_empty_type_wrch => 5, c_prog_full_thresh_assert_val => 13, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 12, c_prog_full_type => 0, c_prog_full_type_axis => 5, c_prog_full_type_rach => 5, c_prog_full_type_rdch => 5, c_prog_full_type_wach => 5, c_prog_full_type_wdch => 5, c_prog_full_type_wrch => 5, c_rach_type => 0, c_rd_data_count_width => 4, c_rd_depth => 16, c_rd_freq => 1, c_rd_pntr_width => 4, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 4, c_wr_depth => 16, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 4, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_afifo_32_s6 PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty ); -- synthesis translate_on END afifo_32_s6_a;
------------------------------------------------------------------------------ -- Copyright (c) 2019 David Banks -- -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / -- \ \ \/ -- \ \ -- / / Filename : MOS6502CpuMonCore.vhd -- /___/ /\ Timestamp : 3/11/2019 -- \ \ / \ -- \___\/\___\ -- --Design Name: MOS6502CpuMonCore --Device: multiple library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity MOS6502CpuMonCore is generic ( UseT65Core : boolean; UseAlanDCore : boolean; -- default sizing is used by Electron/Beeb Fpga num_comparators : integer := 8; avr_prog_mem_size : integer := 1024 * 8 ); port ( clock_avr : in std_logic; busmon_clk : in std_logic; busmon_clken : in std_logic; cpu_clk : in std_logic; cpu_clken : in std_logic; -- 6502 Signals IRQ_n : in std_logic; NMI_n : in std_logic; Sync : out std_logic; Addr : out std_logic_vector(15 downto 0); R_W_n : out std_logic; Din : in std_logic_vector(7 downto 0); Dout : out std_logic_vector(7 downto 0); SO_n : in std_logic; Res_n : in std_logic; Rdy : in std_logic; -- External trigger inputs trig : in std_logic_vector(1 downto 0); -- Serial Console avr_RxD : in std_logic; avr_TxD : out std_logic; -- Switches sw_reset_cpu : in std_logic; sw_reset_avr : in std_logic; -- LEDs led_bkpt : out std_logic; led_trig0 : out std_logic; led_trig1 : out std_logic; -- OHO_DY1 connected to test connector tmosi : out std_logic; tdin : out std_logic; tcclk : out std_logic; -- Test connector signals test : inout std_logic_vector(3 downto 0) ); end MOS6502CpuMonCore; architecture behavioral of MOS6502CpuMonCore is type state_type is (idle, nop0, nop1, rd, wr, exec1, exec2); signal state : state_type; signal cpu_clken_ss : std_logic; signal Data : std_logic_vector(7 downto 0); signal Din_int : std_logic_vector(7 downto 0); signal Dout_int : std_logic_vector(7 downto 0); signal R_W_n_int : std_logic; signal Rd_n_mon : std_logic; signal Wr_n_mon : std_logic; signal Sync_mon : std_logic; signal Done_mon : std_logic; signal Sync_int : std_logic; signal Addr_int : std_logic_vector(23 downto 0); signal cpu_addr_us : unsigned (15 downto 0); signal cpu_dout_us : unsigned (7 downto 0); signal cpu_reset_n : std_logic; signal Regs : std_logic_vector(63 downto 0); signal Regs1 : std_logic_vector(255 downto 0); signal last_PC : std_logic_vector(15 downto 0); signal SS_Single : std_logic; signal SS_Step : std_logic; signal SS_Step_held : std_logic; signal CountCycle : std_logic; signal int_ctrl : std_logic_vector(7 downto 0); signal memory_rd : std_logic; signal memory_rd1 : std_logic; signal memory_wr : std_logic; signal memory_wr1 : std_logic; signal memory_addr : std_logic_vector(15 downto 0); signal memory_dout : std_logic_vector(7 downto 0); signal memory_din : std_logic_vector(7 downto 0); signal memory_done : std_logic; signal IRQ_n_masked : std_logic; signal NMI_n_masked : std_logic; signal Res_n_masked : std_logic; signal SO_n_masked : std_logic; signal exec : std_logic; signal exec_held : std_logic; signal op3 : std_logic; begin mon : entity work.BusMonCore generic map ( num_comparators => num_comparators, avr_prog_mem_size => avr_prog_mem_size ) port map ( clock_avr => clock_avr, busmon_clk => busmon_clk, busmon_clken => busmon_clken, cpu_clk => cpu_clk, cpu_clken => cpu_clken, Addr => Addr_int(15 downto 0), Data => Data, Rd_n => Rd_n_mon, Wr_n => Wr_n_mon, RdIO_n => '1', WrIO_n => '1', Sync => Sync_mon, Rdy => open, nRSTin => Res_n_masked, nRSTout => cpu_reset_n, CountCycle => CountCycle, trig => trig, avr_RxD => avr_RxD, avr_TxD => avr_TxD, sw_reset_cpu => sw_reset_cpu, sw_reset_avr => sw_reset_avr, led_bkpt => led_bkpt, led_trig0 => led_trig0, led_trig1 => led_trig1, tmosi => tmosi, tdin => tdin, tcclk => tcclk, Regs => Regs1, RdMemOut => memory_rd, WrMemOut => memory_wr, RdIOOut => open, WrIOOut => open, ExecOut => exec, AddrOut => memory_addr, DataOut => memory_dout, DataIn => memory_din, Done => Done_mon, int_ctrl => int_ctrl, SS_Step => SS_Step, SS_Single => SS_Single ); Wr_n_mon <= Rdy and R_W_n_int; Rd_n_mon <= Rdy and not R_W_n_int; Sync_mon <= Rdy and Sync_int; Done_mon <= Rdy and memory_done; Data <= Din when R_W_n_int = '1' else Dout_int; -- The two int control bits work as follows -- 00 -> IRQ_n (enabled) -- 01 -> IRQ_n or SS_Single (enabled when free-running) -- 10 -> 0 (forced) -- 11 -> 1 (disabled) IRQ_n_masked <= int_ctrl(0) when int_ctrl(1) = '1' else IRQ_n or (int_ctrl(0) and SS_single); NMI_n_masked <= int_ctrl(2) when int_ctrl(3) = '1' else NMI_n or (int_ctrl(2) and SS_single); Res_n_masked <= int_ctrl(4) when int_ctrl(5) = '1' else Res_n or (int_ctrl(4) and SS_single); SO_n_masked <= int_ctrl(6) when int_ctrl(7) = '1' else SO_n or (int_ctrl(6) and SS_single); -- The CPU is slightly pipelined and the register update of the last -- instruction overlaps with the opcode fetch of the next instruction. -- -- If the single stepping stopped on the opcode fetch cycle, then the registers -- valued would not accurately reflect the previous instruction. -- -- To work around this, when single stepping, we stop on the cycle after -- the opcode fetch, which means the program counter has advanced. -- -- To hide this from the user single stepping, all we need to do is to -- also pipeline the value of the program counter by one stage to compensate. last_pc_gen : process(cpu_clk) begin if rising_edge(cpu_clk) then if cpu_clken = '1' then if state = idle then last_PC <= Regs(63 downto 48); end if; end if; end if; end process; Regs1( 47 downto 0) <= Regs( 47 downto 0); Regs1( 63 downto 48) <= last_PC; Regs1(255 downto 64) <= (others => '0'); cpu_clken_ss <= '1' when Rdy = '1' and (state = idle or state = exec1 or state = exec2) and cpu_clken = '1' else '0'; GenT65Core: if UseT65Core generate inst_t65: entity work.T65 port map ( mode => "00", Abort_n => '1', SO_n => SO_n_masked, Res_n => cpu_reset_n, Enable => cpu_clken_ss, Clk => cpu_clk, Rdy => '1', IRQ_n => IRQ_n_masked, NMI_n => NMI_n_masked, R_W_n => R_W_n_int, Sync => Sync_int, A => Addr_int, DI => Din_int, DO => Dout_int, Regs => Regs ); end generate; GenAlanDCore: if UseAlanDCore generate inst_r65c02: entity work.r65c02 port map ( reset => cpu_reset_n, clk => cpu_clk, enable => cpu_clken_ss, nmi_n => NMI_n_masked, irq_n => IRQ_n_masked, di => unsigned(Din_int), do => cpu_dout_us, addr => cpu_addr_us, nwe => R_W_n_int, sync => Sync_int, sync_irq => open, Regs => Regs ); Dout_int <= std_logic_vector(cpu_dout_us); Addr_int(15 downto 0) <= std_logic_vector(cpu_addr_us); end generate; -- 00 IMP, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMPA, IMP, ABS, ABS, ABS, IMP, -- 10 BRA, INDY, IND, IMP, ZP, ZPX, ZPX, IMP, IMP, ABSY, IMPA, IMP, ABS, ABSX, ABSX, IMP, -- 20 ABS, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMPA, IMP, ABS, ABS, ABS, IMP, -- 30 BRA, INDY, IND, IMP, ZPX, ZPX, ZPX, IMP, IMP, ABSY, IMPA, IMP, ABSX, ABSX, ABSX, IMP, -- 40 IMP, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMPA, IMP, ABS, ABS, ABS, IMP, -- 50 BRA, INDY, IND, IMP, ZP, ZPX, ZPX, IMP, IMP, ABSY, IMP, IMP, ABS, ABSX, ABSX, IMP, -- 60 IMP, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMPA, IMP, IND16, ABS, ABS, IMP, -- 70 BRA, INDY, IND, IMP, ZPX, ZPX, ZPX, IMP, IMP, ABSY, IMP, IMP, IND1X, ABSX, ABSX, IMP, -- 80 BRA, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMP, IMP, ABS, ABS, ABS, IMP, -- 90 BRA, INDY, IND, IMP, ZPX, ZPX, ZPY, IMP, IMP, ABSY, IMP, IMP, ABS, ABSX, ABSX, IMP, -- A0 IMM, INDX, IMM, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMP, IMP, ABS, ABS, ABS, IMP, -- B0 BRA, INDY, IND, IMP, ZPX, ZPX, ZPY, IMP, IMP, ABSY, IMP, IMP, ABSX, ABSX, ABSY, IMP, -- C0 IMM, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMP, IMP, ABS, ABS, ABS, IMP, -- D0 BRA, INDY, IND, IMP, ZP, ZPX, ZPX, IMP, IMP, ABSY, IMP, IMP, ABS, ABSX, ABSX, IMP, -- E0 IMM, INDX, IMP, IMP, ZP, ZP, ZP, IMP, IMP, IMM, IMP, IMP, ABS, ABS, ABS, IMP, -- F0 BRA, INDY, IND, IMP, ZP, ZPX, ZPX, IMP, IMP, ABSY, IMP, IMP, ABS, ABSX, ABSX, IMP -- Detect forced opcodes that are 3 bytes long op3 <= '1' when memory_dout(7 downto 0) = "00100000" else '1' when memory_dout(4 downto 0) = "11011" else '1' when memory_dout(3 downto 0) = "1100" else '1' when memory_dout(3 downto 0) = "1101" else '1' when memory_dout(3 downto 0) = "1110" else '0'; Din_int <= memory_dout( 7 downto 0) when state = idle and Sync_int = '1' and exec_held = '1' else memory_addr( 7 downto 0) when state = exec1 else memory_addr(15 downto 8) when state = exec2 else Din; men_access_machine : process(cpu_clk, cpu_reset_n) begin if cpu_reset_n = '0' then state <= idle; elsif rising_edge(cpu_clk) then -- Extend the control signals from BusMonitorCore which -- only last one cycle. if SS_Step = '1' then SS_Step_held <= '1'; elsif state = idle then SS_Step_held <= '0'; end if; if memory_rd = '1' then memory_rd1 <= '1'; elsif state = rd then memory_rd1 <= '0'; end if; if memory_wr = '1' then memory_wr1 <= '1'; elsif state = wr then memory_wr1 <= '0'; end if; if exec = '1' then exec_held <= '1'; elsif state = exec1 then exec_held <= '0'; end if; if cpu_clken = '1' and Rdy = '1' then case state is -- idle is when the CPU is running normally when idle => if Sync_int = '1' then if exec_held = '1' then state <= exec1; elsif SS_Single = '1' then state <= nop0; end if; end if; -- nop0 is the first state entered when the CPU is paused when nop0 => if memory_rd1 = '1' then state <= rd; elsif memory_wr1 = '1' then state <= wr; elsif SS_Step_held = '1' or exec_held = '1' then state <= idle; else state <= nop1; end if; -- nop1 simulates a sync cycle when nop1 => state <= nop0; -- rd is a monitor initiated read cycle when rd => state <= nop0; -- wr is a monitor initiated write cycle when wr => state <= nop0; -- exec1 is the LSB of a forced JMP when exec1 => if op3 = '1' then state <= exec2; else state <= idle; end if; -- exec2 is the MSB of a forced JMP when exec2 => state <= idle; end case; end if; end if; end process; -- Only count cycles when the 6502 is actually running -- TODO: Should this be qualified with cpu_clken and rdy? CountCycle <= '1' when state = idle or state = exec1 or state = exec2 else '0'; R_W_n <= R_W_n_int when state = idle else '0' when state = wr else '1'; Addr <= Addr_int(15 downto 0) when state = idle else memory_addr when state = rd or state = wr else (others => '0'); Sync <= Sync_int when state = idle else '1' when state = nop1 else '0'; Dout <= Dout_int when state = idle else memory_dout; -- Data is captured by the bus monitor on the rising edge of cpu_clk -- that sees done = 1. memory_done <= '1' when state = rd or state = wr or (op3 = '0' and state = exec1) or state = exec2 else '0'; memory_din <= Din; -- Test outputs test(0) <= SS_Single; -- GODIL J5 pin 1 (46) test(1) <= 'Z'; -- GODIL J5 pin 2 (47) test(2) <= 'Z'; -- GODIL J5 pin 3 (48) test(3) <= 'Z'; -- GODIL J5 pin 4 (56) end behavioral;
entity wait18 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of wait18 is signal clk, d, q : std_logic := '0'; begin process (clk) is begin if rising_edge(clk) then q <= d; end if; end process; process is begin clk <= '1' after 10 ns; d <= '1'; wait for 11 ns; assert q = '1'; wait; end process; postponed process (clk) is begin if rising_edge(clk) then assert q = '1'; end if; end process; end architecture;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; --================================================================================================= package spi_bfm_pkg is --=============================================================================================== -- Types and constants for SPI BFMs --=============================================================================================== constant C_SCOPE : string := "SPI BFM"; type t_spi_if is record ss_n : std_logic; -- master to slave sclk : std_logic; -- master to slave mosi : std_logic; -- master to slave miso : std_logic; -- slave to master end record; -- Configuration record to be assigned in the test harness. type t_spi_bfm_config is record CPOL : std_logic; -- sclk polarity, i.e. the base value of the clock. -- If CPOL is '0', the clock will be set to '0' when inactive, i.e., ordinary positive polarity. CPHA : std_logic; -- sclk phase, i.e. when data is sampled and transmitted w.r.t. sclk. -- If '0', sampling occurs on the first sclk edge and data is transmitted on the sclk active to idle state. -- If '1', data is sampled on the second sclk edge and transmitted on sclk idle to active state. --multi_word_transfer : boolean; -- SPI transfer is multi-word or single-word spi_bit_time : time; -- used in master for dictating sclk period spi_bit_time_severity : t_alert_level; -- Alert severity used if slave detects that the received sclk period is incorrect ss_to_sclk : time; -- time from SS active until SCLK active ss_to_sclk_severity : t_alert_level; -- Alert severity used if time from SS active to sclk active is exceeded sclk_to_ss : time; -- Last SCLK until SS off sclk_to_ss_severity : t_alert_level; -- Alert severity used if ss_n is not released within sclk_to_ss time after last sclk max_wait_ss : time; -- Maximum time a slave will wait for SS max_wait_ss_severity : t_alert_level; -- Alert severity used if slave does not detect SS within max_wait_ss time. --min_wait_ss : time; -- Minimum time a slave will wait for SS --min_wait_ss_severity : t_alert_level; -- Alert severity used if slave does not detect SS within min_wait_ss time. id_for_bfm : t_msg_id; -- The message ID used as a general message ID in the SPI BFM id_for_bfm_wait : t_msg_id; -- The message ID used for logging waits in the SPI BFM id_for_bfm_poll : t_msg_id; -- The message ID used for logging polling in the SPI BFM end record; constant C_SPI_BFM_CONFIG_DEFAULT : t_spi_bfm_config := ( CPOL => '0', CPHA => '0', --multi_word_transfer => false, spi_bit_time => -1 ns, spi_bit_time_severity => failure, ss_to_sclk => 20 ns, ss_to_sclk_severity => failure, sclk_to_ss => 20 ns, sclk_to_ss_severity => failure, max_wait_ss => 1000 ns, max_wait_ss_severity => failure, --min_wait_ss => 0 ns, --min_wait_ss_severity => failure, id_for_bfm => ID_BFM, id_for_bfm_wait => ID_BFM_WAIT, id_for_bfm_poll => ID_BFM_POLL ); --=============================================================================================== -- BFM procedures --=============================================================================================== ------------------------------------------ -- init_spi_if_signals ------------------------------------------ -- - This function returns an SPI interface with initialized signals. -- - master_mode = true: -- - ss_n initialized to 'H' -- - if config.CPOL = '1', sclk initialized to 'H', -- otherwise sclk initialized to 'L' -- - miso and mosi initialized to 'Z' -- - master_mode = false: -- - all signals initialized to 'Z' function init_spi_if_signals ( constant config : in t_spi_bfm_config; constant master_mode : in boolean := true ) return t_spi_if; ------------------------------------------ -- spi_master_transmit_and_receive ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI slave DUT -- and receives 'rx_data' from the SPI slave DUT. procedure spi_master_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal sclk : inout std_logic; signal ss_n : inout std_logic; signal mosi : inout std_logic; signal miso : inout std_logic; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like spi_*_check ); ------------------------------------------ -- spi_master_transmit_and_receive ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI slave DUT -- and receives 'rx_data' from the SPI slave DUT. -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like spi_*_check ); ------------------------------------------ -- spi_master_transmit_and_check ------------------------------------------ -- This procedure ... -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_transmit_and_check( constant tx_data : in std_logic_vector; constant data_exp : in std_logic_vector; constant alert_level : in t_alert_level := error; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_master_transmit ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI DUT -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_transmit( constant tx_data : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_master_receive ------------------------------------------ -- This procedure receives data 'rx_data' from the SPI DUT -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_receive( variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_master_check ------------------------------------------ -- This procedure receives an SPI transaction, and compares the read data -- to the expected data in 'data_exp'. -- If the read data is inconsistent with the expected data, an alert with -- severity 'alert_level' is triggered. -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_check( constant data_exp : in std_logic_vector; constant alert_level : in t_alert_level := error; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_slave_transmit_and_receive ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI master DUT -- and receives 'rx_data' from the SPI master DUT. procedure spi_slave_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal sclk : inout std_logic; signal ss_n : inout std_logic; signal mosi : inout std_logic; signal miso : inout std_logic; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like spi_*_check ); ------------------------------------------ -- spi_slave_transmit_and_receive ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI master DUT -- and receives 'rx_data' from the SPI master DUT. -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like spi_*_check ); ------------------------------------------ -- spi_slave_transmit_and_check ------------------------------------------ -- This procedure ... -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_transmit_and_check( constant tx_data : in std_logic_vector; constant data_exp : in std_logic_vector; constant alert_level : in t_alert_level := error; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_slave_transmit ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI DUT -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_transmit ( constant tx_data : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_slave_receive ------------------------------------------ -- This procedure receives data 'rx_data' from the SPI DUT -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_receive ( variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_slave_check ------------------------------------------ -- This procedure receives an SPI transaction, and compares the read data -- to the expected data in 'data_exp'. -- If the read data is inconsistent with the expected data, an alert with -- severity 'alert_level' is triggered. -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_check ( constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); end package spi_bfm_pkg; --================================================================================================= --================================================================================================= package body spi_bfm_pkg is --------------------------------------------------------------------------------- -- initialize spi to dut signals --------------------------------------------------------------------------------- function init_spi_if_signals ( constant config : in t_spi_bfm_config; constant master_mode : in boolean := true ) return t_spi_if is variable result : t_spi_if; begin if master_mode then result.ss_n := 'H'; if (config.CPOL) then result.sclk := 'H'; else result.sclk := 'L'; end if; else result.ss_n := 'Z'; result.sclk := 'Z'; end if; result.mosi := 'Z'; result.miso := 'Z'; return result; end function; --------------------------------------------------------------------------------- -- spi_master_transmit_and_receive -- -- alert if size of tx_data or rx_data doesn't -- match with how long ss_n is kept low --------------------------------------------------------------------------------- procedure spi_master_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal sclk : inout std_logic; signal ss_n : inout std_logic; signal mosi : inout std_logic; signal miso : inout std_logic; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like spi_*_check ) is constant local_proc_name : string := "spi_master_transmit_and_receive"; constant local_proc_call : string := local_proc_name; constant C_ACCESS_SIZE : integer := tx_data'length; -- Helper variables variable v_access_done : boolean := false; variable v_tx_count : integer := 0; variable v_tx_data : std_logic_vector(tx_data'length-1 downto 0) := tx_data; variable v_rx_data : std_logic_vector(rx_data'length-1 downto 0) := (others => 'X'); variable v_rx_count : integer := 1; variable v_proc_call : line; begin -- check whether config.spi_bit_time was set check_value(config.spi_bit_time /= -1 ns, TB_ERROR, "SPI Bit time was not set in config. " & add_msg_delimiter(msg), C_SCOPE, ID_NEVER, msg_id_panel); if ext_proc_call = "" then -- Called directly from sequencer/VVC. Include 'spi_master_transmit_and_receive' when logging write(v_proc_call, local_proc_call); else -- Called from other BFM procedure like spi_*_check. Include 'spi_*_check(..) while executing spi_master_transmit_and_receive' when logging write(v_proc_call, ext_proc_call & " while executing " & local_proc_name); end if; sclk <= config.CPOL; ss_n <= '0'; wait for 0 ns; -- wait a delta cycle if ss_n = '0' then -- set MOSI together with SS_N when CPHA=0 if not config.CPHA then mosi <= v_tx_data(C_ACCESS_SIZE- v_tx_count - 1); v_tx_count := v_tx_count + 1; end if; -- set first sclk wait for config.ss_to_sclk; sclk <= not sclk; -- serially shift out v_tx_data to mosi -- serially shift in v_rx_data from miso while ss_n = '0' and not v_access_done loop if not config.CPHA then log(ID_BFM, " rx_data(" & to_string(C_ACCESS_SIZE-v_rx_count) & ") "); log(ID_BFM, " v_rx_count=" & to_string(v_rx_count) & " , "); v_rx_data(C_ACCESS_SIZE-v_rx_count) := miso; wait for config.spi_bit_time/2; sclk <= not sclk; log(ID_BFM, " tx_data(" & to_string(C_ACCESS_SIZE-v_tx_count-1) & ") "); log(ID_BFM, " v_tx_count=" & to_string(v_tx_count) & " , "); mosi <= v_tx_data(C_ACCESS_SIZE-v_tx_count-1); -- next bit else -- config.CPHA -- next bit mosi <= v_tx_data(C_ACCESS_SIZE-v_tx_count-1); wait for config.spi_bit_time/2; sclk <= not sclk; v_rx_data(C_ACCESS_SIZE-v_rx_count) := miso; end if; v_rx_count := v_rx_count + 1; if v_tx_count < C_ACCESS_SIZE-1 then wait for config.spi_bit_time/2; sclk <= not sclk; v_tx_count := v_tx_count + 1; else -- Final bit if not config.CPHA then -- Sample Last bit on the second to last edge of SCLK (CPOL=0: last rising. CPOL=1: last falling) wait for config.spi_bit_time/2; v_rx_data(C_ACCESS_SIZE-v_rx_count) := miso; sclk <= not sclk; log(ID_BFM, " rx_data(" & to_string(C_ACCESS_SIZE-v_rx_count) & ") "); else v_rx_count := v_rx_count - 1; v_rx_data(C_ACCESS_SIZE-v_rx_count) := miso; log(ID_BFM, " rx_data(" & to_string(C_ACCESS_SIZE-v_rx_count) & ") "); end if; v_access_done := true; log(config.id_for_bfm, v_proc_call.all & "=> " & to_string(v_tx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & " completed. " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end loop; -- clock the last bit if not config.CPHA then wait for config.spi_bit_time/2; sclk <= config.CPOL; end if; wait for config.sclk_to_ss; mosi <= 'Z'; ss_n<= '1'; if (v_tx_count /= C_ACCESS_SIZE-1) or (v_rx_count /= C_ACCESS_SIZE) then alert(note, " v_tx_count /= C_ACCESS_SIZE-1 or v_rx_count /= C_ACCESS_SIZE then"); alert(note, to_string(v_tx_count) & " /= " & to_string(C_ACCESS_SIZE-1) & " or" &to_string(v_rx_count) & " /= " & to_string(C_ACCESS_SIZE)); alert(note, local_proc_name & " ss_n not kept low for v_tx_data size duration"); else rx_data := v_rx_data; end if; else alert(error, local_proc_name & " ss_n not low when expected."); end if; if ext_proc_call = "" then -- proc_name = "spi_master_transmit_and_receive" log(config.id_for_bfm, v_proc_call.all & "=> Transmitted: " & to_string(v_tx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". Received: " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure; procedure spi_master_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like spi_*_check ) is begin spi_master_transmit_and_receive(tx_data, rx_data, msg, spi_if.sclk, spi_if.ss_n, spi_if.mosi, spi_if.miso, scope, msg_id_panel, config, ext_proc_call); end procedure; --------------------------------------------------------------------------------- -- spi_master_transmit_and_check --------------------------------------------------------------------------------- procedure spi_master_transmit_and_check( constant tx_data : in std_logic_vector; constant data_exp : in std_logic_vector; constant alert_level : in t_alert_level := error; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_master_transmit_and_check"; constant local_proc_call : string := local_proc_name; -- Helper variables variable v_rx_data : std_logic_vector(data_exp'length-1 downto 0); variable v_check_ok : boolean; begin spi_master_transmit_and_receive(tx_data, v_rx_data, msg, spi_if, scope, msg_id_panel, config, local_proc_call); -- Compare values, but ignore any leading zero's if widths are different. -- Use ID_NEVER so that check_value method does not log when check is OK, -- log it here instead. v_check_ok := check_value(v_rx_data, data_exp, alert_level, msg, scope, HEX_BIN_IF_INVALID, SKIP_LEADING_0, ID_NEVER, msg_id_panel, local_proc_call); if v_check_ok then log(config.id_for_bfm, local_proc_call & "=> OK, read data = " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure; --------------------------------------------------------------------------------- -- spi_master_transmit --------------------------------------------------------------------------------- procedure spi_master_transmit( constant tx_data : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_master_transmit"; constant local_proc_call : string := local_proc_name; -- Helper variables variable v_rx_data : std_logic_vector(tx_data'length - 1 downto 0); begin spi_master_transmit_and_receive(tx_data, v_rx_data, msg, spi_if, scope, msg_id_panel, config, local_proc_call); end procedure; --------------------------------------------------------------------------------- -- spi_master_receive --------------------------------------------------------------------------------- procedure spi_master_receive( variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_master_receive"; constant local_proc_call : string := local_proc_name; -- Helper variables variable v_tx_data : std_logic_vector(rx_data'length - 1 downto 0) := (others => '0'); begin spi_master_transmit_and_receive(v_tx_data, rx_data, msg, spi_if, scope, msg_id_panel, config, local_proc_call); end procedure; --------------------------------------------------------------------------------- -- spi_master_check --------------------------------------------------------------------------------- procedure spi_master_check( constant data_exp : in std_logic_vector; constant alert_level : in t_alert_level := error; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_master_check"; constant local_proc_call : string := local_proc_name; -- Helper variables variable v_tx_data : std_logic_vector(data_exp'length - 1 downto 0) := (others => '0'); variable v_rx_data : std_logic_vector(data_exp'length-1 downto 0); variable v_check_ok : boolean; begin spi_master_transmit_and_receive(v_tx_data, v_rx_data, msg, spi_if, scope, msg_id_panel, config); -- Compare values, but ignore any leading zero's if widths are different. -- Use ID_NEVER so that check_value method does not log when check is OK, -- log it here instead. v_check_ok := check_value(v_rx_data, data_exp, alert_level, msg, scope, HEX_BIN_IF_INVALID, SKIP_LEADING_0, ID_NEVER, msg_id_panel, local_proc_call); if v_check_ok then log(config.id_for_bfm, local_proc_call & "=> OK, read data = " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure; --------------------------------------------------------------------------------- -- spi_slave_transmit_and_receive -- --------------------------------------------------------------------------------- procedure spi_slave_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal sclk : inout std_logic; signal ss_n : inout std_logic; signal mosi : inout std_logic; signal miso : inout std_logic; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like spi_*_check ) is -- Local_proc_name/call used if called from sequencer or VVC constant local_proc_name : string := "spi_slave_transmit_and_receive"; constant local_proc_call : string := local_proc_name; constant C_ACCESS_SIZE : integer := rx_data'length; -- Helper variables variable v_rx_data : std_logic_vector(rx_data'range) := (others => 'X'); variable bfm_tx_data : std_logic_vector(tx_data'length-1 downto 0) := tx_data; variable v_access_done : boolean := false; variable v_tx_count : integer := 0; variable v_rx_count : integer := 1; variable v_proc_call : line; begin -- check whether config.spi_bit_time was set check_value(config.spi_bit_time /= -1 ns, TB_ERROR, "SPI Bit time was not set in config. " & add_msg_delimiter(msg), C_SCOPE, ID_NEVER, msg_id_panel); if ext_proc_call = "" then -- Called directly from sequencer/VVC. Include 'spi_slave_receive...' when logging write(v_proc_call, local_proc_call); else -- Called from other BFM procedure like spi_*_check. Include 'spi_*_check(..) while executing spi_*_receive.. when logging' write(v_proc_call, ext_proc_call & " while executing " & local_proc_name); end if; -- the spi_write procedure will drive the clock and ss_n signal; can't have -- two procedures driving the same signals await_value(ss_n, '0', 0 ns, config.max_wait_ss + 1 ps, config.max_wait_ss_severity, add_msg_delimiter(msg) & ": awaiting ss_n", scope, ID_NEVER, msg_id_panel); if ss_n = '0' then -- set MISO together with SS_N when CPHA=0 if not config.CPHA then miso <= bfm_tx_data(C_ACCESS_SIZE - v_tx_count - 1); v_tx_count := v_tx_count + 1; end if; -- Await first clock edge await_value(sclk, not config.CPOL, 0 ns, config.ss_to_sclk + 1 ps, config.ss_to_sclk_severity, add_msg_delimiter(msg) & ": awaiting initial edge of sclk", scope, ID_NEVER, msg_id_panel); -- receive the bits while ss_n = '0' and not v_access_done loop if not config.CPHA then v_rx_data(C_ACCESS_SIZE - v_rx_count) := mosi; await_value(sclk, config.CPOL, 0 ns, config.spi_bit_time/2 + 1 ps, config.spi_bit_time_severity, add_msg_delimiter(msg) & ": awaiting first edge of sclk", scope, ID_NEVER, msg_id_panel); miso <= bfm_tx_data(C_ACCESS_SIZE - v_tx_count - 1); else -- config.CPHA miso <= bfm_tx_data(C_ACCESS_SIZE - v_tx_count - 1); await_value(sclk, config.CPOL, 0 ns, config.spi_bit_time/2 + 1 ps, config.spi_bit_time_severity, add_msg_delimiter(msg) & ": awaiting second edge of sclk", scope, ID_NEVER, msg_id_panel); v_rx_data(C_ACCESS_SIZE - v_rx_count) := mosi; end if; if (v_tx_count < (C_ACCESS_SIZE-1)) and (v_rx_count < C_ACCESS_SIZE) then await_value(sclk, not config.CPOL, 0 ns, config.spi_bit_time/2 + 1 ps, config.spi_bit_time_severity, add_msg_delimiter(msg) & ": awaiting final edge of sclk", scope, ID_NEVER, msg_id_panel); v_tx_count := v_tx_count + 1; v_rx_count := v_rx_count + 1; else if not config.CPHA then await_value(sclk, not config.CPOL, 0 ns, config.spi_bit_time/2 + 1 ps, config.spi_bit_time_severity, add_msg_delimiter(msg) & ": awaiting first edge of sclk", scope, ID_NEVER, msg_id_panel); end if; v_access_done := true; end if; end loop; end if; -- sample last bit if not config.CPHA then v_rx_count := v_rx_count + 1; v_rx_data(C_ACCESS_SIZE - v_rx_count) := mosi; await_value(sclk, config.CPOL, 0 ns, config.spi_bit_time/2 + 1 ps, config.spi_bit_time_severity, add_msg_delimiter(msg) & ": awaiting final edge of sclk", scope, ID_NEVER, msg_id_panel); end if; if (v_tx_count < C_ACCESS_SIZE-1) then alert(error, v_proc_call.all & " ss_n not kept active for tx_data size duration " & add_msg_delimiter(msg), scope); elsif (v_rx_count < C_ACCESS_SIZE) then alert(error, v_proc_call.all & " ss_n not kept active for rx_data size duration " & add_msg_delimiter(msg), scope); else rx_data := v_rx_data; end if; -- check ss_n deactivation await_value(ss_n, '1', 0 ns, config.sclk_to_ss + 1 ps, config.sclk_to_ss_severity, add_msg_delimiter(msg) & ": awaiting ss_n deactivation", scope, ID_NEVER, msg_id_panel); miso <= 'Z'; if ext_proc_call = "" then log(config.id_for_bfm, local_proc_call & "=> " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & " rx completed. " & add_msg_delimiter(msg), scope, msg_id_panel); log(config.id_for_bfm, local_proc_call & "=> " & to_string(bfm_tx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & " tx completed. " & add_msg_delimiter(msg), scope, msg_id_panel); else -- Log will be handled by calling procedure (e.g. spi_*_check) end if; end procedure; procedure spi_slave_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like spi_*_check ) is begin spi_slave_transmit_and_receive(tx_data, rx_data, msg, spi_if.sclk, spi_if.ss_n, spi_if.mosi, spi_if.miso, scope, msg_id_panel, config, ext_proc_call); end procedure; ------------------------------------------ -- spi_slave_transmit_and_check ------------------------------------------ procedure spi_slave_transmit_and_check( constant tx_data : in std_logic_vector; constant data_exp : in std_logic_vector; constant alert_level : in t_alert_level := error; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_transmit_and_check"; constant local_proc_call : string := local_proc_name & "(" & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_rx_data : std_logic_vector(data_exp'length-1 downto 0); variable v_check_ok : boolean; begin spi_slave_transmit_and_receive(tx_data, v_rx_data, msg, spi_if, scope, msg_id_panel, config, local_proc_call); -- Compare values, but ignore any leading zero's if widths are different. -- Use ID_NEVER so that check_value method does not log when check is OK, -- log it here instead. v_check_ok := check_value(v_rx_data, data_exp, alert_level, msg, scope, HEX_BIN_IF_INVALID, SKIP_LEADING_0, ID_NEVER, msg_id_panel, local_proc_call); if v_check_ok then log(config.id_for_bfm, local_proc_call & "=> OK, read data = " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end; --------------------------------------------------------------------------------- -- spi_slave_transmit --------------------------------------------------------------------------------- procedure spi_slave_transmit( constant tx_data : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_transmit"; constant local_proc_call : string := local_proc_name & "(" & to_string(tx_data, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_rx_data : std_logic_vector(tx_data'length-1 downto 0); -- := (others => '0'); begin spi_slave_transmit_and_receive(tx_data, v_rx_data, msg, spi_if, scope, msg_id_panel, config, local_proc_call); end procedure; --------------------------------------------------------------------------------- -- spi_slave_receive --------------------------------------------------------------------------------- procedure spi_slave_receive ( variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_receive"; constant local_proc_call : string := local_proc_name & "(" & to_string(rx_data, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_tx_data : std_logic_vector(rx_data'length-1 downto 0) := (others => '0'); begin spi_slave_transmit_and_receive(v_tx_data, rx_data, msg, spi_if, scope, msg_id_panel, config, local_proc_call); end; --------------------------------------------------------------------------------- -- spi_slave_check --------------------------------------------------------------------------------- procedure spi_slave_check ( constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_check"; constant local_proc_call : string := local_proc_name & "(" & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_rx_data : std_logic_vector(data_exp'length-1 downto 0) := (others => 'X'); variable v_tx_data : std_logic_vector(data_exp'length-1 downto 0) := (others => '0'); variable v_check_ok : boolean; begin spi_slave_transmit_and_receive(v_tx_data, v_rx_data, msg, spi_if, scope, msg_id_panel, config, local_proc_call); -- Compare values, but ignore any leading zero's if widths are different. -- Use ID_NEVER so that check_value method does not log when check is OK, -- log it here instead. v_check_ok := check_value(v_rx_data, data_exp, alert_level, msg, scope, HEX_BIN_IF_INVALID, SKIP_LEADING_0, ID_NEVER, msg_id_panel, local_proc_call); if v_check_ok then log(config.id_for_bfm, local_proc_call & "=> OK, read data = " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure; end package body spi_bfm_pkg;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block I9MdyPZOXqeUIdO7ZlIIhG+OewyiOI+g+if/MqLQnR9z2BrWLshrB4PGe8iQTRmhhMK2AEx73nQE 7aN2+MC6tQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZdNWmZFAqcPY3+l0PvILykSrz4uBB82iroKw7JlVNk34D5JFcbk+/WzGdtr/fIokbEmSCNsjbM5m ZDLTBA075c9NSLEVKov4NK6etMs6UAexA259ne0l4H7CtrXL2mpflEJfoQXwVtCQGglp4nSpAFeo 6EwIaTkvDWaxnANgBuU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pHfz8rcgad5lQ33ZLcS8wC9hyUnRdP1F/Z/UvbxEMaRItbE6OB9DDDwdWUoT2udr/9x5Y6aas4ER P4Zs2X6wH91E68YTGxdv0llKNatthxXUXTWrFvjD2Pal7gcIEASn7GQ3PVU4udk5ifrFAMma71HP qpsv8+3pJhgIRoQuX4w9Rr+anRPywomKV2ty7A7sLUrUJpY7YHJ9xAPymGfmNYvodvg+O7rc//0p oMU7kDCTUGiuvEHsFBBgIbzqeEDRTiPEaUNh+SRuaW/LnH7qAhLKPASKEPoBz7bJks8Ow+oSkDGw csB0vkJwes+5GaS9rWe2eeXUkYBqziQN6nKYEQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RAngXcaD9XBZ2u7vnGzMm16g0PO01mfbvNu9/0WPuDswhe0NRvSnKISweFNTbYEHNf2lM0hYNv2h 98LFxLwSK/qS8ZvGHjiW+RxMMhOZBIfYfPusVXJ4WR5DfbI82hKV37zQ/opKqgRl+XnSq0SSLVq7 HmJH1UfVo49rbFnXriQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block htmGxvxRrKc7LuQtA4MLeO2xrHMOO4EsJmE13ZGM3YHCf/6Cdo+W1K5QNJKXHOVyKtgtsLVOIoZI u7MWbAXloPyU0s7DIiVs/3jDyBXx4+8rdMBwLzI6sakumCo/C8jkc/rzZKZU4QaVQWovXGtmwVZ3 fTs6TrmqrdanAyl8NoSLhIeWEZsOBM/XSDt0ww6//hT/CzANCVSd2WPlVbnPhsfb/81ugZbiNpZ1 ufeSij24qPHw9u00Zto8CQkugtjrIj6ZCvFYV5l8Yn9sv+XcS/IX/xNB+Wllj3wgs8tstNfs3vrM 7mCSAJZ8vKhDi7tPP+xeGye9lh74n1l99pJjRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 446496) `protect data_block PRtmhOjcmkQPHqGKRn5QNq/JwY8JnwDhLvz4zIM/fX3cMNR+O4COOmFHKnCOGGFC0SVnE7zpsrcE 26DkxcQyPreZ4DioqygteOTOv2NrYe4RJ5+E7Vv+3qBJ2MM70LQZeupiguQ5f0nVTGQRuIiP75Vx U3/Hhq+pP72qnjc88h+Bo9742Azq3SvR4wf7TsDZtTi773aVdFj6e9VaGSmdd5o9JMZdF1tb/xz9 lxy3SsP0yzV554wEauh9jsrigK0+y0IaUSp6+h01ubhvaXCkdHREexFl9BzFkHb+sqxyH2nwfcsM ArOkEx6ceTNRbWWO4f0rccJD1lYpz2E3SYvjbkUrjTIBUabVTG/tYgfsXo4iHServkLBo4kz21du uLODVOy5bk+57a0CCnKWB7TLnSOMvagKFOZjBjP8y5qk3Ul3p3JMCk6AA9cGBxnl7tHcTTFvVRIw KRsPK/M4xiAPJvRJbb9CkX4WKFwS8+1Z5bAWeCSTNWbiNVMiFmPuonOg56z6e47MH8eEX7BbECYA 2IZJCbfHdI0QmBe0oP6xBzMZi4Rzx/T49CUBF0/q9F8K+c5zYI3QpUYprdfQ81LLp5N2pdmrtP6n jDY83X/d/OL16e4IxwpqPP55nYcyHL44RVLsdnlbRouS56yAM8w+P9EGHKCESBbEjX9PvFFBI1tz hGROuPSdkM4/7A9BFTxi30YZETBC+rRq7kku+rjtOIEteGqg42bbtbZ6qsc6EV85Z6+PJXJluZ0C AjMRGzrE0b6aiF3VpAwFkCzcoFpJk03VZtpGpddB6ECx4P1hs8Y9aztaEPdUKiYifFfRB+GAkTfe XJ/wY3EmPtsY7OOybinHtFtVAjZN4U5VLo+uDIz+BmUgnvxJvotZEHB6HvO9em3cABd9v6ipuqZS VXFkZlLFxn1EgUDjcmOm0Zeyn8i8ToT3bX6NyBV7OVvMgeJj5zDllE0gPSuE4rgnZ+KvS2rEeDJZ TlLm1zIc2Lf0rresHrxoyOPlcvEHIeMvEu5K2CRQH0wVHRdgKQt01/7yXfiJhb5RV+OjPO1JTv/L MMnUqApnJs7bkNxRcYnkc5MHe4f3I8iOGG4OWCIywhOpl36SD13mEL8gUyFGb2n+CSTotVENS85u 2umG3hBzPjSCPYMGT6DvhIhu7M6fF7muaslyfWVqY0mQ0ONkQioX+vwyS7AuaibcX8EU7dzGL3b+ lzcjJIoMJLpMKqGZqJ9CKsjHODcPgn5+1cGUvhT7Bi6vtGnRCLWnm2WM5zzM4DW7x7CsUKR5Pfd/ bMJlpF4U2ZNOwMiZbDEuas3lJdhl/5pvVc+vdbmPPN4ZSv92clUbZK/1tvl7Ntx9SOoHHjcDCXSl PgPU/al5D1rZNN4nhU9abJ1TwdSj3DS9bttYoi4YXExtvBtF+ta/Rp2/aUodN0KMRf4GtDNQhOUJ QUhz/fwvOdXZPSvcnxzBOtVFmdeSa2cQnWNyvMGbCUVJGvXvBgnMYcQ3Q1wDdzFLi9WhOEV4Zl9U ToN1js7ob2blj6XxrZaYQCdWq+W9MScA9dcJzThhkOruCbIc1jvOEANJbSuBxSE8GZuQhu3ikjpo Gp4cgTzles/3FUDkM1/nq8J+6RxtuH7EBIRM8ogVbL/az62pvtglprlTyg+5eyX718tMS1ZdjPxL f1Jss2neKyikSzSaUBRfWBZ9hlUR8mCJ0LHu3eG3iXaNPWQ+whBFBNYw2As2yustZEjwDbnLRosG HxI8qZnNKs0E077HgB9hSSZ3PjsvrCm1eJHIaPAYh99kCOTOQC5BeJOiLJeR0HC9OOxGHzBtmcoD jU7V0SnGMzJgpvyVxvGz+96eOjtU0IrPpnk0tlpMl3UXno1YAQmKbjfl/w6kth9zmLfJg8AcpZBS B53iM7OuCD8OVxiS5gl8trMo4xPS78KVfwSN9+qt3amxdm513VzjjUyY7u7O2Qran1jlv4S19xEb ycxb7s85Lxy5JOtlIRph7Zv/CGGB38atkVN52WHoTjnJqr97iM869z24s0e61qFCHnROi3VlOvOy T7PiiktoGggoHBjqLU4AWxY5Knof8R91C4EM+Yjgv97PkHUGO33WYGxqsvzWqeRW71gowAj7Y4Nr 5Wqz1bWxF2Nkv8QfLYNcdLC9ekjfLYcsQ5zDHNYIsxseQgrOz4Z1tLQ9awLyYbLCUNX7OVacrQQp hNN+IO/M8oW5EM+0M6MqXkwZdjlIYiV36bPjRcxeD7ArEsLbdFYHv6Tbtk+PNu1eCq8foIsA+9Gr As1/X8iGhykZgXyGNcAlRDf/ym1rPyauXnBPIeB07sxJKOFNECPDfAXFoxb469RhXW2me0o8wl/8 GuaJFeEIkfP3HELFC+Uu0kgpPs1R7mcxUnQKy4TLvnmBj2uSq63IYzqdycG3XPy7BWq8ezntl576 pxCLcO2XhSVcLx4fz7YqNR3IG9pn64WvisKSf73XhYUVAGJVHPz4DqEqzBv6Z2FmpnPgbgBsk7sn cxSAVeowW1wRT42LKzNqoz76cZIS/BynRR+XYbV3CTLQ+6vMnxY7zcHVCUOsWMd799AGrLSvXnVk XbPk6x/ACQiydUum4aJb+xMcbcmVThgQiLS/m13G8yjAg4N5bkJk1An4lfS8nCtAnS1viwS743+k bJ1BzioDpq4V7BpdU9YT8Ii5nWHyJS0zalxl6bVzP4+sgb/2HStCiXGZpeS3HsnkPP/DMwB6fH+6 472ENYSA6iYgMleY0SKsaa8CwKJ6vZxAPEozkEfe4lsn5TBE6efs2dy2zYWBA1FPJEsljWY0MAGl QIU0x8sc2hcX6wk85XRkbHgpD0DIJ6Q6g0xFJgw1xHV6/Q/oEWioVyYTHVXjmykctAGnhy9BXhvi akRGLMplymL58/zUk2lYmsWGz/eVlPQ5k8tv0ijnmQ8LjkNKcZQEhkKWOJKCNeqYy5NCaVDhoTeo iNRTBnxhJVfmlNcjDVjEKDsYXy04webShIwS+MUOrs+xNiW4KlgEoMKZ2rbjqmxxVSFSvvxk3p+n T9tbcUsoU9FW0EKITECD0xiokEs9Z0g7CO4CndpUXNDwvbAkwIr23HnQOHKmwinlt8Bc055Jnvyn x3yaUtOX6UKfAHXbxpr5zBAaRW4WiYG9tTH3byiNDCf7nAm1ml5DnT2AhAMwOF2gW3ZOVv3uEPyp S184pJ7eVkFGiVVwKVzcQvk9iNvxB4MPeAJpKx/oJIwa1xMZjwB3e8jgZxdAl3qTa5t8qoX4vuUE RyiPASYa9TA6KGgLiztXe3ZoFzzVe2UFTi3Tdm+yU05yPtFI9Z3w+h0zz1VY6Ld37sUdATE6TkLH RBcbS/fupjoqZveURbItTwYQg1AKS1wXdwduk51A8bFVouzq5wwGrr+Hvos4Mw98r2/veym95LCI 8Fjr2Y2ge9vkBL7qm/xzi5/+M6w3ozfhY3C9/JkWya5dS16k1hRSd2mTphe83+bde6MgXPNWtvr+ OzmDRi7LQo7F5uzGmdHsxXr4a+VLcjcEIDPiFKmQNdGSxFEmCAtSJJrS16Yh7y66IJncehpZBNea LARPOQOzEMXb75pGBNom4fRwlRNyPxQ6IPqtyw4StfFqktXbDRhJPWOHV6I9xvyp3jm3Zg/j8FG0 io2ML28Fn6wWWvxYYFaxz17d9IgYcZKrJry7IWcxs6Rq7qElv2naf3Q8I3fp21AZ7I5ajNeAuIWM y94O073FxeJl6Qw+LdO2kcvAQUbvC6dITqk9J0lcmLJG02M0Sdt8H6J8njZxPyeyAwL/qQrHhTZu bhmg12rL1VtUK4uqHgBZlwzkdkVRUhZZ96mTq4R964exm2ZtdctNOfv6Stx//kBe68F6ihzdSIv7 kjJlq/tN1MFN8HgDV+6soADzgfOSr/P8wKAGjTMZ/Fzcka8YBEZVL82+bzOKTDrbA7yg9LzMqxY0 vBW8fndRn4aC6aIoiInzyKyOjJFXVgYBNneSvARYeUxk7n1pI4gChXAPjeu6Ks+8ao9DwyFMJf+7 tU19Ylf4Rxp7reCjY5Z03exnp/nolzfW1HrXHlizgNRt4Q+WpZYYeY4S9lQnBTgZ3eIEczEbo9pF Hz825mha4ypIVYgdZe4m8K7U6qJEfq85xj7mnC97z79xErxWAj9f0q8CIjkuKD2mtnZNrTpGxyf2 W/75xJVp9D4NHiAALMeg19bfppfkE0X3ayin2EqaYLJQkMNL6EDMsCVEAxYnGMUYLpEEz68MEOiX wFWFcs1oRiqSvVx0F9eBZ+x1RKneOThkxBNNuv0OI5DN236O7AFJP2kyTOE6ReCPjxHB/Co8rtoT suDzzrnBWSNiz9zgYoO++ConUc72sfJjCrVMwRUCkHJsUbX55aMjmnmKC05SSQJGEhacQ1tUIY4y Vne9DwQhfYTR4U7kF1YjZdtL2Y71iDBOcBQBGuCHsaDP/QhMQs0oKW510l4/wZwbqafko4/YF3zm m8soX/Xu8NTIkWZxUrBF4EJUa0tlu/84an7VkOR6YV/wNkjNoIcxuvWMUWTsgEfFiU5vrcLsNEGj q3mEMBvmruMV5hh4gXmsAjayDShQCCAzqOg+5feRfknq2oLrM3PYXpj8b+lJPVvrR4BnUjwXAkcC WHbTIJGS+smAD0COHvm7N77FNH9KpTzLpo0clHbei+VjfsM1zK7nhN3IYnufkHJdkLbfJ8UyYOW2 tJlWktOe3BDY2NJZIU0Hbt5lTt4rJQhHnoJAP6cZ05EqdbKf08iKzrPsusu9kAiBJf9UJbLI61hX Kffd80KFctsDuTFkqlcHd7mTAjai0lkHbxT/ijYBt85wO7Yojibrv2wS53bnB9bC/u80mH3LRvwv G3dlMhmvzeCnE3TQkDwgzFaVKgdmjAc756Gf344lLiH0IUqyncnRyLnenDuS3M5AQW3XSSVaxs+m Jg/YJULIDG68+0hIpgA8PBL3W4aC1765QeUYwCadU1vYEcGaflT/Vh9uRKUL2WDprwBv/oRvTF2v ARok7Oo/j9/qzNrCgZsqb0AY6kETKg9Zho/YjBQ7RDdCNF+tSKet8s9BUvc2gkSMQY96w4iRAkNt 42YgL8C2koHMmoX1/mfyo/StL36CefBtoMnP1/oNHJ0+sLyujMgZxeFTxD0K/yEuZCtf7ojXEDyp oVZRovRrlwsCd6d2QfQpJy+P7MDUV4xzDJyprLpHHxShOw0no6p7NZt7gCUQ5Rpe2DsyBDfP0ADS RRTiMV8ZhvGY3mXZZhKKRKQiF2hF4xbyj4g52sstwLCMloOLubHa8zFzlstKALgy/mwm+pLyGjJg 16q0RAi04sEEHVxdIRLe7nWWkPplHYhrlSxso30NP723p6Dj2HqWC8QcXfsYnHgCObEjJjEBc8sd arBYku7K7SDoXrYQv4Wgi6eh5Uu+gRWQl+b5PfGh7tJzNS+Nw0I3IaqM92o7Uwuqar1R3FJfgV0f rgk2jWzSX10FOgj8deD01oM7HGaHYZzEK0zGD7SCf6wXAkeSYyO+ggr3xvKpg73H2LC6+IC7GXcE D4ufW7BAqaQjBY9LiCw78z7yJd6clfCPf7f2O+jRaEggv7KKamcjjkK4U2odKf0DeTKsqzSBcftM ikzfu/wQn9gwY/19vGhBxu6zs5f0OkKr3IFd1OeDt8RtacP0Q3uhPCFt7OWMsWR8bzbrJ4siropT EVgmRl746iYmC1h67FwrkQfXo37unprAoNrBoZldNV7rd0yc5amrkEn9Q3oQVWTifAH2ZYQiJ8FE LYCZUelNuqzV583Nqg6AdzlGM2aMqYyfRxkS6WD2wWynILbT3O3aCMWJJeI2yPYTY8mGVA6qTPfP QAAzpE1x2sr7vCn/PcuixFq2dGH0Igu4mJTldDHRMy+fy6jXTTZgb4+Ma/yXiE+d1qv4KLE6YIXU 1encQOfgx7Y2ITacJhX/87BgdkUdrVAyTjdDvY+jNdhOI/AsWCPYaXAUazltwhjYG1D2VHJHckPI Oj4Orx2O3wPc8ZanD8DVzPATXw02XJGluDqQNFIA17fypZSGPDLvgJ44JYElp2vJqBr1jMA2Pgc8 8uhFsT/QSds+wjh3OCwtCd1cflvVEOuzptPGvoygH5XSJjwDoJv05R74fEms2gdgq0zn9ZsmrPJy WHb2gH0w5JVg+eDZwKgBmw9+X7lDeZ1/DcbLJa7VJCulj7pE6pzUQEzdCr9MdG+/BOVxfSOO9nYT QS3XZjeS8kbWLoYXnNSnMx61xcwqIN7v4G9f9DYYNBJaHRzlinD49EbuVEeB4pEqLUqmBxKpvyWt r7OgybSE+tnZzBOG3/x8UeeBI5sEIUqXJOHDP+pzi3ij7W6mb/uXHUpzihMw6OtryB1vouQOZrz9 PFnWp0MJBbYFXQbH9h9HAFgVjC6pgDxIye7jvLGpaeA7pIbam5ppudKTuQGOucTG4WDyJROWSa0V ClvJ8OTxGhfw1XWCYyYWM+IqQbWUGFdN5bdg8WqfDoHB/vHVyjgQEAMYcE1XlH6ZVnNVDzX6nAeI CckSs/ng8uyCP1jD/sKTpNgPExRwuDkBrOKRamOzmGJjbuB+eU/zYc7oAJxbS1M7vFIjRsStyqWx 3QaiPZLlLiBkcOY/SeVqilxfxQbh/nIdv2fm6XDvPhCrRT83r7vd3FLaKeVmMFbz/G3oGkXtKOds nrvRQuJ7Uu7ssAIB5DvNlwXnrCwafbrdFPbwr79hCn2ZQTombFYD0KLAkxhvSdgCMcFMR7O7o4Pz S2clh2Yph+Tps6tXQTXQb/hENQjlFJOKsP5/EdydTlJpPzgv0RCGaU/MxzHI76HMiBOZ/saabDSK +Y7o5kDQ7VyoyfR9M8TgYCBwkmMMls5dP4xoZ6dEEbZavDCsOhg33GDZGec+kp791p0cPBQEtCWW hqEzgJLiFoJCAM4EZReluSVQaqtGs+E7vVgIRUjJb4joYhQsq8k9vrh8aGvIyFGrFhovEdwFAwaJ IdhtkwA3X0uUQ+onZtIDH0mSflQfD1g1zo906+spVXScBPvuCI66i770eQU1fyyuR0l8N/FdtvDB Uz1C2HH7Mk9ZR24KHrYNFIzc7RBRFKkH6Mf+uNkVplnrTk4r7shXw6GIGWOpby/8XcXitvb6CjC/ GcNQVWj7rcwwWqD6C76Mml5hjaCFhf6tvHhy429uS0M0CgG8PKZILqsLD8OAcLP3ksTTVWoNi/Gy WstJdolpmuhBIq+j1RXd+9f41YX8Oy4w61oqIsrGY5/Ck/XGN/5FRNaADrJtd6B4cE2RXCej0Cc4 0tQYHIpj90a4y2zSZ3veQOW6jBM/smt2vrNTOoXVJQ9K1ZFiQe0sG3RRL9M1GYDNeF4KCz4cppd7 FFmaqd5AKp9iXL+tNSNi6eURSTTD3XEYKwIVPQU7B160uBGHLMyxvAfGamwwIr46284vxo1VAhjz RCcFWJmtibgVHF8O7YeguOGa10Fe8uDZYpVhllYyxKMgcTzKitJQRalg9yTe9W8OckG4lK3XDSRR y/DFDBLNj08gxJxfyeBQd2brOus+XhDxK+GubSiTjXHw5XwhDQP6kaJAJe9BzGuk9cwAdP9MD3Xd yfYFZwvYltixJyJ3KIU20U4Fo123F9zl21DSAE4M8hv9rg2LV5IHwdIXnMIEzOYS4xsuYLb7BYtB 8H3CuZFwNlg3wLtJADn7hAWPnV2nVLJv8u0JxcoAxKjtu8/Kmhg9LL/y1O9UtdNOLkESt4RaFdA4 zMAyHJx0N9UtqkFOk5GueqUCzLGvsab0nUYqD4P9CAZDYx80yNXjIKpmDMYxGNbaV7WkNZJKZ5i4 vfjj3eqTmI81pOhH2GOCmUuBFYVCTp92WugWF0h1BwkbXaWHgY8qmc7pGMZPudlA9pLNVK0z/Y0d 8H2X6i5HwG9BQaBx9XW8PjGK9fsyeqvW7nO4Fbg+RtN4WgwSc9tOrhYLh2jxB3ERdSA7UqZxZiQu ukpTIKHffc03NsYtY9/fhJfNIDL9y63nSSM3G9G82kj4CS/42OwbZ4ytF/gB5IyhhgaTo38Hfp0B IbgMtWvE8CEbU887KSNEEnVmXUGz5gWzYiBvmCqgWj+iHc00DywjWf4C7SzQJZaZzsRDfYHeVN1w S5k6qKP6ZSHv77YeSHjPh1ltWDW4Oy0ekfDyq9fcunr0wl0cA4LLpfeivYB6Pkxpov1g9I/p95YJ f26afglYz7I/dRF5nab3fqoD2yiQ22SmKTN+kcTs0iVghA7pAQTByiiVdlbx+jmHiPRFS0uPBCwg bwry+O/I2zVkd9G47gllkstK4Fyize2hT3ebB8dbiQt7jz3jK1qSJX5vfb+7PyJTf6qwVPNpMH+t b4g1oi2WRCvkxBdzSnW2N8KcMof7U+bkq9gqAJ/gD8uFHi6blR4wJw2Rq6rL3i17IhqXOwa+MjBh xOtiyzQY09n1H3EqgJTtwTdWuBB1QSkEcfq9wdXv21w5rMdqzJ9ML6t8Z8Hx9A3nLrVAMHZwSYD+ OfbLIF02cuHPBdG7a28WlFCBbtgTIzwzfh8pIMgPmY4yw62nxsmXtCiyudUBa01s+yvO0TIF5Ud2 JPLvs3BRk6T5YAiwgREEMDbFZ9MTpCSRCfxEJj2kC8ydUm7n1+yS3P6opQNuTxudsmGKlqq1aV36 Z4iFq47orF+mmWEUueZZXheP7w8O+Z8fUTF4R8HFsuB+rkCbgQpzzt1aPJs1E7u0AUsy959lVWl/ nm9HzjiD38BFQgoxLD5kMolS8K1JpElzaYmUK8qD/MSSqI7Bym4hGkm75tENTi5bg4AxcpnkQGJf /c2VIYhR26mDg8yW0d6eo14LddJXyT5W+r6QYdSYP1dRedtIaIXltRLw8nvbYca54AMqogVqQmt/ Oa2Tecupn5Oxkfjr5cJ5R1yx7l/ATP5GprwrKqORkNqdPLI4lzUcg2JUKRIk2M0syblo7thwrM8Q E/F5RcZFqYTxd75SaQDCS3lw1c19UJya7+6cwHVNDvGIgAEzQ2fEzsyU/EJvPBp2EFjtmUVstLTO 4my9rl/0JbnHjRp0qDqng+1PXv4SzLdU3jWkeePVv0ruUca9nqDeGLh05lbiU/uIueuMQTKnitHl INmIbLNDmjBIKUas6TU5CQkpgUzAuW7peQpZ+OxK2ZEEhJxsvhUcKfF3GqMwbw1p8Hm2EOQHxZeS gUhNH19ZbXHQUv/HSWlXaav722yvD689AQVqGBe4tWfBxUhPH+VDC9da4lSyfV8YGI8pG4c/nW9o nMuDB4h7BiRGCbJXZ6U7gCTyHcw9ITYcVC4bpNL3zoJWKIdGwG3SaEp+PBYbpNtLiBvCJRoG/QiT ojJUGCwlu2Da7GIrH2n2ywCcPbsXV5THkVwbY8RmgiHxDkB6a/QtsTJF+xfwpsQ6zjSscMBzlDfZ qW6NSMF/RH9G6bJ8l47v+Vpskey9VdIINJqFURdriK2jtYJVqInKzP0rYDNN4eIy3JVh6RlY+GnK REFqrk8v/sqHsPka94iubMt02/dtRzo2v1PlZOSCun7iA7cIqUz9iEvYsTVp7+vtYnEv1R2TRIE7 2FDMCStHjIOZSZL4OspQykXNScz5bzqxqfg/DKy9G1V/r7IxDH1KyAc3/37f8r+3LDtyNCUMBTEd XOYmGTEWtDczEVA9PjZ2RIbMYJSDPpUoF/1un43Q6vlGevdps8PvHTx1Tas7Mm16kLzBD+WNl0dl SzesnjnP52nVDoYbiU7jrLKIdus0c5iQ+Mp5OLPW5cLE4YIip1ajBtPNW9VRiWhryEsRs14kMwpB n1SNVSUzNSfkdaxEthybISgkFttWGJYiGJtuZT6uIQQCkgM8b5W1qrqrDb7a3bTlov3XZsNyNuG6 C9s2RfT6mzZmLEejcop5iSN1bY7FqZYrNx7uCRnGuuzlQAlX6W7wqsf2IGsBVKW6Z2cwISFKNVOx t3shWmSp7zfyh0r3Mkn4VfXodOthTSAv7ZTI4QlgX0pY9TzZHf1R39sJP5XJXZlqzmjXszE65weU 4rtyL3lsdS4Z+xMtlQmmPGwcrdMQLAtabaQe87qVTckmXugyt5InKQxr3nkBFwKiz1fnYTboGU6L 3HCRCLeSS1gWwX8/iURJoT1XNfRWtKUk289t/eONY5AHcyhoVJTkgFp4ECXE8WSQHdr3AupruloP GKJhSrrT9NxxcaEM9eIgjA1UopI1mv8WoA1YxeErrv15cW/nvIAg/crio7G6Q5twJ9BsQ0yVhiOr ri75TJoaVJLalwMteyH9qfyUJ4bDdiP66b2dLq+Oc0z6mPWBkb+0vVwE69sFcDRNtC69tqffQ6AH LBeccu50yjN894oK3SKFesuETt63tvG3uJzpdnfy9YPAxf+JUVnjc1fwe3Gk6jayg89EZEndQhvF JkxkKm2rYpPiYnPGovmM0O/W8BS+x+6NtyK4pW+csIQdFRmDBR4mjZK53cTM0Pw6rjmPM6ChLee2 AYL11PgnHR2rPrBxhYcmTjLKvuvxcw1ruSe0k2q9YKhjSZO2P2Kejpq4PenEPmWelLh5Augcqqf3 +yhJzAHvs7Th/MV1lG0ZqnwfEkYQXxTwb3SRxHsT9c2pwZ3bVhXUs5dJ9N+nI33nW0qIFQfrEVc2 FphxCTXs/FDCSPesrSHpoVvgUw3HQhAgKSOKge58AucUepZmu4Qdo6wo7tZxlQIEHGqUwE2u2fVE nhbmqya8Y5QwO/V7PYW2C/9nJ5AyqEDRoZB+KnUAot0QPe7vrdSSzyNtE451QzXWQE82nF9V6wup Zcw/+xZbyQivdG/BrjhYMJtKiKsfgVLz5rPBmuQIKDxl4tlHZDbcNuiC3SnXRejIZyOxuBMXMDS7 oNKdMvG1IHjFtJpMasEaRMV0WKyljwgFkL/Rwl54b2nMnLj20ZTaxwFquw2husIGYQRxFhtpqsKc u1ChlkuPnZnOUpo2Qg8Jrhpq+qNnkPAMnAc2H4/Mr7w+7S7mVguI6hvGQV6IkvW+b/LFPQknKIJa 8ldQMQE60uRtigLmP1p+c8BbJ1FY2pTKuyeXgipfzFgLvpWSp9tHZTAnCZE4U5LzyURhrXSXfktF ulJL+1R+yeAxCW7+lyuhADarV2biNDi3JMQEIUHrXnl7ca+qvm69sJz7ID8DlhYYKCUcvLMVdJpL +drbvRtoGCTVtkNomEjAs1Vp1u/MUrv1QcUZTc/6M5LHdS2JyANv12fYN8KOh8lildhWLaliIOmm hyewDxaV/FCLtOXiSA/+60wlEsm2N5W7UoXVkM16lP8QSR31Lbx6Lgo+qlXMUTAUkoh+lY9EO8DI yf69GMVjCM9UP/MJHpaSatGhC1LZTXpgNpidptZN0ttZD7KhDMPd1vEs2gSLu4zYk2OZvuZR2KRd m18VJqZgLr6MQfMSZgt8JzC0pOdWBUkdqhA6hHXtDGyNRVkUBYR26vlIAooPkT+EitWbx/uQQxLB 4HMNLlmr3IhK7GDmoVsXuElbm1ALEHi7ufgnu1llnTiKPEy59geHaU+TXVXLx8TVeuItxmidOhQn 3yVDvOOyI0uRLhv+LIJpRewxhxVs2wFWb0NXVBGfCFHtnpQI9RHRRJ84mboYP6jtXsmp/MDzrb2h aCBQVKHmVzixP0HtBy+s9Oph3fKJP+TFatsevNKkY47hsNSEtR/GvP+79GddD6sn4t4IeEyMCcrp rhtRIIXv0PQ/Ky91q6Ot9hLBDf4mP08SLndH05Yei9Z+TP++kwrHIovU+b24LYIqA4NV9X+CDI8o mVhFW2MX4OT+BUPOFw/rMOg59rBiqyLQqft01cM+kTvwQ/xKHXbi2lccNpPoSvtUyjfkOXx3sqJM 3AiGsM4yGjc1KSLneMBt5jFL9bTrQ+riPA/eL2IpLzMfNCgFn6yglNVJ5nsN/pNbytO/Y+ocmGv/ 6wYG8//AtCXwpN8HnHv2LC4lGZGf32sjl0UCelaW6WcWXlPX+7eGnlrJZCyT9boqhFBa8YRPfcpT 3qGeGH5RxUVrUovOVXaQ8pT5XNNLpJNOESm35KExd2X4KgESkGKeehZPCtBHBFBF4kPhcJekWi48 ML5QqfG86pyrqJERGZCkWMhwyzizUPevKUb+YBcLIxEalnzWNdL4Hx0irx1iUmQii7WRibyX2F/f S1qxZZVdZWld7tuOwQmVM3qC0DUtrDYZo4CNF1kYZQK0ZLVRgKlEBLaJEOxBCE0SXVKr2s5EsC9g 3F9ti4vdsQv2dfi49TMYfIljSp6peHLF7dEPANRkrKbGEilSGfbFOQP+qgYisP+DcPUDaLICRJSV tN4kxEbs1fJjK1Fzxf1cE8pb/Z+C6l5alCnfTDMcCcMbF4+fdac3x4KqBqhZApGP3cRaBNNQGQkx QcaEBECjf1PzPBqInPekcbkNoGuF6idbHHkVTux55EwJc+UqR6MHxragY2b2q1RWGLfxOy+m4IM5 tl+mqpd40IOrSkbnp+9iLRz/NdPogtfmuBt3ek49CZmeQ2WBM7TzkO+8/N+qop1le03OfoOS9OgG Iph47hqZzyo9R9bSgVyFvSAbFcUhtb9UpnAU6HqHxSB+ufGlR/HXjRE77+2joMJIjlX70a0d8SaB m9aYuDhZwnqEN03DL1gsvyAFv+kRNzFPoSWYT2JMolWZdJIkvFmmIP8f5X0eWqJA0m96uiBO0uhQ Oxcmk6guYsZ7WD+GZEQN6S898IMIZt2G37B/5Z0o4FQ7MPh0yXHzQw4j7kZEv0CH8ak25tvgZsH4 bOv917GAT1NXq4BeOHkRTBxQLZgjVJJTXJf1Vv7huujhEaRZUp9e12blDJ4xKIW8D+c4efBvrBhV D9qdH42z+wYy+0uGe88cKufjo2vbHodAYsAOwQuY6p1fCTx5Q/pWE3VD6EeLUP6oJ2FcMB9k93tO 4QMkmuLl0yoibzPoZGXI0OjQDHq83icKoiUWPTTLWBimiRM35CG3sbeguZAlvdwcU8BUDnpzhbBR kTo4hHzWY60bIIo9ksPlsr2pzjnPSkVFAcE9LU/jagWuwHb75pRSIKq5e/VDBufZkuYPLE99/Tgl SYT8hTdWkXe5SKEOwIEvU8o47z9E0Unaq3lWEo+gO/gWVPGlG3v7fC3YxNVTjoENp2Jvi1kJI9aV pB8MsdbSGPahHiNP29wNQI+Ry1lBPvzfIDiUlXGpc11thxdLNdATCeJ3dHMH1yd8EDi7DbHiD1s3 foJ91NYrGy4QlgdzepYuyVB9MOKZxHKurWKQ5JDSSdPyMQToc1WQHZDp38yHIuuDguA05dat+6AT Ewr59PC61CglRESPVXsNEiYmzQ/kwtXJFx6b65OhPX6HZ6JKq1I2SxoR/9lPPGU8wWbnmA/DG9rc RxCXjYa5w7sFiYMZW78mx06wl9uMguQE9LeprDQHvnf1jIBYWQWl7NxABSUsOW/vK5aIVU24top8 qIDLyjeQ9ZesregaSehdl/kRej0YCFlGPng65lnMLvmxo2yM6erSz1cuQ67QCdHfdn/s9Hp6sKtn +34/7K1cMdsP6TUtB1sqUKC1KcMybzXpAESqxJmblQpkdNmYOHphWzeMn4chKlJ6x89tlTEqiFpS 1sh+eKe75E9c0LsePlHcyojJ4/9R9KnSbxVsy4DBlEVI9KEHeVU/pjHP9ngSBxtHDBcNCnxUptpP vj5VILTFtDpDm4OUNWOgQat/Vcg10mQyvDe5gc4xQIaAdaazjCgs8pXNtrf0X4f0nb2HC/98WUiF afGuCVTW7v+Kc25eh7SH2Fk9nyvXfenhqFJyWOc1Nor1UmwcdOhXh8xYS9xRY+/1QZBqZGHg1XWY PuDlmFUqIPocTwVisX1s2C8nGoGt8mgrDvub+dK1GfIj68xAl9/i3h9oTnR2Y2hQK5fJqQyPvZC4 une96DpCWEgT7ZEo9flJomFjvIV4X07jH1CKdxafyC1d5tz7RpDRTMgV5wXwlJuO/qPRMCJeE5R1 34JTN1H4ZwqMKcfbAt5hd20fP85eSoBTP7w2eK1EQc60q3qTRE+hSWnV0zUaOojOxvkIBuMKPAeu XAMoTfUAa+3tS/kchhAivJZD5CW9NP2EHxTRtcQV+Jk06M7t0zF04lbO58sz1zQqARswck14CLZy qkFrjmJtUra6g72Gn+0V9Q2ypLY+7Qcs0xgeF2uP4SbuPeNc31Aeux3qRW+kgyFkGRg4eJCY9eRk Q5/sY5E31ozVqVaooYBZkObxkZN3EY4E8Cdjqp7CKPAFlONM8wSQnjAGa984zbDmO+lhJGKQMsVw uE55usVyJXBfXY9qDIZLU9QLG8YZLGL2Oja28kbOCA3oIsp6y1ICxIwXtZ4xjAu4+8PNP2DLSneY V02lTB77EkwD5/6epiqgrDFxBthBCkQu3koDlnr0lVnEvncQkHPN34tikDhyiVUOtxW6xSj4zCAO L3sCrrsFqsNp7kay77pDPUPF4Yh5U6OSyfvvsOeHk2jM4bHX9q0Je9tsCaZj95A8mZrL/qyoTPgK TgsiqjJ3zwzL9MIEvtjEpXMwZfdmuqURTKeZkrIYM2MvU8wwRg11bW/3ikiqA6D0ByG6+RyKQDtv c8N0nYZgV/esMSkaIH6xQyRVR5Jb8oaRAJA/5tz8a+iu5cdbB8bu4TdmZV4NdMfCnaDtHkg6IYXt mW608UcTRG6javvgySCcE7GPtccoShf0hpt5fgDkPak0iiP7hOuqff3NEL+0O9uFSLM4EVmBYEeR dpPcBNNS9Dr7XskIkW8FHqg3mn/z5ibFb80k9GFMQlnDlRVA9FKbc9G6iIWJ0x6hXrRzq5bh+AOP BtCtE+gbshrA3F5lfg1V7TXbtnjVBAWeg4doDlNdcDUc1K8FpAm8XtZ0aUSLBSzHgqzPVYjuvz7R IiJZIs12UnUNebMTRUfGkooFAK8vKqzYM3+hbxqUmK75aPTQpj2yr/2h/tXOeny+n1D7mwuwuxaD CalZQGNfdr/h9L4KtPnLeEwE+49OfgpOHgrpdTNPSS70vSKAf4gS9bIUzDYaVZIEaAOAGzffHJJu EYKUFXM2RQldWRDRBA37bINn1APnRyqMZdqcbxlC7lNt1/kkU/m6AMfFfmiiwbazNDxpU8o05KHK 1G8VQRuP/mMAMWRG5yAXacGzFGPDTlWxtWb3x6bcTNIgHsxUGcV2EQa+brCEFP/I02rKZLZQiq98 rHAH/mpDiyzcnXuKodshAiVBhwpsm8KQl/WEAjcGHy2gJIE6W78QI45+lhKgYIS9PxD/W9dM5DY4 ge9FBuXFs6Xo2FmqKDmWypurg8wi1nUxUjOUQlxPTI3PZySrK9J5PAV3B5DrV52YpUWcvfx/qmjO kttMcfVr7Ktixwp3U+ZARoCcK/eNnrDTmIYoovUYhSge7S3Nc956+LfxG3kWnJ5jQWDk5mIN1Dnl hYGlnF4yB069RUpPQMv3bYwcFlFfiTniKowK2TAjumWxH8YjMpT5c7axsDIYdiQPeVFkkGDGLlWM d5Qlai+37doLqPA+/xCzLBkLQ10mNWq7RYkX3g3czlBJ1YZzKtqIMfVNyo2OlNOgtrSJS1MQV4PR V9uKFG34ZxaL7qL72VIR4BEvGJ3pdbiSlbpQc6n/wKVRVB9DQXjycdIm0UlVnKOtf2p7kNVFV17P i08bOIQI0k2N0nzIgc8H3f1OGsKw/fhyRq7Q4LcymRue1O+cRHvSHEljQFfAYgLCMTrfXfgxUqhN 7jkUqutRHo0Bjbbzd7iWChw8Sao1ce7WPnhXOBeJNgQZ5uxu8r5ELJ3b0ISPIIs2y19BqxRPZz5w VqVzeZg0RS2vy537AAVfFk7huKyBnhtGyxytEcEPaurADHESKYYos4ft1GX8ph/nuINygBbqxJjq S+p07omVelYpZIt3B2pH34JamusgiOxHRmYINHICoqvWYKITKbwJ25hbAc7+Ylvw06zN6qhNkiby SVncNReLiixhqbZnS1x6cDBEoyEB4FXX8O9Z+zKS7Pie+S8MUu/ilaqO8QOgihK4nA4l+Gbqinnk DRdxT6U5QMY0gAOXfPZoAadb4xbJ2nsO6QEVnMfg2EeQR5io55DKmh/Z5oiOw9c8mRms3rS9eqKA IaSbINWpPzHD+mBnH+SLOPBxUIhYIZAY69HW65oakbTnlwlakxbmDoMzgrMLZOwVuK2HXW9ZM2z6 aDE/cTONcE6v6tPFHXuL5iVOH1NvSsi26iMKExXvw8o/unZJLhKTE+vxI3EYVV3Gb98mILyuIweC TkWCcb1wZRq6DK9sr5EtKXcWK9+wCg9XlL2/Q/Lz1HTepgZf5TmQ/PLY99MQ6MEZ8YsRN23qJ6b4 ZUP/CMdfeoDiUnkf4CBu6H+bbMgc1ItFltcZAeIyLXxnTFf388ZC5Kn4g6u126EKcoFu3atQyqw6 jcunQunravitoTZLJNE0BFCLCbQOz5CN0SfAwWt0F/UBvD682rY22GOvEuQUK6jADQrbd3mmoV+P EVy4GTTd7FTA4jOsSW0w0r8BVXsEcdWmLmiZmZMeGc1+uJpHa60thU+PWgdjjql30lKJQKDC/3mP wlyyHSsBjTwtA5uyR2gF9sHNw2TGOUgM5SGCqptqpu+wYy6b234zk9PvnPFBkq9hYyr5kB+0dN+P ocpIEIukJ5HAN5XzszsqPripG1B5PniSsZ3+zSAGW/QsxG9jCtZA/T9S9ra0rontSwgr9ft1Dj4w 2Nmd10bVrjPMKGCdecghr/3Hr4QuyAooLjHZqQ9czozc1U9bmzMlsKLE/2MXI1R3+NSJ7bPz5u2g ACcqYTpzpp3qcweSPCbw+WOr8JrVgLSWktSZ6QYkTz47YGtlAHt5XwMQlW78jgMgbQa4gTzfItqM UILLFtXo1SZnrUSW3+wWE7TDtaL3DOhvcoClXKiZLob7cGtiZ5j5EhJbUPALAY6nKjT80QzHxy0p aHag2lyZeGFP9donDniymP4n9opi68KpJIJMwL4qekIyppFMdpb8LcanxlX2vm6/GmLoJHJpDGyg XBDacpK7h+V+5DN5Eu037l/OUSn60UlJ7C8+4EOeGJ3igiJHkfH1GEuWkYFkXwjQp29ff074Rvs6 JwZ08c2UyHf0jFQKEy6OCKq3DqGUweu1FjXRMtumJN2xaOk36aH8H2/E2RErf7F4N4kwymURCAQg T9a9K0rtbKxGyW5cjMz0C5JrIOQxsp5k1AjaqG+mM7ZT+2epjvYTdw2gFmCJk+UUXuY5ZOaQbbJN STeGG94ngzfjzPJC3RcTFEwnNjrt4lkXPuBiEe8InEGyCd9Em51yQYur/RSyQ9mrmguuF8YvwbsF aaOf7YiOmAe3J4AKnmmtBImAfkOknJ8b4woCldemRwGAb1L1rOAJr3Uaq5orUFFrvN6m8GaQw4KR Zlr/oaXifDI+I2frZAmbbEWWwLgn2aMB39r/wWz0ypJQwZpXWTAJh+0IaGcnTyb+SSdcuMfGFT9T 4xptHrKnBmvmjuzLzzizBkYB8S+tUIOUzZL5PJWaWg+Zs5pKKEw7sejXpEOr6gYrm0crsoruu+au pgMmTJd/ucM1NspQAWXX4w0AZ8frUeGoN61pQzF4YHDUTCl2rcDKN7oixjfZf/n06a6v2IqQdc0A c+Ao8rsGz1ApxzWdJMrRew4nHKmNVUFXv1hoXa3RDqABKV2+3UQnjfJe+11yb+b1yTliuAkdbnCO ZuQmBtsL8R+U2T+NF8U1eVBu7dp2LB7FHRyGcFp1FF/DUGYBJpaH1ehRNBJaNkeQ3vcA0lcEgoJh fEm0erA9xgAQ4e2xQOsWrKIEuwoHb2Vv8ka2XOlqo/MwKw2xREKi0j4z69V3f5OPuNyteTMclzFt jz/49cLLEIvJYmKZdd1uzNA/0CWPqz7HAXMLrJBRigtbq8q6UCD+8NJGTb+97svV6qKg18pSvBxl w1+k5j4iZyAD4tC2x6EF9HAfrJNMsNDTGDugkOWsExJbK0q5IOgpR4dFY+Wpa+Sl01FnqBJTh59W 99wyw+1HwXVUznFHcFSMXY8HXECgDRIYZMWmaSwR/hv8JsgkuaLarc3wKjPa3yJJ6sqYaXOkE2xa rVug2rgecbfGjl8D4mNBrmk4o3HW826bS1qtOWaMSjSvodX43cZpO/VBFSLcf8a3xu0SmHYAnxI9 4bVDwkZ7YHQ+mM4nCXFywCVAl0n8oiarWwH3Q9lIREqDLrWmmVPuwKJTO1y9SosmtK/oSgN4elHS xb+y8/rD9LaLV5OFarSoA7OhN0THNADVMuSSwSLkmRxP8s7kJhyoETDKrqpqTvcnCHv3RYz0jzQs tBU1NS8I2UHQkxcOvn057Oua4IZiPAWEghca4QeFwRvhS3Ro/wzfAwxMh4h5tfcothUHK8hOpBMD QicbTagqDwi/zeH6hpjYJdOpzGlVNrAIHN1KkjHL+AmdHP+EWVJzjb4HI7FIiYcZwsnYM5LLcHL3 U+gIRz2CDYvCvo5j79Hwu0GZmkrhO4TyHSGH9MsgXOPTDGIy7526/3+rLbDmlj6B3z60yfgPvaXu 4+qf7lwqB7aIINsyAkJ/JuCg4mTJuoUi8fSy8ADGHSIfpTIJpIT9evdgf+032wf8P8Mezwq22DH0 ur09ry/ETm6c0BIX6SeMCJe6J1qGSeJA+N2SfBtw0IdzWO1swsR9XfKbyPMuGr+wcklo+xVSEp5A 5emXgUW8D2YwYEQpDOTQC/MubdIsLoUHOpwB4d1Kxyyh9SE3UJcd/HS86xPszsIcY6FTC34gBMAx JrcCXUOjU43qbr2D5EKj+ftsp3+Y2aR1MtdHsfL4LdgbzwYaGbJkWx41n/5suoZgMFL+SYqjGG9U wwEFms9XW5bXC8Bh1iPJjhUm4iLQurk68kJjoYJvNgDZQhYQIHc3lQUVQXvPRUmxn70vocKEJ1eu kAP7tqn2vZhSQ1CpktcrA3k6FJmGrN8o1AgmKmwsI+LsLb+y1LAgtt2sQx7OfMu40S52wrdaaQqf BqlK+4opy1jPfzj8SOBQHabyx+h24oA33x3WOvcLTj4RUtLMDs967df0pIo0f0iNWQ60QqiK1MQ3 bDz72ZosQ+pQuOlPmLggkAjCRKubVTywk5HRRg1nu+MHkVcMit1ViYjRPjzfkd1991etcmZ62ms9 U+QcxH4ynffB5dQ8oxn7aTkhsa942bONQsui9sj9Yfz75jfKgTJ0eEhVCZA6Uj9zVxfOXXz/As/P GQC8Y61367MoQuuZh7DmesuU75uNEe3UnmT67UZLgbwqeRqYeXlDYFHZIRX2zjnpfmcB4pvlkKvz /pAG0K+dnQi7ZnfnHtnt8hW3Vl9nFinkkA/RV0cm2TSVaNo2bksMgtxpPNNPMd+XCLYpQPPPMdbW FruylmPc8RGr7yhevsGtPO9g7LUIAlpUD6AthV2YVSIJFIKbyWlLnW3VtfucFmH4+/SziTp2m3No lV/bsX1BE8w/nnKUmlwzASnLb3omltYX3TfPg3pj3MxTVkEdho7C3hSOpVZbEOGkskt2f+gzHOW0 aBdE+z8c+AlgUjnLRIiELP/XvTpyXhUR5m05HrlWg/bQSF1ZpKN4ZKkROr17wQF8dsQhF6F1gJ0t K/16SYP/liW1OvkEvHAGlMsK05TTmqutaQsN1O9/Jk1CGmF4IjDX/vwFAAPhuJKe2F422rtjBiHZ m32O6cXyjR02O61WB/y23tdbfxsalStJUi5EsSFi0UGG9N+/HRGKRg5J3ZZ1WBzRNmtC6FgZcbji 9lbHiIOUNkhGyrjHmD26oL7NpiMQ6vmM03nSo07gBmriGWOqDr5BlpfjxLcJ23WeeL3pQuZzfGbE TTpgLLs0RRduAy8MAwmS/MMu8G4XpfAN0jM5/pp4+jl9h+qJZ8Jg8VAaKnhpi+dy5w1X0gfQdIu3 Qd4+aJaoQbMpoynDWMkt/0wJsBfjVpRa/3UC0vYqeKYAkFyBDhq04kAp1IKX74aEjtDE6Oaq5iFN VXmmPduAlG7GwsmSqKJm3vDDhWVbCah2dfCBTo758Cb8EfmDmzCZWE1bcxFx9Qb0D1DaldS1jPNv kpOKlCfj9bTLwWdcWih2i1Asu/8uBL5j7TQoG9Atfmg8oE9xqBYNxoYYM50igfUqIPhF56REaTsL X6BFXKHhQhn3sg46LBuuxd+9kUvG/p4FGWR+YlhE32+e3DNTJ2qpxpJULBL9j4AzCrC6Qmz5DPab tt+tjETgGYu+32FFIE7bYf2+JzYx2BD8r6BQmMtQ6jL0Z3ilyajGHBf1SeChrVtg8EESFNT/i8cF qDIanIEh4luF7Z9WUJy8irUMz7gSfXzA+jvhCafcyrgpVoTlLi93y3UvrPm9JHR4/0ZUkkCGQVxF 0TeODWhHwdjI11Hr1+QIOPtu55W3IjmerBUgi94MUOxQI/xeSFbDkdON0kwu8DjFmniRPio9zSsR N0Av0oAyB9yjpf1JmeRZKBOnAFCzKYpxcfVtFBWAJKT5Z6sRrCVINx7sQwK4Z5VqIQzpPOtWq/h6 thmvC9TA4RJfz3AJladV2XA7IYIQbDcBM4uUm0vmTHEivrtdM0pv0xSW+1s2SzHlgnRklqVfTEVi Dm+IKUpaf0oXJZ6W0r0WEGpPo2KN0iZnXc/EzXbqnUhGXs7xr7dvQRy4m7ees/ij+uB9oC2iFimH QravagyTIkL8OnvjWhSTdMfEpi7Kkfbj1mE9m948Tw34Owow779AK080lBXS4kEXBLlh3JVCZ7zd JdbbudOIQ0Wbc1lTnB3BJV0DlAqBKapj7w6EjSuxK04VVNpc8X6ZRR7sdNpRqIc/zIGC+uhbIV2i p34MscV96ibEJ6KJ0tqdLgbzXvU3irrEbfxXGX98naBKDk1rDwJyyhjXWqIuybWgoDT2iMvQhX01 5B8iw8+MUMH/cXPrBaNNJb2eLJkiJH/TymDfEVsTPMQb807TC6Uv5NFE+GCaoF0+EfYfFS7XPeg5 lK95ImMIfO/uYTBNvYHAXc0g4Wrp0N/zGARZ2k0qkSm3uSCzo/HM/rkhjuHbt+SsG0oljoRsYTqZ 75b1myWljhHRRV8Xd8+OLMAkUJYfh4ze/WqVkdFgyUOfAj0LAQPXhoDdv5lHy61YGeGntey9hzbr /RH3MraKqu0V97rB6jHo2ctOZZV3Li3T49lTC1eORZjaanyFtpbd5YbLq+HaXrE50OHYRWKyyOd0 25G2ABAyXZrZsaeVBsAb5HoEyLS7CT+0f2Z6kvGJd+yddqpn+TyTKoi8LPrRISmk5uDv+kDCv0Sd RwfBqMh2Db+J0UmM1X9u8R71a0ufCQxTnQdSo78jAfHQZh/3xza5y1fJtYBE/74WxOz5WdMX9OkZ YGUqnwpXqfRsUBPrNV1Cj+jkCbEKWWxbmKopcLj1LOMS4i3Jj3/slHx5dZ7hybZ/FIjv25ykhZJL 4VlxtuEfCnjMcuIL+6T5UnXdxdYu4jtiG7s4VZl7/KJUSeyqhMc27ldHi++U+w8iOSgz8B9/cAp5 zUNDdEzj4mnCUYfiAixtz9nNOjJqHGiSy6wqnYBB6cB6ExuE7kTZ9WPVGHnDXb8wxXjV4NpOEu77 6YOLbduOPD7qlgkBgYZOsZm6ET6NlIvzMdzYWd7JwTcF4RkoZEwYJvJHw5KJLtcIltXENn2W0ZLJ M2XhaC6QTJlfHXS8yY1VT7ZhRDvvyDUftVqGcuJNqVaW6mkJX9Zw2hxDNIqTtxAZ2ZlqADnF5k6f LnAKdPoJ6RJqr8xrhP1GGZHx7XqChMEyLgcnIQ1AFx6PX3j8LdVyAFCNxkeQ/qorqHYpBObEVPk7 ohiNvkoQ285mnfhhG0gKkCad3JHuXXK5oB8VE/SQ/PX8AZ7Gp5LN7ITXV0JOn/nIqL75YHlQsCcf h3GPgisAupVJ6L7YNjPRioepPw3zyCjOyEdUigf/IamFoGwkNAVgUrgEfVkpgdDb1k+AqbJSsZ0K ScCpdjbE0lIRDs5zcPTwdy+snta/iXA+nm/izmx6KcFh9ip8341m1mQv1Hp7hSF7prQVcqhn2k7p KXZytGQeRPkT1QovQEPg2nkkDpujel9l6KMudYFDgRLvjMt3GfoasZKnKsS48lFuVX3piEP+k7Uw SFYqxX66/kZdoeCKZhR7i48t+6q5dPY86efbI2xFynE547Yf9rN1Vk7cK58eAb+yWamI5aoa8N3E vvueJFh1Qi4+i74PVGMsEGrxA9c0If0v9fgiyWGHXgNdkQM9cHmakKHlzWkMDtRJPbx2YzFB9weh lxtt2/poqXLtXcioaar3XVw7sHgsKCS8GRTBqm+CTalsiCKWgSaQ6q8ejfq3VDUeg435fd+csSnV Yl2JX4NT162dDKUxogtF7BKN4GHT+DbD4NfibGWe2cQje3WtVWXPwv0h4kEuMwqfenzv47dyGR3W Mukf91Xi2b0KaY2r8K4I1GteHSADTZMx4+aV8q4fcQ/0jdhoj7Zg6UbK0VUy9nOqoyUfNihxAAay HDG5TCYEvpqDKxRu9bHqq4FFKkXWTjtuf+ve5nosvYCZE3jo1sfnJR0v8kf9vtVXaNfSqHmtetak iS3mysX1veWFe88/xLcO2aqDBfuzV0PT47apn/h/8DBznpSzXgxHRyY1PmxqjT5jO8Qtn5OMX0ao z/FOIv1zdaaOrgC4oWAv6HAFjjLWFewJUSRDK//wNuL0TLXjerVZIF7YEVW0eAC6Ajwhx+H0ODA3 GQjzSSMl1P/+ZJfgAN+3RTB1vFkNNNr6D8FWraOrdnKVFRnGkKxFQAwvUcJ3Xdq0jkPERpeQIymS OA6LpABzU0kAnffvH3wWa3qFSw0nON+4mKVtUlfy3gGVDcltf6g2fXu6r2y8ssfetXQICBbWuisF 2mhSa3AKZyVzD4vi00OZGdVZLB4BLXyYMA5MJiJIMnzEGIpMXHl59Ap3VOo/gn+z0hr8vtOkpDPy lxPI8hS9EOU+hoUeavWo4/kRa487WZRe716sWpsWAhDd8kmI4+apk7KrMFBP9HlOfsUV7d7GO5e6 0ws+JOT12Ek1bt9QSnj32HrnODzr/kyjhYmWfeCWnt1T3cQ+Wgwzr2TX2NPxL9MeqyLANtR2w9B6 rNi516CRmT33BGLEIWKbtAG+PcDd5jVAFodtIMirpmTKM9WObxgC148cllNbckTZZZpUVYn0aT3r zOo45uLotEFgYggszD5CF2iAJeK/mBoPn4tF420H2JBIOJ67QdsI1GE8h8+r2BCuFIQ7EkghD/Op ZXUOK+DP0qd77QueTnLmN6Dwbh6LrBXCkrpjpa8487jJcQp+H9THVATNXX/Dm9lBL6rTeqFgtZQq CqtxgT95LeKK6LgXchcoH553AtyRF/RXG1QMrLXsQ++kZVMU/i1p9Rj4/FlmdnlEf+DMEQjjfdLl 8UNAHj5lVnpP/QGe4wgnB4r/Dj4P5+hKfzB5b+9LUTR/KbVDqYukC7IUko8eU6uNKqdwAJ/Or9G2 aOyDMBhbrLWi1QLoJwzgMLMf+0zSMg3WCf+UFHa2UdNvDud0d/olwgNUYIm9WNo3k0syVLilEqZ6 qzDG2aTXMjENEob8EwZzEuS9CE1xBFGNBM+uMBWrs4J4fgupdnzLWd2qF0gsLKZE6Im2lQJs5LTq OKDRZcS2q9CdhPc1imUSiD2T+Ze9l18ZFaA6HLFWQ56ZVJou3PlLh6I7cm4R3l0pah+cq/SHGc0N 4gMHmaDkxczwFRR8XJz01c0iIyfCgsFVPu4BuUn+AylFqlU46dxvboCdR49gAVfpxg9aaigHSsBO b38su+LqT5zhxenu/S/o2Jqybi0aOkH4U5AdSYsw7SsXRNrUPvlU3SiUEAyznmPjpjFm4l79M9+v sOjz5K9eTpyjLG+oXhWNL84wUF79P5kFf1/l+Z/uiFxVj4E03ROBFZDWH/FGT4oNRx39Tt8uYsi1 oXioS0k9anpzdKJtI28/CaFYw+9BRKxCBTzJB37mxIjdXvRdPX4K9bLcK6vaPjKcPgVTP6nOhYJG wP5OeGvszG0jpemQl1adjZ9BFfH3zJ6J72zBMr/QLolUreZ457MCykwXKAPeluuelc1aZmbReRyy rLd0Wf8B5Fqq2/PmzC16Pplea9fATlvt5dSiuGjlPkgc4lwtekLbRQVHcIWVxUshN+Ze5ww8QaKY kMHgVNrvma0Bv4Zbbn+E9jssdUCgRxe8QpLhmXsJuyFj2cVtYIv5TLfZ87YXHPM9+TSpTJpve8Zf thdZklznZxzsPkRVoC2tt6K5FJvOnKsQL2wP7tMU/DpBlaJbTc9kfuRmFM1mGBV0MOzqd6d74y2j Lb0hvh46ypXKdtPzdgAlV3Hk0epwrI4LNV4weYQ9c8fgpZ0/UfeMMfSNBm5cAmKEjz+qcvkzuhx0 ZMpvXh18SGaX2ELTlFPhvsRWZVWKms4Zc1KxPVi79GVkeD3KeY9pIJK0flqli2P9Frc5lh/rLaPZ 7PBslbgzqAalyAToP1HSukGL/wCI0cLMN1KBlkn2A0rfxYezvBxW1mAdcKqZCO6kZMzSua0dChUV oqa1YGhZ4AeQ6uudkGYUqzQ71GulAbwFeuLS+031G3Aia4n7LbukiOhlSVrmJIj7AbM/TBboarjK MSn8iTp3tGxIlgWa2mnjk9DwB+R8OM+Lkmk+0DzF+LdRfkUaczg0XwbMFdeKDGw2NF4fkb/hwIXK hVhDQ3m1YxL8KCxwIBb2xogJN0jJ5rBwpuyyzIjbXPs3DXHLPQUfqNlMTeVquP5/jWwYx16ddP/l S999WnleeT/RXzoIK/8kKv0kQ4M5IZtf7VqVSEWlfN66LfsuUlisNw/CxxyarbdWF9BAyaJL0A2T 3k5jzOs1y38RaO50ua6E9IAb+AdRXmZkYrIj+JVEvSap0JuXLPTwS2T0E9JREOypyO2rB0cnwuIP VbwE3o01aXKXw9PW+wZPrLE9HTIfkomou2g0TcqFv6qdVh5X7CORVnvt2JgY21VVPx2yy/QqMpWg b4wWuY80wc8uCeEh78lrCyiPjHJG5+W6mIax2G97f7LNly3vuvMXDhDgNSwsXsf7w9XKKY7WzA5l o93CZv1yF9Ppqh4aRhmQ0JxqZ+Sd+yDPO8U+w2c7ljkL05q4wzNJlazQf5tw29cBEhdiEukdMjj+ hEnKMpfVcK9oARAoabMYdBQzphS3Mt0aDdhZHKQ8+JbmcAY83LPEtFYrlxdDyXHxx7O42QvSi7TR IuhItwWAvlNIBN7XLEGvhoAcCZxkOuer6gcC99XgI0J2MNa7pLEXhozTr2hyiyFvB+g15wlOQe3W cFLNKc3IHEamL7rkIvL3gGCrfu7OmC8/twvD3y6p4F7rNcN454QR2eTK4SWfmwu8YinQGEo5tvfH xnRO0wen7U/6lIYegLs8cQsihZpPnxqCcrD0mwoo1Yh7zSM8ogHv0TtNXoHJ5fehpPyIWzZwwr1/ 3dIljCTYXBcLyVtmpHYmVoMrsBfECU+m6zzthwpbdpLx2b6LJ8plnDBHiaSaMb6Juk/PnFdNvMW3 2xCwjvXGSA3skIvyJOG8a/kEkDoOJuEgp7NBdvwOTSXRTksRFHHKid+lW44WgOOegudXesziqH5G 3j7GvGbtFEkFUImjzqumHaQkpIFjH9oyXotsWej+i2rX+bRRcFE3Ie+C8qsoSGNaU5Fqwbf+E/Lz MHHb65ci3IJ40whuAii9tN/4A/3NHAcCWEWw2bH5L0LkKy6iib0hjitbtFlv+A0dwXBKD1yho2hd oFuuaNRI0PWHsCSrgUnlLnFLJRTG1GyQIC1fKdw1us+rIDthkDSIzrbn6jzxm1fERJ1sKpUcP6HX R6MtUn2qh/BsWfWgzVLFVrGHkvJ4L+A3Kr974UU52Fm4wgXbW8bX8bR9i+doIZyPs7braXzqo9A5 EhpBFBWV/K6I/l4LdnFfULm8tswx8yAswk3W6WFc/DIs2+H8McxmXkFGXLi7jsnOJuqbodHrDCpW /W1DKFdFkFzqfYXnNFp/KEyxh9xqnpviCTJtNOdDO7iK6Vfm0b8KnW+kAPkG52lv1Opdwm0LTUIa +n+PdDkS+8z1y9zI+0rr+TwnKNuuvFPjzNg71wkW/hnSEOJiPlFW2/JWuydK/qo7NZxABoDCrTTz A5sLUzNjZ2HrDofgtcLeZufTsVFHHVz4rv9EIYleTjuEH0gSWsKyOGN67p/eyx1g5JefDpyiz8n8 Xspm1hzaDooh0/aVhGeISdSYqz6d77cuD8MjYfbBAZtLFbIF9uizi5YSh78wxjgoBI4H8wg81DtZ KtCFGc1ZWW/OOaSSb4j2M4Yn7RH8G4/+RW8U/xKtzMkV0lOarmQ+3/PzcG+X2kPSnrAFLNljQx1A 3qOWARR/ZL5kWoeGLYr+4ng0AZBvrkeXzVBrOGTXq8Iy7hXjJaOPnSil0EfFQqDRLrq3zljmLziH dX1cZled/gWP2nIOlkQoanOJSChdaAr3vuSKf2BC+1EW6BnXwd7Guf3fUlPyHB91BMuXR7/vsPkd Cs3h6dFatLSflDVtwevZLahhWU/I/2BHiJuklDP7Cd1Ygaq31GpBltnY2UoTCMprU1Bkxv0/WMcS UEy7RDKHl1ADotQuwfQOutJvEshOC9bjzJoUzQsYUcwgIDci38xW4pt8Kh7GR6Mj/zythdyfluNk o8+lyejmFw4SbApGOgb0qlwtmjxu1lTpu/ocU+fC9a7rrgIk6LYhe9rV2u+DoFNYYHfVk0WZWJUf aQcOI4aVI9B65LhsUYxXiwrllS9mLPnaRgIXExK8EMKBbGcThWSbEDwqDIrGb+mUoTMV3KMOtHTW EZdOTgxXRo4nqv7MU2RK5dJ95NIuYcyWY1Xq/0CwT7OMXz319zPVn9Hqm7qC4ODnc1nOCAF+bG1Z UI6wrbisSgXDjkRHaBrMhbcnjmHxdvaqfmACIhZwJu1m5B26johwkIt0l3UTNK5E6MOu/v735Auc o65LzfvFQe88iKQ1QuSCoNMB+HCnFy/Lz8ozU3Ju7LfIIpa8Wo1xJsdvwrVFzBdciaHdo6dRjA0h uMd+mpAGMYv6I1syYd7yeCuDJ9Qwbhj+iAdPqzRkbfI0vjDqB4H+03rdPhV5i/G5xKLOA2UOgJQP RdC9di75ag2REJlZb8xL9RrQSRAWb3ckM+ZFwdYvF4PuVR0DasrKud3w//PwoZJ8I1VvbYOMIlBf o5lrcjRx8fQcqw+DKjHgQn9842kx5wF8d5forCFqBQibLYzeMBkIkCtGscZ5gXU1MfrWjpKndawu 8lo9JRoR66mYSQfMc4WUbbpAYEKAMzLQuxc76DpbL5EU6WjyqXeq/ax7Le3ichJ1FmfHHBaKv9x2 R3t2DLb2posPrUBnG7drb8FSK48SyOP6C0NiJD6fqtcOJOlhaSGHo02GnA9SFVu96v8gnxyDs61U LlnpvOQS3ic13eexZ/Jud81UtYWlL4EJZ11rcs4zY1T1avZ/2EdKIwY7GZBjq7KgScrDP/J21cBF qfD+ehc8utTKJSATXxhJz/fd5/QhvTt2f8ZJTdBFnotM3nbI/vJnXMi4Px9pddUWUV7raeHcMaAj Ox6c+oWlvs6y6P4REh4KmZj1mGOLGttyH2723gAegIeRu5Jci3Uk/mUPzk+FGm6Ff+wh6+j08DMP /OgFwt3QMjUkQnXBszNUCjYCryF9lMG9eHx/XQBAYZCkVtZvA2wu+Ytj0u7egX8FKs+D8heFdnk3 aTfk6v2cIQwBE1bAP+x6Po1KoJon8BGmqRxTv5Yj9/Ef1twslvMxWHcl1MCFEqmg+Xd2Arzqj2Kg MToJeSGS+QAcC3RimoDs92ka2cfEpUF4BhZLuKX2IAdHuSqEINQglzyYIO3urjMZCBZL8O0Ka2Lq NO4fR2jH7JYiUG7NM098eLzKFCgDRlBA1U5OwaKfZ08qKUJmSOPOFbbT8s3NNcNPKMlOyA4i6fOd wHn9vfydOCGCllGpORBnnCtbl+LHhqIYzrFB8Hzc3rjAbNp29qaVoE1xWwAsHoYuvGZzfCE/8DJe 2PF663EiEcm87DE6No5LB207EcM5P/ZJsrMy4i7rcTIxGjvyaeqB2CnB0x3DXyfC4Zgn5VYcccxE f9i2WnETlvM7pabRwL4JUivJi2ik0571WAhv/6Sf0fcRZLDcXHtyXdCoRzenifc+L2NBfZvtFgVx 6VCbKb9z/aOviVJOJcH6YzKQB581aAvP5wrB4PlZRag0ku1Nv1zCsUpQ3bg5eTx08Ga0EsdJVEJ6 GtSZ0AsMlxKdQWpho4Pk9MQuJtGDQWp43RrXP5oPeU4meahaRC7cpAyG7hm/EVyRvaDTSuntKPw6 CgyOCy5QP/PQPKspM4onjmIapENh+Hqu9exlayvvvR4qIS4fN8Xo0jPg2tH7DlVJYtz54UktjTHl AKuyndP5Ju9zvQ5qDlfmLCfyVT0hquyiW8AUz0nkoeFV3fT2/G/tB9AdEaJQu8PnVaT4+ZGl7RE1 APvpY77W0sMqVofuz66wVEjnMGpJjQIMqE3vNH3K2qhZXoFkXxvYL/dJDnoF26IwfMS7ATXYg5sM zbvEbSSbbBFASZt/bJ3vqkp+2Z3sE2dHzWPkcqglsXRiNEYXXQ9cif1q7D/9A+zXnzpAYY83mjAB ZycmET/5THxCFhSb7iTa347NhZlLKBHJ4utEmXW6OQP84aSgDU2pP7aXL0ZuRzChST8UgoY9oCER /WdAnz6u5DVwCQIugYhyln0xtRglDaPDuiDQggVWXuUpDu0Qgk3P1eYUeVWzYwpnC3BBaEkYq7kS 6QQIBNNGFtuXydjjRWgwhC9B3nfCgmIYo5Q+qSuxPQleP4Sww6/elL7hKyXsB4wX8MnvGoOs0ZuN vIXfq9nijpOYr1L+K54Ajgr4xvL4OeEPlHBCjtgzGz1lOC1LFP80uFW7gow4lr897NhukAmTHne1 jFbonI6GZrFmdO5xbDUsfImjGcGdEcel8PIjF+I1qCR+pHDwGCGZ+Gl+pQ8vKiyfV4TcWtfSc3Wv vy7wkC9QygVx/M0vJL8HmV1xCCj9KIXMLB3lUAbwyazNLRFwTzMGKxd8pyub93Y15rIWZF+j5VdL u53CZZKwfy5T1u3cTwQpyYe6AlcMLDc0EdaRvyD2FNIe22bmNtnSc7Py10Dq68rFdcuUa9Tivi+J v8k+rte3kHIYAdHh02l2qn+9i5WCr6aOD2Movg8bO6t9ZcjaL5XKck5kyNMHYBBTryyaSODP8QHE 5kHpL126Ooo9FYU692gfWx7TPkeKoQxM6Lb5604HwOz01CNMFumSwTUkWUgrr37z+DwlFXeZWX2I vHdXeHwrsCVDBLdGbcL41wlqB+9rjC7PbkGeYrTDDu/bsQu7RyKpFev/A+uhp/BAGziPwQKXqg41 hsoR5Bo3Z0aNYJIXExj/S6Y+pdc58NWcs6qfTDiAj4ujqO/yBFCJZP71YpFKi7xdB+JMVTu3YuvW A2OmLoD1sOpQp/q7m40SooxR+hzP8gS9Mgiomo5DKyINEuE1giPCzawrG4GLK5bnulsuMtrFykqf B83z9PvkdWAMHZR7nhqeEtfyBwAWwQQ/GDDVVPvdP+51WLL3FOR1beujOL7uSIcY8lF0LUs3cflX sTeNXPco0REXAhn45NQwLaNZfDuVK2OlyZ4vv9OWHs6xNQRQnrQWBFadX2oYTaDplx6k3JyINtSq tZeMDaE9a35eWSEp9DLsqTU0powxOgOFSR0QY3Oo+/Eu2gsn9Tgw0q2JW8g0B4v36c7GZ7Q1sutl dpFiOEP/xgQAlx4ntykGq2UUrXtSwSt2k1i7EuaPUvC9XHa9QcS3yzaRwh2riAUh84GhVkQPK6Pc BY+P0mmMCWZlkTIcz9RE7AXXx+vfzhJO0VO3flCxWP6q1afaReeDZYz0e5Lw+nA6Cfvs27zV6RyJ TgBPQiF8b4h9uPTaCU+Y61GegmZbwumbZcFVykzypIlcWXsHRQ4KfdZA2pO2xj/sFqGqHgDD+ehB rY+nZ5iIxI4DWnR3MKD98GBiT19pZzNyWOr3oCO4QAZLQUkV4hxEensQ6hxggAM7O9cX7Jo7UtJ2 QEBEf+nLW0B7VHHHduYf5sPgWEpDtOuK2WF2Q3jNtWwl4LFYrIdafNHDALVzXc30QW9vmWj0LoDA H3OICNCKN3j/Se3INHuxHMXs7pbS3cgyvFjaYi4K9l5s1X3nSkFttU/mFTqdLZYvFDSv4D80VWLW EXpGbC48Kr6UMqTSjbxSSfev7WUny7gJmX2D/FwME4RxzixgWYeE+tIBGYYOhvNQ0HcZgF91xRs8 MV/em+YLuQvkzzVnzzn7AQ4ZJWrkcjGoW125grNJTixQknPjER9JHpqflqkdRjcIQX1tCDfo33DQ GEMfoPe4hdlDNiZthcA+GnG82zcolnz3j+bBtu81QR1xPeUj2/YWKlTo3YGx+/4B1fZg8q0MwLWr KPn/neK+/p+sM2TQeVaMSF8WMF1a11D6JLgDb7H5mFG8MjzvthhxRpex8mR+k0MwaRwjym7oLHlx 82O0vblmA3qkZfOf/KfSsLVAQ/TnZA1aXF5M/xWUTA4poYEyP5uYuLho0wnMKOne1nLZhAVOdnWk mnFGJ7Lw/Y6ex/pr8w6fZD+0j2nW9rNkkY0EZHBjSYgoDeP/gjNmzT1FVlQjPNfFqJ4WX25MmnJG OxfFKB9VNxoirnxResT1tkgAU4+PpwrQxbG2cTnzCd7kelLIW+SPLW3xjA7GpFbvxYXQvRvA8BQe S4UovZVjztc+vdVl/Yi5eKTpIfCCpRIzm40revHsy+5Bktb2zfFVp225gp+lljG0SzzSLpAYtBzp aJx7IfbTWEqNEdYVW/XerArGdDzODq8iIhtj3vUcer+NhFg0j5LMeI1avTYcxXJd9XPnvqAsrknK TlfzdDNM1eRClWjezQ30Wdgk/v9LniXsKS94qacN8QxHGBNF9V8VzKtBEnf5UX80nQRqriUQ3d8x ymP0kCLUk//r81+tjL5hBCuwi1mjqpyq1EpbayIUWqCUlgHhdBLFPOG9xlftksf4AbXsTTjPH3+O VtnduO36Vj41A2b9zuPpFOAwBXyyYv8ESO1VLaB9PBNQswH7i4BoQ2CIjC8A4d5eO0brTD/rnCJm 9Hcz7XjCcyZ5TBMXVpjtDALoGmmZvcmMCsWrNIH8dZtzoIyO1cjz/kZelcrWDFzeA4euIGR76S89 kVoGGg/sd+0Q6tNQonS7rLz67C5uzEDd0YZsF+GgvIKQsy0oeW61WQGm2cUiQV8jA3SYu1BjDZZI v6Vt354oxi2Rum/BD0QgSNxtzDKHJc/GdN1zu4sgzp+2+aMQ79tliXjOwNkQtWFJ3/gYFWuePCTU +nQffqeI0Z228a5yAoSE3CN6FrcjrfMLd9yKCfiFNvsgYLlhjfp7WunfWXLG/VItiSfRiEN+Terz czXGZojOsdYVMeyh5C84oFyX4r/F8MJ/0TszoLIYYXLvCiUXCMNk4FI68C8dSi48V8Lu++OI6ouD YUdWJv0BkJrI784hhvUowWbpqvBhxRButAjtug1iBH/dog2tnElmDrezku2gP5xYq3Knh7eCkDux TCvhWsBTI1lv+RkFeFccMwoolTC1NTdY4RWj4k0gQrAd10rt4poZlBXClBoxaMk6FmA9KQwjriJN ACM7qNAKG5M/HydNM+L9qwmkHj52Q2wQCwr7pQPvx/jr44qA1O/3xkwTDjtxdqAg907lbO/Qvbwv jXnQE9mcZqV06KbSNiiuYGtBlOERfQxyXUjyU6tGOiAP2Vif5RJCBMNgaI06K0NNUW/TnozOS2Bs 7NvaWTWG7p08aIFxvOBfiOE0ThMalcHDtI7MDvOk6SJb2vYsW2W41YcChjcoqE6NZcrThin+Q+Oz UTBYmfaV+XHaP97McTRhzoLtqyZ1DZp7LehLDUk4xATunA9oYDsb0hVIGVKDX3hxqxDuZ8SJxlGx 4Q2GSM+mZ0oadrNGvEdtj5+v/Amqftt4HlX7SWfzBMVtTT62NCX3t19EwBH0uvrOvzdhtBayzA5d X+cyIhTA+SeIOdmrWwTDYO7jZth7mZApT7mSg0SB+NHrwcZaJYaXntKCSz2eUjjD5cQ6T1wPVnB9 sN4ELcdDfQ9q84f9+5qD1tOQgSzn5Llbcn+klgEVhhSx7qXLTvNPFOmAeO6WuqKMR+U3ZwKzBy+X 8oN+xhTssaajFHvo4dPIjQcMjserwz3Ym5nt7fyW536OA+eCRp31EgDLXuyfwm5LCNkNqfAk7KJx HnjTTDOkj3LzpSZwXzIfhVT5flTsYOcsGP1udL+HnXIjbQzXtrevms25Dy9PcMKaZrn9awJHMGLa leeeB9k34PNjTmV5wYEHt7RtuzUoP79pwWhoqwdMOGEQD2JF6MBFZLX9q/Ubh3qA4D8Ke1QJL2q9 63SdMlCMQzgbENJjw4DjN8c76MpmV0IFa6PX99GLNRchCEYrHIdQaWmkDWoN3dNptLc3rMeKiQC3 ymECEyQZhzpHYIb0S3VW6IOOJ1eCZH1SKhyDgsaNWqKCP/Q3Wy3FrEGDUqo0DppOGV/fL8XQJY90 zYvyeAj8plntXDA9tMh2D0wCaqBKp55ZegIhzYT/pZIN8P60Xi6cTsgBKJPrGA/+NNobp9iGdr41 TU+5uoivvOcxZSslSGGHb0XlL6sXdzwNKjYlPkKFy57TLCFt6dHPHA1tfmYlsaBuMmYVyb7GAmBx fx8dw2xVkDkf6dbNcZB+uxtc41ZfMQGW7D8QVfbMVpr/W1xZ0Z9PFLZYnueGror4hCQNLWeSVNkj ewwiSi2cJHL1R9rrIFIy75ba8UaRRShQaU6iYFMb8R5UFSnC7GaQ2r5XkkCPBEM+fhtAJgrXhIHU ODsqIqhgRh5TALi6P3ln8kOXpcSgPfij3TkB6ArBx/PPTVtLnK2tlatDx1huHBlz7tHlVnlBjFRy z6d/r1+EgA1RvNwviXvsFHKlIHsH5yqng0d6z/kKKLj+pUSiRqtbdruowp6NeB8P/AZCi2sw0Itp vAhCKK7yCJxbeRcnYe6fTnDEtZZa6pc/uEqudZnBx2ru/67YWCW7i5+Sej/IkcRuuqYOyBIt0vM1 BMpjfGQLo0zSnA6ybJvA1Dh/a9qhRe0v8ODH2cKAQH4+Zn1rlDAjCTgNuGhUioxsG5ULv2qG7Khv u1b6m+Ia7NKW+aOB0e9mSBpr0aNL+sb+8CLHwevGU8+N922eA9TNouAEtzCOahPp6RJeyL+86rf9 HDgAF7GXqKmPaOc1Is3wukg1BPU6fQ/Egwlm3yuygqVHjQUvMOh4FoPLXbb7SsdjWABI+0rRYopV xL1qBWVHqDj8xdfuvINULRiTq1VKQ5FEFp2wOSxjDP7ymbqmyIrW3twaaTcoK+6qtvUGmEfse6Wk nQfKgrHznDe+F+SC+Etfw7TEACt3OwplimmhZSpPrqI+JpRoLjYQse580epw1VuxNCMc2hyZ3vkm U3NmJXw6XfoH9mIuv1GQFQuvQUkBeL0WCg5vGkt0vFAd7Kw7QHUTjD+D5/1t5rdYmHXjWUMjEmkv GYVJnkkcnCmkgPrGL+mCpRQJY6jt67oWU7qhbmKqvaizeT5UG4YpjZx5kG+qQVwUDqzUmpdW/L+h EhClRoRPw0ayI/ycxmNxQxUCw8IHEYsik9kTh7jHMnI/pfxLeTGmmb/EnlWRb5FF9LSul7Ns4Es9 2+hBkoO9dUjkR62A8bpBt4n31fVIsMNyYvqk8bIGjEFfhbWpD4kkm8+8fS+BZpZerN5O4QnJMn0a OL9bONDCv08rLasEE+Sv2YtB/qux1MsohoeOOFLctw6UgH8LiwxL6/oqK36kJwuu4OIpSN6lIXRT eZVW3mOlXtCF/rN0AgD4lqDFQpAcdITzGIEkCrEJDwrYRXGWl0ssWTC0Zdf/jHhqGLfsrg0WSPg7 eMYbSdlaMmzvQ8GUYfVLJPHoy8iNVElxu+JFrBab/0fDpCyi+pv+0Xm+fttdICzQgYMR2rGronGi YMdH6bFajlI/zEqtOc0ozvaQ8xMfTbWn4XQqzHcqz7Zkey2vj2goQPBoLtYdeveDLnmmz9narXi/ bKgTZcbN+yA4rl2LVyDnk7pyRcuoqj+6Xb+yrVhuxBPV8PSBAQxjUQ2XR1C6leCICb/HnnsPMYGL WC8aPcUOKIq+OpbCbjJJkukcAJzvctRF9FdjqguzeCbQQHaZJP+/H9FVREypORPK7nVZG1W+1prC +3sRQicGmmyZpeafGlfqXriCU0ZitWviyV1S4e+Kk9xCY468Rl85+O+/YQqqBroQ+fVSYEaWHuB8 Ji3vDt0Pv6ksxmqhsf/qUR8CMKdlPxHB7yEeit+ik2rvP5b/41B0BwuMZYYDlZ24w2vzLZhPycys BH04PDkf5zwHukZ0l57doeuRHHB3RQiYnULnFjWjWm4S4kh5Vc8Ra2jVLsFDkaAsOPx8ysaEocMz oPCetp4PGh4qH8rYmPx8mPNBn66xA8mohHM6J+Z+URPlmkAZHKMsca+WXD2IRtuiQj/0oXuUaqIn trnGWT1zBLyHK7uRBLGedPH6yzvYY1/eE0247UT9stS18xZo34I2oa0MgTTzUSzTNRgKF6Fl6RPE dceeQG37MU+BaTL3Ot8w2MZOLO0BLXzA6C7C4I3Uw5ObuDES01EunLgkrHMQhJ9OkwWlhwN7p0sh L5JULYYoR3ayRqKlZubOg1PYAQIatuUK87V27CjG/3CB2RB7279fuYMOCRMMPK2rkJjz/oZvBeKB w20H7MZPdVlUqtj/tnWZzgYFaHxZXHmtJy7BitHOy4Mw4oAzFFOqbVGSG5cacHv2osp0WBNeC4mu YsY0mZpEcuPOg1ZrJ0LyS9ngkxqQ1vftl8p/nczUlJWTWKbVLU/kNsHn36EzZqFultAWGHYtZxDR rHDwdTYnqr30u2mQ4T2JHOLxDrrllhippQKy6poXj7OY6OGtaWPITlJcZQKBfeHvgG7z3EHYTxtM bA8DA6bKFy+wiIqwYGEf/QO4oDjwCIV9Z0kaXSY443N+3yzm3k1rhYMCm5ZbFWTgHVLxhaA1bMfS 2UjRjbg3v+aWNu0wGW1XmSXAtw5AwOQjQq0g42wexCcaXyMGymCTa/6qEwhG+5KHdcpTWpzR+SLO CNnn9obU53iv7v6mxHoIL5QPm20AEtwF7TUcGgWGjoOubKVoXTweMhsEfCCmrCWiXgZZdNC4ZJlO uEpsOKiOzRZbAOgyEnZGBeItrhxm1k0JiQnsXXG67t2iNyjpdvHRyu0hPAtS1j+Q0B53LhRr2wE/ CBqiFK6SQ+zQEoNXOJE62piD2sbwA+4nJqHoOxrsyX9gOLrdfnMR8zdU8iWieYrE8BEhZSZpYKWX wSB3U0PrkQoSKn8KtTriyWX2CFnt56btOon1GHx6YxGmkrP9fXBGrta6G+LLWA04IyBqy9oRQM0t UILLePtNcj9ZenZI3K5n9H533SL7yhmEROGid0/rzJpcUY/Jj6sNIEOV2ebhcGu8WMkJAQAkPTHy zLsBNSaqRGGqTSsBBOwkWHzBZZxkXuShssyQQd4cbp6RwQ8LH27vbdqR7howiLJarnw1lDPcgGa9 LL8TSwg0ZJWF6rgem4Jmb36bBbCHWDVWohbvs1uWvKT5bO463w1RFHNNz1018hOwoyGjQ2np30H4 8KLN/uKy8GlioRBl+6vski1k75uJS0NcS9KXVB83j5ZcPGwFuWa/gFy/nO03SiV2TwOL5tr+QcjR Z/fZsM3MFh4yCk/esL7sG8avyHma+QDCti9941N5tquE9lFbZczwj0kbnSQ1ggbNRZyRJudGeDRd ys6ilociZE6DYpc/AQYVYaHmIwRouOJ1xzz/v6UQTJg+X3rspFptMy//98fO2YpQE6i4XVkqAuHW JyeICU3VCcs97n2lzuLkT8IPeWFOKyBxiNzaU10RTPSYBv2mVupmNPp30XK1h3H9as9q4eDM+idx dKzd9OxulCzQl76GELWim7Soqg+HLGGDbdU6x+SZ/a9/NABYgitGkXo6Op8rcGhoeBbz8HPpIBje koU2Pku4r8HYzQOlSjBzcOffeH1F5Qt5WS06q5MPh7ByiQOuh3xdtrgPV8srdQJY3Y7fr7c4NGjC BG+laYIoXveuHzmAM7rj1vuBDHpGQQ0ipLi+HWfHXMcBcKDvIDR1d9GX6Eaxg98sdilRe0RaLK9c LFwA1cb4bSNCdE1dZIJcdjJADBo+ZMmPtNZZk+vfuxLSIpQa4jku+2j0EgXW2nyHI/lbKVyORc2N O7D7+Uy2JgZAc+DZUHYc45TDijhg12Ppnf4zCPtG8t9qjyjC4uuT8I6FM+iLp5UrU/d2eOVclXsS xOT/YO+t+VRzdvCjUvAZtpdW1ZPZSaT7Erzzy9GEo4qOFk/Bz1U/s8H2zOiGPV32W8eJChkqg6/b rLPDeZPZYOmVs8XzPfvTMP1yH0SWyYVepI8mOuUFw6PcZg7q+fF9Zr8hVaFBkSzWaGryPFcREwSN 4Iha+/l6eGpDhqtgUS/+J/feZwdFT79VaQqadFq7KSS0EW9l+D12dfjGKc21m/0INVFhGzhRhS96 TSie3//aGyHRYaoYWXEX5/C2mq8cNZUQwKYgNoGdUvCLvECPAJUEksz6oylg97B4U/hEyWdm9jLj YyyXQdp+pygynRkxBSn8mAyw+gQlzbkdyEsnzkXLfCjUZlCwsJlK6WfeI1QRzA7cLkpiKUsZn9qn 9lyQsfbbxp3SQZzPyJUArYH6bkA2xWL79imrOdJUwbo9VVHBUoRO4bQ8B3gKSTOrLIZ/1iBUHopq oSRYJyC1gGDxC6CER4B6v1x/+2kGa5pJMSIAGrGzXHHyRsInXYDpWs86neXj/jnKC+eXAdEGYCR8 V9r7VkU+h32MQnG6u7Hg1i+bDRtg6ueHXqzu1Zm8AjDFAAd3uHTc8JJxDdVZSOirkE+f9y5L3qCe AkVDZUmgQb2pkGt9k6DgKmxzmoKcuAvQle0gWXlke30Aq0bGbw24dFzLjMaxt14w2jcWSLmGRKZp odwEM6QGM1BLk8rApjxb2gvAgGwxJYKM2dVH1edt+Rt/1FsGHeSx1wwU9eZna0qbKUvei6dmFmIz 7fCNAJD4dNffCfryB4HIDkIOBV7ew8xc+JthkY2CKGxtSoNbDA/nHTInN8TTpdSJ0AGywukm9zwe OkquG83s0g23qVV4c2d9dEGVSylvD3g6bXpcBYJMx78MEbyI0aMxFq2XhTvlO7mgQU/SrWcPtTVc eW0DifUhHthzaTQporqIS4JkHU99d5tkP6yHDrHmmPfNVC9eIsRNmPdUidsVgQ2OVa4Rv/o//gln XkEvysJKyV3Bb3IUiKwZsOF+ndQOY13AWy8IyKqiDBQIBVfudbJW3G7Rap2DxfVZ9DKN1kXWopd2 DePRd3R1LEtjXaaq1gyR6jLdBXKkCOXkUUpEuvu3IBOGK1+aBfkQNGV+vTNkHalf3LXExaNz1Rc9 064mLjUv6iYVeva9RTZKaGlorJ4epqOxaO1HCla++iKpNALFvPJeYK8n9rhebbjmf7vySIplVzi6 wLHkMf3ctAhlnzrobNHM1B2GoliD84lAPhad4S7ntaR0wrXICDa3/6RVdmvBqPw5HjZSgly2mPGB juLY5QBJcQT7M52B3NtOfoxF1wOMs5WCOmwS87XVED/M7BTMRqE/gQNm6FubqiLBYAOIe7AoUN5E IrOz1DOA1Nz3rSVHxqYetDXF7sMTEMWL4GWlunnCX8TPs1PFrfAblZBxwaXP6LX9c3Y08VWzLKYK pFW0Wa+rquFwVRsmSckaPSHxSiQI0zU9YwZ8gDeDXJsAdt3HCJXgUXswbRgaEpsRq44dHzFSVIsS cJlqOPLcliSNdD0t6crrx6X1V/0EisMbEeeDjfZWsvtpniWzV4s12eeAwI8S5ofxJbSaW2y3XaOh +JfHMQ7k/Jap8l5n/T1AiK5M7e99DOxbGvvr9gEmubFOrQmaphDb0wHFAQWDv7KLoErSaEQvXFnM OHRpO/1Lts8FYfEoRgkF3Ypob7VNgkn1NbhNDJ2B6lOOSI1S3i4AP/Bhls5jeq0EUSaH0YuHfhHZ Q3hfVHE3rcvviMBIgAQwhq7ADbVtq9BZmNKGtiP2/y4ON9PcupvWgxAEBMt7BaCwTYIvdtd+1NEw InUOGP31QxXSYp/Z9WsaVGKqSx/kyZdJl3HLXiwkInSy/8fdsfIGw9uNqGJpSjTIVlNlb5dVjFiX 7jg+tjzAlhyViKHpXS+BjPd9E/p1Gpl/ucvzzP5Zt+NznRUl7LDTBeKqY+6tVXTpgsM97E7f6ERY SCmebjWcD7rZT1o9KMw9pgS8X0uyLgUarrp6Ty8FdLeySZrDN7+a0DUO0plNKZgBhk1x8OCdBW9h V24ROT+YHuwGRZcvey+sUxiG31GRTgjVy4SFYSzFKqsNXDdtHWblVjWypbDTg4EJ0rfnFgK9hw+p RHAudLPHuE/1Vxz9DcoHuuFvVGca8+qcjHt1lPO27+9bIJhj+bjmPwTUFmnwoafJL98Ag/MjOoWG A8l6n5kHLbdm5UepzGtOMck6VtO1MvY9WU/S5hUU9pE8lHIfpsBxK7iPvPBs8uC9EJelfsbrUoSU ZoHQR8un7S4C/t/367+KNjmpKB5fkXFGMBjrfYlwpu1gOX/30+0N0QOvrULTv/ngDB2NAo0MweEs uoLBeBtthyDSROtfsMhjd02K9be7yaa9SeL8cV1jFNDl3g+GMxGseNgnuwidEqCYeNpFzDoqK6a0 1SPbe+vIg02QvMTUlL2bYjDawouwVExS7zSe4nMCIjsUWZMuRnmgVrEZORqwehBX0hAsSqh/3L8X w8Si7nxN3/pJ+0uZGY20X2HE99qbYbElKbvs97fOUgpvrw9ohbgBsQ5NenyjZUfAq7HVS4TVFYpK 6f26viHDYtwoabGOUh1gGYGLfSod+8n1gVxnudTcoTLM3/nD9G+V1XrGMaf7VJhTTUsDSAq+z9Ph BYoSUhImrc5hdaUF4HGjErmGSVoRdGWtXPeSQKODnMbXWedkgOoO0bQgn+T4IGCUzzTXrFehCvHB mCkI9Dw8PI4GE9YDaSPWiTqxvhx6UNc3B0zbXyEc8clKyhBowwkGLPfrDz0OmNA6JWJ3sivDWF6C M/aUIB3oMnxgEiDhhD/husyE0nDp2/WO3CQgJRdI3yzpnjMvidGMcHjtv+GRKRa4Xu7KBiv2Nu7J ZIk02pjHrLcg0q5bsdpyAM3WuVD/084c0LDLz1CQulxyRvYiqaLTTHskfKXHbImewPB08Se3vZz/ mfpKpXroLWdAOehA9bl7bwkGuejihVUQZ/sXbr0MVhM0DF/1SLOnB34coz24Tb71lcW+m6i7Nkd/ 8mXHbxnNovIVEmoK4MGRIW0X47GExUIUwkGYN0FhwB7nliPkoKj5vxdjsU6/kvD6LeWJ0XXBBmqd eqGHzKoz/hDFqrjaCpH5YoB0lwrrqbwuIhNCIZyFQgYQDRLhE8b1oXIo7WJu9ev/6UPBLP52SLzL 2wIqOTYJ2uOPJqcu3aAmh5cz7P8PTGGBHQG9FMjRNQ2CKtY/IkjlHcS304Fv3QckAXMGtlRShnhk Gmdb3gi+eEFIxUYgWLkeNopSLfpec97MY16aF/qpGETGS3RsKm8FbX/PsSlvt1+4v6rI4RL2Og+Z KPUv8FBizDrERlbbzYNa3wapJSHMSvxFpj8RwjBUMh2cZIa0RRH5kx1xhRV2OKdMVAEQ3BUPz//F 1T2+Y4+fqgEbBN1Ruab84XdG9up/7bmRU2Af45rcqGT/iVPc0EJb05Y6/PJcnNcOuKcNGl2G+Asp xIQz0v7KRtUXdlEd/Zc12CFMmXbhfAy64EkzYCZbP8U4BcaZYbgxDsTZiqMJ4Irbiq4gvJcU/jLz nw+fFkpqLfDsfIUXlEAFOXESy8NNEdPY9CUiL2BF9936eSjYKN9QQYLz/N1AcplUxv8Q7+hnE7QA ArOVKgBSTtaNJWoiW+CRTYdjsTPaOBqvjeK4uRoRRaaRllI+FIKgdjEqEBDEf1RFudYGzgPL3Mgx EAfTU4m8htlR1sx9kLdHzEGYObPFrRaIq6q05Hq7T8cFurFKJzMuADyqHS0Ru5elbjg8OyVAXHmL /HK4Pw8xQqIMvEW1YN4stXEgNOoO7fjdfhr0xa9mQvvV9KAW/W/w4hX4tNOQaMp46ygvGF8o4II8 gcW2Sq6euDGE6eNlUknF9llTDwLzUThunaPAuVgq4Ng6G4Qfrol5n2cuV7mbD58Wl9jBmP9861Hc mezEPlWNzJs6n8tg5UXpGbOlmJJTwKlR8u2YZMS6xxcbTjLLfMdP1UTQR95FRYbz8p+ZmUUGG9y0 ugdniXJtk8GIduO5tUgtmzmkK1ln/vGGWAgcSv0+S34e4DLLCULgDFODDTvPTbDR+B0ip/udPxU+ wPTnJnLgWaADa/ZPWUZGF8iaKZBPbe8xrY/8+pjdIfcgJFojgo/64v6M2cpNPS882SiaCtDHjAX/ 1mtwG5kxPkJG7UcvqfNXolsiSvtG5R0uyt3vqIjtkWoUaK42MW3ZrcD3L7LKcZwkQlxHmCA3bRGY 8lD2Dgl55W4RVQoQKW+WziGyK9MPU0umrop/pvhSUB6WIPS2NVTiJHojKZCle0zrJqcwYoLTH4DI ngeNlnq0Dn5QR4XL2DmPapI0msPVSVKO93EbxxBVEaJARmgQZ0mU/8TPPBJrkVdpxq3CbWOb8/LV cyNIMpkRv+wuI2dFZcKCWf2s2gKMgtxmE8mjxInUAlzyp9uOn3pZpn7CWv3pWfR6qMwo3p4HB8AR xa599iLNrQczS6AWvNMFw3YXxtLeR7PWfJFVBo3UKvKWzy60Y1zYPLooFZYa8d3P792Hc43oG1kE Q7k7x5Sf0jgtyUSeZFffGiJ1yUWpZYxdOldaWQ70mRJpjLxA57eyeN996zMS9VZ3BNBawl/QiXX6 MJa/kv2KRv4xzumYbQOJ7l2bBXlqUfqPpdDIvtvTUprNP72fLpJBRwZjo+ywbqzBga+YteT9FAgk gN7WE1rZmKJ3N0z82QA8RW9QyGt2BV2sP1fLllskJC/v6J2mXOPbLUOhdjMBVTo/4xeHsh3tEWvO oBfeb5K0Ir+Lgo+MXJQX/HjukSPTxSUslL7xAoGjg7e9XJzCVp6fDjVetPrislsBxSqtleEpYbWJ PFusLIXyUXD7sqTCV7zEXwWdhYv6ICDfj/fx3x1PeCyVb8DHj4WxjZCI8Ej52NoZ8GbthEALymhC 9vovqjBFGKnmmri8THDfOKdjEi6U/AbVXiUO+G/YpdmzTdjRJJpwIfV2HB5Qsvj3aRQvAiIhVmub ghowaDs5r9Lek4vgDLiqGtfgrRo9g7nsKUx7uhNTFOBtb4zZVknFwgm3Vn4WGiibUF3sl4bsDtBD kb63ywKMJSD/dzYVAsOgJwladlWjEopkw95nLM9LnpDT8VzugjpiWYb5gwB6h6udSM9JlirOtT7r FYmnvb8Hqx4w+pbA7hucJMfL2S3siRIMBX9wk9qKe3l/i8GOwZ8+4mihMIkZ2BySEZIkBKTGjDG4 zVE1b6MkzmTUqxGevHyml15j9gjIVprtbIL18Ow/lBAfNcMsJSRRxWJOKMBY2TkTa2ZGYfOEVBkm LwWc1g8IqdYCYkqe+cpw83T+xtnz2XvPAg8FOhsl7j2rB1COL32t9QimGgYLQzjNtQ//08WpFyHE n3H9a4mqk58ekBK3AKmNGKfKh6bUbfCK4ysDK9/K0dtk0yARwL5BDF0mbAKRoSOSb6qr/NDrLHZ3 Ikz4CCPgRpl5UXS3bFeFe5RFYXcAUpr/BWPBoDarwPS07efWiweYe137MCzNSuIJzeDPoqH9bPJv i6ndJgrSO5005sN8PguSpB7tAFyNyoZjpa6OBT0DzXWZE2/LhSFLEPClzUE5aPLx0ga5rtDurECU aWf2PWR/yYEgS7OiS91Z1j6og041uBQFT57FnvvjvB8Tk2xA1t49sH+rw0P08YviD/xnKZBNEssx JMwNB12RR0ZU0q3mBraLYdltairtVjcwcgsJVG7oQtDZYG3lUeZFM1AAovSkjfJuFlpWd3AT3g9Y bBSqzriQwRikXbj1uTp/mVbC/KZNXyfWWWC4N/byFHF+Ly58HS/wnrxXL8bHDl/w4aJ/QgkbgjxJ zMdm9+FM2l1iX6egjPesqe7auSNyVIzb+xOvuIxm8G8jOeMBAQqTJ0oNf8iSHgQ+lTyuw9UZsT5w FP5erF1gZH8V0KzltjIN/MyIoBw9+ouik9Q/bpP9N1M1HdFcsr1A6cTefSgnja+9yktyvjhSqIIv vJAaAGa9rMNK07GlNV/jvTIneHofepazofNhEhZYfPkz7/O9FAazjH5i8KqCAlxHHZpWwj85FtjU 8je7w6YKe9y3e18KTIlW0zmob9jj6Nn1sTVqwWKQu9hVOKTw8NnLoBcQHoq5SyzsK/FYhqDTokX5 Am4MONIP85PH8LPV/TOxYC8p3nus2CUDTsmIxhTfd4p57Z0YVemnNT9SO4MQH9CWxvEDieOAt8HZ z9SVfU9nLF6p4sY3TpIHlBzIcsRULmI0Q4569WPT24ebofemEzV08dVmRcA5vKMv3wbjMePSFcBv 6JPoVklRypP006SZBYL+cfNhcpHRPlMbxhttwcROL25y+QLb4Bcj7vJQj+U6Fyn8AiqvAPzaDVL9 MKnEzLg7gsc5arjjoBNFrafM8LLwjypX+Zl2bQhgK7tTveBCVJSoCfITKCbe4xzX8iGIqYTlzy9g nHPlS4OsohElkDs2lhUL6uoZdorjmfe24l3IlP7EMCYf0eIdKwt7Y6FFwtT+zBbvD2t9tLS+bAoz 64DDEtcAh50mkRV4DYQgk2emcfX02EUdbvgmhCNE/dpBVxE5UJB8hj6798l3wGQUFtCz4UQn5Bqr edKrsaYqpWGIe7xvLM5kZcBb7QR1rYDAersVS+A92KpD5Vc+4QfjzR4t707hPQho4TyZZTlEHNFI e2i7UlENtqMibXHugghCn5x/rjfaxRa0pf4xiIFZQGFC3vzMc2OeG+7zhrYSsBQDSEx5D++WT9Ik C1tK6gWLPblvwzTj3at3GoObaeU3a6jEiSfMjvtMLzneNIcFv+aYP1iuGJSxLJklnI72vhMGcOoI jzODv5ZgkriZsUs3MrehT304lMe9Yg6SdT6+4qVCUskMKy5gCoSshG7F6uG2InL83bjSVxCR1gN8 QEv1ZlbZ5Fo5efmJOVIiPPUXLD70H/BaCq9YuS4sfjeeGryg0ocziLlNE9JnI9IPd+XfO63vTjXm Wg1OifTi84teqxf8unLwF8a1XrFKQaDplDbo3ccwTnfv4HuQtvSv3Orxr4XUt71UVqUzjazZboT+ ANyBIh69sEWz2x72hXOmZJSRkXo2Vd/b69nNYUnH1/xJohzkLmC5zFdlrWCnGNoIoJxhXchJSj+4 UapZFBdDtKxPpYneeR1v7LgEOsFLc1/n6vfV9uDVqd1q9F13VAjCD0MkdI+ZW7Gvks5e1csT5skD B4f7mcPps/Szoo6iYPorul8F21IjuJ6qRz6eFJX1O6bermf9nlfuGrAeOOtxcVhJssymL0qdpIPc UvBjqtzziR74/wF7M7eUkT9JbjBqD30hEh9qSsw89ZvNFx17oNk+HH5aZcMYit7o7w0cTSpVP86r /UaW9+pndVNdxfpx3pRnH1Me6SwXZvIXeNnE0oWjsBRtnRY00t0lEvZRZcx4hcOLjZP31vz8XXHQ MtA3wXInlcGQiv7CKPjIBYwsVK0xNHfJ/Ms4oZFonGvsgLKgRDLmAl8aiq2hFmUun1d8NxUP1y9a x6w7TFtxuP9LnLvdaIZ7J8T/eYCavG3bkvo0tZ+Df6Ff2eDaZ2LIMsVFey/GVWPhsk7l3KLPjurf p2IAK7r5X+1ChI9CYf3r59BTgFqDJi3dS1bSe5t290ASe911W3WzMdUOM/D9QcEwwD7p9xsf1Qnx FSfsWtU/zN+/0V+Rtfdzxs01VdIUxVUTe7nRjaS6vfpn2qD7K3GNDHd0bkd3Gri/AGyiQuHcIpZx EwXCinOh+sD1kklhGd2xUh+Qe/YbON90pPo9YxEKpM57NSV7YrvrK0m26puXzvAlQHK4rn9NrKVJ gQvZ9oMHhXbBbtyvoioHeQjXQjLrLiXsJMCKyhcXyoqRVnIL81LyDpvf+zdNpvyrDEortupE933U zbx0rv/6bWkY/cLSzP0po3mc19HCU4znqhGwhvtjoByJhizPBq4knG3NeZymnk9XFPG2kJlefE2S EUGoAW2KTfUnoj/Thbr4Calg/FTB3oExVkCxyJIj/1oU4XGfoxIz3++Zib4V0NcZ3gGRYsYgkZVB M7uwaJUI1p8JSEkf8HjFeN1TbXUTIe0exHFzsmAjU7Mj4iMkR/SAoHpytahjEYBNZ36F//LKwlL6 Ls+CF5vfVj2SanP9LLK8MVI7jZtLE/XwkXpcmHkdGpbTpPoAOwmTfdW0J930oo92/wzCAZeTuaYd iaEnDFNfv21eYYS9iQ33QyhtENy0/jE1G20XwJLRSFI+5zfS4upaXOxZ+51AuWnEVeB2TuOtFQyD iaDSIqKBNydVEC20PlTvOUkMfwgLiAx7VZnxkk7H4loSpLw7anD8FTTFhw3yk+MIuCn57xpqhoz6 nppc36bDLjDkHX1qOijcC4KfHE87LWOwauh5/t75pB6x051cRUGdcZ0UqVlldrHZdkCpbaR7Mi2V E1L7i8tUzN+spjO5RFtxC6AZcrlisJrDM7dHhhWLsEoNx8/t8mqYkkepD9pmyTqNjdKx+37RUJ3o OOUlgvO8fuctr+//grw9quruh24wzsuPRdaniheNXTnFnvWG70v1ZVvaqCs8fVRq8V4CKylNorXQ nhMgoGjj0Ce8MbHvoghSzhvZ4r8JDaE4MK0CiG0op46P0PfuarSsvGjvwRFohCPPHrZKjoa1LYes 4m/VIgoF2c8MQFNNbQ7q0pDyuklT47b+YyL/PEKNRkENcz0M0AP1MbT6fNYbQk4RiboFrX46de2u I5ZGKNENem7y13NXdpc1ZW2ME32oAVc5IJabD97axHTbFVD5H50Cfy0zUE1sKL7oRugOXLfKQQbW ONZADFuvlRMagSZYePJk+2+Hc7IaX2VP5tSEqf7yXdx9e/ugLHjS3AaouRl4PrdtV91euu/yZkq4 Zd/Uuks00lDDxqYLuMcCYCSV/x1PeuSskZUN2NKLi111KOmAlHvgd3bkMKR4d0gIIwzf7T9D7FaL V80fx1SZ4JHJ7jnbUBPQSSgx3VWZO8UgXUFlXuXozUEqHDpSJzxHPiGdeIEGWLNnRP27RdaBbeAH 6OHnULtZd5w9Y4/aVUl9cwYdFVod/AoFsdGadjcrtGLqjst38s8jtadlevsspTt8S7CziwFe48iw r7qwA8jDswsGkmlnLt9VqXoKsbSiRwlbY9J/E1LHXEqUiD5tFyjGM07fjuR5Ok9b3/jij0/bCAtV wZGqX00AFc8/+nty9XNojDRfSkZTpuXoBFFb1/0ou+Op1ql6ABU8fQhv4ZmPAFQSmJqVT5wg2/2x HBoX5lnWfKtmFY2P8XAqVQs3WTpqZaOYsc1YXns6fUMkrWoPUPrdsRA8Fzy+JycHZebZBhOy3u+E x9FlJdMrW71gcxgOyagTkdmqrsGBwAtIGc6E7pRPifsaivDKo/Kcq+1imGRBrM5CDSsiV+Z+snsX UFd8bNNxpytazV5FqkcSZaSkX0Db27z3aLZ+JhUSC7RrsExzFdyj+4Cittr5VpjsXBAfOxQNqUot XrZAkProIZByq1Kye0wC7woO3aJbDemC7yWKilEhZZjSNGRAposijHmwWMjIY1pqU3l/gv6S7UQd dDLTNvvqq/Zw9zqegRgO+zpglH4gFAis0DMj7BU9YZpJY3gNNskTlpshC97s0GG8Hs1Rjge9YaVC iyo9Xrhfr3UfNqo/y5aUOZmCNh9+OAq5BwhNR1oGNoiGLkaT9DTLgkjnR1gtUbTWr2xd8buXrn/6 C1rsFotE8trgBsXMgc1iPxGcDiI6k7eyHOBi8ctyWfFtn5Jbv5H3dhNcg0JwcNz8j2WFNpCm63Fi 0IjbqSOFYCJtWAkv8Oc2M36JluOBVp+wpR6xTz7EPzwSOyYohT807nqeye7ZsRHdeqwGm8sbQpeu Gy29i7laAoEyqC+Nwqbp38rbDFg3oyAoPeX3TpWlNA03nqzAU3H+iu79lLtmOMSI38h01AKorjpR eq2A4oe+OI2bf+t+s7eUeFmnd18mTzB0UzOc26osTYf04Jpyg7YvlyPNoTGJRusjg/SMcw7Depnp 9q/WFQGBomqfY5uCdOGCzLsxQSxUWeF8JzHoax99AtoutHGe1mklAJstqkLnaxvP1c6VgOmi0Tu1 R+uY377O9kAa1riHiPI3uFj+2sWdNAVqSdCZdDnIyY+A+6d2ExV/RkVxT8oegImPeP3zpGRsaxwW TvmtHe1kZa7nr/Sfc7aVjOeTbZM4kqWrH5VZupmVIsAFc3uW/j9pHc6yxR0qNjpGFVgMK3yhjda6 rfVY5GnytkvdDfx9ro1Yrk+P83YddxaXqPIXDSTM0JtsPvnOEHqGB4jxOyTrWSRWvSBNp99kz8sy 9x/dhGBBRMgMvpI+LIGLxfp7V+uniG0Ur157nC4+qyZVGZPSruUamsHRMqBfFBu51jNvTSE6yQaV L4sRnhHJ7SOvs8HY3nR6uGmO2T0/gVAv3C/XpV+tW5DYCOs1ZaNYUYKx9mgIrZA5pbDcO/BxfsXk YTHibvmKmTltd2+0Or1b0Tu7tlbaZothF6RkfvQDefeKMWMjRjECtOZ8O3YndAZe/V4XZxwtshMY izPNvYjK/CfnOQ9zC+i/aVWh8s+tj2k/GcDwFPUUGkXzRUJSVtI62LhiQcsHY9o1TEvjxY1BfWhb qQwSJaPSZ1Kx0BgcUCZhu503V1QcAYSZA4XOTU36t/Wwz7WOZLIaDsyS83ju4CDS6tnr5mFR8Vjf n6DYfJZNbl8+9Bjme/YNhtHCXkPDUpdyuB20s9+X4nxA8m+Dpcu3ASxfjPGrOyQ1bPKfvKQtIKE1 ccuseQtYW/jJB8V/mgo42Q70bQksUxZbWIkhA/bhWocyIEEOK2n+6W/6qDQJVuLunI+DiLyIC5Ho YcQathraMBXkj0RNU+XCxhhY1c2B79QCTHL7xJdAz0DSjRjxQZXo8/0wbirYtza7UmQ461RFreq1 nROg0v7QMnik1jANZj/102Pnjjf75r+n5JTH5u4MYH7dEt8aRHBHBqr9PE2adH4tlzcptejOwi/t jCgOe6nerp+UCc4luggJ1Yrdnar9OAWyeTzrM3X+xsTZzPcJB/dkG/9BEUEh2juHUAtq+F03HvJQ 90LpHvu/oDzkH5LEgaKqKoXtLQaI7a0EA4fVowvFVYvm1XJ4TySuVEgHS7omkIimBo4gEi1mm8X/ aS9FiuetKUwiIH1RD12zhq5BEtV7JSfFbcpkc8LB/bWsptiAEFrbP93aJJC5Mbb3wjHbTl/k+jLg 0rw1suQCe3YLcay4uO0S4veARkxUDXTkCfvurDzaqjash0Dq+9nhR8n1Pmy5GRtnGzebAKyW1/Vp PeuOR/CiLTSfeTQMcVeOuQlXO+NhKhxdbkp713PCAIWV+ccdLv/gaSqZdS4h19PKwKor+xzfm/V0 ZiWpjJ70/Z24l1gbs21yd/S6qyzF5H2p+oZtVYLYigEQ9hV0uJlZw1HR294UtU6SWJ5ffm40zPz8 6WT3HBbEDucsRX54PAB88ig5W9zxANwrLxC7vYV1467yLs1KEDwkqzvUedQGCcNPiCYcN02qxROF 6p41/Kjk4IJdJoKAlCQG4cvXTJ4/iulntZaNihxbIxXbmp9KQ35bZatN0wbWZ9AtjR6Edqs8VrWX 8NyTc7K0XKGzowONrsOmNA6uovkbmcsN8y4e2+Mm2mY92Iwnk4XH7cSz1K0H2e6k3RIB7Tvw985B 9XYdA5ssrsrDRbmPEGi7zQgIR3bQDsz92MZbI/2NPt74r/BG97umr4Bu/2g3ok8ULSiEg6SHcwlg yak93p06LL781agzwbOEKbjZyfxEHiKT8WsbdOPosxfJr3o7cpFyXUdCAcOu1VeBGjS3yf7O0ptW w6NwLzCXpAFj9Df3tkRpQaBOIWa7eg+5O8w5Rmj3rD7BTrTnx3Ij2KYNrGWKtMQMX0UsEwYAmuI4 fc7dnamQeiq8wNnMimRKniobZdQqnz6KacUReSMFv7/6kx6lDXHkhAWiAlDJvp/noNPvUSFCpWFF 1I/1vJLLa9YRUS2KRN0x9gWJFwuM+M/o/FCaQTaYbRrUTs7cX8Yw9HwZFzsV7tznhRdUFyIs3xEM 3m8Jj/5ucRrkvdssksd3U0dfRrLAqNslMC4pS4U8WuJk2OU7uD+KMu6S137e/vKEgsGHJuZ7p60z c3cbnc4PLMZl4FJa+ktR5ub4jWEKc29dHzirYTjlfDy5WK9wEVzQ2oGfPMJd0ZZgmRZDXqNKwagf xTkfqvlmdYsdTKS466Y3DGUeIL6STvnoLGbjP0ayDTQW6PsWLH/96Mo0Z/3HF8zK7hmCTocgTwbe WR1brT3Tl/E45ftm1NeQ2S1xO0C83F/etdXNG+f6Nxqqr+eNKo995YPlV0n0J4VRecEJ0gL9M0mm W8jkpDDK9aMyobfmGrm4byifVxOREJCWhCiBsqRTs6TWbQtAizLM21Ffw8LZZ1Vk8i08bteFmx90 nt3ow9fcQVfy4Q9ouRbfCvtGqTlE4o4a5X7eCPXHNMFFfNmRCIEv96Csm5u/iJqJBT9J50uVO/4a Xs5fkWK/vJUoIkzQNa91Rq9ONx24MYUQjfb0t4Y36anCeFBLaKybyVdBLviXMdLqyDsUTP0P+p/D CDfKlaTC5z/M2Wm7PArCbbvqWH2lJ6K/XW0k3GA5782up+/sMuz348BvX3pkZItGoT+AmXz3kn6G 64Mj3ocfhw4oliVlClUA15WHirVURLUqG1pAk1ahKtB6MPx1clqsbzpnMiww6jDLheL9fSktgSbL sfJItn/oyQzUMEtYzMR3mxLtny2ZBxJMEQ1R1jmtrKanvBwRaEfeyeqpsWtLGIM2m7HX6Lr0nkbp AsJSKLAESRS9awZHrYbgthwavo9xeVpA5dUnLmoa9LkyNatnZ4Usu1QXK9s91VXxnwdQnFmv7D4B FVCdPGc7IpQGqz3KvnZFm2A8gtJJsiwEc8Udqd+u0/Ib+bDmb8Y5XUGthYYkEzV6Clr5m/1ReHyf Du5oEn/DR4gDco84Be0vrWLV4E2lEyoaQZj0R8Fa0DjlZPJSi8dBaI5SmfFO7OyJdySOXQIHs4cT pMoiI80r8dZd2/uMnOpQnyB9Crq6OETelbZxXkPtfKJN7oMOgyzmr1VDKyD2TMcBsb9z2yUvmzxG AvJLyc/KDi2E8NP2a3bfDe8CRXou4jar8WdMxL1KjdTreAxEzhvrkXEWoiDJkE08OMK7oUzujNcj AT9UbLlIHanPiTxjCUiJQVBgpk8IQUOOuTeU91lUNarMqNv29Ac+R+Bs+yGb0ejb1g5WIVMrAOsZ JFxcYTvdUvG9CLcNpcNUvStkXUvyi+aYBy8zDVPSY2wVPmIjJ3YmKTZyRneec1le7P13IgUmZlJJ kG7VtUsjDLBodhG5Yu6SwkBBK5yBCd3uZKyq/YsKZIWQYyyz7yj9sZtpj2hANrBf/UzkzcpjOLEJ y+nKoNo8T2pgivcf5+nouhWNWfV+kBWhQIOqjp9Y+MC3Oop4QiJOWEBId8P1yurCQ/5L6UGG6keX uskAQcaInjTKyG6yXeRBphZDc5I662aVYlxkjMvAc1u0OejuCgkouHL1AvjncNMo962qGNxJvD27 gs8kj5g4SPtItpXqyfdezt7AFLHC63Z7cdpGyGgRAwXRZXQ/ybMc38UbICd/hMqGReQQolVEnaEB ufyOUxT7cjwrwvnVti7sUx6YidOneAVWumTeSBou1ctM5vC+bHm1wnztMkKbVaZq6dEQF/R4L3Ol SYDEMRyj5H54jB0jugB3x8LD6O+tIDWnFluu3Ujc0uobbB1daYNFH2mawpEPPX4u1mQH+4SDDgut Udj0+0lKJO1jvD3w+l0X3WsqB6hUM7ukMWjAdipDYD2gO8bCDhx2+/k4EBIAiR02WcAM8mrHp6vE CFAjOTQHHkcWaLf6E+DzYKkPUL8FYm+uVFdeB7K7nIbz8Qee9DJ05MsYTgAle2FSmf0Ys58Ufd3X bF/0f4IqsRKMioXTwlD83TD9+y+bIxK6YoqZ70VVX+dmTB2tOBG+rjd9ko1czrgEFhhHl+PNQt8+ 0FLTE/85ni3JHRj/5xjJHg5Lp4TXI4O/TY5ChqArwVAZECymO5E4APPCjrOoFP+VL/6ozTcTpxCg ATscAixuA+BBJ3irx3JWebS5g2rpPQQTX7xnxfVJJV9PtJDuUB9R0o3Td/bL5LtVdgKFutbNa/Hu hArY2FE53Ex4VzqGnSWKJDmJG8WBXxYUvm1ebeShPbUfDHzw/gWmWmin4GZ8vdgWGo5SCMoTKf1P 3Nsn7yZ1Lq4rPQDvZmrs5+Fh/lTkUG91yVd8KxCth56J4vOfHWiVIKow1b9d/yLw3tuwyZT1wMIP XSo2LhP0hg6Qi4ysewn6C07UJUDxdZ2QVpJXnBH98+5+Tni+D9ttpop9irNxVME8NAvEBpqvvBK2 ROoUgTfVmGGQS+b52tRYpnu6FXpPTnKM0DNwLyKak4sBEmTW0bNwmRYtRI6v2NkoV8YLD55yD90b EOvo+2P1p6LHYOUWDImTOZtHtIwkSD7fhQ2uxl7tRDf1HXon9Nf2H4u9jWPBTpiK0Q5JiaKj0sJW shF6CGBSBg1zND4IShYAAP5g+fd8zh60p6JTjtq8hguhqA6xqNSPyBwjpHhbZgM6jddO1PvKIcIO BvKDPCJuhcj8JCweinETgtjDXeW7ceLMma2Se9iZJpZvGOn1WdglPNbdrjTH/RpAne/1kfYa7SH7 sGN2wforF818plrNy7Gg863Qr/jGzuQ5DiaUcnO+jCTmVH1otBkyD0gAGrgt9rrI5gUfEKqJEgS+ jTI+nP2X5Rez7X6s5TX3cMuM0pGFwyUMn84FhNUY07tUuUwu8R3Y7Nq+19JGfFC2MbaTZ+6Wr7Q8 Jxq48ip8XNwE7BuazgtM1wRP08j4mqgpAbOSBhp/aIqvhFB8J1U8dtT0ZZRX0mRRXg3CaEdnVIQF tF1NZlqhyM0up4XPoLWt1lN+Nt/mI6EWtRZkJnfFJlRSvK2J5xtpdITeMjhN5LRukiYYuWscKNGg ajY9JSRjtRXrcVfoKQts9eHNzYs0NX/Aqd+KM0eCRR9hhtO1iGKU/NXDUCd5SvZNZFcbGqcTkMZD cQe+nHiio1auBrmgpkSTgeSTYzMQGBN5DjA/IU2GrNs+Ew0MBZ+DPyzemHH1hhA3O42+o9okFiEa Rwh2EEjzcAIMqb8VCQ7xgYhFCQPzH35zUmQseiT9zUIyRxkRS4/yztCUKZ9Atj6BnGvPM0Ef+7uQ t/iEUboN9Lfu3sFoB04WUP6Bm7+S7IdoMraDdP2AID5oLjVeoa5OezodmMnWnPFyi7wY6TxiGwo3 qEGkO/QEefmCaT1W5hCFMUvhW/vKDtPunVOpUnRx+BIHOuodR7gX77AvFmAeds6xi4hR+a8k+Xdq qgG9Mcc7N54vRRBpRBqQ4+7fROm+tGvlBsKMwP7V8vyiG78K7skXRHiZkIYl7zixsMh3BybYpSxW ud58bOllxYffHYN7FgifIKvpugvl/5fUjnJFgXE3KWT0Giu0uyW8uHblx4Ty/GVT8o3ABQS5Q452 t6RonGXWnsjeNO+lQbBzWr8G7E/c4sC/o7ZvkQTqJoRd2CcvCEvgyv16Oul0bsPLysTvQcsC2wRa p1DEu1hE8l6t2au+iHOZzmFgMz9UODqu5mR2hbLjRVgCKINsoC38Xev/TCUGJPOgpl4gwuQt3uk+ 3g0QwNSu6f2X2FoHpV45ioKgwfT0bnujL9HyWGTZpQsUDrsGW8h1enVgik2LGbNVVT8P7TUM0dvm 9JtDY1LQhsWiiYBuqnncAj4I31QrWvV70+KdsMMyKoJGuB+Ljfl13E1l8+QUgKAvZnvhhU95uFUV G+/kAVBFpd+o7ZE6SUdlC/bYAufhxEEfXk8ujjYnoB21EFtnmrT4SX+EhybvPXy1WFeEeHrslVBE CQj07L7k6Qnp8LLauoMh58U6AmJnEyzeA+pFAK/unHmrIpFLviBRFbQGiJpwFNTxOJTMeahNixSQ mvki8OaXHc+KG+DPy5pxzFJAuYUOumZbHy0KF70DlF8rfS2bJ9kR0v4H82ZwhZgnkIN9UiI8DDgG a1QMbmtK/FuaJqiA+hO5iAkfOGrYr3XSNgVRC4pxBjhzFmJwcQmfzeSc/JB0B/9FvTFoB+9yPzfz WiKRX97d7QeJtPF+15ajBtE0Ebity58IdVVOQIEpy/WxPTqH4atlf7QV+NIGWdbzjjFBAjoavviV 02mypn2yKrxQ0hVjNV2ukUehvIciX/ieTKu22G8Fa2JbuR8y4yoG7OjMkyys13gG8nErRIh+1SOA PPcderDMx7Jc23v2vEQpmCOT98er0luSUdCZcNvHYLENB/PaOAu1zYJxAX2qPQhSAQhvnrputppy 0Bu9zyXrCZPIAn4XkmWmT+Y4xVoDkVgYjLCwf52te4Kl+4mACyqtjnHhykiespL7vvw0Y4f2VRA2 OoybW+r5F1uVhDDqcqdivgD5yhRrmzLTbhPDvPcVJkz2t2JNchHFzcWJEabtZGfmsL6CdkXCHQaZ fX/yk3kv0N5MFKGx8pr9EJNSBdbtNLMGqGcxEBZKz4KiYWCe4aP8lk3tllTtqzuDJObugIZsnLMq Qafa0jZfN1RVLyltjsiQbhQZ4FYmGHzgHAxXSwO6yXHQ38u6V/+rCKPixBL09Xm1bt/arqumaMtp rrEaCvIRLQ+JkAp1JPVqZ/uz3GuHssaS1bVLUABXTtNl+L2+ZQbGwk3k9sa30OGFKq2oXOYgKOoe yWZXB2796FEQcQkKYHrm0DylqZjMGygZjOMhLoLlj7MHc/E0d2M+z/AWXXQWjdPx/9oc/EcGLU1M 0YTZsI5sKRWkmW4tqH9nEtaSasSHVNKubErXhRO9dH0ho4CBe0NeZLctVjKcaD556yKUnERVe5Kf rn6as2/rnf8nUewzIKZRGP1b14lcmgCaFRSGnZyhz6xH7ozzjgIDFBz99zpiod0h3lXHUQ0KuQ9T tLjGHy41omamOx2WGlezK4A7sUmOjYrjD3xowpHt9MP8qq9QIvOelKOXB4JFfJ1ZBBoNhvurtRI6 im2x3HXyt/X6K2MHI15KGHZMjG03motiV1yavjulGRGVkOIPH9CylUu6/CHKwpRT5Fj6fhORzdVc aJbokKgLcMK74T5ylWM6mJclMLXV2Erof4I2B9qyIVL5YznWBAXrZPFMIwY47C6g5ILlBJqcz0rP eih7Y3soHJFAMHpY+4UukVrG+EQnind6k5kJYN7EhWkRxkEk+9hDqr0Xrqo4cQMr6Dalau73bIVM RbAZZ1WUo2rPrrYZqzd4slI8zwDUE99KlfcrTOOtB4UcSnuP7YoIFKmLo7J7YeeEcbyc/vJCJPTM B+8AaiYRqBEPKVRBq4aFDuFRbV6up0FONqjSlRoiPi91OELqHYFGb1iQOR+t/HCy1naTVbdIPLii msROhJas0LNkRRjfNuSWlcrrRumFYqEwYJb4NR1P6bHfbX0QfG84Zczkwnjpp5o0fGC7WzEbSMnT srRmdUtZqflOjA9yBvdVp9heiZ6iaj9aALgEQcR9ltpt1/XhHNM7lyjkYCaNshANDNEgM4Q0yEe2 xFqhPv5pBeOAS5Yw94cnmf30KXr2kEodLc+DdeDHVrGyWmXKuBgEWl/U0n0ExTNSowtmr8SFVH1M bD/Uuu7jU2czpXJADUjfUpecyW6BRGsvK6By5BmT1UhV+k1nufPmK3LkWTPtWQjhxd97g+2pLe3x PSThATwrgEyCdIHHiUdnK3uk9ClUS5JEvKWiG3AcGtNlUWDpX1RuTloSx8W+ghfYJ8Pnm1gmO9N2 8ip0oU+RtW5gaFEX82sb0DU1obA11kHawK+cY19ddVAVcDLbU4DkIJD1YXHHYC4Ddq/1ky7xu7sy YQwlkGH9y0C0bkc99VliKVKigSp6smKrDaa3MlbFOxrHJhGoFdMMXKNYJalWfvfASMFNrP4hbzS1 UMMMEnrdcLKCrZkiSsc7K5AKBWuH02W9U4r2fz0EzUuY0QjxNQ9ibHBD/a30J5RZ8fAxPqjk84zM wjzX5j4Bpqfq2iIL7dzNf/mgiOeq8UbHtAhJVSOp+lZcudmVTaGQlUB1ycnu1OpCFF5A4PBMvNtW P630tzz4CDT72I2VHgklZRNmZnCHNfaJmCtwSlELT7bdkrqMdw8pwvOP0Tc9ddR1+Qf7tyQVgMyP e5/GDMMk+EAa6tBBZHr5kH/VtEh2ri5zHsEmrXl+evR3lI99IsLyCwip6FwxY3jyySAFiJq2iQ9X IubINSjFCsTrceeY70XbGPVDyFlflm8Ob4R4DRs0hWWUXgN0BHxu9ExgFDPwUx8cJHf3g/qpRTOK eEZ1/VIB3iKwxqnyIG4eStZmMzRpiv2zJ1nkmI4h0ZkXTLscWT3+2Cm1mrxvrScz9okd5cnySaRh 6SN5/US4gT34B6bxDpOk/1zePfoYRkaFrH9UQc2c08K0iUaBWwm+tytI+SzbT7yOZM+nssg98NyK Kzi2sc5p9A72tlH0fA0V7RGHrka3d5QEvAFO0yHbMKsxgsgO+kw+sR6M5BnSd1g88eTD7lhf6j/d 1unQmPG7rwMlKV6neNdsKf70CBnOyDTITPJzckVQGh1ctTkVHJG9yCX00s8SHJ/TfMo0NLy8t93r hfuJeXrySXcfskbpRMUhHJTN1KAByexnXOYkyggB7MwCz6EhK+CYJwHpTKknkSzvRfDsq6rMmPwd 4JaqFUoMvJ/XrZ/r/6NSBDVhnFqA1kiF7FMfWFE+3iDofl04MuvSUXs3q4wW4rfBA3VxQcLWlap4 nVIoc39UCw8IaGimJhJckSaSCt5Aq8KYsMMr4KuouORh83HRkuoBVrTUkvVBFHs61rHl27pkVncv j558KDRFc41rvq3IRDO0m7hRnOSKMvLOcCCyK8AdlSKgqlVdFtgh9icc0jgb4Sz0w+yJwsTV0Q2o 6Wi0Eisw8iDGny9iIjvbEi07m39L0ZBEtM6vrrFoK6+bKRIz3YAVVMc/1CM1WMw+1V/1JnMYRwZS h6tbmNEQQj6UjM00DMQROAjrlMUC0D+LRNC06J5pP9JQoKW2N12kQsHkN+mMqvOJLpxUvdPgohYO kDb8cgVaHGPKtjPrlHSbHGw+3JbzGhpELma/YOgFFdvOTK9aVnpYpldSxFcaCuuxwC7GpLjKF380 JusBE74h+CarGBiONVRkOEo2d7z/Kj6kZQjUZW5Iq8dePMZtyHwmk8uY2aEjpW6iQA4i2I5jHjyc COKRiCF6Mdm5Og+b5fm54Da3HFvivHtBbUMetrYUNRSAOYnMpakxSyQCLhwXvlL5ByAOrz/2kYot CKIEBzGZV7LYRxTfrNTy0lWag2jhfxubTJ2GjWXz4xvk81IunevubS3DfN4W5kqyMFnuz89sQcHM +XZObZctaLpl3uZlKYUGineima2kuljJI9lH1LkXJceX84DWgsCjw2BWQVeyUUlCd9PzyVbgP/ZA NDOeuMqkw1A7NHXX6PDCFMbsI0PVSxkg8Ut4+8crUiKHKm4Y6z4W7Cg7HSXqCktdr8cGwinLkoFj 1aydMjn83VzbBl0q/3DSKnFKBEfiq6JD7tmYSZnupL/5CxLUEWvv8vZGI7AkXNl8i/ljFzNLHmdN 1u1NMRI1EyhphN+uoeoiByMjPI5jLmGrkxT1xeIBF2IDkVU/R7YBzny8um1RoeRd9b34vk7cUBhf UnqQNldFbkU2DXgJi0PKWdbdMBBBEOnUyS+kXc7Z03v5KhdN1DeXlwAG/t7qlu+2iCSWs18aGcX5 oSga7MZQiVYSJmaxZTxamW0U/cWkFAjowiekg41umJ0p3q1Tg5i2I5PDEekKKSpnuNffXVzMJj0Q QX8OWGKiicGVwB7m4pmK4YPDSRUwhz9BO83q8F3YC54OcgMk0+CWBVaHlE6hgVJkXGkjiw4rSrF/ /Vuc9/jDYMwBwB1aoA2RsIa4Wz1GFt/ouK3WLKeGlc8/xKEKOJpqmwfiZRIvMP0t71dKtRlgd1tC uBEN0VuD1St9xOZzxhtDQEU/iMcR/QQGvlsG9Nic1X0UeNDKPsR0+jVIYFnrADJf23U8M95cTsWV yYbCKx1NJq9pUcd0xMKQZcJC8BNelm4mfKBSjJD21v705EAqeXp4Fh7dXBO2IVkCNShjE4wIdJx1 pCaH+eLpgHMsWYOFRRJtqSamHOjnEfT9swyYIT+9yDbCbnsvCpre2zL2sSbkFBRYL2tnqFjWkpHp s/FX7UWYHmddbaxmrZB0q+F6OUCRLBI1CaJdT8ji1XU09j6CwvlE4uAOFo6WRfCNUHVa1EoyeEHY PrdC2+xKrqOBsa8/wpxos5ZZB3wNdi/uweF2n24qyla3MYHxfMMcJvFZYlpvR1ICeZbmNQEZrxly 4OJKRgQirESAeV6X7N/lOVlzEgj4krNYqgRgnjPd441WYMDf4q/BUIRfd9wCXjr8+5tphGpM6n1T KRaEM2GA3ulWcggx0qoqMjygt8yod+Scp13wbmfTdCEUgQ4/eocuQhfSeVl2OWQhELEFRJHqha/H wpQ5c+HGAEaBErOarDKStbHWcnJjUjL6EcprLRO7E+TLfy12r0IG/aVIszUV01y+F7d/P1y31dMd eU7fAnUglTpyUQfnG7DSYlO1qbM27Ne6uw8OTcgSUwcbx8Gtxs6X/gBntEAboQB0MYJMf0f67bVF BvfInadoE/l79fAqCevOhOLt+FIEYtm+mvZjLNjL0SoYUv20OWYpZ9tQOkjNK81NBl3Z5FGiysa4 A0KVGP8x8iLmUfg+UUjJCrJX6dKRRKQNNCvSmBFHm4lXMFG1tDohovmWNCejszOl4yKF6TL0FmgI Yr//NANtvh/eC4y00/r1VlsbWrP3271+RlHhtJM3IX8U4hnlr5ZQ3zgTXzFQ9NsJcGOJxx67KGzC vr2xHe7npkz3/7SuLG2bn7YxjYlaTA2hUgqmY/cs8mREcgq1dbncoQXdhPOn+h52mUal7ll51UiC 2o3A62L9Ms0oEwYnIYnH5HSRwJL/gVvG3jYtVffhjbROICTt2xZZ3787APHZBVVgD0mIwVyufrna HTA0g0nzybGIJHXQ+e2BuuP+d5bXejAo/NPtNVgJPpjEQgUGB3qz052ad/Imbh/hSR0tRKvsO9U+ i8jvvDsAQJwB43ueX48HludVkI3znsi2iAc2LHpWLSRYGYmey4t3S1ijMC65CLqNnqAIhRNDa63S KUrK72cNqyqOTRlzCv65PR7lW7FC36Z3ZqVgm2Ul8d/mIKtQGcw7XUfcYi5K1MGdHMRnMYRgu+JT bNqJruLglR6/pq+Unvgq2+nflGa/N48oEOsUgg2r9FB9yM/jxE5EVk8hTe4fJS5jQa63/euMH3lP BFpYHdMDALob8jSiNnIxnfb/tctElV/dCrGImrIIziLB/l0B0xcBK/MFq7YN0gi7N4MEA015hkb6 HNPM+XtVgWxyDs8gNOIVXwDKQqVPM6RidjRxHzPIh6ph3mBHYysMb9w2/sP1+tPm2tDW5BkETUN9 8JyiijhTo36/Udp10ZcTTBD9fugDT4ojUf2twrD6ItXMw4mRrJuW79S/vakm7Yc5iRnj+X6bFtyG h2Q3S/bgcJMNkBfIgBKtzfi5pgMlsb1v33+ICkRriwJf9JLf6Z0LvJdM9oZIJitiLItFJaheVXZK t6n6AGkDNUa0LYQmoiNDl4sKNplXWrtGcodr5TWA39HBpswi3mUPnWJS4OqBmWmLmeuo2URxQuH/ Xik4CP66za6MzuVqBhCDqzrD+uUUoY5SjAcKWLSKV2s/yKYOXtaPBx+M0s5m8vluEE7S22is3vVH qkf+r0LB+BWLegf7MwU930qVY83iTrC/UI/eNZT8idBisWK1A2atzxoKIaxhxABA0MeFj9kKcs/X Ah3Ugix3k8/92kwBMRKnT4fuZMgDFUmcD4bWOH/+e9rUZ19qa1AMfs2/gy33LcJKz1MsCI2ytznz mbuoa64JCvpG2VaypvLiqIQm+czsOd1Hw5VrfSFKbDkqVu55E1FvzcIwdmYD3z8bF77tv7tVGdwc ust49N/gDBI9VWIP56PfbC1EVY+jFZ8XznBSMVrlM8Wj0s8Gay/H+DGdbiOBw2rK2aAEKYpRCObm 12qeAd74jaeYAOReNETAdC4XLcv9IJPv5zCuI6md9MteiqeKAhb6b1r6ey/a9jezPtnie1fjKuJy xMG+HmWiKSbDJOeN79s03z7dd/QvBHRLLoSSj1aw0edYuldXJR9X2myvOi+XsDPU93IGiXiTR8V7 pzw/6OkFoGvPkDEyX631GvVuEXgkTnH8cu7PRY9eCNK6u++UJoXkInaidc/EmjbTyktPi6blps/9 hqm9Uhhal6aPblM1GhCdgvo8ZHUh1jkUHnvUOxoxVwxoNdvA/2vjAnvyJidqS8L6oViKyLQ4UQv0 akpGgKLOH4SQbjGO16uwc1fAdXDYteHXpBtDXNKrR3Ad/zHlL5lD9q7Blb+uVBfvMhUEFaQ74XAW WpN+S6wyhxJ3kiUT2UGfkLdQac2LiubVN51FONxYOkYS8h1D2jcJ+IyR69Hwa8luQHuxsdAbOIPE LTFKZloo8Myz3T87yi4pM7jTWeXDBTQ8cWG16CVtPMSZFJrqGXgJLTYop40xRiD7rZubpF99EyCV SFeikh4avkDDMhRCs7XdKggP63CcqBOGKvaEOx0Lyil78xCMSnwrjik21nXY+MyPPFsS5r1P9IHk r11zZhqX7nc1HgUHYouoe/YUP5cKOpTACVBMP8qzpbKKkodOnVQhj8rSNPf8l7yhOipeoEwBF5dK /LvoORCpIR4AlgBVnZfPBJR/kXNnfZAgdQyHI+IRkOpuV2egiuem7YJqNPqmKpiBcfysNJ7pBiUd i24GdxjGFvLX3wB2GMOgV69AnSuc0695qtc3JPntFJVpnVVjbHbkS490vQ+TymlPBxQZrPAD4tmO kID830p0125T68D/j8o8M45fwu9yoqrGghtHPa9BKyzxZfqYv6XFDGPzw2GhQZDkMxwOpfB7Dz8K OgpP1+mG2aF+/hOUdUSTEu7cCh/OhWJJza1KQkKv7OIDavRW2XP2bN7LQctSm2ElPfy/5m5nk8Qm 7oM9oKDJOwKnc9QZecLciMMfZTGgRB62KUVUz38x6AyOV61a3CS9xm7fsr3vJRux3Kwk92uWUAhf 4g7OUNSQZaC0EOA9TcrtkBblOivd3KQbClGdD4JKQmOyqb5Pv2IFA6BKv6XDBSRWDXf4ItHJ5t5l X7+jYF67AXSa3mXbnzksUNywM5S3WTKpVjmLb1BA/Z1mYw/LVqhkqIRP4YhPIzPiyfiICUtshxvk Zjl3fxRx+5EgbxqYymqk+2aX1XlA2r7RFr87sD41nDxHfcyvIEYQE9zv+uS0IRbPD3K4UyCM3p+g XWc1yE8m0Xj5howyBBQGU0wJuBp2V+3LUxHrPi8m3Zt2daR652vHmu6tUAGjw60cdddWg+jjG+kQ MLYPb6RTzAdmdESa5BETwMaORBWriVJtes3L53AcBBIIPzi9ULozY6qstY813PBk9+Kk+6di2TES hC3jr0cj52atcik39fu1Pm2vmCrN+Y1g7RjdS2y39OnM7k3qGjuz+QDG9yacue0V1X3dm4ZvmxLq U6XVFn4JhzXpAGMm2MpOxHEYUa/ud7vDTTkHLTbmTaxVab+J9I6RtWRlFf4bxSTLxU0Pg4btZ0gV TIqn9P0raggvU3C8ehxi5dMK/1xZzdRYi4po2l9sb9H51fxTHZgoRbm0rqMh/2ivFO+mVFxS4alY 07sCOE7d/+pk21+3RhYy2v8/04eZMSo+1KLKyYLiKz2cvf1YL+geD8uOx+oeYGfcGerKI3YyYAMc 9RnLf+mRKDhbGu1J3TLrhFBia4JvI5W7bRGBEzYPBqOXu0yNt6XHB4ghYcw5B+Fl+I2vgZga9P0f LSXXCaOqFZD1GD6VSU+EDM/hwvdonNHf1l3fDxUcPZzRKQKwaVlXnuLvUyJnwGW4erc4v0wKaAly Rf33H0DIdRssaZKeygIUh1qfyZV0yz79mT65PNaf6oCeiae5bejeAbRem0Tw20M9q9CLjRIOvJCl TTBTRzOjm6itmdimaHldnai5aeNoBOVdWx+nivJ8szaO7EqDzgGNNJt+lN5xdA19uRNJO2FUyZQ3 ShbE/dZpf43OXuyyBwQe3gpsDHdiECDmwzCdd1sndf/GNSxatkdrHNFoQh/NXK/f0lB8Iu3Jav8U SAo73ikwtCtxq2edFlZOeUT4jVIK3fXeyQCIFl8EBky0Qq+I2HP9e1q8/1NhEAtbBCMRIJkvRoyH 1mc2+EhbWBtC0Ok7+/p4IzYakz16kRdPrZj2YX2e+Q4EO9rLs65DF2qeyc8OTZ0oMO7s7+OSOlQe lm50NNUwj+2Sb50yjq/xDdIDy/HzBd0qjkq+mIZKtfHihUeDuM2h4jtb8qZwoBDIn5pfhC87ssaH PiB0+WsqWPc3lTox4+j9BxpTtNilN0fOlgqQCsWzaShd9SjKlcuA8Dv6BhGvErpbnuzeg8JHDce2 jEqz5nFBQc4lf+HTp7wg1wy2ZW8L1+IrIDEPis+iJBvxTIv5oZ/zSmvuuPXcm6E3fxe6udl/yvDt BK7A+AgQX7xh5DCmGs0xQPw7dGhIiRhpo5K9gMf0EioXN+1kxhGum0C0rp5ChbNYidtwI0B0DRUk mMX7t72uOXgDQhZDwFYBPGZbuBidmTI+ICveinZtJc7wQn3ETibcvAH8O10P5K8FAQucA7IouKYF EJLqtH2DGBoNBXGyk1SIoOw4CwgRQu5rCLB0/bawEMZ3bEaDjSjdgsOeHXwbv7fa41j6Ve3x7udF 6diI1ZxmNHPctfaQp/qYANbANqPHVNGbSTMJIcbyZe+X5EGjwwO/JxuHXy8+J9GSO6FPuK1em9Ii 0gNdO/lbGhYmO+NKZBCGo0S3YybOQ+1gEkRkQdtkJLBbfkd2OCIi4xUwZjBezYbiZpbNW4zGoYQz 7K/vC+gZ0BXB9AKGp5IQiarESLUZat3ySkT29ZRjRQqtj38gu5tH+AL1ZPyYNwsWE/GI8M1i9qV4 6cKlnQI4lZR0c+HURW0CX3Fg/W0cxn99irH9ywm7ry8q4zTBBhKY/hmlv6kD2m3i8tM0/uYd34Ts UioUW01aurvUfHfx8LlbUC+wm+eOmvnITWkUPvHRBzZusTPKrU5V8ISsjLlTBLmSkFMWu/KjQ6vV Ef1zrhTOS7x+XS0AOtyUTrWvi5yKPHF3Q+IFNX7okK+FaPEkDpf6gecfgslB4R8xdZe2VHNLQjAH FRGlimgkGHVvSPwX+X8/Tf/FhFfGB6go/Wdrgv5ZEzIbphH4zWoi55pPyblNQsGYzmQ44C6FaIda 6MsJ71ttobd5r8b8MqQGLu5yEEBq5EdU6xfzu3OY3wbbM2MvRZ/Y3Kv/Z/FANRh43p3LB19QXq3U hWpd7MGPb8wE/sCfQRGaP2lCj4uTibfnBLc+oRx9Lmk/l9EInhpIZ2B0mBFr1B7izqX+1wbz9qUQ 8Svql3GDrA5cwID5TfwsrgOq+06KLQKbAk+oM7o9h7ii9bVcBQ48D1A1IwIzTthIhPBRxVugKQQ8 JYko4tpxoRbSrWrxDlm5dA/iHSFMKmmklZpMYIAtLXi84FqViujw2x0XPu9YyXgM7Dk63fGHj5xr kFleY6V3BoObomdf3BRw984qKdral6bM8FuA/AdumYy6R9g+/tmMRyt1ICpPjCDc9qFmALnJw0aO JI2GZOTPqpv6kd9oLJ+uRlf/0vzeKnnGbbAseaG6TlZQDnK3ujHzrdqb4BJuJqXSUNLraX7ui5v5 f52ZG863EfICIpJ7YrRUU4wT9PUFR56ztOfyRltxddjIIKbntF0HndW5DgKdUiXr+i/fWtdtRArV tZMa9ceR18P0yQdLWYjZDCP83vDlwsJDlTB0prYswOwagFVX+PFn4ZKXAa0pCW7BJ232PAxXCEvb nwY/CL7MlBSz1WhRHFHNJrFg9zFt8IoOCb7fn5J8TboH2bQEZbEij+0nFk6Y4A+cd3V+9xmNP4mc 3DRMr7myA1EVkmx6MqJHmMAdeq+/clViJpX/dCQNpgiK7DNUw067v9aqrT16PtyTrujbJ2B0ijbk Kpc/D+w5eGyhwSMC/Wxn0kmbAChou1LSa+M9ONWWigI8nH8yniRw2UihRZA6C+0Y4vvJfIcahZTS U8s31Ijcu1YZEFZOryXLGcpVsWbo0iMGufOfa/JX/rAUtF3X6ZFIKi7gfeXEBzI1s8T/0X+Mj1qB mNKGf2vs5vn3ePbM8FqGT4Gf+Mjc9tLbRmiGwUAIklb3ggY6U28I5tBREBGdKicyh25GW54wUJe2 Fyfm77lGh1S2aYnKtYp//v4UGU5dTRM2ewC9WBpx28M300b7Hc8TuGOdVvI6yQj7ywT6R0v6ZznO 0WFFsEh1A6cAZ4cOWHAPPwrI8UcvhWoNXT/up8EQDz8FBau+Nx/QYymDSb/55+5nct4j9g6/sghY VITRuZgmMQBGiNfoGnGETV7TXckY6wOq+6h8jaUSWjFWHs/epA+fqKSAtbOO/E8B/0ZUaCn8S6Z6 bN9ibbLbZ/FftIQgfWgBWy1hEebJmMuG700gbEma6VcG2A+j4f2GQX2MGnhh/2DQBlHekGBTwmKG 3H1sFDyDaqOPfBub0/enSe7O7njFgWhDu5ITYjvBcgoCni/dbKI81y+haE2J9fWJ/8VEpBbTZVtP jfe2HS3jWZe+6ucHC5Y5++s8EDnI30UHgWp4sDooit+Cr+YDYl0OWjCfvkVTKwW3bWrVX4wDycqC 9TlM/7BHtLHYfLO/yh68VfL7blKTqrgVJx8NUTFR8uGgxopv9RWefXBnkz+SOYp4BkxV5kcqOJC+ cbmvwMWuUrccbhNXQX3nVY2T19i1c6A+Xa2Nea34e+I5/6mSE87AWzTy5JICexdWS/Q0b0P04NPT QEZyDoda+4Hez4elZcoyJhkkBBlB29fS9MSChNF/fXvhHTf8sxoco79G7/Lc1TQf5SWtik7fwtCk SyXRG7AZJ9kH/4EURA27P7kxu36E+ZppoIvoCAnqCQdhS/EtHMGO8GmJqtT8lhi2rzyQaEmcLwGn //3oKYisleMFQ1qceb9yr+WZ97RlzFWzPBI2W1p2BMwBgSruPWFCFbToNiiGLFA2OyfHXyhTGN80 +CmtCEtDuFsbLOtlG32F+5OlS9i4lXd84BsZ21yLs9LzftsHsKJ+qyTrbi6hGnflqkR9H58pKoUA UcBnUeNTB10QNKO3d3etqQPkuSJplBMkn9/Vns9llobyHHaSTzepn7tPlmoS3xKwDWQEg21SOkhs GgyerjSkGaAR98h//qzMLM9SpAuADhGsjLt6JtiD73FCfrINBRa+seWldURM7wY4reH0MpzUIr+9 VZzl62ldymNwF9GIpzTb2bXLRtTxS1zE3ofThhjlwNK750y8BHr4E7HQM8GPc6rB8vnof375XRL/ nExkzzvBytAnWgyRl+oPeT6BKjwyFqf/vcitTvR4oWD7mqcfrDPLKduATWKppHkbleG9nIJVz+GA IGpQqQqCBPVUouzzTlNhZd0U6+xmcd5vHrLxyUSaap78QdoCecgYUVjDGQgtDl88pwIVX/8eE831 pxiEiFwHIvhQtwjd8upBqiM4PEorKn3gvvRwxRcxLa1qiQkJXi/rcoZlx48f/Y5OnjeuXDfZoaFt w67NFEuhhUkL88w/yo89puD1wHZkfmWkXxrQdFLfAM3jrQbetyobfFjAjIHXOP3QEtSssTfNDUsl 88OB67f50LC7ZMSsZfehJSR+bFYGGQ5vUGUAxEouP8qtxOiG71NgxsiO9C5l6zLXxiKNUjhqyB3H 7/63vqUsJ3eTfTTsmXeoT0gqFXKbbnnxrFBe4q4vgfSKryTugUq/I8yj4lBE81ZBgVoz3TcQa+ac CGDUK0p8Qty5/rk2dT9KHd6c1DSxhrVzQJlzFjyXj1jBuUo9LAJfrlVk5PkWsE4cuee6YYh+Ha9D nrXlZWK+zIKz4uOiQjO1xl8osZWClgF9x4PUKwn4cvenugPxawYbJ1QqOg7Z/gNvptSWupaqA8lX eUk6A7Z+QxQhNB60KpKit/cFTEn7xYWGAvMqwB8mh0H/7B4jUaVufJuMU0MltptVPLSmKPj529q+ 6pELQoLarVukg20BKzUAcgz4zO66Z07FHTjs2Cati8BKEk4dwloreuPcHIP2Qs+C9CTg13EdFLGm M/6JQ3BEoTmAc32qYOnNmF8vCwfgwr2MsjE+IJJz3EYwW2rL5l75C+I4HfRCikaA+mRjH1fLIvEy 1gcG/LGlpsbSIRES2drFy5ghqg6RXPEfXhLIrvwAxjJmwoEdAOHDqrkEB2oxpRzMlZDmN+SZxvkk XRMrCiztip2thnS0qBSNd11H54+51JQ9I7Gax1aVenRbhcJkZjRtAzz5rs+Q8B3CY7zW/UFUinLu 2/YVF3rW9p9kdVN0O8LmHuyWRoCiPww0oJPjOO5m4uNx/hKsqYYzY+mqznQceugA7RwdUC1uj+v/ zHghf1ZqYtOQyQPYdPsxdWb95DbXf8pIoCUTFBAsoXRl6bhibioSLHD7/HTmETpJWtQ2FxpR6eKO ciwh10QNwVbmxQ2KAu3bg0gf4O9nwYqz/zTM2H5FW8EEuAd4jsUH8vBM8/lZylLwLhHU2/20fcQ/ cvLnlFjUOJzZ/ihNfT/FUgnFN1UYsju4G1+MW82T0POmS9N9KFtyi2ko5jQmdhMbIzyGx8u69Rfs kVyZ3RX5U/+SRZgG18AYm9MMl45J4oLwSHKU/79GnEIWw/aWNUMS9KwrPw64IXYDfswgaD/NrtYj COCvGwVqoSWq7/SmmgP8i8S0QLO1OYjJxZLYyg5GtpydJ3ChuWl+CIFUIIzugVAiolnv/AiPsgI1 BhXV562K08k+F229AXudwszSRg5gJBRuDvvtDAe1TfAFnCy/T+U6rRXSimuV7F3mPN/JwYg2FeRk vkESySHdMYdexipcPSOsdX/ic8ZLq6Jis4QfyFa+0+v1yvk3ClcPs1r0WoqF/yp99Vg7grI9jLOy D6NWg1xFStDDHbtFaZpdWFz3C4BgKMV0eSnX/ArgW1/qgVtQqnGHGONmuHxHl46Ayw3BW20VNDHv VD+kuzeSumSiT2VaAzE+EzcF3xItQTtDGw9Vd4fs0cDuAYjcrgN0hnSz/Ov7dBnAmEiKLOIMP73O fOIC1cXUSh7YIzsM/ZJ+kgtX6sb7kQ7IW0enqMWiBtvTUuRUIEKUJ1poMY4wS0VFXtOyRIr8vF7/ n/xH65aCODr367tIULJnJLSfjKDZGolxvf0+bb/ktnJ+HyvAzxadZzdaY7C5K989Kn0V6vuPTDFD 8cd2GopUqcBgeHuSY3oe2wHSeT2+YImHVy6ByF1L2p+5lz8fNDPF3WyXkuHlNXgWdnqTfytI5pRy TWN0jUk1A6n262vFNMGEDkE7Nab2K/S/9GGxV3wQk+3CE8KBea4eLJTSChhBMO3UBgwNzg+LttLr IMcHvU68BVLlLJf0OzwcGhNSQF4PA2VkMUQyQ9s/NQrJGCar4F+Icq8rgKJoYFMoqOKJGpg+g22s TaXXAv7TN23eYK3A3EZ6KF28OUJcG0zqHIxJbA1ZbXjXBWq1snd4QF6k+iyl7XMyGRRQi8iRNvqy kKkpU0kdXxbNTkFThl3GXFS3u9NjNCA4dmvj3Sg9o/hcS9G/p/IPj1BvqxgPVYJQZgtlEmO8pP46 S1ZRcHuJbpjnIxCvdxY1Nn+1rAV27Nj+NDQGOVLMPDrV4yK8S0hJuekQ8KgSNpRucIdv+o630ybh ZJPBg2m9FdyiUv0O5LR4zjhgIefPqs5KcKe0ikL7PP/E4GwBlkdgVhKp5jCFL5WH503tWOKqiWaz C9yRm4fyyYof2QOTNW/8HHn3VxsJZPoHqT4QUghYFB+pYIFqw17ZiJYHvk+bEkCg9/yf6A/x5IgM ls85OwPBMynP14CLyU2ok2gohBLpg0kMJYd52fgdtNUx+yISOac0Q5NLqTQx+j4zRtZgxWxV4hAw R2sdvKCLG8CM+sPwm8HydWds4emybc/pvorJwwXpoJn2ypKHBI4GZwhduxh84BmcaIMkfuK1srJn FlpEcGqsvAaJm23x1t2LYRcUEi8tfyd9LHYvp/Ovag7ZuY7Ivp/oJutUj8tdPr9ITuf3jJxYy7hs qFMuizzLd4YVITXjfCe/BTLf1kH7ymxTfqfiYy67DLiDE86jUztwkcYoFFs9q2hD0l+XxIZ3BzWi ZsruCDl50rMvl8ym0cG0SLEXHJqK5kGeTS9FQiIwfXTmLsInhoC4RZph+yWp1b1wb6Rf7uQQh88P kj2L2fWWQ1NxHP202OlScr/vsyv/cjGoFw874ZQN8vlnQv83usRGxD2DB6ltUHn+AmEMjuDgh/92 BqfNoWK+WGPCiwFKmCCH2mf22DYSy96Bu7fxAL5PL1Ka6rPFbfGFgI7sCugkqbOX95yURJJBHa63 tfzaaE6cjLoZNlJUR7CgkCusXZkfneZrMKxx62VvsccMwY8f1YWGsDxTEf5CP8en4M8uEPkw7NpJ YfzZ2RiUIEJIk8J7KUxKy5qvGF5Btd7GKS3InGbpw1N3y/GKfex5VUEHKQggyx+a7MdGncmcwfuf u7HL/XzI2NPxjGiG6JGot10XdnQ7/pxTo/px60Ry+mEEzvX1M+IMiDCXpWOOktGQ/7z6weHiDIuM w5AdUisg9NpqBo5PR67lxJF4HeW9tGfEUK5Cyv/O8G7s/ktZ+iQQ454ByeIaRQW53/L00O3/dpBZ RnjexuVcq5nj/9pQ7Eq3L2aIcEtG7YdxFZD/6IX3+yAfCLo7nc8izIzyjC4ixQxWfNtQPh5TD4+c Zt8X8q94Saerxu9qhAcmfsOVhLem+9EG9TD3OoUhM1H5R8PybbG1dnIsrdCEnoJQERb2SgKRYMIU wpUpOrA6401iS+sc4CkzLemGtEV/yKJq5DgVeu0XK88sATPXK4JkruKCKaWeioBTxN10jALcORru IGLMVmztE25zC3egsBK51nQIymAqS3WxnA8CfQj4BlEHFwanoaQ5nJ1oAunkwBAd8QUcVTcmYtLi F7HDePVRk0TWOvSUbRGtch53Zkp2hAAzeJvo/spSRbvpSXuZQWQ2uUOcFnk/oMFaznc6ghKL5+8S wlk7+xDZOnvLTj6nI81qPjN2yGdP+R0ISBvPxOIOd4ZarypgRYZTH1mTZsQzKpOe/TjwqZ8QgFNF B1wlXTbJc/7pR9o78RQFGjdWXHAWebrrh0JN3C4fdyD3Ij7cRE3hNUCCLJd7spuycE4v6GIkB5pj 0pRofNoHGpP0CuPHleDwtJG8LSZEzFFsoG78IHgMR3ZifTHlyT9R1eviDalh0lm2BzbZdix1Hhih kllAWhNlcJwCbbH/nxGaehu3fS1NyLLEO/Pxmqs97iapiycRKdmKqFsEx1O+RmiHgjMo7qqGb681 A+btN+LCrsMy6LsHl1pvzgMzqkMSNu5xTK/0+PpGL3xJU7rsAMtJWoMV3Hy5aWnM3FXVLG146pGz rMOVIVSeOwimnMSUu/omlX1QiHOnTsCVp+fb+C4NxOd6UNBg4Y+ZcHR7GzVzSqSufm+wmzKF/8Pf FzYZW/4JDoZmluSUYf/cFjpg6hJPXHTbxb9CMZU7u2rncAJamntNV4S/kUWAd4G6Hbb5PS4gIxJB p7ZXOrUROK8Bn46672NKRjIfke1SFREam/7eyjfQRAbDlTfy0Xuj7El5MUwuhDs2tLz9WRsefcy+ 98et+l6DboftGpojK9ILudcG4hVsn/Ar/gMnCjaV7nfR5WYn5rxsCzI4TLH226Zn2kDx0x3tTEuG KKmmVVJiYfqbnefMNjag3t5zN/Zz/Z+EhqKBpDlRsO2/mSVe1XDUOdeea6JCnEAZslo5j6e2EzIm SxMTk3C2K/48mteI2JWKis+cJAjDa6QSTNTs4PTVzicwHvWEDuXXiMKCi34G0L76wjAGvAAkOPNK 5zk6mAZvNSBzv5ZppqoOKYCwQTec72JGf+eeyRyvxMw+sAgOuVXHmXqTcEetECoDb/Csxvzz3Alz 4BaDQaW2C3VG6UVn4CqXDHsiCIgUQCGj58oqDxX6vH38G8ZkrnxwohhkgjPPiej/KAtLASktf0l3 eIpA+NNlhNtQRJEOG2l35zL+8puZhD5sSPCVTdfsoLofGUS9WKpuxAS8GDsF2oB8xI3Whdq8J6pk J2BTGoNbKPRDeqR5SklzrFB5JY7xXnncVe10++xgKBKyc7G78/CMJl4El0sZ+rwVSvKI1rDSFbae peCumTMAX0dEr4jG24ZepmUMdr7ES1ysaaI4strYs+xvn6SQKo+anpPzLg7zIW1hK4tDecnvC58R yG5s7LdeOwsKWcHzF6MT3swfwxHi3zcqaAn9BMDFUH3ty2rWb6tlMIDc2UJnO0/i6SBRvZHPKv8L vIRu5t/SkaQleL70tLNkb8m6ZxQyqAUeGTZdAKcT9sUtQabzx4cNuVXCSkPgAB6NqPJ3HRMk2Ru7 2p1c5MdNbITp+XRTzt85dqs3SHEnlJLTfB2hyQLeQlN2+YrNGqCLhX55Cm1zvZA1m970qfF6bb0v 4T4wOT/Sx5K/g7HrFeiOECgPld3XgVfprOk8rBo+LSdKNfuln/KL/Qwe/4HfkdLcMSQvUBPzqfdi wlXyVrJhi9IyxiA7097YAsZ+d1GXKxXDJL3cTeEeIArAcu7nZm2xeaN5AgIqIZIQKN+RbH00T+ck F2SEyS9KWFurDsYY5lGjmnPSaaijsXm3PZqaAQjsf62QrGP8NfufUYx+nCT45AIqXe2Gv+Kalbfq aX5s6Bg166rPpB9DsEmMRFnTQSfreDccl6zmtm1obN8WWdUgs+m5fWTgeYN+IZajHGVu/tpNqJid 5NW8cZ+E+/+nHRdAn0x6Hbg9Czy8a0o/b/qIq60Zgznzb0L9o4hbjdOICBn2P4hS+rfJn8p/w8ai swGLtq3RMsM4On3lJIVIXE0XPYj2kI9C6zMzioxON2ENEoxGOt+X8r5utpg1qH/rx1mfNLVote9q izLnQLg+v8/lxQ6rioD6D7pGUIfBq+Utetw8hYLtcDndxcD+EnFb9TRFPwFDycjJln7pNfi3zAKA i4FYRb/dDUXZP1kQwrq7sWwkZzDYMzEFvDlIli+HXZWe5C5S4ejyRAFeC/pO5Bh1Gk7ZlROGSJKu 5cPrzL8oNWvfy8Aay4e+is9smh6XpfOHrxWFxli6aC5fI/A32UHRo1i/eJ3+ZJi/S32Q5t6dZYko qAX1w6r2AH8SIX4iNE6Q3rAJkLgo+N//ob542sN253J/78fMSWCEE2dA8Q85dHvlA+ZPdWCXhh6A kAow8v60LvsL0WnCEuSdDqP2wvIQTTpPyroEb6d0JhUoCz/lXrcx6PClhQx9Tb24cozJ5WLsMuu1 6zrzRAWPnbFaFCkkKawzrWEbeAqYMhvGD+quBqjcM34aMNFGBcIovKUn6HzBeJ+NF4EIYzM3cep0 El+Ohlmr/qNIx2pBD1ox/MlgGU4FH9JirTzvKWoIDalvMQrmmTN7ffVtikgMXGCOzr4HOxKkmJfR wJTXoTSAWM6qEmyWv0pramDFlUrXHrGGsANtybV3a///6fjYMYQiN9ZC9x5JvDNkzytu1Od1Fnwm 6/g8jwHSSbei+DvbryBr70O7CljYbZafKNZvdVLxivV0NC/yMj1AuAq0IdAbSVjHtd1zSEITpHzV +n6r8A+HxTx5qcNV1ZmoLaL5+8lwVPA01UvodB9lxbSTscIZI4Howk1NBuhLYo/jh9Lx/EviWrLP ZDq/Gc2JTHhxRH/ZKamGRTs8RvEbeBr0E4zvvYHEhn6ClBTUD6VuWGdaxwcXRzqdzIQ/rrhmHo50 Wbegxw4KbPT38ICQbwn5a3U1C2UOYH2ahMyTdEt61r0lyrXCdAveWGXg6d0muVoCgz46o3+IMNvM aRw69RdW1qYKfdL4VCaRRvIddreTDwVrowZoks04nZG4zXWhSgP+nvlCcvkyyw9dIeWXW2ereOln 9BO9v51UwOrvzE7HhhX2ODTrJdy0nzfcsXb8PnexAv5V2rVYap6CIE8AlffW6lbMPCcyt+iYH9/5 Sp7wJlskKnd1GvM7U5TGiMMjApBSqJPu1UBEZ36XZAy25Z5JpiZ4dL7ye6cEvt6Es3FH/q89Bo6Q Z8NJctg4uHVz0IPBhhjKc1gRCf7Qk5u01tdQJMoCXCNjW/mwHV+LqKv9hgEHND9ZMCqyNpRbW+ZD d/F2yAodsXkFwZY9TMJlAx0JibVwpOQQ1kybMGK/i/FD5JrNw6GKjxo31rZlBalpNMyopYL+XvVb wFlh6eERLjPXIPmnCFkq1kqawhHe8ns8R3k9cgq131tbrYlpfr6u6CH566LDe6UtZEIKFhV4PsR/ 7ZbCp4QBjpMFZY04oltVdtrhi9DHw70Hht7vLjAJFuvpMjZOhwElF+zHj1gvY/8Z+vUmjPLzt4rS Axs7z2uC3LNqkqCW7PRwE58P5N0smkRGrqdRjCVgPEgGQG4HWS2VpnlU4BoSRmgsfcVbPjPh/DGi B3eL9y3SkDKx3wAkkc63qHSffudTAF3vkGjfKCkYL+KfHLwy9I5XTfvRrEFce3YR7QYxocGcVv6V zl1cALNe+VIGnvnL0H0sJ5tmtwy9Z6BAb0Mq0RBc4MSR46hx1srxUFEwcNDf6bn6zaNkZ2UrhZYg 87VcBzNFl6rawt8rdM4JrSEzO2s02mWPmksYE5pyDZDdcRSZfA5jRZLIKXLrjmstIrIZ29Tw3Cu6 hRP4xWoUErmUQxG952U6JDa8WqcbvJRWpgMt/Q7upaV4QLrRTIKNE26qoZEb+cBov188MjiKo6sL M4g/Q9LRmN8Dtbti12i8dYXa/GKxQKOvWGtZ1k9ENwnjWK3x6qU8tOFpibCLcyCwnOZpHxtYHry/ ynZZbYK/qyP1XSGjfbKPSJmNT6zNGSdkBIIqNNjRJDy+cYLpqM5mGxPwV6PTWsbxKtNowNs3YanQ qqiANjHEL07K2TkUtZB53fAjn6rZxqaLkAyfpeif9bh5MX46mcR9wL35J1XpAA05kFcDuJk6ZbYh wlZHqL3XR1ID9sWmqSnMrqq2r1TTodFJ4OeTc6W0/3tdAboV5DgI4HdS5c07/R4g9gjEVSBAzvYE JW/L4Tas3ZlkfCOksFUXf5bkxLMqCYj7IbyhilSnKkIp23LG980NBJP+hLKmo4Qa5OQcGTiMQZAS 3P0o6wuzgGrhCtb7+QrGcBx2cX55ybpOjTz6492OdwXzvXoN/5HAE+DYFJb2E7vEiQxWtB6+REqZ ANvH0PhxFGBvierJaYaa5NyMkLuovhfafgaSCOR9v7RgccsJJCQsgkDF2XL6X9RuSugX5QmAqeL+ RbQYUENhluSsUgFHJ9+KXwvj1JduNj5uje/YTPDFGnYAWAlGlJfEQVpS2xukDWJtyHmD/Dp+sACa btZvIQz0A7MNRpCdEq/yS20UwIW0qpCL/rZ0urJ/4q60wfPSqLUEuverIbAC/34CGERZyQurdwlm 2692Omn/dwVrlA3FGRND+g+UA+xbDOnAs0EARFiMGayujlciGsHYz0/Crs8fY+1bcnLduqQw8RtQ rM3P1zZSN5flSAzmLwcJMEfSU5Ullr1J2aIT6xYt4SSb0iJx6eslZLIh01qlr9KrRlCnTAVZPs86 PGaLgHOEkHlzKoW+eIRVNL0JKIqngn3lvG8id68WBtB2CdxAX+V8cioT8oIZZ24Y3dZwaimfFGcT qA8BvsCDxAFx/lfwRYI18lNPOp+KBa7AinC8k5lml7dNTw15PfU7n2HDQmJiOXcg36YR2TNjmdPd BH9BUy+aECbvXAtRq9pJxG6cUDqoC0YHwoUC35KuIskDOA0PzKrvOJOCwyhIHP3uITlQ1BU/wr1G tFBq8qKh9aqJ1T64FePxv16s6uw5cf7LlrRqxSwhYY5wPQzcK+UF6Hkqs/BrVK58m3ggynynhKvl aLkruoLLHPsuLKdhApwocfQY0cyOv4rA0DY1GOcb2jjmOB/r97oNrYq96u3jZcBvMHfa7X7lymMs edaT6szq7EMNZbmZ2BzZrhhtcrKzIOKGFljsrNjoreyLYM+c+ydOWxyBO0jW5iDawPP3INqrhJv2 tpYx8/7gNb8zaxIEBuJoJwHM3Gq4FkFRI+4JydWuNkGfQ7F/TA/RNyIOaQqvVMQrjwd0hphoSapz WonXdA9y0DKi8H6zuON7a12Nc600Ct+2fiKFOj07uanfAEcEmwerE3tVXwCrHQPZHy4/zv1vzPyh JqjKAmCsWPzmGEeho80Sot6pR2ejWcI9mfzoDBxcJ1JFBs/GT+h2DAMQlsRCUYC8f+c16rHkmSJU Gy49U0HTeY0xXoBW2DKpCdiWCvon7dL8gxbGeQa+zASrOxVA53t2kZKTW0E045F/Z5//+d8W7r8u uv5SDrYV1Yx19yapzvIHpPJAj/vAnQ4KrUqukEwbI/avojL+J3Szfcq17XxpK6TXMBd/gy0ZQUX7 0u/qd3zblkNrU+zcQWofPE+la1LnsoboNk/Z7FAzSOQiv55qOoz8PPasSO3bDk+UEmU11PE0/99T B42PQYwLZVfJti5LUw1j71qE2H8Gj1TzS/86jhy+Nb2pMaG+aj5h3IB+nOaFgmL9nhXDr4qGOpOv 787V8sY6yMjWu+I8kPE+9hyZu6tM8YkQXoKcmdmtmwwAIO+K+rzhvK+ObAzavmVGM6Z3gZftnUsT bVzw82eoGqiXQ/7uC/nueOoFdVIHoqjTSP/yI1NoeGsxc1rYirZlKjJU0OTLYDwr4vFMP6s0X2/R Ag3KueRISZOQ5RJLAJQM8Beav7ir7cem+2N155xK/CpB2tjqPMck3CuzoPmk20KbK9yFdm73gRvL 85GG3uC2i6CvddWVu2gciXNMbcEy5NDnb/t5p8p5Xk4vF9sPcSHfv/V4bmyV0rp/AFypmt/xs69o hs2/Uv09y6SbOjlMMUXDPIq2NNf61iADHrBVzifEp5ITzdOmNUNJW287vm9zlQFIAp7nYOv0xhJj bhua4za+QR+vFFtfxX46OsR6HjO9CYZpkfHinrfiOajaTHdYf/2GzjjU9rt+RaMKJr8BbF9OneDq swC/OqtxN+Bu+p8hI1Sto4itmrGW9ybBZUbMWwIN5Uf59kLjX1NFFvA3UuMwWH2m6XDAEOpy258w Qigl+tNWQA9A+q3Wl9ZwsteBrzrVoJ6gKb9kP8RvUAk9ocVOBBjYrmDeJkCaQbRy5odDbDBaDuhu X9BDqO3e7mr48uNT3EC1FzLyLdUZ3uSpZYyPMscEd9HEVpYjqvBT9ywyK/SL2aa5fc2dFK33aZs3 NWW7aFkGWqWgi4+08QmvF4gXF8FG7ogRAKdSBGryar0wRyrOC4BVxtjmXLh20OzTYi6c6F2b6w8i X0Ns1JtiWhSsHhaMs5X0wsZ8WwLa4Wl7oXwEfWaIsW/w+luQ8I3l8Nv4laHbT1nhZNpoEwuETrJs yMbt2ntm5Wod9k480ayOdt5uOXvhdEcaMaasEJGbUg+NmLUT4Z21dSPSDyCdWRBdpjWRX41sptt4 kqWGmsqv9Ehr4NnF91G2aQnU+RAPR4ttg+rAqeCftRawAK033523XDpXAZG/Wptq7KhDAxH7yKcT pFhLc1d4Q2C2oD4SZLNw/3dvM+X6Up35HnUW8i9agqE0+A96qbP2IEAnwJaLN0lVEN80aDAMuR/4 3rHnk2U0/xuzwR5s99WX6PEE3ISDsR6HY5P4xY6m2npoMKaqQQfwEz8xSBAyZie7iyiYhpvLzgun VBmeWgyyDpnGnDs2t2H5hWdIHHtV6XL1Rh2FdkRtyUhclyiBGQ0z9MTmRcIAbU7lNG14NgcpOLHI B7ajTFMvp6qN4zN6QrUUsi/haswgXW/XXHAzpbDOvPHpBUGumvTDyaINVObauKRGDzVdOe4TFSPB 1DAvmY6WQn/msGMvFQxNSnxyqxGCJUCMMIuYd51X392TrYUlZejiGQ0ynRQwx8V9ut/U0HOaBMoM 7uoSFBPt/E9APxUIbiT5pMZkpL/MENionXyLkcT4+wIIbIuywsnKi6d6dZLaZpmOF4py+9BqMB6f WuZvFlxszeakKEZXbBJ6s05U2qNer54pdGNC2ickmhRtZkFuEFeUHDeEhXNbpb7g6iFV/lysmyRR 093Xaqkm3zuXiZaIzDnGo1ZUflDpB6Y5iN6fiXXfNTrfszJK77IGBLcYbhrUyGv7jC9E1YpmXr+9 tgcBPbIJLIgahaOksf6eSOwpITIxvX2/zJi+TZWByBMOtFakkIeRtOEQ0bIVMYSludJVPBVOU8kC cC7z5BW7gps7dKTEfLwoh9EjsfXKe8Uw9JH53l3s018dTfqi/v6cmKs/fgCroklJrsYa97HYPZ2S IrHFFCq7TSkO/nWKUGKlgeJyioD6ClpJKyYXw4ZJ3qtl7H5Ex3jru/4mbxzAlTcpk8dlPA+c4zlc g3/tg9EOkfHBvGNe9P+ETNsx4LKWl+w0dOk35TBTVZ79xf0pb9MUFRy53f424wL4Hf/g26c+ATt+ 2qG4DXrWzDSPGv0EfpQmfo+HBUL0p5I2DH4AhWle0Mbp7yS7d7APyLpvvt8LCrUN/py2Z8Yy8gaK CbJ/pEDsKlwhpSFlUnYNiSJGMhBosFha9bGXfa+77K5kCC34lYq3fUW7KFB7xJmO+m5Qk7Feck8B 9iN17B5RTGwNtZJl3pL3B60N/eY78B8PgXKZhJvdF9fs6vUdWXSC0vVAFQI1bhn8vgq9icN9AsCY KIPhqp8nyy6WDJcdF4BF/ZhyHZ36sbM/CXlAbnOTxKvXqkRxAbF3VZXZ6ulH939VaNd5etGVK7a9 BkhBlbNjhtD5sqmI2l5eE4ceaeffl19mi50WZALe26jxxwGqxf5CWJgfkrycTiMNG917X4shb7oG aXXa8prpTMFT/zVfMBxnHMPVboGt1I/caXUbG7KxpvO+wwshi2j0SVtrJXr7w5wuwbrd0MeGHwC9 BakrdpC0p59tW5/quRJqJzPwczxfjHQHRa5tL37p0a8IjJjmnGhCk+ecPXe3Qjs1p5qvf8ZYYb7U +yH+Wn6RHyKeVBzPjVcdpTEq2hPyRh4chfhoS82OKv8gdk4yGtYO53NPBkVTyb5saev1apQOPy1W W+nHTVg3dyKOU3DZ/Wwe9nwWigmeaBK4knC3PzuzCSzw/m/ufKg6INuiCrOvcs27rfe31PTZpjeU pv1e4PS8HCVKbGo5in210PTset36IjfbHKTvJKLKEkURrYQW9DJqOdwI2ilbZdCoNxDenHKvs7rE Sf4xTdVHnjWZN4iCCts8avg3vyOzqKdFOYsYmtwE+4KMMU3I4BepKLopw69YJCkuez+AAggp0aXl pw6l6I/0SU/oDDXkzjjqMaJddnTXC5ZEv7YiHkmuaAfXxzuAEcE4VVu1WTZRdkHf/gpqVeAQpTtu FHl83End/KvMcRYuX1kzgLtcjlMKzno0bl59kzS/bxWNiwSugSqiKQ2WLkK/VUs88t6wZgebV9vY Vb8uULTzFbdmetYDbH2V/KfJY65yUmgGqdB8OPbCVxyMt3WxiPQKdX48ABTKtloGaGz4tp81sPSx iz9G41wNV/BZ5UB8efyUoaXVaakVyHDfwlFZq8UXgmqDOH6wcCEjhgf738peH2xmMZ3jfTbp/Smo fKx0NfJH0tEfdBM0Y+WOdzOqR/aJ6A4sgKWNBuGBeT/YZy6TzEZjBbOyR1ALoRbEw8p30EfDEM9x W2r+QLXJgUqPi7MMBVRxRGFrqIJLsOm0mC8f42BVQXy2hzm/De5L7+wUht8UB2WlX6iSnfZadh6v 4RwwGnr7ow8IgyNR8Ks6WDYLmGMau73M07oPo6Q2W/BsgW5+Z/HP9hnSylGuE+MqWRhMQVrdGePf qdW5lDGO9t57DL+qu6XJml6S9skOGHbqZElsMnCoJO9eOeMRP436PEFz7x4hmEwzOvTbHvIkLTzk TbZiG+fiGIrjeNfPg5uRCNI/Z83mjRUvrknpvVu14fG99yc9TuxExQxekf/MmPh1R5eYg3v8GJXs bNh/TQ4t5BJoWn2DsaCSxE7f7tNZwOe6AdJSMfZTBh04A7NDMhV0rbGeYS018dKAhYcLtQ8zlMWh C8Sq5z3JcYsb97hHfHxPx2fEzH7JxQOjn6f+wG2/gjVxpVifDOECx7eon4NAc5HP4lMCZQ6E6i3u eerMbkUrk7hK43YEZlvMG6l60Hfh6kEfxAffOBaapydI44llDdgUTiTKfDvjzu+aWM50rI8ffs5n kEU2HViP4t/uyidWHWX/0lIR655Xu2xfL+xpcXqVyYa9JKGQhFVqWVrVogjpuT4pmpNvq+ynmRyZ 0+tHZSPQ6PHjQSIbROzcGDnqfLTEbE0qhVHjcc8msIF9gZtSbArwOE2HsCzzNQflu0BxEi9if/Ef /KK/GDcID0Y1T6sCdOsrQL+qBMQpF3i7N6d8Ckn7a5BKJfr91YkCLlplJZXGsRx4AwVs0Dj1YOKn d/+sQx412t4uIdWnoU31GEZUKwFpjHSnzFKcMe7VIfYCmCg1VEE9SiKach6dFPU6nvLxyJUqleda RKFQ3y/HYGyH4f9GlTouTIac8fGIo6pg4SsDNI4nRw6Rj7a3YlWSsrjv/9aUhob34QIWHBzi9I3S uSKvcENMleYSrtaOWX7U9eCwAFFyhz3+hZzT9Jq4GvEJ4fxX1WcumpU0neuCqq9afbT5k9nOxVml KkrAPHDoGf3qo8npmPJQSSWxu8Wb+OklndfwELhNDBPelE3wGjyxQsh0nKaTeSdj8/Z1IZ1uwR8+ kCj/E4KjR4s+klMbnSoyYJgyt8Ap+grJ9dn3Z4v32z6Pga1tSrK+qhHBFLGGqVnZ89/3QXSns4mD lE1+rzU9GXqiaaQGPLOF4LQcM8rO/+J4doMMCM2htXHz0NDvF3YQqgfg4sT28l+FcqUUL0znkZXT zlbrCB2QBNYVpeao+Dp6qXLJrlCJ1EnHx02ArLwFOYbCQsxiDOv14KDDdptuhTDMUC0LfRBhtu6I v3BxKgciYTatq4448Wckp86wSCtgnO0vklsMXrzTBOpgmcRxvS1MHweql0UriBfsNsZpEvBLlbsy dvlPTwG5klo65fJWT3AJxUEJ8UhgM6vBKT452oeI4dd1ePrQDlT8+Gj0CRBxhP1ba1aS5cjIiVBx puLh1Frrf2/eoJyH9kvWNrKBbMcv1OPreonAMFI5Gr6VjUV1ya391toJt4HdZ095ewmJ34jjGOCN T1GrLTkxTa65nmK2HXeqiy4QT8UBkTrrRNtbXyIe3cNQ5xUduBMz9zfqz5hQxRdx24vNi6K2ZAOl GveuxE8/1i6OPg0mTAy57gk3rutEUEOFq2vm+nmR4TDsm9lAk1apKib3wKc4ML7y5nj99cips6vl 3KheJj6USepNdKKi9py7H/sqO8MgW95/RCc9e5ADmHq6ISibjWPE9w82jrHbXQAGsO9rVDzMYErv RLihN7yiwmWIgjug2bV/qUNPsPjoafZhj0rZ8OGVKcE4RKDg4uh6hIg2kCLo+TTFgegPzpkEaPxp rmY2FmYzAG3Eo/k3gcmyF39MfrTgzI2toQwkSUFr0nuPSJXqhG1o8PRX8aoNvdo7moxe7d5GjV/3 UF0ZVUyCigZ4QiTHEQ2LnA/VCW+UGb0nvLfduvrxeooJ+biqur7FdIQ4AAowJs2ZKtxCHjFfJFZ7 GPgC4JtMvS4myziFP3+me+HzJJ4KwZQ5D3m2xR6EhOL4YeqdA3XjA57GorfdB2bZNj0GzyqV6HZa 3w09qDXmGd26c/HTeJPW4JhuOrcPqBFmCgs3H7x3S/8X1PiGoahrV0SMuRRequO98eAZKUoq/zdx w/K1FujDvFWF10arjFmf91tgbPu6PEDR6atBjGStbVHejvkLXUsDsC0Hcwc7cD2gQMYoaICDrhi2 QtdGm6rfisICzNBLnXtdWhi3VPvTipCS9sPTZsTcW6hS4Dir8BgTGNYxQAL2bzFORkPWJ6qpcm/O unGhr/FFMzyeqGld22+C+/XFEZdtiNkrRwp3wOh1al/cvtBixTKufWdiuIGexjH+sCrJ4qwslOCM W2UadN1G71ryHkFq0imzIxo1y8PUON062ehEoMURGtlhLhEhb6pAkQzI6sJ5rf9R2wL5XW1L1L5U iN34yyO71Di/9qKhy4pVyorIzfs2v9MO8m8CZSbr/O0VXEP3MxuXpooYvRJ/1XIDiEkwMSf3FlRk RkarzZODUa85ZCRSG9vOZsglZzVbMsOika879JTr1XkFiJ3VbBUxylYYierDwu8h/l5MOA4wnIlT hQfe+YohBMuXcQYh6Pyw+WG7IDSO+KCcaHSrZ1bkz6INcblWPcIxuLdFrOk8Cu/9s5Jvt9nk9jeq z1NVe3eyESkZD+ICiobn/h8sBVxUL4ahvv7bLcgh7b2FAlKzCJfn4yVuuOw1mo7hpn6uDv0DajMF OYzc5LZhrbwuia1K4iOFavn3ezSp0eswDq1GEN4My75M6qWyuIE8oS7g4gZw7xOmYMs8kblXXah2 F1C/gU3fDSbMLPQ4yF1JK9r/3EzGo9dYmgZh0PxJIMIw1xIXENivo402PEeUkEinzvl+zmdp8vFL DGoDHvPvLcOVtFy6hxPxLhf0exyKJz3CekufXs5B1UTaavp462uz6TvL1pNto/QJNnI3VulTmKlb qugb/TRXXnRQg6GyWe0tKW10ROb/BbPHMdubB5DJ+cUDDoJHLr3HsDRiBGdKWaOY9OrveHhev5eN ZQDsoKFzlr7l5I4v5RYY5LQ6LtYKnEKTiF2k3r87kkn/edKqhGy1RlyfpCyBRfIWxUW6jGgtx8rT En7QhMW1HU7qJuKR+J2XWY6njKpJ6oFqS3YDzW12s6EkZ7ujWQFFZfWcp/a38w6p9mEh35ZthJxQ EXEDcv7Himz5JGmGpjs5a5ZstUnrYd8Kkpyw4ogjcVBYaaeyV9/Q98a3VN10YdyQNhJ1sIiBPsZd vJee5K2IOurMK19LTP5OXOvEFhyiPl0iwHskcE7ZdM6JLGwtSsilZAXaTeFQp/+aJJJfyAPV/otB RXTIJfWzjESvuNpU7S1j1qOO3bDiNeNDIAvejZSq0RhJ9uJBqXp5S086kHkUbjEDugQErQJ7YlHK pEVsocfl6MrzPvF9Q4h2b3KvPAhXXvSyvtxio0Uzr7r6o/q9FUvfOzAPo3mMi8oiUw1w+j2hIbw+ tlyzTj3oe1x62FgQK4VRYsLgOXu517Vh1t8NpQ+WnTVlDpGewYS+6nglvnwkQnyLMcEQ20PjIixR FaxP40NjT5ioyQy7bx6gAP749pNu4Ri9RnwfAIcfMJ3Esgp5Uf3fnrstI+8wg50D3vooxHzWMDN3 1RbGrth/uOGQppFFJccRySoLp/DC5wAaUUaeTwxCh2IYYsio0zE1kbs4xSf/kXPxb64N4yWRKIf7 jyCCVRnBcX1QRdlJmz3pJOjRK+b3Ytej8bxetTO6tAFvD8WrfMYLdQ9M5Td2iAnKCMtpa5kBwIWw 2JV9zZigIEj34p6xUWLoM4umDfhJxgg+dDsT1f5KoEYPZJBRRPdOSj+Xmjvhc3oGm+/tb2UmsC7J EhrFksFhto4eXXQo7nZL4M+xXOOScCFkxff4Fp0631BtLi5/R4JqT1ujDVhKE7bQTyGhwEL6ORCW 1hBdJRjAfrkfZi+v3Trt5wV6/yske9XJy6Ew3wVqPJE1ygdTnfjQ/QnX816O3UJf4CI1t05ACC3M LV90Gew1HbePj70db5citjv58IymDXuUENtdbMONOrztQXb7Ua+lUQpwQd80ok2iYlNGzEfCDs5a kMRuFwC++ukKSWMeonKgNVS5xkR5k089ZaKby+Wv7FygTY2m6XI7YfKqEjsxpYXSTwER83RV0LBr EuCDSEGZxCDS501tEo1WhCjBnIu3vu3aJD9+shB+Y2kh0+3CV5ndC3fJvd9TKXpGX5x5w4IqS843 ZB8HG1Hgn8GYVnWPtLJXAiWoVcpqFTBxYXRFqASCUTY4Z5mkjMOQf4lU7zeva+kr6lZrrm19AfO3 DpCgQ84wPGdRqwKomkm+T+Y3UGk8nk9LFUn+QNZ4bcW97wKrFZ9vE/dGP5HAHjcSiIffJxj/AUTI hv4ENLoYicaeWBiw+hXjJNY1Brqk56OOWvM2GxtkjsRqm9GpcFY0N6ohGf3cp2dcZKlUFpQ94Rfn gq7yet6QyVwLd3enSVzOFFmrfnVOttzS9Nv3NykDjp6JhIajlsufv/ZkvgZMXBP132Lu5zES16Y7 HI4YUvS8PwWsVRcGDL2H1W3/4aPFNR1KcyhLE2o0vS89nAUGw7zyyZHMP4VfRN/3gZQeeKm7N5DB XFqe+n9IqE9btxCUzCNmGH6eIDgCLuYSa9jW2E9hXFRsrUHzxR3DRz5X8v+ztdURhjEhwQMxqUHB Stqz8mH1VJkKBmXZwCkfVy8pbunYsKketyyNZJ/rSH6gnfqtqfYLpdTDBrobr0xs6VA92vOK/Lj/ 6tgYiyqsABnHXPVqKw4gD4oefqsAjLErnFTvDMZ+JZ1PmoJRCfBnHOGQxCVowUT8OaxJ3dDOaJLF 8VMEd9WzQ9N0fmUyMba+HJQJbMXoPDYrLgvveWpbe1v3VQ5pDr4pNOKWj3I+GZ04/BHAWnYKZ0b/ GGB/1JnWbpwuzY7aAV7H20Vqsw0GnRlTyUnzRAs+tuxVJw/lk/2FPUI73Ru0jivEAFwkmoDHPecd IUyu4WtVQZH46RHlPZ5pOhg5i364vhF6jZgC71u32bLIdqsPSTspIt8bCJo2eLdbsczjSBBje9fI 59vrYYPv3vyYkpkShYzsqDlersGuQy+0bT8Gn3gj5hFUsshf67KtxviXYoDSPQYneFvyxV33Z49G TkfFVKN6IrhFktp13/3DhsH0yxyQJ/V63WysIPu41I4pDtRZDuCnUk91tavNLiD9MhcZMlQwDp4u klSgHr85Yuye6yLgTIEpJjqieDBZNDdP4lhH4QaANQghZkkmb8EvKoOZNv6wSsgcvrOtrwvAP6ek spGSKhMbUWE2TxLHMFd3CQUcu2wzEqYLGXCLPis1zyzRx1Dzag3GGXVMolbd9JhdhuBsOWF6aw6y GL2fkQDShFcRg0yPMYJRSfQFz+CQCTEqnOPG1S2FHvyAEBi3rjnz1RjGXff2JN+PKUAHhShFecxj aeDMfKk2A6+6iSKZk1BRKL6GMoJ7XhVVmScU/W4HUGtoBWZUWHV27FmBdxt5Ix2C5R1t22H2LbAz hMOywpgeJ2YFzZn28hvs1k/g5TKpEfd2MImC9j9UK/XAEWARotfiF/JKW3ADu7zYqVPeclyaPttK 2yXbqkr2N2o64y7Si9XssV5n2CIfi2uiPkPSmY9V8vBwAXjFEoUBJQJtjfdDgyy/wOnDxAWMNI3H eUE6leEQ9rKhRKvn8vaoRyvnxvMdqLJNsEvIitNkwNrDX9hE+kJYUjfBO4I/I3WEIRk8qmwqKOn9 e+OY8WAsra1U4U3dU95nRWxoLfPhnkA67nlm5O91yTuAsI8ZnRr1Jqioet2F6UAy8azIJGEiNViU +sPM/MUSNsdVr3BAM4bJAbknYFZ3IVi+gujg0AomKUaMSZx70mWayNWTjqijWW3JoxCRO2dB7WBp dNBSX8WGMnG3U/wVQ4hbkHsgmWOshvbt8nWaMOZpGaBTDG9LDkLPw+6B1BtYZ+8ekONhXfY/u09/ +OrPacoZnvaHzXZcLio/77cUE4gGDrFw123g6fuvSZsX7PklW+aQHeJcvLYyEpYFhcWc/QlR0+MZ XHLv80LRbS/4NRJI+YmbKsgaKBAbPYELGQVMca2uxnczd02kmWVGLuD1KtgVf1dKHBIPabUYEetx GWMpj0k6FvxzD5edmbchCvD5uzUBDOxTQEfzQIgOiZDGXy12cIGG/XLUEHkXAKco2Z/6SVi93Bjw i658gaztGUmqF2WDMLvEUj6vk+6Gz6d8P/RDvXZgYXoj0zlU88cdTnMBKbGOgeUHxvMfBo9zJzap FKrxAKcfL6xC0NAkTYiBCs6Vydq5r8NeQ3e1j+zVxBM7HnA8TwPWevy0fJY0xWYvfhtApyRG4RzQ v6CWPWHVQtxKUOlPJ8cTK0yHAZMfiakCzRka8bXD3HX9zwVU7NguPT5jQvzpDPuYdzLyUMtIMoYV Rl0JM/lY8V4L1z9AXdQwsSDe1agToQhGjrJ6FQy3V0mDmmiKt/4dHUHBTXXbGtuQVI5qu2KxemvO yfE9ZMXIFRoKRC+Eftg733k7TBDsj6LHZEbBNr12TK7exUU0ThkPp5BATT0nD/5w0QZUYlDaCHN8 Lr/2b1KVEyAYtOohVOrJrix+kH9QRvNUKfqGXGZikwZ+PF/cPKAPFyZagMUjj5B/8o03lCN5IZy2 718+KQIj8BNB8sqd7tqRWCua2+/Htx1LvZpu42J6/HvoJ6CMHCzSoG3ZSNIuPREraSf0q74rsSKc l+0u5aclJc6PSSsaDQ1fuBH+P8PMXf37CxA+R2YF4we1XP1xq6ig86rUt/rRECzpMCAqZ7WG5ueo lJvOsC23oGvrK/8gQbQqXcetiO4Jm8QmnTvE8hxKfZAm3v5xkWou60543GywZ6aKN2RabdW5t4V2 nFuisOV6lZwVKAdDAsq2/d13qU2TtldZQnqNAciGTb0h50rxesBRbFSBx62+Qd8VPiNZzTFn0bTj H4r5kBYYxw4SbCZE0kL7qIs3jHIyRICFU/B5OPyRvx7iaiGmh/G0+ABIgT7y80zcQp1gsYEPPJ8u 9upR/zCCMqLbg1nj5DgTmRTCQkR+Rser2L2Hes6IjmRYd1PWe77D14pGuBqhauFk/rFY15Rq43HJ 39CwEAYlAjqAyQhdD9tvedE0eonfQI9SRtz4i8rmEsh0MjhECgB8iTKHV2/4zIkuXTiNpW8FU3ub 5IzpS9E3x3xzmJabLWeHNkBW6zRECcRSg/1x4+WWBChlD3IlzGiQiDeLpSN5cVIVnZDZCJPHwVlO tdDnVIJ61fCQ4C//CMZG/+QjKIJ8LCFdrjx9cwa5Zwz2e5dsomnh8vCEjEpS0vpgZq0tzXGMTjV4 G6N7o0U4SqiQUQkxTvqTVY5MRr5l5TvPss+BzUoSmeXEcnzzYJSJjg23a6KVDDm4GhWKHzk3IJlM zHDosS5C5CmKt9/bdcHVNyIgVHXYEIdeTleM+Qodnr0HqNxsc1OU/RqkcE5sXytXZGVgv7QNqqAc 8ULtabucVXuN84NTbqaUUKD5LYuGTvJ7krlP8wtwVriAhbKqLKihiJHyzDgHhp3U5EoTQK3CE0A/ vFmwj9QHXXOWtxz9emS/odZdCypx3L/1bHVFHLM067ONFDyZrkC/ismvo/Ga3Bh8chVQKCbtDRws kQxsTayj7Jq+NVhntBkBxZ/OyJmjedNaqPO4U8eb0a6UkX77PYYwqE0FTr/CSoTZ5ZoAwnyB+jhK BjB5F2DPb89CzxqscDZW4CCfgO3rBd6lZtxvictvZgqrfhV1mS8o+a088V9rn3TWgAcrOc4F+1E8 8kOgwv9rxs6kWfWM7i9AxP5Q34XUALx67G2xecOCuoBDRk6Ef+arOBMHslfrfQEwRIwA1/fLCmat ettgTNZGo7TajjOzV437UA4BfmeqTcri6f5wjg9vjhxurVHZ03AsxJpZkOxZ7OOmxxmgQHfbB8Bh rEKzxTJSIZVlSjbSs4gkQfMDnXDvsNacnMi5jmotW9aTa91j9SGSZAcuP+TUx13GLPr3N6DhCknS vuvfaIc/aRf/fQr/R3L/oOOqlGaX/yWlxDbKE8cJ/M/gT2otWCZytYaURe7pTasG/zl3p8pGkbE3 BYj9vG/0PzXg8YmG58meFaSd50FgyUaoPMiYJjmr6ZKtNbuHKjl1p0BkxhvLEzrR7wH1LSl2JVpi +Ueal9h26pDJSweO7F3l5pLD36NdrVKC0xGmV/8n+dBu8OqzvuaDmRBwcucSZ6OvGjnS8psi8BAW E8Muzv3hejC2xActP8uZP3rBPhFK/oi73Jzw9Y5kZ7vsGVLKzR6jyiz01a9PxDQ1TlayQmzC8HQ7 XgOhxFvMW0PLTR/3Q/fyVgm+Bsh42YcllSHa/SBNIbjtN9k5RxcijCeWAmQZlcX80b7bKl8WWmX6 ikyeeRCBFeldB3FiWu+aagnuwoD7QEKULAPcPmM2dx66EDy+Ax0AMLjnu0M8qQaF6aI6UdhDdF3S pbGbTy4379U67YIy4DqFbYrmQfq5UREso4CcP1vt0s4Rjtxf7vPPXXQinl8j84ardxAUK46G7zMD i9ekkhQrVk3s7lkHl69qjpnF9hZquSr2lMeByGtVPhCofwTsr2XDkKqTzfowME/it0YO2VgCANjn 7PX4Qzgfl490BPIXkJNgJwH/4VWZS54/Dg1T4RWif+K+TIjjRiU+T+4w4IiX117RE6zair/k1use EaGmvbM4ehWoiZB16mrozHrIUOuGl0NUT/8jPC0ZgaARKs97V0EoHCeldxhZtezWqYJFnmdrA68T Zb8EGhx+IGxfeId6/Nuwvnr05BumoOxGr0cPmKy3CQR0Q82Nzol0aO3EbyfQAU1qnw0cK7sWQ8tf BJmAA2ZoukbJeZ2a9nu3KWYw1+GkVzrEW5j4NnLOUzs6yoDNgSfMJS+S87UsNsSgarOcGxmkPH30 UQ3SiuKS5L1W3XHYwGjxdGYGpUZUxS/BezVjOUknXMBxqemAg5pDTy30yAc8I4i2iUl+BADSXRrg DaKHLaIAk+j5iMiGYmvKkYlXRS8sWsaf2dT7BKvacSoyZXTOn9AKhIniXUoatxYQQQD0u0EQibGH KitZb02TVDt/9KV0rl2t4nsXYpDXb92tIgOOESFCwMbeyfymz4DAbzcx+cAYdq5rVwgQk/w0GukC /ebioqFtl1MYLxMBZdoyIJVY2z4emKiWiCfKBCihV55nMY3DcM/np/Vn0R7zlRfrB7vZpx+FZMWv z3PLHt2NRdLzQ5EvHssYpB4TzgzeUTS+TnzHf+K6K/wGSJxcjGmq36xa820FK0PLfGssjRnmMB+S vnDnwSn9luwH5/DiRCoyHWnvgAL6QMNo4tyaXpgk2IcGqi/BLHYgdkVxrkpCTcLKFMxXhUodqMnd JlIn19biZHQnrqmiN7sBc5e+UF9kShAl2uEpGsw+lYoSHduoCSWqEy5km3PchVftzog1SGUKWfbH QDNZWROKIxsYC6RPwv2t14/aPIEorCB3etjbt2vxK6a7Nt9W84t7anB1HKNrToGRr4WHiJfImnjC 3OdMSCPcgSLCyZJBAZbJih+D6Qxd33SmfATVv60Ne9p6vGs0NoBL8/mn6csH9tsC8+hWizrdnq3s 49BPbhFCrk9Pz0K+NSsErCF7+j/Gy3sQZqLOiqgZybYYd32FVohcwMnZ8pOM4ZPhKosJE13W+NYp gAaQMhq24ijup8oDx4oZwlwQ6DdH96rXwH/SZaL4U8w3gXzuG6hI7JLToHkMLMSHvwpDy+kKfINZ FdX03bMDxZQtzSmVkVKDrvpmDgvWUOtFB45cG57wYYLocOjQFhMDbGzunt6gwKAWqTgwn/946WWe HKlz/SMNLy9VoknAesIbcHZBMbc6bKMkzO5rmxuBjomFQbxwjX61K2Xb81/F5EBosH2DYsK/5H2U Ami7VizSpQViTLMASBtPih0/KPe53FiaU1IzsRACG9h/LlsZNlH8LBtL3UTkTscKu6ybeCNPdySR 7Drzzr+hKijyJcLa3Y8U3XQ/uI6SxWhVAo56hV3H4Lz4bV93cDt2d8nwalsOJKIxucyn3JS/fsve kakvkQmSzWQUVGtau7cUBqelCEG8ccwfYaxmIRP9PoLN9LN4Y5ba5fegZ/JyjR2rbAI3/hPCP23w /S9IHBYpEo9mKRg2zi97k+pTLMGIQZZhFlTW2d4BVXdRf0J/ZZZHNK1OUlnIwAnktS4wGa6EQsll RRIeU8e/vsbfaiiw3wYThshybGOqPy6rUu3KgvNBW1eJnO50s+5kFQn/uVnrmi0bdNSlN1GXdUMz uMTCvjNFg3n5NLT+ZJ1UBdUeT+ZGjHMOOW9N8S6Vo8lJiprLSXjA9odGwX2VRtZ61SuGBMT4kZt7 +1rcerssxk/VwRSErnEMKxI1uGn38Cy6aJz3mGO95bOZuHjn7YFXxMgHf0QtHwyxLLdY/rVxgWyt B2bfxVHxopPjlUiQEZ9IyxowDfsQg05dFTzWXCs2IGS1WHxa2tMxE7SLg+I430YaexXwyfM+cjBN P6A7kCRnyb9gHC7jVJ2QpgZQ0ROUCeayURmucPhW3uqyrWxRGt4AvY6na5HHf8wx6MVMX2c2vDIn HlzVJIkJfYUfMuOqOOvMbrLwosGu6Ganpd2MbqYjW/+DA1IO6HNoB2/bY0TiFIe7Hh9fYRcDP8E3 cknvnEAH5aCKff4mMDxBjQHrHRWDBCLBN0/C7vgYc3PlqhT3fyxWbYBsoK/KMK6k6+JjRxgi3buG iKrXuizOuOkg+5gCm1AMjxRREobu/LOL3Yy7z8h4vx7kEd7S4ryPVesJYC3uRV+FOm6QTUnmFylo UhvaYLziy4QZDwHQtuSpsH4I/DQ7PH+/PIBI9zYlhyRXJ/UY+ICE2BLWJNyaquDrsomkSCzSl9tY 9oNlSYWXx4xKrQcLQf8k1JgzjDz9KCuLjgPtq/CxilSWaqK9bJffHH5o8zH2EcE++9vUeBg06b46 X3EA254aHy0+qeit525ihM3HCqkclF3SCsYUny278C/d1Ku4nYC9tNeC4BrJo9AiQq8eVfE1N/b5 Ux58cdAF/GUZSLh4Cqom2L+twfAlx0u81A0LHi7vJu0yqZvwI+QQFzKzkx92fEr4/BT70dKHyVct ctVxZtfHwRYBdKV1xGdOjDAY0yGnvb/zSUlzrFQ+mmyCIm9kpZgtGBVkuPGCrFMJ1eNo/eob1fCP Uc6bxnZ250xotBZIuo9zMArGGKkMOY7YcRy1u6QOYOnbrZg/fNA+IuWBrd/XE9D0e/9lX3SWGij6 BmEE3IAzcrNFHP6rphOJSv3wXwTuAlTyaACTVWwBX8TQauY7OSMRqFqnY4iQT4kMydhdKKVKrPki NWQjFdDRCnrTNivc53QNQqeM3UCGBIKEC4Vn/LY7RgtyVBkptzGogCr9E/u+NNoJhD5U9QhkxszR IPMF+jYQ0hAmvk57yqBdxKQtK/b6KN+y6eCGv+iXC0kFtmrCi5W2OUF/yWCZ8Hb4hvr4tqpt3w0W C1isetka+eBPqkHzYSXCSHOHWpsvKybE4jVDSPUQHoLRm4pRP1Jz9G+ZcBaeqnIAmNaRj46U6r17 tmQx3/+WlYQDIX8nY5yAEv5+/mzqVIpEjiBN0DqrBiQdLoJ++p47fiGMwOGv5opaE84bT1bmP7C9 gLVXmoyzqauVyy0SMaCtksnbT6odk5qyZCAQ2/LJhZvkMFHmIn1lVtgj7bRYN7DcOfwQnFomJ8R8 toT8IrHR7Ca2O+ErU+p5RR2u2/6qE4zl40EoYn9o+U5qbzmSMzmTc96kPiEZzOGLJREQol9Guzaz P4K6WHDsFUg/xAzGK2Mrm6ZCGbknHqvle2sh12CSB3+oiJMKjYI0d+5Pu2pRHmTz3DYxkfwTQLTa DcKw+XdP0oFVGOYp3NZ/lp6gpwrZwHUc6slEArUTJA6lU9nN5hLZ2gy1acVB3Vf+2Ey8AB9WH5k7 955dnPM3m2GCS27RxVb5i2ZkqV9rY+vHrqACKrG5R9usxpK/x8+9ZmYooDG3TepqWPo8S6U6LYqv pGP2Z1wjxQX55BsI1rMVuy9E96MMcM+CAe1zYU6hGuf4MylTISMhf5sq+D71DvvuyEnDrmWGrvwq lt1tG9wSCUZ4qiAp1J16AvBi6GMLjOU6i5/HMNcyLyVxq95ScgqYFYdlP8dMGDn7WipNEFBOTrY9 qvcrViYkc1+g65MVNl9skkTIBqMCVxc7dy9OFRj4Zb+Xu2ASgYpzhrlHiGh2X4VV+Gu3/k5xEuB6 fZrWRQ2mYTU3+41Zu+IlGYIhjH8NQD1/e0lEg29zB/OlQgnXSynmge3GghBHvMPcK+/6yz2ARHDi cvYqSH3+R6KlR7CPYUZ80GSBgJZRVS/UszCuEbY8ozISZLEKsF7QhskAF9Y0x08dtbbfftVbh4E7 gWbSk6+LvCLlRI4//BPfjAGselxQqKDfwR/goJ39/H+D1Tsyrhf8TFS/VeZgiwkK4uVAQvPp9akF EvIeB7smSL88RcqEY8118kL+tgpCzMWhp1hQgKyXPIyMXBPg2dDZJ+OnQuIaUVl6SrouxeY1u9dl LXtbYMq3oaETwVGD4UvlBdOyBrMGUoGRqzjrerbAVPlzaMULw/w0wGtP5j73Pch+AiWkvt/lZ2bv g8XiOc67oBbC4MDNg/1Jp3MVGoxadstmJxWn4w2yLovceMQ+PZ/6FXhl6NBHXFo8dDe60vFh9yM+ 9oErXgWqQwelQyNd1pmfVulvfJFhLdfnNJaWKO2CJJBHIVphPoROGhdSrOM0U0WUzZdlBF81hBjR 1B8cx7s/dmikbMnBFpCGlo546DyvH7eKFlxDMk+odIPa/3asyalwealLDr66O4NOLkndYYWyKCcj +MieJCciVNSmHmkqJiSL6kDpFb7bPW3h/lrLx+lx8vPgcuhV/8lJeHe0T3LzpIuv59Qf0/QLZpPF EQZP1E6rwdxd88pYFYsXZs6T/jKJWNnYj0ycixuhGB4YsJ9rLEQ1GIod2BBvn4GS72qev6s5NpgN yRhQxYnnMRCkarfbsDKLQXJb5ybmrOho9GdTXDpVy4oxeBqZ1Lng2Bx1Z+fyHYSB37b6hZlyLcGn 7rng/QWzIrpwqV2+ER6Efu4vv0q1/VmUXnNX1idxifN11P2NJslgOa6aqcUBnJERY6w+F2FY0odA VlfRofTSIDuy4y9mM9p4EcCT/6yLQRiDyAIUgYRJiMTvPeX+PVRtuemL4Q5kzRdzBXLtAL38jWMO opVEjUxRB7WbLl9sk7F1M/zcSVP+v6pJW06lsgzz/yAKoK2SaEqGvHWWiLTsJ9zqlv1Vts+YSFjg aYMO1BAqphl4VjjGgjfwsxnkfmNE59UvtPWeYKZ9QgioxLWFznP4aKwKTXoHcJ6cDTLcomgAEXiD KhHADkcWS3xHHXFEArt7IDyFU2RrFdDxTs+JNSVYcG86ISe6JPgv4usn318LfGi5GAQpleNq42DB qHvHQWFJLtrfxxSkij1twLBSKjPdOI1fbON0ZfVpupShNYc4xU/xcswckZpfAV6rewHehetzXwhJ 3RVEjLeNgd6XhZgVKkSA0aVXgwpIrWQOwW9+5QXRjSVJXgN6R55xOb6Ve1Xp2zHN937qboWFg8tF tv05z3WwZ9LW+Frk/bJ+lqsk2bpy4okT6lue8SCFayKlFoiQCoF19tui5TapzyJVrAXJSBdz6I0s 9n5ZJ5n4qW5F38jHVkg5ceoofqHPKk8oZWSwRtYsVz+Gi9JbUvmgmoVdtMUk1psxNJyHJTcwxSCC rbNFfVCP7dmVHKD4Ab95HPLGZNNQ9YkoOSZY7Zhb6Xph/lOiQe88x9kcQNbqq7vocB/vvQIYhmXW 02yqywn0iIUxiEGbfrHOKwgkv4Bvdm6hx0TNdafk+K9aL9j7S+lEi2FfJJ50DemvXfzQ9YWli7eC jdtPmeePZ2K1jUxvmc9qmYo+H/G3HNt/YQXtZrtjapfOrUcBpMRZl4VyLQ90OGTeUBaM8ZPLo2Gu 6MXAsRtdtXH0t6cBBhdW73mkmCDrm2FF/BDE+fJ+KXv63x5PXmkXUrQoysoOKNhAbrd5aF6TgJbx VqpUvpRGWnq/H1YFgD+Xlv0ackcKASpMBAumSYFOw0CoF9g9UcrmhlVdYUKcM5qwrSlp6F86wV2A NTzFkYfkrIsYWaU54qDAuCttm4nifb0LIYN4kcHZpYDK4jeutWitGAGeixYj58hcBTesIp2ocMJk SZ+wOxJbKZWel8ssG+NAmIYt/kxBIz/1ejRPdDrGi8RVpEtViGoAhOUtrUxwK8lNzpYpggMCPRah kmWPPLtHOP9ydQQRbSxZPnE3N/7DQuaF4Hl8gIdtAkjtHjUVdrFQuHHn435dDNNS6g86gl644z/z e0xnB6F720J6phtjiyIz7P6CbckCn8fEB9H6XogY3KvkNdguSnfn08cHDtO0rUMEh1bXZUMPUUAV tC2UeD3IUZSBFCIfI0aSPislm2uLWoFTcPgiIsxU5pnnGBAg9YCM3Jr50eysHGEqWRGCvRceR6c4 J3X0NuFHSyTbBPqayYXl/y1Ah8sdDOwIy9aEhs7ONfHilDeW7Oa54uqcEPMlwskxNtBXkfkrykyH J0M+MVjcgAfKyySbhkBOKOLozW+OMbL2ImaXFp/0Fr9iMT/f23AI0uAN6RHTCrTy9Sc9Rx0Gw5O4 UPUd4QBy2aOTq3e+RH2MTSHxfqlcy9MgWnjqVoOUyBT+FSHp2HkXAY/0xrSRnewHOP2o3gaWZm5h 1YP3AcCoDNz/8gfiMG7/1fMMcuZfPigtBKwVytUP8T0VyepnOdcOoxAjEcD0urpZ3Dv54ehYJMv4 lD21cYh9Mn2glwtvjQDdp2Y1RRJJCnYKUpRCVIx4uNo4vvk9noht7nASAEz85j0Qx29BPXK8iVj8 IaMaOaoQWAl6aAG0Ui93ulAc5IPpsN0PkQ4ms4HuITVjEGDB2XmUbnHV/KyvozNt3HaMFHHYi46h ppUdq48/M21eQAR+Uz4rv/+RbHCx2M7M38sBwpqDxcjCzobZOIfAZoI5vAbXAS85hxzu011uLogf rcCudXT1K8SQdG+9yyV9HnPc2EgiczRo/rKiMScBafAb2EeK8/67/d3FvgarcG6Uh30ArSqs29TJ IpCpjzWKYhKhm8Cv0R4QBOz9shXUAKKX3htW8mRtlksTEBaaZSioA2hUrLkgO1R6M9ZlpiubVWnl C8Gl3eFz66kecAbT4nk5Re6hPWFmsLo/oKlq72yNL044mKwl94fQKJfdHQVCio4ao2eYAqsL18uV tr8IKUvY7s5o+i4Xe7Umu1fOiKzmWFF5Pi7Hj8S/nQNpjh3caxo6x76UuonJrHvoaPbTmEPQCUxR Fxmhqf8wrocKoGkY0URSdowHeSNCKl0kSEK8qeu0yarVV+Mkyl9L9mX7esb1uILWY2m4ovYk9KKp WkwZjyVohkpuw7yBJc0x/ttPxVqZHaf7jUlnzyDPsoBPEPN52bgohaV3hN9r62pwMTkxHG6dtSzY Dg1Eo8//hU7tBMEcE3RRtFsuAiY+pk0RXa0HpTkuKGiIHs7O336zB2LDPERk2XlpjXzreaHM9ozx 3jwcTr6jADsJHq7xjlelZtupZ1kpIIuG83/+ZPhEo+4WgMKySqfAEvplQK80tnO3HIlj/kUcE8IK DpDeXY3rmcMOIZ3GkkYIQCuFvD+jWSUWUU1QBB+znziRxBbbk5SNjd3w87cuNR3fJoQVtGnuPbdy lbRZZ9pqF9RRAhvVFQwhUDDTObQbge1GUvK0PVJl3TQif+eMLPEpKQSj8PUfE/4K8v1XjxsdxQRR YuwuzC3hYrfZEdbWGXqcPPF6aGWpXqCPh8bdJEFCkFlSWxdlueZj64g3OFJ5pmHBExN7DOI07/+n m07ckagAJlVFLVrNCPxPUpuFbU36ddoA6hpLFOZD19TsjAsRaZM5o9RpGh9YP6cJEPn040k9qYp2 2MfGbpEWKZh3nvzcHr98EeiiVW2Lwaab6/sTr1cKE+6t5GYHrNRYCu/ludYXhoeut5wgIz2xwkEK YUUmcstKVNGPlgAAWAWwi9lCWxC64pkYTrBgTYypwhJEgJ6jyLToMBVmNWidWJ2R3WBZlAjx61Zl jyQAHORu7s5Jm5JLsKJugKHiunRhMDrVv1T+rsF3s1sibn9AO1GdWILiYyXDU1aB1CUGW3vQvrtV vArRMw1KWZdbBebhYT2+Kt9YtbvUyAFa7BoSvJU+aYItTuSW2CbrfPTvEz8ivvAO3m62fHvUrvUO KagrsizUCnltrl7sJFKapuaeU6qZfpKxWtxJcjUD7mrOSw6cA7QsGTUBrJY8WzrBasjihPLix04q dCNRlBEX8VuXQp8IJRr4E6v2M5/FLhybktNaHhu7M33k4itBxjsnXDyeheekhOri1nQS3YWc9VVv zddxmxVc2l7XtCRtCsGRtmrU93Qk60GRpBrEp4L7c648CZXvXLap8YsnTE1w/50JvWUA1DeVVKmE IRJ744ZSqeGUy52LEAEaGwrAwE1Be5CUeX7TK9Wsb6TiXLd4IYuqY6fLw/fiNq1kw5+GH44R0xRT 62vgPg3twqQmUFV/Fr+tWihOfK8TpNDKHI2az6hjvNgEXyefa0MlpQrOwc1Wt2WsoDQtE6QmUGTL ysmgADivOVPPqfKxM7BFDnP6Usk5RzfmQTHWRBKbppUzRJ1bPxYjJ7xgEpYacbKxS2mU6TSnUsMO M4iucwwiMcaNsrveJu6N/wbMSvJul9WI2AVlu+PLEHaQh7nbJ78KsuABtRtD/gEO6Zdsq5O7Ubi7 belskDjbtCT4LXN6NdAbqyEnPaxX01JxvIBgRIDSceCHbRae9ZCrrveky/BwQlDTs/kmXY4XdVlk g9Q9FF38jmr2iLtLfQ3qYHhTPQx6NqJwz8T1x8VVXRu6fPQrZnH6bWnPptPW06xjZV7KoiHZrDBH iyjpDgzaorhb7u2i3UjOvefYQ3X/liWbmwF5UFTAqOsIm/LqqBTQlQcDUcunNIkKjtahgww8WIJ9 R2+S+pNWKpy5A/nBih0uUb4JYTJHK8KMijaRtPCEdmFxlirNNXQNB+AP1BVYVf1uK9IjvZqXMTu5 51GVxtGE7vD/qO5rHgW+pFTMhnSN98RVn8ANOlowLeT/lIJspjpd2PMSVfjfRsVhVs+vSn2ByGmj JKob48Aw8H3lOGiuLr90kZNc4pjHShhlgYagvXEDXxM2GJUN+L+DQEzpGJAMe1JBFAVIVhO4xxQz IZczmpp6pyVtUspSl4HkjqzxD1oQyhbTwzQetH9tHtS/U5+CyB5wTRD/QmjmkElGAdN2XRhnY1A8 AQryaAelGaVwH88YZHggSoGqegZdcXp1iZvkLNSVfqonp6bNQFPHjwVvMwUxVqndgWE3dBxrZpBO K1aow23VpJoAzTRk9Tem6GVbl2yJJIHsJeS63VprPCqshh8r/dh7CPFz6K/UkobH1Qoq0fewDsRk 3DVhaVrHuZ3CddxcgfNwTsjbp8ugRfPuwQ05cvSUEjpq4RAEEXDRIY499gGIlucH4Sl66ZjeA+lS FSxqKZrQJgNQz0vbscICfukPFh05Bytr3Q+8TqyyADVZGV6jpYqchspQJNen22fPxcBXxBIc1aJ+ /9D/F20HsIOjis1IwbMZ9gZhIHt9gbl6wE7M0wuG2DydFh1DaUxVIuUqAkNswZOHB9zVzrn51raG d2ZVq1fhCPnL5Yl2mAzMACAxBZm3t+3qOqfZHzoZB8QbJKbgAt+jCyLGsm418LweEXvLe5YbBKUG uRIZFQRuwcTu52qmDoThuqHUKrnM9E5afOqvJodiH5hrMHMR05Npvc/wVlVZsGZBFANE+tdXoMIZ sMoK6f1FWD2M+mR0GYBntAXKhgI7A/zhv45YeymFKYhXxl5VXzKNfYRyXOs1hai5X12ccr+UlvKM 1ekGbv1jj8xs6AMQhIcVEP2X4xhbgnAfmpk8SJqndBMYt33PJzD9ym0RL4Mm2QI25dZckTDcuu0z yJJ5OWbr2CIuBhnUCMJL65amg4jflB4ncoxO+TmtekPEEqJg7s9Z2wHGWVkLUatqOzGLt9sYtp2/ AuvFb90SbjrJlZWCckkw3oAqgqFoFHjwwH3u3yxpvGlSH6/bxfsscTOxOKG4RbAebegDCmJx74ko 4pqpg9jG0/UqQTpUYRXNYyKTpzwLSqAoFH7i0kKdaVeXYHBNO4enX7S51/XHI2dulHwtNYQDtcST UmqRG7ZaF0FWQ5OSX1PjGIOEB36R+7Ofxo6Sj7Zj0w4+h1egC8+05Eq2sk9t3JBLhG0fqvxl/2tn 2htihCwodaDNyVd9oYS1iNHjbYZu9D5m9y+vqkYKjRm/IL5VgRBswezsAOKvYgbyF0jtHJ4pg31V AsKytJD0axjNBsHsC4HP3nKZWNod/+ScBQhVKgp1bkIQqMrSp5yeS+JWfyDrZsEALqtnrXO8Rl6s yrea60JEt/mt0rnu8460FrY6GJlIDHyT4DRVsRJsv4hr/KKbpKoT40BK99QbF3Wi6voBoiySTA1o phgp7n7gu4/Kx648LWOQOub3zA9rcYXo2dpaJ4lGfu4JsIq3MrYdVWUYDsG/1dvXnT24LyZaXrPo Q92AJ6C8BQwJ7PRiViniOHT5qitLT6KMo4P4SOYGSkKeJ/2lW71t+qadd7fj7qNP7qCrxDbgFpqy QBIOnjSvtibRVHzNDPvUNGUuStHUg/JG8vZnWs8apdb7JEKwkIqVzkYgEj/n9Dxi+fj1/G39V2oz RcLbR5vLf3xtOXHiGFYfEjPIEMsT9TH87HbUr0N0GmtpLLrVkKApwIpwEDdYAHNwRiuTyokr0n7O P70WNBOJ6lO4lZMqaaqK41xl5K3CZrGG8ZQptktymsqonKv6ZeXK5HvpGV5q+rQ+Rt93peO4gS1D X45DRWDtYN/ZYvPL1Ho3hHX7ZU4av6IXx3+ZDjTIaeNL81xnHzmXXmF4sfcRQOt8sscVFCPhGxFm ic4ZTr7F4pqbrwK370gosOUgZ8Ji7AwJCFAuRLl/XcF/fvrAzSbh0ZymdHE3EQWLP2ryeumxjPe0 ZRle9UEVAokHGOOcFGX4QlEoeZotT+X4TZYGDEKWRRhmYE0gtxUgr7XReeT+eN3iarme4xI9vc2Y lJFESzC50+lNIaE0ZQUqIuJDGoqd5lvSzegCn41MPQF6eVhlopSY/2kpy11pm0EakuaPBzvMDgYS LetDutabwZKgghEJt3Othob9wZQ7UdzG5Jtj7w7AEWriGBdEDNuShP+1JoD3kG1CCybJNTHmAzFo 7A1c2z9hx7Cw0P5NphNTwnJvc5RrOTusxN+07yjaxEv+BEk5jwRQBdu6doQUChvsT4QeOtZl+/eP JpvPqQJgh9okhOwT3fPf2mpvgB+ixWts5AZ9UE3mVQKlHwoTM+CzvTEihjv1bb5KMPP7di5ka0Tp M6xJua7cnuAUMudvBFBqAwDCU4q1DP4pykqcbi77kDAVJhJuN3EIjKhVbX70Bv0n/OueBxPCAmk8 K7lKrdxgMwnQ2qu2tr2yWxXzb5ah+uzbDn3Mc/i1n9/B2MwsA7dGPKUFONLdqbJGYTcEr3jVZgdD 3ZCfi0FMJyJJorH4CDioR2AnREbAjv02b1RkVut2LLyxPwC9BiG6GTTfEjMRD4e6okgNsB4wGb0j SgwGyhy4i6zrutgJWSUBaC/fYj9fNNmuhW7p8wMGAn5Wmgy67sGrTtWFwTtjwJve8t1MlldxA/a/ D2xqASZuhnPOK6VZY/HehF9rEIf/sV20tdhwq0Eu70wnWoJZzTL994YmqZAbG9naQmDkf1yB66I1 nWbPSzkO7U1JzeSpzGDUksnMQ/PlwD2PsgyGqnx0Hx8vQF8y7kQmWfrMYzcJCWm8hugF9kWA2sDp fqhSK3AofuMj4JwPTYr0g914TdZxpHKfRW1Hx5HLGvOYXGq0NIDvoGbR/8q3hvvXKI1g0Mk0u2yk l5g3OqHXPsfAoBe2Ha/ShqmpsR9yYjDWGE4bHKeubyU3OCpiX2vtutehTLMUCMiAIwLhvSE1gZ6T a+68OaGS5ZSbkIYg7dH0Btfg/NqSbYeoVF77HONpo9iIZtAQZ4czcm+rKCkn8twDwLQjudJ9tbbj nl9jdHyO7peIxbumQZ+Sck/UUAZtbuOdD9soaMP3Y9mDkt00sqTGgYrnY0jRldLk/q9+WXnnTq1g 1vC9Su12DeHVIwHxqnWkGCsN4pX9n2KfD5/7r754kxhchOJ+eXpmTcEjgeiSuRIFy0C8E5lbeyfJ JxZr113vyZg7ci/BsYxoo3AzaqTyZqLj4xS2yhT8dFr22j0ljGPrVk8su2QupFHremiiLDB0tyny 4OLb9vAkqTP9FmwZQorWMEV/g90a6j86CvF+gjrbq88PyjK+1jxkQPrVm/4iW7+cN4JBgcLnbZN4 X2ewHRW5aLktZWTojLnsqSdgd+ET6lCSGdL98MbyJG/eK3fZ+UTPhTocsyECX6n6DOwY9SOPT2h4 qPO9VNCIvvaAce2KQsrG1gHsZoaKVlRdfQX+spzPkTGDzejZuZtjqVpq1abj9K6jY3eiJRVk0bLt 3wBd36goCBtBW95DXdbXSVRyYhDCYkAyL4+Twd9ERbfAU4TORCsBsOyvmYC9bPOJQvY+6K2gtmHs PLEDZRzzkmamvEpJmL10VHKVCExXp0hFBdHeJLmQeYqEty2FdMo5k1qWoWvaTcgmF9aHICATCs12 CA/S/RvMKY4l9Ymby31yQLm/1lvkeNv5FqoCVliCwoLfWnYlRT6rffYpG0k8Iv1RxMs+UYH14Rg5 /rbunFFEQ40paPtXjBkCaq4w/m1hmHsV6coBIItPoQWFAMKF8343RlKXSsKhbprdeiy2rRSXhzl3 0tCZFzxfEqfjbF5/IVL7nqzpI3ZyKlxigzojwRYhlsvUNQtGVlgPJjajIDCnM61PZHDcuacKomzq +x3aAH0MhcPZZ9KxHsNLVojchskk/CRsvZeigvofYXuVCN5zrkSbOXNx7zInOcWHfGplRanGm0Xv U1ttN8l/pbDgCrB8exwtaeEWbEJocRiI2J4GbGIXTDfEJ3Cg3tyR28+YYq+Syuj4Y8f7s3mCEjb5 M3Bwl7jrOcQtccZuKYzhMyHp0INSiIZztQZ8fZm82OStp2mP7a+Q9K8SsMkElUgtkBnxvwICcVd4 kXzluZgedI/JAhe8BkRoGXhorQeVpkKmef+hrOce/tMUCV2N5NgZr8f2MJdatp1Xy5ZmMnLs5zhj k6/a/AgprMtV2xvrDCViKQg+Q8GHHvn1C9yvZsua4laPsI6vyXWAcp3ZM3wReh+p8fOEzurnjSd1 E/SHz0P9Q70jDNYlCS36iDIUGfGdpp8cbEFGiXbRF8QKNlhDbb3umMbVOOT8wtKGwG6cg8YqGlo4 BZCWlypcRy4KPptwrvaEKunTxG8AJdmF3/gp1esaiphmqmthX6mdse1DwWFfrKOjwbSZIXBAGAE/ GU1JpARKykRA2DiFhEn7HGw21mVKpKlrqhX85OCUzBuyYNxy1/o2wW1Za0AR6BAB+uP3lWiMARi+ eNZTTVPgHJZYU+Yv+EXx9Jkl980X3ccemIlikQ1r3NZDnCLM2l2AXzVMBA6oHdLXcnQJ9XKqY2dY uCeUDgAwPZ5BvWowXnMwhHd80ycPQLG5dIN++Mi0LLX1Lx3GZs1dffhjq4DfXDIiOM0W4nFzwQuK Ps9Cg+3991d5kxqvklO5WqsF41+FXW75Qv9rWjklzbOOZeBBW+vieK41TQRcscrciritcZ+MYqBY IWkqjKaaVGdSTbsmKtUPy1utwRsDzGhFt/HbiSYj2KN0b0c3wPGFGX1AHginw4/Kl+FXvlCt8n7e vila+au97Ctz4zamgmQHEUEBR4+YM4IoiSk0iMtq18IEjJI/elb4zEt22xZrlNavTEc6Mz5T79YL fyAQJXNXE/Je5UIqXr8F77ID5oQ8/t+EDC5SUm7hW7N6w0xIc27Ru/lWEJQGgNP6TPVd5bw0We6D 5h3ovJYSaQLx4rIo7Nz8tX+Lknlib47uLfdfj2wJn7dXfMP6EkZa5GtCsPwx91Lej0i59uP+sNrq 1emIJqqY02rpVgYCfD7CGT3o5Klc/ICXWr9coOX1xQ//AzrXm0eySDRM1ZefjXLH4CclBW1GDrUy Rbus20sOW4obv865yWbDSSPMDP9oBeDgKNShouhaLduY3TZ97PwLjoiGmcwS7uf7NsbdNKQ5zav3 hbjQn9/FLU4ojbaLQwlyNhRm6iezo+S0Ti8S1vTbhNZ+lP7oVsW8XHtbPAL4x7/Bo8DSYKHLVSGG +DiO4O9N3gst0r93tOhqB6W2VuuqGHuPe18d9KQVJ7wG52xvxdvS1gTSyfeRuYGqIuRF/aJYYw4f io+agcuIaPs5TgTMNnazJaByVOUkXj9R821+voP7hDgb88Q1Dw3h922zmNY/SiN20z+tjmanQ7Yc 7NcDAiYxSbBBR+B3XAihS/JUiugOx09h5j7MS6mj/Q5d6kLnZFLzYHhE86MJJzMJi6r2Zorsua5G sHrSiu1b98MltwAgHs/s5r4xp8/HelUxDQ5+m57NYtLNsJ8x6jnjt9POAvZe8TkbR2XvO45Jo5oO i6izPbonKBL38leQYAmOP4bHcz+Z0tNuu5j593utYXsDI03foPHShpN7EjR4/Dpr0YuBWruNIDuq 61VCuKGY4k2Z55oXnfk7kSPqsDjrtUebE7eeWVsLZYG5Sa/P69TEIQdqqCYpLM18Rxwwq/rL1X0o NJZXmWW4Z5JDxtcihveo2LDF2BV7l1+bCinaAv/TmTFwn7mpSe8hodgtDERI6f8doKXBlR9OtnLL NXYFFhbV6VEa66PJiNwJVAs5BjtSQ8BPnEjfizNyiigq9nI8hEYDhTskExBrfMiFUks7qOtVqayf 6B9Ytt8LNSmUHYQUu6WtRjGpYgQn5j4jI/Qiuqs/iAICdm99mEO3kHQb2fu79mANwrjMlCzYBoV4 zmXMTMRGFldU01cu4ox4FvxqvBncogm6NNCZcUkGMLVRV9GhGuErSmYHp8Oh+84R9KfsKFL9hHBG dazUEGoEYNhsoHwpIhrZT4M4/+uU8z8oWx62H1SGJnjQoFutfUeQSe23Zn1fHsf5fUOiiXREMcYw pLvInI0LPaVN/Mjgdbjw0jON5uRr8AmxSvBPDXL9kbxCqIXMg8bS9wXeDn1ZJK1ThTXSAB52nbsh /AlGmCKVAi3hyu4cvMxqiDfPPy2ngdWnirSE3a8wn6xed9yLO8U2co3Jg221Sg+8KWrHSkjv4mWU ej85j/xNfpSNKRueSNN6Cu4EqXlwWo8mxFfkotKCIH7c0nKM9OuIYjZxNA3KFmmovI7hJnuqES4X Q7aLIMP+tpzMlOz2sJfT+tptUFqr74KXW3VD6M7bzwIeLV8Aj0dAtMMDQnaR6iaYLgZle8gIVekS oUuZ/koHC8JNiSmNO8uYZMwMiaS2SgIwIotHoINyyvfnwSD1QO7gAhZG0ASDh8vK/IPJ3P6ld8ya JwxNoasbsqbqmrMRZ8gNp5kuKQzUK+TEDtaed82pDavNubzw6N3KwduqBmidwgv3XlAbXf1lt990 9tybvcb1s1TMeAMxXLjsdHQBlTEnH3Z/6HyaLU+Tm/QLMff+MaM3BPboH+rsZuhegOOz5nrZBFXp pPTFUN3gP1JtJZembdCuGdgZ+66QF6CXodT9lDt/U15+j5eSo4GBCBr8eZ2fySgFqs8fqYYx2tAf K6sv1SHquJ4UGDOjDUbslOSsogxZwhabcvuapnw4LLz3RpsDPAnJJcrzdJeIdEsaHE7CF78I6ZTn kxDK4rZZ13zdvMM3Ehe8N530p/nf/++6AUv9clZ1SIeiCpWlwxwtdQtdSVJv+Qs/cyzt82Q4AoCc CmPJxbnHTgaLbVd+2KlAUeI4tvHA2w9DEGe6fYnAzsYJcxL9sVw5cyLNv6/zfAyHxgwxYLhS0CSc x+R7bW4AtAmEP6DiDT+V1cNrs9dW7My9rJo0EG9ADln2owWAq/3ej2uA4PjbtM0M/CxRPVbQqTDs qtFV2pskXOdWNbfSJP02fdSsztFF2TW4Eprlt8vzi6vArKZy5jKW8JMqRelNN0ezZhP8YyaGGpYy TSbpMliOlbmAxVOsUvz+XQhhM9m2CfXcW0ci7JtIf7kWqs5Sm2ZfCRmgCnzwyz11VrHCjlfQ130f klymjMZXrJLG8J1sCEc6esNjtqHukRqfkPvPWalQN31XqS0zCmdxDIS7e+0bniKcwm/eOSKjmH7P bTJfVJZa6PCYnWL+xd+ZGvUWDadRXp7C7k/3/MgbOI27Q/Powpy4uNfBl2NjlPlpfe5vAWfq32wp CsoCGYV4BYI/hoIQ5Uoa8qAcUC3E7m99Ss/IBLu7PS7rT9CoSVn/fv9Fzrcn8lZsQDKR/YS3sOtk LvS04BrHR4BUNQE/A4RPjZCg9h9lRJkEtBc+dkdLc9VTFtCDsUkVSyL3j7RE0WhA+8NXE+szFGkU MlSNG69ImbwaLhCoCtckI+6HnzRavorOdEGhGZjFIrYbElRSi6KyEfJMzSBsrlDNH1ATC7H1J0Af W9/QzKQZq92R40tVzcC9hqxPfb0jK1OWywsBKhywlRda2zrI2PD4YS1mZKzBj7b5+iyRtnyORd+b 6muAQPJfBukrwEy809ldohM8WSPXOWl2KfMF13UuwsdvpGOAF3hLCQt9LWUms9x8umypkWWiZH4d XJ0qfE2M4iywvwz4MAYgI9LIitYRufUOgYRzMn82Lk3vezvyOZaVs2qJLfOYGzh4/gN40MBYcIev ERCc8o3aqD5PaiDi2xy5yNykONVy0SwaBgfe+DJEEtG+6+Am330v7kn4ep1SsyrMqJHOwk8U5hS8 7RTnJInzQd2DYWQIPK8S/bQCdM37Q+oMlC1XmC17T3/JIaUYfh4IMOHIVY4GyA97dnirqsRu0hOx tsP7I45Ka7GlpTeHQmKX1mCoUinj6aOPYoDNk51IUFuJAc0HoPc4RY1oHOx8WjqGS/b5QiGvQeDs 1doH+Rah53r/C1VLo7yeYVihZfJ4Mr5rKApGhh+DG8/hDyq69MfIUYbfDUfM4EIY8lqqdb+N6L+e jj0zGDXmMH5o8wBO3eQwZjGHg4di7L5wNYgkIQ2WRr8VbbJHw7uZ+P5n91V8GC+xOX1ikudXECDR F4pX/WHgVUtJvXZoi3esDlOVCOTzzgfvTz+K01OU6uV4FMCh9OQZCblRhDR181Z3/biDVDXZlZXA RDCpcj87iDJGt9tDokg+0trkpb83932mikBozNZpvgt2xFCbOFLA4YjyJKZFTz9uCrv+WSTZZ7ye ZwnhUm7WYMdtBEYXz5cnmc7x+9XUvNLeGXTW9SEMg7z7T0e3ESdwjAozjQv4/wOLM8asYG62bUDl ryRBC590Ca+53OES8bHa395m8sHr+OY7hG8JDpybDaKhuOU2XdWdG+qpOMjjyS11R1Ketu6h0K2N msMT18B4OIKt/9NkST4/P8+7SWcRoLmivzimihL27dG584DY7WuG9OOH+exs9kYv6fk1NuuvQ9Vp ph2d8gO6FZDH1mlzLtE8TwIkNdL8MOdgnoHxizBBaq3BXEgocl1jTwhAGPJKR83m/eeHgyG+MfOn rlnYJ+6b6y/JHd7GIBXSsNwh30QoGw2sd1TgXxw9vZOykzCCxSb1P7cD/80o2TUZVs3WF4A0H/Jy L4pqjrEDzweyEZGn3yyUQKvhgx3Hcpmxyrj9H/MASKFOoFhPhSB4X9oGYktdWigYkQTSdvfyY1Aa tbxTHuHGG9QVN+s1ftRd7V/RFNbx2EL/WxqLKJLr+0yyXAnyAYMMA0hH6FzVwsdWbV8DUMxulLfX EblxCxrThjCsKk+m3ht6Umj5ASJ6VFIlL/Fj/lG3VoIdQsjGh4WyitOX2qHSb+o0BtXnDGZobzBp v17ls91mkdbFAm4lDIyqkXNdU27TcG74vqOPH3sTJhTplSV5ReiZRFMaWItie+d7BRbmAE9alL5W mFmW3+LUn7aYqZyrGBSXL+27XHelZRjLGTTQTpsQ9+5atwMQhQHTyUrTMUkaKL5g/l7YZmebrUlm JhzptuDJTLELEa9Sp0QBofOQH4UdQ06F/Zy+02R48kW4RwcH+2bFQzTFlhT5DDXJCNfEUGWraIVr 6+XhOSJleAMJFAS5T1xKyI2vgj3MSjaH/O8EHked9pY9brwxZniYEwKt9WGP0STsLUoENba3T9In PcPiKuPA0XWZfZzBdZf6awgPp8CpnijB54RN7Fzqzyg1fXPOFhxLYFGT8/SAZpu9oc86zsgzfj2F pBI2sZjtprEbQCVypxAeR9+HPxfYmn8D4aGPGIb61F/CbTxaVLvIm1AoaFRth/A0aFd7lddDfnEZ 9kjfAk8xAvOyflk1cie8fdp+DUY7O1Ep/BI/8uF6xPR9OarHBCH2QAXcQnVDPRkO4pQRuXJABE4N Ddmt9oBPoMzPVhwOiieVtSE09JoSLg0/+tXQ7hpj8YAwhcLH+hXn4aiD4ioFIhd28FbVJ9birY7G JaXEMyp5HpzvIdKXNGdtRiDxkfyOfJMy5m8YLNFJ5P63C2TE12Ka8NB5BB0V/ZXNu9xvraK78tKs MZ0xzocP//m17yCs9MnF7TXqic2BzHjinZJ5nk0Nid7dnLryjgQxe0x1mNm1sx6tCuRObqvWu3qE m2yM3mfR/w9uYfKifsCZBdukfAJbnjRVvIY6GT6NSreejaZva0X4nGCKo++jvnT6DjoQsvDyduNu WmgbTWQwcnC+M6qUJcGx6ZRinP1AfDLv8U9Ol64AnnjGI3gzSj255vmYG3V9dUl8It+IDvrITvNW 4Z7TXhMwz/CGuy9AsZHiSmdmdi0W3z9lUyQzX7Gbf6VO7m1wzaXAx4UVY9ZF7T6xrQYFgHJwr/Ht wh6Ik0IbMKXaaoXhaOzGXYpSp0EiSK2+sxL7vdNt0jB9tUyUWoqVpHiDC1rfp6CObBGXPZcE/zJC PZGtLf9DvUzRruFcd+IjhuGOufbyV2ipA2tzbDdbmp/lNcD9LThoXJro0jh0RtCRlb8d3TQBuMmI vzQhHu2WjrK9wuVnNMX3cAsmd6fNfVuI7kqZCk62AeB3s05Xv1jPzlrQALgifzY4yK/8jv7jHa31 cjtIfQxD95hZ4sZK8dNBTojGniJZNm57SVur3UFnhwz5k0DaVT8tHUwkhzp1CFrP8/I37LDeZzkE pB+FcYuGfAAJ9sgYVKNWz9fBwcX2K3JYd97BWTxf4SXn67ZWRKmB6ARWfWBUvtaL3fsvRwRUnBrq u8ui0+Bw+qm3EZGRgF8yptoO9VWAzJ/SKq3K/XuI/ketPHWVACu9gwlMU/hxvNCGIeE/w0gNgJI1 OTSb9zwMtjzNuVCWp6hiKjCkYvifg7nj2m8hei6kHB/C7LO9w78GHu9wxk7BQV8YT4aNI81ubKIL 1CDAeswaRcwyFSfd0IWFRUkDkAVz0bZ+G4VFl2yNgTmEcDsr9O/R1TyLNBC+EWxLujxatrdbSNLX 8Xx4Ga1hoVT1y8au0TOF53V/xVNDU1PWcWBc8qEMd9Bo54RyWoHTGGI7+7P/QpaUvxDinoTyBSx2 xvpV3my3721PMxNc+V8bxmyhs5hLvc7JFGuUe1HcfkLe+m/JjbImBXq+lGsIOcFA05Cw3t83L4eU WmKiQ8yc4wbVGNBnYji1+70TMHZOCjOOM5FCnZbn8zOo85dcAA3iYnIMBJeTVhWzsdTsPQC2uM0b r3R4JK8sEeK5kkgvthZdwfX045Wurf+7WvhHUVSD0HX6J5AGojkUzOZ0W8YkqtiAiR32muRdhU8f lmT4Mr1JES5xUnScWnwUCBK/4JBZjCmBID1Ly3RrgbmM3p59L79GaPSup3c8ZoQIWeFY8MZ49AoI k/fTFwuqb4LXx/IGIr6seQJ/Q+SbvmAC7m/hbsX6xE0iOyUU5DKZok62Ej1UgwGueaYp1XQXyjze igio4ZZ+9tjnBdEWQ4OUZFE8FJCo6uHrbu+lF6RK9uxXgFowPdlH/wWN9wsfwLzkfkC0vftXzxY9 XXEs/FtBIproO2X+8S3vmvWlaqJPKtPXxL6+m73d2UE22pu764XqzIRJzyp2nJpg56CKZRD7Z9KI x/N6g1G3Ahf/fjy1O9DpTc3EwUHIuHtWxX76lJ5DrbiepRB86SUXhvnBI1Q8W41MeLLDKJ3AOt6p RTBxGbpdCA3ujoyf/SL9GkWMP+EP1FkS6h4+9fO7HQCSAxB1ZD+hjbfZfCVm79S8QlZoSx3TxX9q xfB1TlVSvwcMtnCiH0jIsJ5MluTMgu4MdLvOrnxzOjs7sfunJe1dPHSh3RMN1Uuc9E2YWdVuLQy+ CEQtPeGwErBZEuze+6a1vLZM1eaPvVJY2P2GzFv/6IOiv59wJgkvt1q7UupxwZP8evBihH4pHC7A wQX8qWm//KTHQGVmsuTONWyB4adQwhIgeodyrEAhubeZ0t8r01ZibB4z0owubqQYow7SlaceYI4E AFYVRiXryr8dSHuE0eSqNB8FutJxS5vZO0sIcxdJsxA4yxSmaI8Q0wziBIrbf71vwtS3engNe8B0 jrTUmWpUiqSFnzhghV7f3AsOpbc+hJipGsN40tC3Q7y3E1y8V0MzPirtD3bKj9XzRUnAjXCqQktv 0BZ5ooTVIqetIMq47HMpv5NChpaYOEILgbm4xXismTDXZrWb6A4WPk1zJA/+xuzxUAc19iwMJZkD lPCL+pq7YCWDcj1pPVsrg5Y1j2HtnFZoyq7eSCvWm/txpyKZJKRZ2moJ1zNKl1Y02QRFum9EzC62 JHbzlRbI6sCrtOBu7LmpbzktO/3mFWN0kmwl2Op5M+QbijvqmvobeAxmdeC6mh+DDavdtkHSd8+s WE3L1r+qt3Yxmx2xl0pMo2n3KFGtI60HwyLjwxsYxD1FgS+3mVDCsMpUi/vepq2rlnw4/nJTGLgQ br2lkWkhvUYah+gjNjpqPBuAkeEseUH9G+No2hgxCd2G36b/00UTaVPRFcq2N/BDCwyI0hZmt31S xgL1yewVRvRPPvLU0GpAyzO6qks8J8wXoXN/+n3QnAhh05YsiWLKYaZ7Pn+yxn+RZFtsjAbI6mVL Vr74EZ96q9z0g8RkbbUkgxiNC//Dd37B7h+OXFdc08sf1ePq1LY4Vn1q4kVL3TL5x0QiIM8kKWuZ iBlNWBaY/LmRWIins2rxQM00RUY5y1k99WAlHAIAsZKeV6vGaWmbM50oXhyiHtCYNGTY4PgeDj77 xKWZKyJOX/ubzZMh7+rmLXaLKnPCl983/PC5eoN3YJk+QVvG0+a0amvN/R8+SbhkCBjzgtdBynFq 52EnL1PinafgaaYIXT97ND1e7hAvJoiXFnRlQ3hH+QJyHycsPX9kU2jbnAIA7+mmMf8mYTfutldP P5S2S/FQOVRXBg1Z0Dvu44NZirJ0VIXCQ9FyLej8ODi6HvUZwaAZ3VXCA9YpYncltqdfE51WE3al h/OEkhUYj2bHWT3L5NpwbWduBp2uEJ7SbB+Pkm9bREixyOMazDZk9I5bsE5KLEnhzKfVGY4Up9Xd haoNTwbxGJ64EuAGEggisQwNPD3nsfCN+tq+JI3Lg0KecpqSgbYLDN5JEvHGw2o8jkfeiR7m005z c0k/VKDEAQmRJK3xTNpGnAZSvvMyXqwfA7r/gxzabMaLVBtsMuPURRllnk6novL4mGTLzqc/pbST ZFDEPJmbe8ozgQUU7RUklurkbHbp1J1VGV18W/YTmRBtBJXI+jCLyGcIL8sVr2+pE823s/e6r/Z/ VZxE2s8Udh0VuNFKc08Md3qy/Wrjbnct7Fu1/wcdmJnsNK1HEa3tmAxw3pEJGN7Emo7Fe5YWb8Z7 2y8qZls50X5ucOfPmnjWe+CTEWmS1aiqB8yw15g/lqnG5+5jSrEyS4hgoDg8ob1RShYQqcc5oIb3 2M020yqR9mdo1Q+BMp1aDPTELWosMPo33zQSHteG/Q5YN4m+8bL0Nq6fB6e4NT2d1iRuwUbvPopr mxeZSCsrZmEQ6ulwq0DGQ/Q8I93ME1zndTITQwiOxcgu1h8L2NJLGxcAwOgMUCZ5PnP1qC7do9wt em82Q1JL6HTM30hvB8L/jgjomRH1zrjOCoUQOXNBdyw3hCs/ZqGDHsZ/yC0gizAaYAvYCzKYKb6W vRRHMnWZgMGBKzSN7kAl/c+gsN7ZbgerxbyPWXoE3r6tczlExwQR0LNIQHcXdrUYARhybAGEfVRK EhJs9R8hS0UIQCJ04SG5/EZEL4BVnJgeUoh9VKxkUk4JHfFj4fSgbLpPOLtkwkPJwNGKZHkQC5+B /ZfWSP9Niojn0BNPhBJw9FDidrA7fcYP+xJI8CC1NhULe0a3NUmHU9WD3aDQy72jDAN9lO+SdiKs 6y0AlzpHqWv2nN3tSe3z7m66sidbEOB4DUafdA+EpkEWg4tG8yGLvvUB/lkawbXNeXSvSEo9BTqU Cc0bFl1FNjtYgqd4b0K5Nzc6CS1GnV/pEAxGghBC07bZkwCoGhZS9qI8czXAH5ya1rcpVgBL7nxd Ky/uUbEAJtlEVm3AR3zwSOoBz0bvrE/InQFExyLuB6bNfBeTjXEQYHj80TH/QjlRdkMjCGcEFJIu Me9NLisz9B9Stw1r4vaVm1FwsgQfvdMFcz+AJIU4b23gdyZtgHfsw65BOwzcIdo/tc6TPkrXu32Z IdsI4sm0PyWRQBweXDQiF+DVOoSEtfNPHzTAEZ9vn4ocfq3u2ZKclx6kvD0e7mZ5sWql9K6RIDwV cY80Hafsbyw8NIY4bzsqZ8/33IEMzzkDFKgMuuHAu+IWQ6DrB+i7trvfFWAc0YmombsobaJyZOhR wovc9kEJsabSOIMKz+BPj9fuQO/0AiHBjKdHXwCMShag0uE0yirrMEeMR28TlD+YEA3hCBhKVmrK UVQRqXRh2EQV4PMxl1a3Ei03RwgNAnxk5mT/p451CmELIUyMjIPRnT50cq7IZUlMOJbPNbp1xF6h CmvF+iEJiy7k399iqqRfZyumf0nypae4ptJ8837/xNPbjWgtd9ZvpdVXxKQiov5TXA/duwuDUFLj fKL8EhRh81Q1SEahgY1hAE6hH6jrMzahju0vmTJcyWCm8VT7gbh8vEi0DU4CdgaR8bhoDyiMJf6I EoKz1oEFgaIwT/QlGCpNx8jMeLD3i0rQnGerXFddF1XBtySukDhJnmK91GD51ERY/Ylr2CKYipNy yrZOkA1QIYckcqitdaXCtufO94IAAH/+IXGev9oXAgvGENYc8wd/JtOtzOHrg0JUkkJ8GiNOvm4y 1f4n3nkytvKa4oF8Z6iVxdMlMKpi1jQaRIW+xo+Ywyk167N7tMtfvKAitZjp0iBkkLaAohhza3W6 QTZLZcCCRoWy4LlVjmihil4QKzQ1jjUO4aWB+lznnIUDUtmEW/Htsk+DPbkIZ/DrQUiIyXQfX1h6 Tnu3YGJm7OF5xocpXwH0flFGEEZVfp+oDvdxkT1q/ZU6rVEwyS3HYNSCk4pit6xZcPss/qUwTd+v xSzZMxn7d+jSv2Zvll288rSJuPtc6ySp8z8eR+PI6GtTOPMqHDN7EvteakmKMa86kGGtBek5Gizz SHqRVScaVZpUhvQ1C4qRumzntsjOwkcGSVs9PQyM2zyJzWPb/D304acoo5Vp+oXmK4LvGjOeqtlA 7dxyyeVy+6tYTRgtKKewFxaA5yu2zux34IBjKJJf3OOPP95FcZRnuFkW+UKGjZ72SP4hCM8bxvA0 b/n8mVv3xTyToB1o05aU2c8zcgYAr/dJFYH/mSnO4xdUPkGPvyLxxNzjeV+mc85cLW/Blqq8QY+s ORdzJPDhboIQfH2vR3NilfSuofr0EhYF3fvQLrkZdeyunVNZh/wAIMCpXqoqJJ/P13Q+xGBls3L/ +5gwtgPLwrv3yG/OqTkWirQk50UnOKPL91lZMBd9SCt7gmxkSMK1f4FhOvO4ez8pc6GtmdVvQBnB jVwHr3eeFQ/LyK0SiqA0tbH/Vi63rvQOjKG8uxO1SBzdx8YV8ayg8saXFU+2fejuS+kF5Xa+jPj8 Y739j+nfVl+XupcqHbmUs904I4w0gI+bhgDu7C5mKZOf7M4aPvmDP1ZwQzJRUUmlc9wY3vjnOxz0 HxX/IkARrcmeNW/T93K3pprj0iEsfc397FlQmgMi6G5pTns6b+lJo2/8f2i6xcbGAPUV9iomJ+oQ fM155YwD8km8kpgGBdPQwkSotBz8NmJLWR8UdbnwVgme3OpoMg9PsEwOoMgMFX+2K846Ly3kimLN q7IV0ccziLp2vNSyalFApNEd2m/5o8AiJ0VmHy+74w7iXU53UPI0PspMj5WX1ToM8XMHuz6l8O9m z/Ban/92hCBArQdW1WlNX4hlqbCyeuaksbxAKM6uNxTRDGs2PTHzTYKLvFTrq6uDceWm8dvlK6Zb A6ozRgEghOAIt8iRjrQilAdMHRtEURqvGYLATvCuc08F7cMNK1HC/dpZr3VmqOGoxM5ePg2EHd1S GlAH3YIFVEvPZMuUuP9+zbXTKaixQpxUkIagoPKBXU6MKmUIxXs4wH44njhltVw7Ny0rgijdgC2R 0kK0NM920iWXHrs59QKr/YjViFFpXcptdkv5LpEXWcSKgRl0Hh4PIyW9oK5aOkM/JfDqvtvSHK27 5szJ6GIl+TpDOKHrF5/RP1eBFCeevfUcPDriUB8uxO78lwDg0SzSn9gZZwSHR+8k6l1iitQVvPG6 KMWtVQM1j9mstgIKcyRzkE3CKXow8+4VDmq3cVQx4aPnq/vCbtpw1pNkcs7plYj+UYXuG4+db8iP caOokYtaHP2M5XgPwtNjiQfEf1Ko7FBiUHzYbTaBY8Y2BmEXbs7a2M1Azc4YfXV96a8TkjTKkLYO LnKAj7muWOJzk3jKAuj42RICD9KoIUqofE1PhnFWdKAmxhwvXcWkec3OGiyd/ZKMUdX8Nlt/iRSs Z4uZR8Bqaq0nrPJpivW+kc/CUIlu/xr9fZvk/xAxkS9bN6QcxX4d9bPQiuvU9vkwD4U+3wHEgQOV tnak7ttocDfhGvaJHHsbHFgKoMEYVDvyamvWjtzWDTN+5Ygfw5NBdYCmpAnl/EoFj6p4JWtdtxno Htu3X15YcWEMIOLxSpFtabUx19bnSJE6VEvwd02WAfbXladpUpCawKdT755++oc35xH9JOr6w0IY Yq74IwAlN6Z0VXMn3ErnGmywWdfVAJ+s1ytjSW0aGVHZlCOxrVJBRPiSWIFG9DbigyaQH9x3T0/m yCWQRn+8oOqk4CM0PyuntsGKfjGGHMkzE+22549W3rvnNF50Pe6pjp2JFmbg34cMbH6mVEq8beIS Ur54NiraLII96OKJc/pAYzQ9DEgcU8wZ2VlOtR9EYM0eieZuioVtvzFRyvNXvna9cfrcs50go2km p09l5LUUvMhr32CPUH9EWYhvZ8AMnl3rZaZePKIz37FhegEHuPrZr/J7fs+i/rV8uI2XAVNlT2fc U2DlizLwVLBQ6357Y5MTTT8NS3GVQ9157OddVq1pvg0bFkSeOPhgFwgpEhewtWRUzLBgq7lN2njD nVJMG7GadbJCdifZ7WL9iXIQgEhg/tHbzB8mwpsNBeHs5c2Pl/lIFFhuZJfnM2e2x0boYjmW3a7H ox99ugwT4nLhwdDqU/TE8OMb/AwC5fyjE9KQbbJkDxCflwJ9QvAQ+toncPKp3tgT7UMtwymE8uMo ngzOIev4tpb5xVUkLI1uHeDJjLM3e0zqWvmG38Y9PA1X4ye8NDEs8fpy5hP+Rq6UmlrdqXna5wBT QbODW82QBbfltxFqTNJcnJRT+HImCdpxbfmAYsrFnKM2fY9YbXeMkNmZPoNvhWBOf5difzpK08m4 +I0x9wPkQoq4v882RjI5M2VmYWVjVwXujV53za9CRBgLOb3C+wF3F1CVqs3VpH+KsGn1D69+Yigu 79SFBkTXfEatvbJE6lIg+y8gO/b9IRKyDmA1/6lZAo3yAokTh/qERQQNP4khx/vl89A0E5fGsvsR hvhRD3KD9sOGMiP5slXAVJpF9zUKQ5NDzRwEsqEgL0GDW6uPh26nWQ/DjP9zRYgs3CO6JMPUiXIq ReOlrSFWpeezfOjknm/MeJee9KpuR+u27tZNDSc7Z2hOT1drADnlX57hmgRgGkpP4vNvP+/YtYmd xrOM25BiJSNTK3NQrVOyDwkUPglPOTvCKIvIjeu9Kv2ohdM5v+Wa3zUWuaTiyZuRywpdz9/JTVNr /ct1Wh417F3JcD61BD7vAAEiJ28omto34YP9dfz5G0wwoI/fDLuh/YpA+e6NnWnmr+WqKFEu5mNK 6IHYDLFvbDiW+BxzZwQV6WlK9FD1tMX58UvuhzlIH232kZ/JH3LHLScszALATALFYBBo8DKIX7P9 Sz2179yq8auR4Gg+vSxg4ABRjurw7wdadnxdQpzw9ah04RS6is+UyOACcm8styzOHMs+90xoCI8g b8fFvEUnkQFa4DWuzp7hMGl205SLThUb3oYs6yVFLJJDtbURZ2WaV3KEvrcwaabvNqvEndgl5Sju 9EwO6gHcu82ic1aBayI99gt5IBgzzbK6KY2YhO5PM5R0n3IkuGX8VyRXBzeyaf3zyjTEo1T+ZwQA 370k2xj1sG6HD8bHWeQAo2EGwsSfVFwX8vEJfFtGOjhl4o0HtCi54XQbXO8AHyGcSx+StDmm2x5p eq/GPMDLGtNTZSzUoobjwceMQze9t9wvDHDKjn/apsvHKvlFSmaXQAgV7c2UHb3U0Ww4vkCnPI3D fC3h2s136VRFzOXlPZDfGmzaD+b8CIk/m6xipHzOxSCnAWsHIDjRYshhKyDqYRhwY2+21LaYCqcy I8AP21kbF8oM4JYeBzhE6GLFYAe8giFlxah+4dk6iHHRlL6FW7GLmWMZJuRXS5ovcZMQCXsO3k4N D1vAZOAFZPDTWmrGjkcbqHHf4rbDOrk2qJ4pHeu1vd1jZDSMDsrAqBIBdsz6fsdDi4kO0Txqh71r G2eBO7mDOLvhHiWKJADAghLsHzPsmCm787HyF/xSQ423bgCXJ0aTMt9dslxM3maEupsctq/gorkR zQsgMc1HtPEYeeHZfDCV7mDbasuLJiA6MK8SgiVXwn1wdILU0y0pKeGdU1GJhVjkEfB5k4XHGtkL kJgg9esrPiddIiL5XlV595DsZYbSEId9zsf4qPZ6eQjI82vA7qv8tu2HbxmZulBU0mc8eL5Yv2aE DD2imzc84fMGPTLwCwaBkxAJESpZ/4dGEsRnFrFIf71aRQS1GPGzoy3jL74WUUNVTYsaurXxqlnc 2cjjceflQjlEphja82gdTosmuEhVsAVtykMeufysTE8dq4uhn5ksE8VusaGPVwNluPUI7oQg5zJd WM3iimno/EZINoHft6327QeO2z8PKarqWzQ13+ItHiNgttWjM+5q1iAXvU+Dh0JQPNFWwPC8PVIC eqyjdR3t+QUYnp0yar24mjQ/pZlIAnEGeigfgjK3WxIFDz8kPwm1CCFmx/wmWx6dw4c1LdYvpLC8 mobXNyiCEsnrAknt651r9j4ckiXaxDiJzF7roBmHKHzO0S/AjCWD2djFlovNonV69fbX/fqbCyuI iFqq1/xhBQ6QpxCG8BKnxXiQlDCIxLJ73KANlHoHP+4WX6qZmDS9sLlV9pg1DYC67UP99Hcgj1ID Ns1l1ZglJqKIXLJ5V0iYDTMM0DAUYq5DFdh1DlhJA+3fx79fgq1aywfyI2ZE+/pg6p2+EgBZpZmz R+QWLm723dSUyE97aTtnDTm+jow8sgw8kTzLy662HC8yzVewGvQ3pOQaBWN3Fcxij9JgIHTYvmkQ fIowRwe+kMCBJ3dob2CKFAa3XRYf3iC6pB6b/AydbEth+y714yuDuKSpCfIFKP4ntwE+NaFVFr3g tasxI1dp9b7Zv7Cj6DGJpU6SCUwLhWo5AnUW9MSmmw1VXpdILmLKglhzdn2BVTyTemKrdizpPS0P dNrnI5yYwW0UHJA7EWulLS9zuqWpl2bJXU4JrLYBqXkRe6N7yGh7Hjm++EzyqlKDGQBH/BKQj1t4 z/pubD4r6Tm/50m4FR3DDc3onbIYx9tIG0LYxBlBt2gLVM+6Cp/d/O27h3BDGbttq4MISPlIhgXC 9r7bEOD6o18RoDC5V1r+onvaMes8M68f/vC1d90nvtoLZTPgMK0dD7ZM54lGjvPqwpHjU7sosYZy pCw8e0tWbAHFTLln7/QXDZwvBHBSy8cP676asuDqYisEsc0B7gpA2wBGI0OwYVDdOnMzk9ONA9Nv 6z83j2HDZNgzekiArij6t3FxdNQyfK49qYeHfWLYi3WHmavXCLra1zVSA5AVgqoMYyXCKZjlygSP uFHdglml2X2Ysv/aXQ5fAV7YaCiiu8uQbq2X0D4W0dalcMYnsrlOWZYr+JyHcqitkfHd28RUH6DY PImaVAVBpuwGIBHxk2zURE9DjOUMzuJvUfeLm54eMQFi6zujzwusyyMHoaBgn1Uv9guflio8PRRQ QbZlQFkXWoHm/opVadW0Tm/1KtdmQ/63Xzc/88joPaRznC0GYg7TggBV4bko+64khPzUeNvOCJvK AAUfAOtJmT4OysHyjsCp1dwOGWIuZPnZCcRZurbks9kUxiE23m2aLwRjO1fwWkTsLZrOlL2Jl42G loxrBak8G6k67VWI4ievcN4vgxJLp0TOPaMLO5E3pRBAdKuHCEYHsodS7njMyrJN4aA0y51j8340 XICvN4fVEkXH+xDfYJEGkGbre9R1L7x2bpEACSH7+ojXZYJWOC0/gl8PQ/nDz4ZMRa+Hyg3e6Hqr VkapEbgBIDqdJhuA3AcB1R8RXdkrGwSiMc7IUtjalH50rJVtHM6a/CpaXEzDfP29tWOci6a1HmT5 Xmxe5ds9rLRGFKb4jOzamuRryy0aKPRzfVxdULdftiN7jWum7hUIUC92ePoae/PSrFiOUODa/8jc NISvwT8L2hKayP4DIIOzRwktvXvTNvY87FZOzAns6xIFH0fzTT56tzn/3WAre1lQ23RHW5lqN2NA FPnhyYbkkvESvOoZhQBWcz9b6JJwRYwRdmNOsVWCtDDp8Gcv02mLcZqT5LPiQeF9TsEhmfTmB0Am Eu1Eo1hQxZbi13sgppCwqK4NA18W8LP4PikCA+CVWD+tia462q287qqBA/MHazmHoGmHTRopUYA7 z6bizFoACJGOEHG6Z088VwIM+0NDm1+noj5elRgCNpOfKZSi4oW9O8+9zpt0j4L/tj+4ixLfxzUW Nst4Pyb1hW09E88L8m0xqlCnJcvzEQnb+7azaXpTn2ygtv3nx7gXrvbJvMSsAfPBCpzJUQ6xs60r Adr2G9Qm8cs6Jnf8EHdP/7cVw7cdUh//HYV/4k0RQ99artfEq66Wse0BEgHfdsEnQMEKCJ7r3yjs Hw4XKGafuMYlkPhrZpO4QbT65AaDMaQWQ7RgOtZGFEJPSjwp4PdgWTEWspImhqlD2m32LWCiYQXX zIrlPGcLMrXKyLbj9fmtrE8QMNKQbUvDD4ncWHHbkW1kemqyvIB51PoOi6PdmPNsSaScAm08dr1T cRRzm2fBmSJy2hIcrJ5ke+rg66vxf3pnMiPfE8zVy+SA8ft1PVmqNrJ0oYgkEwhnZ5Hq5/+dg10G jmpd8s6IksPkTIAJnH63NFxvqWmUJ/BZ4IR/3THYYdBafpmJM6IyH0x5/d9lbZhRWxXtBipBq5EC d/2Y2SKXivfQjCyY3Q8wMw+J5O0WMOxm+BWv+fDYscoUpyfBTQvPR/lIhOtMdSo9V+YH/9iDcbzr JpE7BbyD5x/5Y862SHYLxCcNOGyY83z4F8WYwpAtPgoymaLCoza1WokI9clKpN7X5HRidWaVmVR3 sVFPJlU3wT1HwYH+oXm20zAHl9iMMEnLdLD8OnlZA3MMJgXxlwh/gF4f/BnnbHjuXk7gkHhgHmjY L6VT7O12VyxlUhogKAvC5d1Yw+AoOpj+1ftSAICYlYjMGWCxtVEbjATMXTTdU0oM9/b8b+LFPs92 fN0b0BeSOfdfWpfeOrXLqjFJCcPgr8sIzgdoI8q/GZ6QONsu2JLA02iHUO1LzK8OaHpbinyG973N tnVsH9JpJDEeOz9I5UQN8gfMvlprfoAe5oAWZ7NlIzprQi9S1cyRIbydnZx/6ecfdTfq3ZQmwBYa Z87li8iu0yy4p37p5aaHFwN5bn1zVDUYGFJRhvOh6Vhwd+QTLHNeO0suSFRL1H+mtoB1BwRSl5mC e8+BP4eqL7qcnU+wSEbC7eBHjFjT+zV7b50toe9VgOYV3wp+NrGrcvQGZpTpD5uNRyUpvn7AsqoM BDNFJfn78+K3t1RIm3/6ibqXN5sbv2DcOLT3XrZLpinYHU2R/cpm8yNlexZcK+irhEFWSrdwFIOq UczWC2cNkrRiaXGbhe5tOfRCzhGnp77SBVKavduyJyXCoBY5Iuur3ROcRy4132LITumaMHxXUQtT cUTrSpRqJh8fwqk01evZq1CTLtHDJTaZ0kfBp20cY6qyua4k1yhvhCO7mZsRVV7D5RKXBZZsMT5+ vU9k6WRIhmZ1uTU6oAt/jciV+ksHMDFAptRvcZSCGksNT9JSSZl3C+9i2fPxvi3WyCbw+dMxBoP9 scWbYEcLsgAM6N1YqzglJRwA5+R/BaavKpH12vDw6NpvkMhNJT9Fy3sfWLbggY6vKmnLnaGVe7P+ W7R4stfJc/CxxxXkPkBwsR+s6nSoWOOYV1Oy7jkb0Kv0dQyEwtIc6iJ+IqZdRAF6afq3HV/Dtnyk ++hfbOZAFgjzNP3EpFCTezFwMf5Hvmrj+oJyYI5mwKeZ+fjER8Xf6r30lBiy8Z85GKRJ5FIgEJ2D NNdG3sh5ulc9yri631E5EtiSG5UvJbKdUtWpHv/+BVg1509afe2MocIVYRDiB2L3U1hIduX1ySsT lMmSdn3qd1uFqjui5BKtaiFX6Q9NvaOzKdMvyHcylhGDIKmuEuV+Q1WOK682kUvpgk5XNj5hEj6B LEPMeAr44q3+py+CsnpkAxYSdKB9g+E9JH3DCIDL8GNA6ZZ5GKlXMooZGVkAh6pTnsvqChlP2wjk gIfLS9dHRtKfasNq531vfc8QP5/6s6PVscVyKlB6J2QznxJgK07Evq4kIKqseXZxy3QUo7AgOy9K q7d2X3K6CwBid94yFtpzhBz322/Q5nCv0S3VqD/Bi8ThmARUHeWos40ZDFXWYfl8s0ugTWjHMffd Erzo8ekej2eQ1NoMXJz8/LXkT7qDw5upOX8mUQXp0gKqpsA/HTUAOdba2AB8hO9XFtmskLdYqziw 4eKE4etkO/eD1nLMiTdZe6VHPmZXqnytSQiVScm2FN9fljAEnKXfCYXacApuGtKiRybPmgzbQfcS F8fhtdt/zHUNh/3pvz9paQLZVjaMCffofpcWgiQh2W6xLF5ZwHu1S75JydIFEYYLfkMhKahbO+62 U1RgwHo1CfXnnPdg+RcOawKdEaS9EU6X/JcAGn4EBAiDDZ/Gzcq6CQx72eV4cqCxzixyf92KYVNZ m3LvycPp7IJnin98qYJZ0JHUGvZfSOhTPNJRN2leuWEvkyQOVwNQTYgYjC3bxiFjPhZYkR1wcVf3 gRVhTbUvfyOi+y7vTMRGh2rQ6V2x6rMZcp8tdWAv3rOE3DtmhZOaKxSRn/pXpZCFKLIf37vaiLe+ t4kVRvqFrgy7TYEPn+fnL5RzQJfdy8cOTUKIqlXeWQyF1VZMKH0drMUXYIJVzT50dHCyMj7S9ZUp ZjEIzNs7DU02lMxWcU6MhNM4VMGiuit+eqL5qWfD+IGrhUG4ZXRGnSn1ZMCtZauMELRVuvBQQmV/ dqMSHnwoMQzd2ZvWQDrl8AFmW4BJKF7wvWYanZXj3njJuScVaqPmcK4xxDcV1Mc/gZREixiRjfPo 062qsgLS22Q0r5Qn1JYrlmLf/izOOlFxVK2B5pgoCADpazaidBgJqy397uUuHZ6EfZh6crVhct1j AWTze64/2Ps3aCCXKM6VRWitF9VTeXPSN71xeEAFgwJRheAWuuKHpsIB3ITxM3roTAH5B2YZeAoL x4XzTVA48Tv8jwnvWl2EoekJ5RiMZYQ4iGKSZqI0T+jHrz+f0SkWLq63PBhv0c00ydmVLNdX7FIy ZzmshLr+rnlYCLXLOZoRKFaGlcMDQMx/q7Lm5/Yk+izEiHaaQbgT+ob6amubKW8R6StZ3BRaPNC0 DggKBSHGJztr//vcHCYgjz3YRZxbT3txs128+CVomBWp6pIuzfXvy06ynGbhx973Mmgr9uPLvhB3 cR3y1DYvRLHrGOunTiI5LwoJDnDWsoHqO9+rO3ukFCSpWxUOXTraWwbss9+uvwbhGguXZiF8LdPM qpumX7AY9vfyxWKB2GkLHcrJ8V17QZROvurtxQ5bTub9tmq+7Z8+hqbuV/+m3tZdS8oVm+Ys6EhT OXjHs5RvYmZXEq1Fh6bB8A1gahETQSSCq8be9Oy8F3tcrLttG95gd+hNV3ShhFSVIh6iExIxf4ye nkKldaE9Zmx3i+82x4Ackglrp7bv5lA/NnGZSkVt6EbRC5S8aB3h8eyDmfdco5gk0j7j3Ma1fo8d PrELBaim3o96F7vUB9HEpG0hGPBFN8RCMLMGFB/q/Dyk1mlv1mCIxLOSBLYS1S9AD0kTgSRFEkbV uTcfARsaGdtB1Tg3Jcxy+RH2KDmmU7tdIHNSWh2Ddc3uvSpxzu3QRIdw/ODmyzssy+S4QF2GFAo3 sMngqxc2o4oWO5cT3l1XO6eMysRK7OXYWiYejVm1HD2+/Fg/g/T/BitrX6TAQ4j1ZcbnHA0Q40Tj h+yg3rW6GY0ZbidTI/dBkw2Mrbz6zLxuFEfpsHUemcPbw9VFI3bMqoqX23NwGbhHjYCTrzhmvlgW YowQwzzn7m5SKcfdVCxRemxJOIUVlaWypGyvc/jktJ1zN/Rd4C38/uceqdN39C7UjJS0pda2832G /x7QsRLdBgDgJJospFQNF7hrCfU1oTn4/MGsHcnHA9SBdQdP5ovKZisL5be52Qpow1y0HPO/Ovdp /Ve0wjWqtFLIve7pC5oyFBsLURdz23+cb/x8Mx5uXjKGYEUu5+5C1oiFIYMpg/nJPoCTMC4KM0Pn N07nJkq40ib2J2snHWoxRAmRvhgtaYHnNGgLOFmqLWslv1Yd3YDKZ68haap6o69b588jvzGf1wVE JcTzDsB3SFeW0LNtAykTcEeQUCLrpfp3UzNjzHkj5dmGp6aX2GhXb/a9X5CzTAyGLsCZ424I8ISN u5QbQamJnJYpe8YgIfj+vl3VPVDlE5coZAqhOmCU0W8WVoJ8i/JiGA/Q4v921dwiVpQ86jdRhm0Y aT6m3TF8iXJ4zuUNWAPLIxjjI8XPWFMGRP9feVL/iinSmAoAlsVGeqpXlOEHQHl0lctxDbSfpHgP LDoxJjtd60PXF7boYvjp1RtgtOrGQFj5eRp48g6pdv9jPo/iGblNDUpTNAhng0CXHIwX2w94q7Qh YVq4ZleD1JKxPqojmF1Iw2cVE3yAlyrbsdXBXQ2+2LVraNIuGZ8VGI2E2bmqNmckoGTpNOs8b8cr /OHn2pgR4JG3i1/0q7uWdqnbLnhTq+cGi58z+8aqReQMtV353K7dPZfsaiFITbCvosVD31jnQAG6 JShGwQmN3AZgD+TeXTeRBOzPV6M/dv2Hcrz9acMVbNxZe2Z6KbVfUa6kRgOaDPvt0yCpj6mvNqG7 q52v2tvnADj+vnj8Wqyt/b0AxVQ9KsR53LRmm5MrZaE7LERSmMyPZ2HXYOD/Gp5NOdFSfn3YytRF j7cHJpDIgTvNq8QCslcZGzx4kz7D39/zOIRbkAfzN7E71QtsrTc9omWkn+az+ehAQoyvOoMWOKot 8SuHEkBvZxdInFMGMInlynG8YrX9Hz3oyJcavEf2BNcx6Ll0ztuWVkpJpNmR9g5h338K9xJq7zdh YuyuUPPraQmrD0NibFVOc5f/7iO3vVDN9BFXh5kQ7YcqfYTkc/Cab2bVelF86ITY6b/fgyQf/9vJ q+oVk5b8qrqpEtUGYqjLgUjLLU3UAIu7sbi0Pn2h6DWmFq7NyLT+pdTCRIOkMYFJohqrYA/fyg0T hEZ1AtVmGAnjo0gO1DbYN8XZphNFEpUQTYTNvEUM37/NL44oylBZRqGWux8QEkoABAXKcMkf38nZ zXAZikEoPcp6tfSNmcAfjeebI/piMZVSkZ9zuOy45O6OqbwpGLDLyQFtausTS6qXMAVSo0B82vX/ ein3hNSLfD9EtD+JDP2uH9XDl7refJNf9KUfuPJUfCqg3aJzrCLPcgnmOFhqtL2iiyPWDJKUTheu +ex2yFcZ2qXMuD4fNKqrXUKDB3A+f7DICeKU+8vRKqKgRQUm9YSZhs/dLoVDmKFdSU8VljH+qS2h Xoft0UUkZCYNxEHR/1l/WnZyyhEbDnfPVaOMNxZwH7UAM2lXxqUmUbDyVnxaVxPNcghgHmgREv3d uTwLAVgNQrCz1U56DGsiBLLIFF92xGrmIi+jFF1ReH+RcT3OXu10DQcXpJST1o/SGNb3++SzXAJz DJZIEuLb4eNaYO3/b3jT6vdsb7zMIbUKD5ko/pGxW7ny5Wo3PKWD6mglEISyONegQxnse/ATGnye g4fHPDvtIUSRIRn3TSl+AJZKye4KoPz+ac7h5Yoc4S6hAF9MQp8rVS0ewFhzz4/q48ywdly8pHge Sp2n9x5Ld2mZq3/NgsmFUHqCLHT3GUceeSrsmIGc0Wc8hO8c9o1ValFY6uIakikzALtsszjO/epn 0bwhxxjk9ibGXflFb4m21587+l5Xr2YQw381ptSYkxua1ITYWI1BZJJEWnfVVtbaGdAHkia7jW8P 9hXTWR1j1TuD8LVc51NcYDW0s0BbS3McGLwHAehLW0m1ee9Al2JMDh9+f7RB5Mhx6pGYXrWZ5kA4 kBXAGf90vwYBm+I9Mw1KV3ZURoIx6vOF34OjRSEAzjwV4RSyoR0zLLJXAIdXfkDm5kQsmSTQPapf VJ4300J0ICL4nm0HipD6iUn9PS2YP3uYyZxkSFSrcwkCx/LJwyUma6um1IXeNZX14CudcuEsc7py jr6MRbWlm/Jol8+GI/SlSsrqb3eVp8gI0gOo6PK2pnTaLaRSIdNKy6jaQfhH/6aMHEahDvQkS3aq SPoUe+F+WY6T6id5tuJjNMXTCuKVgIC8TAtgw+dbYazyrPNJQUZrbh6huLTa8il9LgjrfI5JgLYF Qt6AlgH9pNOLV65rPEpIM6zS/+RChL0YFPKRyFJZ5EO6ZUtP/z7Q8y2tnNR9y1ki5cl3jxTOy519 HhL5s2XmVu0xr8iwK+I1sKCQPZ1p5suIUHNsNJScndKKF5CWzjX6pqWmES7NVsoITZ+QSnnlbQls lTR+7Gg0Lo/nePxWL/dc1DKMbAxrdqneFPVQK+YA7q5Y6zWPLxbmtdGVroCCkvIGFWl2XtPjP1+9 WODMopeTcbhj1XFRD0M0YWHM6CoWDmHNRvao7jOvXzUgnxCXPg0H5GandA3Ix8bl+3QlzUSkQpd2 WXqCkSKagL12A3cap3DDXMCrqa79TlbZIRN32iILptfxDA+0PCRiQolOdiukEna69vkzv+Reb/ww 4w4hsqiVgYicJDt0lCAsBf71Xs/UVNuXaUTBy7ZPCemsdmRxFaGlI7gm9YYuKN78U8O2ozaMLLHQ GEC9SxV09xeVJ+OKQvZXTIRZmnv0iga3uSRskTBm3qBPDX0OpNVXwAUCi3BORqra91LSIKh0u37s lUDSB8Khl/DKDoS44d5dUmoeGN3bqshnmGDAuyiIj03Be7oBNcfi/nlBNxhfV8IONXD5oWdJqO/k aHPlN2ov501h7nDci+3MkVRZra4sx1XWOIfuYI0H81/QqsWRAz0v+BlXg5jrNWJYAAY1tCGzAwF7 EyKQjqy2/Qa7+PXTFc5x4jlYf1bmmm7u3N1YRzMqcZCVrrz94dgfgkm4S2m5r+3JZ9+RzYGFW7uj ZLJ88oYUQPqJ8ZUgwpY8giX6KW9ISitziB2w/fgCWHggym/1L8jMaHjgtPOipgeR1EvaiciaY7KZ O9cVLTZKu6yIiPWIg9sv12EVsZ4tni5odxxNbhrFAwQ77zNcrqwq4ZoQ304j89s1orxhQrXqOt5R TX69pter/OthWokrDTCIACbkXnZy7nfdEAoQ5uW56P2J+vFLOeCgcTTfQO1R0O8qa1KjM65eU/JF c70DBS4/sEyWI3NOBglFuE4Xq2q/8kOz+Ol6aKeM1Bcc+aF4fr7Rr7S+0T1M9uAQuN1gcIgyEE0K ytjoxsUSftUV7dBcfb5+XU5zrhSI8IpBxebTIh2ADIpipu2Lopi5+eKTtxDj7w+RgM9PKglsxGRD 1Dq3OnoSigCg/ULYy6SB9A+dqaQjrJpiWl/cSWBqNLxST9ZG6ss0gJ442zkn/mRorHUEC3ZWw7Q6 OZnvKQEKQ8qA/vM82loAitxujvT8Uqs5kg/W3SvHlM3rj6f2w6OM6WVcz4nMEXwOK0DB71hQxBLA 0awuGwjiptn3SP3ivnkLEgaiGyzzOpJKKr5iKFqxoeci200wyoBzvkjHbtnPhUHo3JxEYQoXEE2a SCnQ0sbNSYFHu/Fq8VUV2QcniA8u4ITrcLHqIDq4LsBtrP/+OYitkTgw+SDxtYrVKW9xy7GmXjar KMBPxMMqY5QHuQ6ldfJWR2HABrd7JMnH111O9yRC6ZJO/5k+xRQOMshBbcmfemWz6OeehkQv3dDl 0HwPrZSJyVmeYmF9Av+L0Gz4C1PnN/SaW6VWIHXJgDQ6bZgaaLNjzglcq8ENctJqbEFFSWoXVYCB Pu3cPn8h6pCQQlZPT6WIrBmcldnGFLZyShj4ftLRd038Uc02k9lm5hpol7LECgEGrh3TbOaMpUKb 4gplTKNJDrq4FhrAu1H6AB73XIjI2WhEHbPHP11uqzyJFlKywDaXjSWeWWVocEbxKPEQabUC3pm2 nd+pAf5TvPqKbTiHNQzEsgB0VkjmlZH65FunJUWe99nB7ga+VnLBZ5UMRitli0eIj3oelBrCiDM8 2Qi5MlQyizY+jn10/lOkogLjzfCfml1/y4bxgF6wPFJyJ28c6qUtjavtiJXEp1mQB/c/jfORlm8q nteiykjx9TrSd+vHcSqdzt11qoNXGAMk0QBgKTQGs00wM1yDUdS/7apiUNrBdraAifOmih/hlNbs iMG4Y3uodQwjdkf1J05tfpEGZmpYTlPdwBAzR0XMdSYmZBfYVCjS5FgzPDTcCdKXywYmbYg/aNuI xiGO5hs40QuVNzT4XIVa1orTS0zKHt96Dv3z5Dvt5ihiLe0P0qf0CGWboSC8ujtLEFfZnF2Chhuv tsDvc2l+a9qktohgI/9mKXgy0kYs9shNDprfAtJTPjB5MQSvyK3LPv+TUCNr5z1iffdJ2SuFXS2V 6rvkPsz6aofXnSuFycNh1hGkXS6nAdR7L/mKzl8J46WFbVyE1x81fsnL3eCajFhpROlJ4dMucZd3 NPu4vjc8qOhJNldtCPLRiLqufr4F7kX6psJmJZTEXP/36e6s2ui6319N1GAG1M0UM/gmA/NiQDCn OIPfD2aVhhZQQBl2F1iWm1gZzrtrFy1cdGcIwhnHnPnJF5ZjpUmoJfyaXhyCqgpAICgUkoVljbLf Cr/wVsQhquVT9K1Pn3a9eelWQv+rrJxr4QQUqM3X+v3DcLog6m7gKvUqZF9sUnm4dGh8nHfw/wSk KDUZlsb4LoIAp7u5rklCMBKkE0Y4ajLKuHk6YdRzF2c+uL87g/pmKc7dXlev5qWJtS4Rlm3F6p8j MnVJ8C0iwsR9JpFdr26grbdDL9bURU75Pmybos94g4wwhexbJiAaySHsG7msZdXIljL4s9/8k4CK ojCvQF/xohsPtgxRizSrSJmK8GCpvEBU1xG81iLlPREOcStw/jpGetdDfrxYzAk28aIDujdWwWqA /F/7ijrgtcuotmk/n4bEFubkEQWxul0Xw+3q66eBSQHPNuBNsCYg/ZHUmQPnnITrnIY0pYn/IlAa S+KLTczg+mRllSsGqCEf1TtuUI1f85Crpktx0Ooa/rxw9POmc+aCuyN3EIDzft52Oo8FIa7VDHL8 oxrAZQ9IBQAPhX8zMcnNmWHuV9f63UGB2pf+SRDlTETc/+pIifNjrPEA8dvMxsNl/EEZfnzq7Ifs 4FH5SrxWMbPyaZOG7ivl39RrthUt8uTBirA0+48P6EWuy+MkEI/vM1z2vlp91ynGx+13veKKAiHv MQSstXV9sVqC4hxEA6UOWRtP3gAPBF+GhdI9XlG6sWyTfpNVhO3xXOr5sUjXWGnxf09p38HjU1S6 LAMh4M917Cs3+bKvqP4qBx+mF0vhDgVupeiz7KB1f3558AhVyE21yH+nyHt0oU2WN9h47dwBEL7Q MFm+6hm/e48bF+Sba5HUeZOjY1irEp6UgQV6MYuUVkLlYTwmS9EjECl9qdQsumxil5MSF9zwNeRv rCEyFj3SiV3sEqBbhLGb0Of3oUxgCXKEMDxpSzWYNPmIayyzQstXOcqd8u6LsYf3tOJ54CPGa2Ma OUWJY0+b5ZM1nxHbM4RqxG0T6QmnrRyA1sCvjmSmLs6+Fm6JN+Zfxw/3p5ciUE7/XmKhF84E7G3t FGZSUf6oBhIiBUTRQWecLKiz0IMMI+/qT+I1k5208tBGiXUs6PKVJSWe0PiUHDYvRMUGlLTr6Baf O/fHv1kYgB+FvMDSKVgHjre2jSJOvCLnsa4uzafQ0258qCiWUC827Dt2H4zEo6Zoi4yGf5B7gFkj bt0WJvOuMxLLpZNLW1HRZ1wkoBTdwyOPlA9Y8t2psayhUBrjs0Cj7477nLOFxgkPvdsurFVVvLmW WFsbOTtcKFGHQtobKKbHHOpR0ame6qXHUIdIF3sCTHJMKkmK1vcd8O17Awi+yFFH31a8NOH8mLkY BzdoZ9NAPjgIHEDMM4JL8AeWOACJ+Tbia+ToX18tcvHATbJyBwtjeT9oyFOl1SJc4pTVcUhhVGec 26YL9T6chzJiBM3RpaN3Dcf81zzQBbGh4ziMKOx3WroGVU4ugd025N3WvI8dm8cTqL1fVI+AUa+J DtKb2Ly9TNryUO/bJPIxL/BsGqOojUGeRGbhloN+h2+i2Y6o9CSvk+O6nefeLtvR+TI7P8CT4nnD L6tz17WtrPGqM8vqcB7AUamaaU1ozGKh9Q22br3xib6oYGrKwUvB920uyadH5P5M0+OrsIdIhm/r T8eCdvpSx+KQc2FwQAMo4j1Ve3QkwhTRxLnmYKH8MucebSgmB4J+M7mlpYzoYL/l8ySYFb/CejRR YnmPl85HzHVf+Gdfpz9eEYDNS3Q3iKzbuQf7/O78bL2z5FMO8TH3ia1Nr/3iFWTZSRKp18HGfOrR /vrh8IZ3H0OCske7T29Qcpiha+ZFCCC277Let86wpsghimLOdZpWCWVc/hBaLPNCRBYj3RGJTKL4 GhuZKidVJ/2Cmw1usNDYz/bMRvmGFehTykrEaVnijQ1/+ciov280R6Xgd6Wq/fvLtHFF15X9Ni9F eo6se6oe26Jc3CMi5U44rUZYbxyL7SAi9ZZBF770FqGU/S1bngdmZyhwPLAusvfsuQi/xRVFDPOd aa/ofcEY3BcuFCTnwZIJaeU83FfaIN+Cz1yAY8zSUTtBgOvEBbygbQYMc/EbitJxaulECR4kaATp YZ7SqCggbVizuNtbgVH8pwqXP/3rPdk9c0ATyWSuZEXX75vOY0uKcq4BblrUJvKmvTOGXpGU1tCk Ds3DEf7+0zt83syBq34xcHmLGUX8DfZGZmiRQbVsR3WQYFN0C32ydLWtZgnDpeUfd/XoZ/oZTwN0 9RGJB6bWpCud6oKcuZeCKf2WTkYhPoveTgPDpKMhq+g3QsY0priugBjwBgnjMo2F6wVdpC1G1MRx HlEYjolNrxcxnIET9XIPMiAIvXu8A8CGfb8fuJahenR0JpXuovlPyNyPYTbYuJw8oQ9wgn/ZUz97 XKObpwzPSJ1NZl+7IKRAsxs4jr1T4kUIU7kZjAJjmM55tu1Wjp9aBuinz3K6NvNvFEOGZoOAob35 vkOFw5/37jWlnnKDnAyBqnyVsz6gkBIN65H+4nqe5zzGO8Wy/I7EFt5o4BaAa+pO5WPR5D/45z/j peUgs7cQN54aVMocal0pBcZNp2AROkME/+TTkrQ4p8vrzNFZUPkVvzOp+J6lCNi6ic71SR+GwLwA UqU+5YBMy+6PDhVkSTMiYxNbU+UHRrJCPC4puFO3oUnTwXnuwYFMl4yy0ljxbWJXmK6gxLq28vfb JJjeRx7Eu72CTj7tuiz260uYTY+00OZCX5LEVNT79YWEQat8MO0DOWgZcuKDaL5UhXJqTdJ+vq2U X9wxgszhunQ44VwezpWQ8hxUSOBMmTZGvFH0RFZUnnU8sY1HTDYwRXHULg4DdrNYeUBSY271U+zp QIfrRxASzl812j2n4YVK24WjXUiQ1D/fRVgV2GE/kA89UYI8U/h9ieeJIHOMUQmucDFZKqkT05KU u7LC65Nkb/DCGWnmWKauz+vx976TnTxQzW5fzD38f6bIKQOJMTkH54Fu6zvrf0Dre9R0eqmZAkOu vYQTbdc9nHhLFEGeXWs4stdQPtljcJCrSkj8WX0FK62kKtyrKHUmvZUWZq5E4jX5G+D2v0d1KhBL UabBI+AMcMNVAYL4oH6aGs4acVDl+msUVzyug2DbjXkROEiCkSijjY1ClbxN9G+TYQ7OKEdqo9Si WCOhBswumfw5s72jCINQK2IqYMwy/RFl1PkjHVLctG/PXgv+rujhWcxkZo9A18/U6VRxYJGiVL6w H6cYFM1m08OhULdWIc40Lji+oyNt35h3QolobomicPs8Vq16eoTUYEbxGPZy5KGmOpgU7nyL0xqX EjNux0VfRs2AYxq5v5uPbqSCNNfaZEkzOsbjkzthZrPz4ZeR/3fASk6figbsgIfA0JfHfDIgB5lb O9O52rcOGTawkFd92j+6vznryzf72IgmyQAlIGBuoNKv5cBk7Vwg+wtK3Co7K3FcM9ptn2lf4AuQ UOvLbBVWj+MmvI4r4wYFGZrns6zAs6kU4TQY20EHBwJHp4pgr5ZgqXfQh6PZ66ozg8vHtGTWjNB8 JcnGhYdwpSIT9sylhd0LB5VnSYuISvmNcAt3IdhksfR/RfyJ7JkNVXoWXFcsrvepgRFg1YDOl1TR kv/pvkfHo6aZjZdalHJHCnSfgihskR3ka65dnNulvUhgAVG/zArIof0fdegtlRpxLMX/Dl8cA5Jq S65kqpp32Qxw/ePO/NDc8j6XCIvNVHNJ7qHGrK0ojwE7t/SMiXHxtywKYuTcvzSigfjsNt7Gv9mu Qe6iGJQPwhURCHvV6n9Z5S7fX0lHL0xh8F7NLXiqlO9xuw2i4LcR/Bln84O/nnrvEV3POZlfE3LR Ze8A0Qd9ihnFur8wdR8gKxbAUSw9X3r05/RQfe8rURSPdJQ4u8diPflq6hMh2JT5eqy0Ve2xE4Lo C2vtLOX5H/jb0/CkT+uX2x17yUyei1awCJTx2eXhws/06aufYvTRBxE8hSjzlKHgNuYAB9u8ZCOP oWTFOxo9RrrXQh4oYVsFt5SvdZNpXlr5kApoDUjRSf7+6zE03/7Adnfs4wHkgqeFVc7UhbanlbEz 2FVXI9g8100bgv41MP/Hyr1qR7+QgvCkUl+zlOyG598Cn1afeRqUYyOhU1o+3Mzn7jARhowIQcPl Ro8+XanITKakssCZk0As2+trcA5egAEnOB+/ifkanqjevDzSJAfJrANxy8TX2pkkOowbE42WVJU7 WE0L7b/kXOPtd7l+CqR+kcm2lfrm2DeOyUjqjZE5Y7kXUb8KIIrsOCXNnrAyafLeyCe/RQbUK2B+ n0TmdrZBJCCwwKzVRsTp/3lVF/Q43MKzwgf6mjp/htlJ0aMj2VWk6iALXEPU3OZ4oHfwaz22Uvef 8+wp7ZCudfZFTqDEdlO8/EoWAzNtGnVRut/d07qiAEJu2ZmXeirmvFn0zP97R9tRAhVSHngv4/Ep egeh39YZ0H0uK+bYCn+fAHPvzci/yzfV53PbYHLAv3fMS2WK1g1yPZckbOfVYtGdGIwLKhGDlWMK hEdLBKeCiQJZzR8xf4YzOtuXWs5kHcVCvkZ/9MDAJzCzKK9O0UBNA3Y5/cFkdg2nbwm2B4sem4jm gaMUBCyPZvLRMlH8p6u1JSrWUXGuFGmPYHbk9KEhF2svaq+NrrAgyaBnqq989qqHJRshbyUG9ouT uNNAnLzFWzzbBV/gkMNXOiORNqb5jBorrU5tOPAAyk5qsHSM7WrTK1OZ/boXgXdLSYw+Jj8etuUx O0Q73EH8zEeXXaLGcU9gIIhCuNurJsL+EQ9n88lx7hheduHD8MR/2jzZkb73jY6qeQkdG9NiZfL2 LUzk7dNYlwMewTf7/j9uq2j2NeiJ7LlO87e9XCHmtFkMo/DARN2ONSFwk1X3JPoaU3I1rSBpb1OO 7DXyoGnNheyVJL+6ofkKSckcsf1KX8Bo1RQx6nma87foCnyGcaxS2regRzQKFa0ThhJpY9IFkQbd 2FIqkIEaGZURxpodtro1vluMT97w91y6rhDyJ7URJF64ZHySbWW8aaBtsB9cN/4gCs2i7M5GpDDt qZOszfyzWYWwhUKR1bRyfs8lxrIYxhq0Wbt72Ud0sDbkaU+k2qJSFtAHET9YwLmTLIvz4JqE3+W5 39gjNFCsTJKe9EKfXExNlYBeo1RD7TAnmuhEUVvyylKTD0gDW5twf2Q//hLgJr0nqyoltCBz+7z2 N73Plm/4CkXMtBTNKRFopr1so+xiphjZMvln86dwWj6m4jyn8AfO+qqlQTAzxIIlk/t0zPwNJ+w5 E0Atr7+l+iRGpAe8fZ16ZSk8Rm9ge6tVYjqm7naNtAPYi7tFzjj3xJh1Z6FPXha3Is+UUtvioTHu m4qbHAjlWd/aE74954Vs7sntLoR/RaN9yDLbMrI+VmVwmzI04A4jFXN+Ogm+le8nDUuYqDPPo2NH ioqDbVE/4SYeoUrISriKGtjgjBTsMuWhUuiBXSAM6nXLchF7zjIidhhriBR2L7nykfBkPBKO/ybB D/Kgx47Cynt204Fa0Q+qkk9ht2zrPolzACnsSo5pDHLsn6xxK9InRyHVj5iSAebeAUVdv1+wIT0T IrO2i8EIsqjhUJPTwJqFTWcRn37s0Pwr78OCD1S+YrXlZ6Hi/LcA8ZcEXUqEpoKSf94tWJWhwrxP JOGc+hXh4Id25QCj7fBz5YrQOFWvmQuBNB7NLp5GFv9avsUuejuVEl0aaqjd//QPBAgND6vuYzQ3 29us3+adldNy/6gfC1IhJpzgIu2oBXabIn7mI7J0ihTA3kKVA/gaRkje3YT0lDPRDKJZLKsOhIzh jsMVVtYV0DTebVF6UN53/KiqicRoTq/G8LTjhqNAVY0mqdZSjWY468O9n7I+q7kBHy3KTcgYYH5a uob0tiWsCsnHHY2mOzUQCJSS51n1U7PnMeGWeocEfyoz1he8tpM2dpITy9bAEtRGGeH+uHuxP3qt QtEjQJwjLQfkZrILGJ2XJ9p/EXPbIXYCpaao0n5RfLz70OJwezD4XLQpULLintydlSkUL793Q66r K/9ApVTALHvAcjrvgUyY2bdTk3ATzKukZNDgyivJUuqYUFJWaCvhm4YYkglzJznVlGy3JI6wta40 K+Bz6JgqAa9Yjn7PFTGTPTYOuybi8KUw7QZN+4Bgw3BpCQPzT4JxxIIGXZfO/DjUtqUzvx35Wxjx ljiRsHJ+e1xA+BfqwIikYiYlKLwgNT84kxkZEjMvVo2k5wFxRP74JMSMCCCLW2otY9VjJ2w9uCfR W5q9z65zEY4lcEOHULRaJhNtRAo//SIhn99fh54VOwku37uyIa7V1jyT1m8TZDvjHRK/lfsBuZjE ULNB7OPapAXrLVL2GPS2gdDrp3p/w45fCPnsegdE4H8bUCJCrbp9Ev+0ZjRrEg6U/GiRIt3q0x8H X4m3xSsSSg/jhtRKMg8QRfwa1tguD0eF/6xa8xkEMChRPIfyAWCW6G+FiLD/CHhRxBvpJVtqHsZN 0LmBTICA9n+LBUR3RERnARYvRsEje7/UKece+wlIHTSgfMPm/YomdST+85j2b/fASHCQGEwA1oRP oQ3oZ94ZRLfFqrCp0JF15Vu9bSD+ceE9G0NGRSyGaB6NgdXE4CO5CnY1biKL3r1/Cveq6nV66Wrt FVwyWDH4yTlcHAN6mLYroHGhEnPFYgyorcGV1hxSjtBa8jmt36+ifAS3FMw5He/x/pYTDht9lYCx xJKho2CH06/IGChBuu/VPLYMuKgogo7EimRCGDZv5mt2Q7lPtT6mrvQ3blSYHU2z/73awJJmXSK1 tCbQeFKDtDP486TSb7FMmkMePODpRelNGCFYoyxCpbhUvgVmhOY/B7r5jIWRjDoW+zXeqzfjWJ8U 4apsavX603fqXYltnozRPJhDDuC6EfU08Gzs06Bw98ziByjFvoXyqai+zXfYmkq8mH2AvSSIdes+ eiqfPivr8LA5b+7Wz0ULUnQUZuqHtFilOCTC+YrWERnUlikR5Pl6x0jlKNtHHHozQ+dJpTG2Srdz 8QtClP1fccSekwfZh2RBrMXBzl8wFG+DnfHDXn6j5qpahcFns61Pbtx8WoMbYGnQvfA8lVJr2+Y2 a7Qo2/PKmz9PzO1zU/9iOlxi9LjIdg61cKnwkECPJpnybNyqfa1Rie8IW5Ml4v/ikno8WIb9V2ri o0AGc6XrsoIAxWkqYz2T4YpZV8bySK86aQTAdBIhsUqer+XuAXVWVKpGfn6F+v02qVTXXUq3AZME I4Qty4NkxH/VeVULoomCa+fkN9Z38h1j/57hdqmDXsIHKFPuMH1w1QLTj82h+KPCl8WK8Tny3bdH wypKdRZExYhdOhINn3MsIinDcWWztkUkPz+tBWSb8u+GcULnBbKTDPmJHbF8w/YNxwkdCCGT9fiv nCgcjAGLWEWYiBdwPTYR2XLPBfbpu+4obFjW7jsM+tKi2WY9qYb2E0wA5K5SgHreGVGrFhUDLINd H+CWIPSoPuW2HE3vlDjGuvtzv4+ep4wn9eKFPpG8/IoGC57Ovzv96Wjr+KIArIlU+9d2/QIXKcF+ jVwPED5MCAJ8KSOQKvQNjH3EWYnxk8tPATIULUVABnyi5lNXQvoge+qQSAXJbJPAt5uv84wuS60F 5VXb8CD8bFip7vGOiTt2C/21/v3yllQ17fGno/LQH8uTEqH7W8eA5sIKICp17beEtW/MHXmWrZjr Z+vSiX+LY41cZoLOTER/6M8aGSACRVHropOouoxR61cCPBI7al4AijB3ecBzkIJX4Gcev2CJHlBT 3eVeAQVWzlMcGo7/YZc7Mle7pTG3dswxQXvu72PkSG/b9izecq2203aZ+U4vqJUPHLCCv8+0DrA7 w/fab5qM8jH0DH4njNi1nCZDcIdFdutTIZwlxGQ4uoJm0RBjhCkAApYxYW4aK9O2lel3O/UHDGEv rlnCl6MMdWEQoYeUtb/qKk7W7ZTvcqgh3NA/XXCRQRWG5AjA1mrM6uWArSpxw6KNhJx41/ww/vj3 qnVBvpLjayPXtN8b+Jn1RyoJLDEwrjQJOuie1IDRjo+qPWx+nhsO1WS14qbk4wB7k47l8ofAI2si 4grLFwum4CchCOtU4im9C7NkPqrFDot6JnHcy2E9QMFmErGXvb6UiltMtUKgUrPDv0AAAKaRWPPE C0E0hzkge7ZZ2GvVunoRDffYDAce9IVVKjWHqvXmAFgSAUriU5PttUqrAA8/K+2xjxtna4BPTR0n //j2/obQ3f54+BHXAyuDy05jbxRUTOhJv6JywRXdsou7Psjp9+CJa1DzBwylJyc9hWFlCx4WbbwJ OlBIwn3r+yxxXGCedvCIrAr5BmQZZoRA5llkU5TKxvKzrfGkwBMSoAex0iV9xCQfIrbGHeTD2KRk p9Xuyv4uQtHZiWA+By+1gXSxMoKhE8g9LPZcIFRHXh8GNamf5Nfvr+qJSqujpM180eA6MKwtn92H UNU2iNGiYeLrP7Am/QLL4cXuyg3ro2D0kCrKV9ebzomysNLqAh6R6yuWEfsjzqLmwMI4tNjp5XZw erboGCwE/2kNaE5us2zN7lkIo7pLbeCFVyCmTJI1s7Kzu9McRKKsvUElIQWZUiu57XOajjg5aMd5 kxqxeJm0UnwjjaK+Nnjm7u4/5iBBmtCbDXrhgePT3sHP9q5+Ik9zjTeIXzc5GTzWrgxLAgrszUmY Ker1rWb65FBb9XakJEqKm9vCxw3mh68ma4pf51zSJzHa0KrQB4RDvsBWZjaZnoZUj21Cd4Ngwi5S uO+N8oDKJaG+vg+G/3ONi+dv/GmR3zhnQ+KYacsMBiAbs8dEVPgGwcCf4rAppgCIBlWNN1T2QzJy AtmqGz68zBKM6gSXDuTzBxfVbqRx8R+y6qQ0RS6NLpCpqz9ae5Utp4t2jp3e3LQLanextwK7OmxO PGOn2BJMHkpkUkD2w06yFz4vkBrI+sUktTTh9opCfzvfNCDkHLkrTOKYPUqLT4WF3rsh95x7saWG rSpuWJ5OkAa4GrdPubCbjCy7PcfqLQBvgYFwqhJYuioowLxffyIBJHIfL8QTPojizE9Jgt6ZCYWF b3z4sLCpQ4fk5CIKVrfMcyA64VpKjeQXfa8je1q1KmmI1XLf6G67c3SI+q0sV4Z/cmoha5c9cKrP 9hr3eIRhFzITxI4nHV19XedKsouwAS2WHpQ75zHl17E9nRCqi4NXhY6GWVaI1MXUXS3pRQlFTBGA 6YUZmCbyJMlh6rR9v9orITCltIo/z8CkavWdSPotTIp14bTyoYDXOWo/E+8LflkmWV+jP42Rpdvq eWNN8+WqH1lyiPiX4+87n8VQR8Cx3MJJ2nBnvx+FDrQQqlegTP0iEqdynNH1m8LewmlAOQ5y3JZW Tr6QRoNHo/HTlTC8ZDQGhlyXmlDF4gKM81de3fZne15grvMm6CMH0oD7kGGt/kc1yaTwsWP41+OJ 1PsiEQ1eNxk7ooa5FJv8OKfsjaTuVJPYc6ONv40zUrxwVPzN7En6xVF4L3hmQCIYL8yCvVV+N9/h V3PYGGQGgrWP5JB557z4N/nHrm31nzHTDEt8oxL7hf0hUhTuZf9bQyFoxOqrJQafyFUBbCX4o3Wx x8XrYwraoclL4oGwGoG+oW2JtpAJSHsmWeTLSiOdJmOMiyqlN31o9vTTMZ3DnqVPxxwi+JV7SUD2 3Eut6EU60tUXK2YlOjWtAVD5gd9zUw9n26L2uzn9kjDqGfgzgQ4OkXh748gkPWAAS61c3H8iA5wr VIFTd8I345Ss1H9g0Cfyq19iWRIK7tjvsfUIU2+PowMlYcsAnRySnQYw3fQnSoWB4Spb0kwTVw7A Uf2cejTRnRDfk4nu7T2yqYAoVQ7GsRik+3d0wqXdaC/R8WDEcxUj0fiI8CR3Nc0V6RvuIIZ+RftV zHMx8zP19365fXGCHK08CoRJu4jCTx1I1yC4fgJxVSMI5mKZqk5JROZQ4Cdfla4GSIoGRvracTUU qQXCgpevK0PDsrQepOZ7cdBNMGVHAb+kbg4d6nMVwN8i0tBgEKLLGreaQRPQOS0A8AMRAESy0jCP KM4JFv1c09RxZRaeoy3M8a+NLee/KYsTLFyDBtcGFP3AMTXR4hkJYk+73TG/7WUABN9OlLIJcQHi EhaIUO6W5VjmVR47jyTdjoz9Left5F9+mQ79HglRQGVf+K6WWzWzSfwjBZvWNnLHhH0rMm+QsCu+ /edwx0f+yVvBGWq8GmJMwt9k7Bi/1DFpZw9cUEFs7EB54TfLeulinRo1Z3h9PaE0/47FKaJSqjHe g6TgbZmKkSJQDJAT8e2Z62Ogg/s4eC9vDX+MEzgZDbuWWQYd1bn4m162CvXXI2EUsbJGrUmME2Kr OKypUR6e3Z06tpmffCmddseq33vK0aIwqv4+7G6daqYQMxo27Ws/ZcHFwGvDyc5vVUd14DBXUKH1 ROT2hOq5SlzMHHImbYsc0/m0iXUM9O7iEcHL7OUEnTbKeYncxQ2SIwZOoZFzxFiDu2o8LCxb+5XC T4lw3m4sU4BNFEWrTUCmoKVbRy1MVz7+KG4OwHr0BYbdJCq/E4M0wviruyjdOTv65ZP4sKNOaG+x jCKjdhTXp6JLPlbnF+WaU+/4ITa/OLMPLFUjzKMEOdBkNAcGY2Mo8v/4MZAQeNq7p0MeRVz78DlE 8MB8JQvZV1YxEcXWwy2LBcxafQnuywIHFaf60lHIkV7tfKPpak36LcFuKLXUmzvrwNZkyPDPitRD OmYVRBtZDvlTikvJCn/Lqy8Q2vbnbSfKZU5ywvkFBpdWQ3ue6u7MamZcpro+AgdE0aSfm3C1+B8D 7zpWP7W1bvSOzrQHQs1eOzI9qItnpUHpwZEcPc3Fs/m/OHvHL4mwMKRZ/fRNiAru1aj0VlOLJG0o opwYsDZad0UBEvuOmFZzbBhkSnOOBwqdY9RgeuF++9NC6k4dHGhxRVo7J5fnQDLP+vx+cZkQdX5V wSMmilHP14MZxyUO4o0u59gE89SVqyB+/4Z7CgAV5ZTm7jOiCL4JlJubgJXVAG9CUtnOe4RtU49f 2M+pbmGzZw1opmP9+v+uU49FrsV1LcuvfI5yyV+YbFdUKixAzz2Gd3zNIem8anfHFHgWfj9YGwf3 xxgx9VbKCeKmCqykFgwbvDYsJ9dEXB8aRjJr4QdmRgHjXSafVbsaEZ5rXtY+hd3+hbIHU8ejkNce Zt6uEtKstd7als45xTNPWdD8Dxi7zG0XxPvIFvvw6YqNvlHh3/7LVCmObAnRS/oTEZwDnf+lciXw URKHNET5nkhNK0dUdeNvRc1Ig55Bny4z2SybEtKtzfrk5e2UsBLhUNjUouz83ZLUmY4vbN0rEb7q ttPdFqASqXswjVCRfe9NADcXYoRztPRZQ3bfgecY7Vyd0TRIXFXFVr4dRbyM/JskCYLJf2CMmVGP xAFW+hCEjhvUnScQ5T6jcrbjODZr5fBNFEdneUJIV68MzcIkuARe1VDm+NfvCkayi3nvZGzOjPIv yCxmGysYlxOQRwhG7bJah6V/TQ71r/RDdH+l/W3nRJ19Ge99RelJnTChJv0vqRdnX1YExw0EsEVv gWNxWddAW7zy6pBx8rbZzdX2l+whAwyGizlPLrgQ1lhJlV4zdBxgElXNhf5HJZgb7WXqpXONQA2J +Qrj9C3mCV8Oe7w5OtmTNd+P+vxTf0SzFHMJTKEO3FhfqJwArHT3L9L+81i9bQjvXVb9a6m3xUQM iU8EkuZLL9WHjsuuTMvDCH+bP7coFSBO6/ovFeDU//fc8Si9mtQbgUI8krZz7x4i4/UyVTCNw4Fx TQHTB+mYIlUaZty98NX+qQN5d90LPpI6MuWpjnL/dSq54yfD9HTM78yeZBqO2FA6EQqltRhex2IJ /wVYiME3GDmGLURscw4S4GEr7ca4Lo36OJ8Oni6sfd+bnfK3i9jQXtcf0JcIu8uCJiWLU9ywb2KL kl6EqSe6xq8hZG8Ln777cv1oiImk9iYDCKI5ytBVYzLopnENWVWd0U2sDGXrwsiS14PQrK4d7tmr m5D4H0afRJXQpyZKNjU496g5OKVvaFHJreTQkIts5LwMKpK8Drq0p59QbYyvMNmMTGJ2u9gzJ0y2 Z4mwNEj3X/DI2gLzR9ktd6ufyNi4lbvnMIUbJhJg8MFRa5Q9fekTgK71IXBlz81umMrhL7uBqsFo ne/CUeBYiY/bDVYEz61979S8xSWbGVe0mVwwPmDdTjJZdhTXG/g1bUmi1rEzVrzTmJidlU7o1DnV 8Yqr3DdaU8mAxOymodVgk2oktdMEC9PyvHKObe7QPDJPvckuL0DdLhZ7kxOMyg5jlk8ImSVIPG82 VlVkELFr75g/TiBqSzjMAgO2QLIJRuHASlBxBucHUd8eIoXGIa0y/WiUF4DxdPbK8x+rSOrv9zbC Gqn0VuPOJ231XawmSCCX5R/cKyfH7lZobCm6Q1W3xUn6uwMAeeioAozYUTAmMzhuYK3XSbZMvTFD vwDRCaLUdUFMl9HDI2KCI0o4YdQGQqehL/DHqRpijb9aELjWJFT14vASHAc/FGCuZeQcIeHDsOQN ziT9laTsBJCV5n/xMJ5VpN/wurqOhJfnzUG8GuGgUawBCeyP3ZQvnsmGVqkweInsyKQTkiO2SKzY 4zNkEffYBCHxfJZJyz3O+00ax3i9MYoHAXgH/LL2kQQjgnCIfGqtzuFr5sspteF12nS6yHKGgT23 A9WxLI79S6wjB6S861I8cIe2gyhA8TzJFbK25SxJ2z9J2jx07SNV7luA3EK71dGi1EnzstVy+a6a D61HV+UVFedx54z/3xL03CsPMEy8bb+o8anBEhKzflspQ9h2G5enC9ciIVD6TVXlV7T/4UaXmeEH j47+YPG2gPcb0jw9xIU9yMeRI5774tDsHKt+3xg2rcKF23+Djs1HuXnfOWA0/C3mTmrveL1mvzKD xnJxuvJxPpfqhs0P3jlDWEMART2o/+Ycefzz9skRtEaeJy83CP069wqszeZVDWEW3FtxmgPRarPi DiOmVIX28Ieg2eK46FWNUOGBo8Da8DC5yHLvb6Y5noQqNc3wPdzJL+LgaWhchHvPPNyNGnT8FiVR iwFhRwtUtYZnRHUOlDs8pq30t8IfrugIEgMkkPaVGmzgoT2UpC+xO4XYu3dun/HYBYDjVv9ASg+e uI4xzV/1cLDtfLfxWLXToX79kZaLb5rcooTyGdpzsMp4WKQYshcZLnKM8T57TjlN0lGiG8Sjfsay spa0WhniNXEFh9BjcDGsNYPvV6ArLfA7rQoQJg1a1qU/WBBmDiiMSF4v7bp8bdycpuSQp0hY4oFg rnppxBTi2Sy/it/Oy9ar7E4zOJ7rruBLFnAucNYz1bXz9tzgwFnStn3aJAJm3Vtgx4G66qUykcNZ cvH3q+6gTx4sfnfMIZWR+LNbkFUdSeK1E+VAWt3DC8ZOesHNOYXnd9Stso7fJSrRQd8exutzPnKu 3C0MJ+WHu4TF58EwvNCeoixwAEPMt+vkWu8juibLkxzpT1Fs7CnhvBn1lrFhRbWT1K0DQiPoMWQL 7KdExrNMBfxGtD7GlaYCqOoriHFV4QbugrQrI4FpaPL7+bqFrg/qZFLmQiyBHqRAyygSill2PLrr xVXOilyE4uGxiqAbZp6+7k830F/nux+EEAdlNEgHkuNEzLzbgQqLl/odME3vLAHz1QWtzt37MX0X PL5Zl0AkC+3zNqaf32+COs8aj8JeL4shXwp9PXPcjLETl1ofggsa2qYQXPS2s9AgjvnVWQweBXOi IGDWppkaYVindZYtmypJPnf/2wAx2I4g0wZK45scE1JgsgVO3s+rVhKEfnxJ+wcKvOF9pjTLhYgv 0Tl6chzGM8yDMp/ic8L7dbKOfaFPsJRj1pvm9qKaEgFsrBXH3TNufA4LgsO91xDCnF6eGigHuTFv 3WQ1oRqLIFyZ9N7VsQv/GZaTj8nbVRx3VsSQN1ZIqh9aiE7Cw1se4zCp/lX265BGHHD2Bu3oWTdd S6Eqtdgrxcek+wH2UICJOgFLMw0+QaJ5vEkWKOCoMX38ojsfFdhW8qHAQZc4fHoM3qx21CwInrD7 wEc4lGTAeibIz8BqnnvLHtfgRKufRa7K4GldWOA8Hzns2aHg7L7y+zWshaqjdJtLbmYt4HbWcNah A6C/vtKmzWVHRycwjPZ6pWv5RCXFQJRZowyOJffsSxhoG0Ly6zm93+vZleP0xT94pXHTXFxuQQQ9 iSBfpgW+ae21lPCEsGZgx75FfeaAR9hJbNDPuxNmxttRbhPu5ZE1Af1m5GKSQ7gimqodthwzFQ8g iINfxiWCZM0Opr3dKoeJ+w9+clTfVCRFxnf+QXT5eqwqEDYP8Hq+VuFFOH1Sssuma7zlkiCGpn6o +kmQfCCRe0+faxfTOGP9PH6jCjrjfPcGu3UEejsnfwDXhwC9sOEmz7GPOBvZFFEpfvEIeoBG98ts WevcixB4195dsstMNvd/c10dCHkXplCqpT4kdcvfcwX28lWjWdv/qqmauiIC6w7pY3fR1gEJ4xVW s8tpXGiNi8dVD82LvzJ/8b2EqOf0BDMj8FrymyQgu9g0VuJwK/E/kYt+bqKQy5NxKMuUgbcXkJcT 8X69chZEn3PS6Fr7JMbP6tx3QW/n9Js6PlCr/f8K1VLfqdolZS2wuO9nXbIpx/s+brZBk4s63rk1 THlWYQzU2X4BKOToF6nfemDe3sftGPxUhFPLdGMOayhAzigeG9tFJs3twzjujOAUon/KrQ6VyO80 HWSMPoQCUzpm19YeoaEeFRfK65aKzOVSXItJA52JCbBQtwBoyUdQBwh9e+PATDpSfLSXHHt4+sQ0 +JqpCcVM/YxI06l4L7Q/AehpY1e1RsQLOsa3hUE4NC+5/dyK2uVr6bdkft1vuIigbMus1o05t22z 5XlnXKFLmJ/HkfgbdY8eJyys/9jr/YgGr27fmOpznARDee4oKvnWUhypr/hZVxrBTtnTvfgEJ1Ab S8QCvHSAZkqW2/b/OFWOpZUnEcDtBTkYaIrENv06xBxvGTMmAgGhu7x/gKlfONdSjy7UDI0RjZzE 6KofbCNwdHhXYGY0uk10PUPy43yxb/kdwfuzovSJy0ZAIZO42mnORWixJQDiSEHS6wjzjxkJvtFE hG5M7frymcqjnDBtnQKo08f1LDvhtMmmBFR8Xkud4XVt6G8RmK2oTy2B/G40lBsZnY9Ch+M0vLeF 48c3O5MQSgETY9OJ4vNxfE1jZjqeUfhwVGMqw3zd7cH1klufno9ZK5b5Hyhi1G96i9XVdY1Om5eb vciSbcSuNf1ISSixWLnU7XQ245mwNbuh+ED0cQi2MV+ybubEbsnfc2bxNXNRjHWcOTzcSRbXVN1d 64czdyiCquDIsyl8UoBuJXBaOqqvo3xiLKyjHEY76H5tjUA48sBTVZ0YB/9Wer5w5IhzimSIx/WR dWghQQuk2Un9JxMx4p+EkSRaNmqfwXzXI3IiByM1EYsDkAoUTj7EpkP2kijMXsqKLo5MOXJ408wc T3S4or96aZOWBPzEsRBsrfKWQNvyGsR/ACZP99H77Fn1bB6XgHsOF0apSZob/jxe2I1rH+EuRLBb l1bzR40G5l0OfyWI7Q/R+EIcz7YkmsUI2mzHfwSmMiEAcAVqb9wc+AGamAIrxKnnPAksaXVSUvvX 1MNtLFQEfwtL7QrNIvTRWnefj7EGFIQ6akij+4r3tVTc/Zmz3TONm3JZVgSztHpgdZ5R+eFdEduI ysK4fCfBuNZKa3fQe+p50C7m1cRXv1e5JeFe+V8/6SJsQ73LHLAToPC6TdnwJBVE7amDhw1XHKxG AHGQxyBOB4snWKHa5r922jSmORwcVRmpzulo1/EeesySk1LODm/VJdZBO723/5UbmBUGALhXqnvj DRSU6gDZ8pY4xi1A7ixxivq0fru0LrLZTLDpryF4sws/ENRHVIeXezsk5XlBZooQfgbESClYJjf+ IJEVbKrm63mOPQDtlKFT71V9TyyplT+xiofH0E/drh4FA47Ucj6cDIp5MlzCqjdwGnqPk0hiAAxA /LN3s7zGIXzs6XAcZj8ATh8kQQ9OKNRfjW0fAqywwbwM/H4P/x2xdlYhpTFEifnLuUsPXegLnCxz pBcfNy349g7CRvDIEBJ0YbNrp+NhhzsSoEkIDZveOC2AzHn2PihKXe1gv1trF0DibfXTYz/DWKbo SLR15FykydcfafiJ+ujQYIvOZyDcxqfd78PMvH9kHP+c9OBgbLt9vxURQLSzgPmeZv23STlhHgqD RChOlwFX0hatY771E95oSTPEhriIaUj/T4f5DbGfcMPP6we/lt3+T2Fj7bQumy+mg+gdSjSAzdZ+ wjxeVcaSeUz53lUzw4I76rncRgtUT3NrtajQMu/MGej6/jtzhuw7ChGx1QKtf3zOBKbwR19kt98h 5RHe7zYiw8fbkArzjbwPr5aIjikt/g13l1EqA8WCnNK4ZHFYi7VphtFqa/vjuzeyZDTfw+Yly2gT W21Gswe6FW2LCnWdkEdoxzJgk7ONkCuJpgM++WEXSU9fupv7gHOrPrCkCgRGRPXAnbo1/pOORVOx NyJTcCxk8vS4AcsPLt6xInl/fMtmVlekGoQHNQPDXShFBCWwSXtxIR/3BCHE6fD6L8PVN4FERMi2 S9nOk0m4txE4xxI0h6/iYPlevApvv6R07hlkfLvoJOhVpgVkOCjEyBjHP0es5kjJbtC3Ar3swFHN 7mHBkYIIvuGnKdX75LcSBUn5JGI5OYshDvJckrkey21pEPJ1lvjmY9xGSDUbjY0nrAToEfTel/Tl uharRF+hWwTDcRwgL6I9YFZ4WwMpm6x1SPME4TVpmwMkLtzlWk126kVr4mXLrVUpqIxAYkxz1jUk xWBAhZr1hnSurZAyX9jpfcoIP1TisMnLoGsOQA7ew3QlVjG5q4A+D7UqbExXUGminbFsTyorFTHv YQ2pybnbXVkJUtiqJ01P32KVVzfSk7f5A9A2fz8eEPip4MUxnzsSemqMmgjW5NOmn5vhBOBascKU fHFrpjdT9bErFkX4gMzqJvSKtbtNUf2HmHeZJssQWsCzbsVyydHHlRIvJkq/hiae2I1+vvttewYq Fzg0O5lJyFJP5B162fWkZnDwbHgFiQx5sNcsTA5N6sRTJItlRtpGgFqllYRT8QTRWPxtABAzTgY1 bWcQ8RiLqvwFC+axSK7JcgcMmZmeTdDIF/boiJLcEU43Lx7CNhUeYGaX2UmSzk4/0KvQl38sWn0k GPGzqKOn4GCxml/0wGOQ8KzKwBAi4MjuA7kVmZfVwSC4tgEPFJQ8617SKvVm8AQpbCuf6LgOfMgA 1BnRMZVH8SvCJ8L8s+GV+L99faH6Z7nsYn8VVPHG/18Z39D/wU7DKSCLIbGOAr8B8l/9m7EcdJf6 ifADOEV+aOhrCjw7nzjCy00x2WB+VtiGSMldLU9Ci4eX4VMn5RlrxRhp28NFcc1wpApGX2WNKohr gbqEWs2way/teCDwKHOFQYFrckDmai5vZnoELXB7na6uE6Sf5jTAHRxFZ3EPUj/CO77DsJR5oZeV Z8UB1C4kzMc9yWFPFS41teRja2TeyWIzS+8mYlqx7SBe5EwozQ9osvSe4XnbbmUEDULcx0qYowne n6MmkdBtmEnv031FiMKpwzTqW3tfEweVjqCO8y9WyRMM3XIWmA+lypI9Hd4itMNpeDz/jRtW3Pi3 Rs78kW2LbSB/5JSKC8ftPiDQosGjV2MZyAVpKOGnqIikqigdSdDhlYY8JdSjB+cCYvB8TGS3Dp83 pHyPueqUroj2C7jwI8suO2SCvpO8qy/ecdACIItubCk5QCfqMVRFkyoYQYjJcbY/JVtaAUbZjzDJ r+B1nsVOWGJFu0tVXJpaQpD23qBNZJxjR1Ov8rnelP5tWZC2EU2rZDah3XOFt8jbKct+tHEWO5hz DpS7U0x7P9gra0ffZJ/rnBCP70n5BlzRXW1+/p9C0kFH0aSbGhie4/sL63AcLtCsZ67TOHBQydTZ b1toSXfU7x1zyF7vxk8WOkyl7efsNxBxLs67F7KPLYOj4OO7QN5stFkvDA93kEKXYwqUqTNGHTxX G1cGO0I6n3QDEHMv8gCfeiTEgQnBXwlRc12MmemN+pzotXOYlgdeR3m3eqi6UNyrM3Vdaqp+DflH LqFq7U1tFhWfOBRvBym782gqaPD0m/SaRNLjydSqs1R11b+2ThKMxssD/GYzFjTA/pENJ7edYfDR FNNEDqx7qJtkO+M/aOdyXSjUuq5aEy4gE+73SRHgXd6HyeT+Ngq8zTWjwlaFfBXNv8eUZGr0x1wN RFpTIGFJhVtlRXe8EWwXrdyHbhQv7nP7ri1EAxl3FCQJxOnnMqJiTVgvbTdnW7YOqm67cjyUn3uV X5uFyH19BH0uCR1zoO7HbFjbp6NAj0QJp5w05UZhVTONXZZK6Nv0EyV8DBBzd2wUE9PFJyqSwHGh 9by74osaT0Ek00pnK1jBN9p7ZxST8vztN9MhIWV94zTzH9ngIqVjex5ITbffu64/6sP+MW/XANaZ Tx7wNP6Rm7DZaxYc6Hl3QpJZHcWAyRQTUWtczG5SqZSvGkgE/ntRlUcaBz0kVI2FYjPyLEdwNhoF onG1e7Iexgs9mts+hLxk/x1SvdvebqWazltAybxkDtIZqBBEMvL8Pq+DYRHYFt5A3avGpdMhpnlq ZHlVBytQv3thn/5A28w3ffR5y83PHh+OgY5OvsGUTgFoz31i4wGFNr1mnxsLzlL4xfFZsPYL7Oxv xKa2zKA9xSRy/vU/v3AsDkyTie8B+5OWPUJ8jJAtrGtCYqC7B660pOd2vtRUtRT7EIcVpEJWaIxQ yIRUkDtS7lLTTKtJ1/7tdhxdSI3w2NTbNyS+tpyDj90iMpmataJ2MoM0W5/zSLFHlbuoKzrie0zJ kxWIKpZGjEYUxHpaaFOusPgR1GNkf3n8jt4FFHOya+8yB2rjMd0u06rfFgErsSsSJGSCX42keZv0 h77V2lWK/bGnbiYE6b0pNHi7LKEWv7MonDMCfbQ+B8dgj3HcS7eElB+v3ngWul1gujrAnSV/2Q0M Y/5iXVzGAs5XABa03EWE6bJkuxsMYhfHK+m060FmPjxpxbpn6vyy5yPMdm4gLMEykh7s3YDqwmYl dHVGSsdyde4kLqWUxE5Zf4oeemKP9xPpjFmo0CU9Ic/UUI/mYl89CHcfjCpDq/toRN3GTkQer0KW P+trDKXuwuKDWAtd6/YLd9p6aDWdeFpZM9LmzJo88nB3+yXSXlpivz+oDYff2Hgs222aFk2Faqaj FLPGAOXq6NIsjGDJkd71eX6QYpjo4CmlYDqitut2+p8fHrw+7vJMJoXxRfeHb3FgErN1gJkgbzqJ QvXENxrCX6OrwtNAhwIzy8I9Sm3fvzBZCrLO8ja8P4VsJPU7JNZuJolja+xmaMFUMt0ZaplEoNbt A2RGYokzBBS891T5b/XaW7EET/QTpZ1dGrDDnXIfkZ2air8WQ2Ax2I7MlwbKnuVFDeIMTirgOye+ bZTGrfpFl27lorX0qPnTwYgianqX7vx3R2aCWTDw5atqO4NWoyuVmCBIw+Mzx05no3wR01GDGbEW 81dHC0g+3yBqduYOv6yvqhUFfi+t0fa2Qq9wmyHb3U3oGOG/7hqv31hRlCHqssWP+3HzeqWY9Iny C/N6hP7OAZe0f3/6cgtPpjz0EzWSvrkSy7g4R/332nB0G74uoTJVQPTUAR6bXdVMqQnqhBvnHR/g F9ciiUtfHJ0YUvQmqOcghJZq82uNJub0i5vI1vHchL2fuD0YGwQCFud2B7AfaCIygWYooap/PnJH NIZzT/QhS+pwPLX7Jw5TZ+drYe2fyIrW5TC7VBJKmt4ktpahVIfvz6WD3yEVLY8wQr6ndaL2ZeXV iuVIEGO+WmgQD7Uwni94vso+thU7A5KqiMAG54NidE5YyNuV/5tKTKgSxP3CwPHq2HixydLy+6+/ 7hfeGVxsPdQvi44ashstlS8GEj48kKMRsj33Dm87VbrdP7V4ACyELlkNCN0ydCPCrNkwKW2srZfb XffuR2cZDV5KOX22O7NbaTAJNwSqVbfF3t75uM7wLp3+U1IG4zyU/5I7Qd4iiq1eWKtlyGVq1tRe /ptpP9TFDuPS3aadr0PH7b/FantKOl1o0m/QVHP56LTcodp9fMqCzrf/amnqquZiM9pq/uyBsREl XVacFgCwsmsBS6CbQxll7ZwAu/3vIzdHL1JDkHHFQTiFvMuXSh4SgQZNvNUopVcUg/LsIbjbEq1q lIHNCxUIR47KrnN85xXTEu3gIR8i13ENiX/H9rDX0oHW+BVY2JzVFnIhwOciYE9LXfZxYznRA1Hi X0Bm1hJV8gATgnrZH0SN2D7V4NlbTxVBDlC/6LgsNqWLU92Dpt06HmGtU5YcEGmLhVopeWHnqUNr EOOUm7obrDm+sUYbph3L7tJkDrHn0gK7o8Xos72RrRyucO2mDDIvbdF+GhZ10W9u5tDIdmfcEb0Z oho/wvtlrzG9wtJr9yoa4NSwYogk+h2TsE7LrgJDbtADhcWIJdBMn91k3RGvHbaXNN56Itzbn2z0 NdggBh//pWwHHf/TxNlJhhPvHFczkGVabHz9vFI/nAccU9WIkXkae+OSKSH8v4XXJg3LWVYxJGSt fo/YAGBywLQr/JQec/SQk2wQRUCBrOo9+gcZHfiRUWyRwmp6NDkzOJR3+tzkjO/xMscFI6Pd2HVM VsKBuO5Os9AoHHcomJ4mMW3QnPP6Lf2QLX+kfMkCFW6jZpLSaN8L45k1iO81jPiY2C85bPq2z0qw 4G09SaYfM0wuLDVNcVjEoBsrssHTL5u7MS9czojpltZxFVbtRy1/RV8aemsDlfSLOYdPNyWhXgm4 dYI2g8ax969Ro2Q5xtn+fxzffR4Z50rM5iosseQ0r45IF7VRsEjkphCLDjEZiZEU20HBb9sLCrYn FW/vdZHWMUzSYOVGxTs71jLBY3iomcoOvfXstAPFtNy5G/tzwUfbt/p6uaIcMIadPPiEWm4AZpiG RJejvfRLQ/nZqTN7rESN7S5LwhE2dTQ6hRr4KXZKlQfMgRQLG/AzgNSXNCo/f8mXHGlH+Iix6pjm tXbOxYyvTKtpRlma8JJcAsEepn6Qt3mGDOMvMF0rRuvWqvd5pjiLJM2xhtCne1duoDMAoMaOwNWd vLLzpJhcvSY4TtE6nlpkkWK+f0D8t8rlWgShOFkv9BD7xoGenAoVPk+ZBkf+gr+45/n84Ep5hhCW Fof1C9gcyYAar5tJPo6cM+sFE42Ifj0Rpq8q4pNj/wSk/WDh4VH2+mKTq+rvhi69N8YYDZC0f7a6 IkorFJ/OHi12siffRv9j08l8mwXxR15Ba6Y3vUDthyegpMZalCKJyl4+eG7d8lYNADtD6o7wqYx+ jLCS13dUJY6FdO/0iH2ozXAOWvt9Tp4epmUldvTV0XTlfv6jVgrsBUjLFY+8mASVnaj0Y08309WZ Tv7tYMbA6BK9z6uHTKWfptWrXTzbTuH5yNTWHddkORjPejeQoqnGVwIZRY3wCu2B0mNVYPPDdvxP C9S/hphVrU0vozIALNt1RlT/RlFfN1BDOcuSlOnLkM2Y47hsMGXT6rfHPuihJrrWp18Tn6qCJv0h xoQsZeUeYS5ZWe/4zoMx9Ja6BSSovKoK9mwjICzD4RUIj0nj5j9rmhjPeLuqE8GzSC+IPqrSbp13 lr4Q6q1gfoDOpSYSNPrpVWRNHULOTmP+fVU4O1jpE7Wj0mCA4+zBybsZ5sQLBXho5jxhfHLsl6yh Isnavx0CfbM31Z6yXk7jdn8m5bZJsUfHQ3UwL6STqgiN56lL16tsj+Lj3CyPGG7CVEc8ZkMOxU0V ZbFOZsZIy/pLv4WGFB9yWdEI1XvtAwdaHeL6ShWzqr9ULoDYszkI/utXNwZWJGBN5QmNEZIexBPh jiLQxrrL1wXeqVXwrlt4dVXrRx88Ac++Now/p/JkZjDLd9O4GbQV34T4YPdTg1la+L7fFaNNlrTj bSn6DK+Dgt6hSDHQ8N/2rmnomwhDjoYhMwFRlifcRwHrnr69nTtK3o6Ox0lX2Mt9jTaeScQE1e8J 2qQOkk6SJcXC3DQepVJcBuBi7rNROypCQKBA6S1v8bjjcVBzU6RcSQJdFzeQM9LdAHYkPLQIjBoj OCrEdYHbLzXUh8U2vy1J3KhT3dGGEBFFTdTxtXxZOPPEOGsEE/nHuO2h5KPcZUdQZlMAhlJeG6F+ ac6Fl6Pt63rKwNaVLFHNKOmE8J3ZL9IBjnwZlYFZofJykHnz76Csf89WvAUx32uTPQPoSSqTuFTE A+XR4GRMM63nWR7SUYHe0kbUBZnmTg52Sz1TbrzYADaFrAsqHyJ7Woj1P2mou7zsZcI8xRtSMK8I V3cnliMkvf05/1U+WJfCuOT6lGL2wvBs9ClwsByetX+XL2TBzoZjrNFJZcVY8gSJKm/HyVTI0NI9 Gv4IzVx81M7LyHt1CpHcWy6CXWi6TpQGMbBXQAg8KNM4p49NKX/eP4EVrDvuTOyn3bClx+ZqWNyH f1agHBGMqdaoogmxbklstArhuHeF+OKiuY2SDIjRSPQoStQ8q5igW90nXtC8gvUsl3E7axPZT7iT JGR/g4UQsrXLaSVV6BOyV/VaD1uos5qZ2Xu0/p4sssXBuNn1FZ24F3dY46IrM+egz3YKeIEpuToi e0+fFdd5WTDgGdBYiL56bexYXNMx6fzTbsAu5z/YN348Ey9aS+oELKgrUh1+wibJqsMwcGkGTuPF n47Z1hXWxWJzlx8nflzyPYJUsBxHt2scmG27djTYFnzWk82dG9b106+w4BGabbnc9VdrJ3UD8b+h WC9gRRMlN+fG7NDWgEiiojuZYWth9QYltfpaj5ZPgTSvySX90MGCxKvnvdELC6YNu3PJt4AHN+0f 2Dk3O0qRExLmKi/X8sVI0HYpOm9vOuFiP1lIeAzBOQDQKruXGo+TdJ0e+v284vahn5HmwS3Fm/Dj gUIjt/8cQdNrcSG6tqr7KWcHWSOwAUBbPQQ/4cPKMJroaKI245v1Sfm0DrzefN0RV5EAkqTpWVV/ OnZ/k6btpJ/Ua8olqXtiwdlyOiqpCjc/oUqolLPe3tAM/eaWDge6SwbP0MYt9yzX5ihDhzA8V6ED Jmn+d2ILcEUeAOqc7RQp2Z4lNO9D90QxLff3iMMmGPI3kUKL7n9UK2P9Z0hIgXjKAvbwq4tny84Z dn/Yhscg+8N0a019wXFWXCTYhG+4mpPkMpMSrQQDPn1ACVX16RX+qCq8fbVjezRKrPiveHucw0YG G25I6JJCYLEKDV72UTdX6VUOiJwighjkOMO6EnDYoDH+r05ZJpjhYoQn1MJjYtNo35sKVb+e/Sct wt/IX2T2m1wnkyiU6oAno9APecczLc5J1TUIhgFKsldLF93A9N3sJN7I5rojj9xKQAu52tGd+kUp FIjb20pwGViw1Bgw7fFvT61jkGjrfwWDJ9hkr9oIYhnbKKtkrfRAuBnpvIgeMe9RFnDNtWDslU/a w5ObD9iOIqyS4oL6JaoFKxC7qAEaEfZylq+7ijQf+zKFKlR9/9HaMvpR1wUDeTjhMnOvBvSpHCP0 XKaZ85dUSU8DszWrsFSUOVOJUEH5w0MXxzCGYp7WzJOiKzz8uhh84lA5au0a9MVsjzAe2dDlxmyj CmjC0JcLUQcW662IWx/YJlxe1KfmChpV8mHKUqnnJdfXD4Wpihr2wrHm3o8yFOmmZxCK4SPawQzj eiIjqPSaQDy/NNsXskQ9V/US16+no5rjdbf0o7R9h9xu2xKf+J2xoJ6VPOC16jhkhGJBXnAbNblc VY/Xx8HYomOtwB+qicXxab0hb4jl34IOG5RkNPpYX4h+arsiyKIfD1ViuoXJeEnYdAjZuTtafvdA uzNYD8V4fFxhaYsbyBhGgkX6MXlO8pZLNKYCdMycMV6D1vO3Tvs7WtxB3XQokt6PSQELHSX4Fh7D fxo22qjEc0ifdtF6ZlehM7fxIUbkx+poEgxSRjpANH7/1rGkcU86gk5ekInqWQN2BN4/qIzfY8aM t1I9tK/2I5uQnSFwdt0VFT76QURRy5s1l9MljhU6QEueQZxBEKwrOQH5rSYfiQdcF8t6J5jaj+Aa ObU9lZBtVvslmJ0tLfFuNgxGKnU3LErcYocfg1d2ON5/eB7cmwDQe9+2yde/lXlZ36/idOtdb7n9 O9kOVp5X3mr0hQO5bX7vy0NM+HHDh+c63dedKsPaRd4ZHtOtZHZB+VXeVzqc9nltiY7Vq7BRcP+e k9Uz1g2ymzg2Imxe1FlNBUIrC8aehSnQLrgLXys94Zg5vuRrGnIzwmQcnjWqE0uYw/krmaUPS0WH EOEXU0pqi0ENOI1PYU5pL/7B56pXtat7GkbyhMwD//c0tbZ4p0cKbCK2Nd2C60fgmLJpYQddG+ah HCFJbEf5mFBTUf6M09sezXZ5cZ8C9tCRZW0TEXFgg0ASGhYug0oj9E2NpJYOZPuxHy1ce37QoVYv ltj8Kv3vd9fuf+cF6wmTR2HhqhMUTaLPXZsWEn82KuDauwBwgg5808d3Nri+tbN30PBNPZdesEKz hbHSFRhecK2L3QInvG2gnP8O0fEZ02Rtl+jRtgdgWLAWizCVQiFxBzH+ZOJ3TfGitB8MRqCRExyr CKQY7GaIiIsqngBZwptO+Dmwj7IgT7BQ4pMNN+wscCGk9M4DaNWo2e+o0yvVMDhm0MK5Tkli/70+ MVLENvAykXjNcSofCuvTfBSyRQ688oOW4Tz1HfxP9wBRzpK0oHf9mjeMqZ6ddUUUoYeDde2kF4nz CrV1TYdtwxqgYSd88xrAkSpn/gcCcNzS9EgmmjXLr9DN42VKBrbNjG1WSJkcG5EbQIWznb3u8G+9 3Hqn8fcYRgrKRhyQUzTo4DSe6WpL1N2PqeZw7DcdPNP28dcUfHIdekrFUvAqJY1XKRJSjJ2oY844 lkSeGm7wPvwIpm9FCc3Sv6uCaUdGcY8Dh4p24eQo+gGdhj2jKQ37l1uLCBkajG0iIk6yzQwLz/15 Z7iilgfPWOfqQA27b670ZZUl+NqdFMBUvVmWcNdBOLFMgHmnUuNinzeMMZoAXo1LyVYSgksWOvXw 8nZhIw2ETlxwLxhINpRwQSY1b9+kL3JGNzaE2oHQ+L1yCl9ayNB7/5rFo98fKxCHedbq3jUU15Gg guymo61mqsUCCwanhO6EdApdcT/EEHe2Q2bzeoCEHLC56LSouxEUSk5ZLK26ga/qGs7wgy1isLd9 XYjp5i5h592PJ2P36Iql+GsNuKfnvR/E6Bvp4gQ8U/jhyyIN9Q9Vpe8N1ZgeDqafo3u7iAyD97uW gF72mo57b2O7Sn1qYm/ZAsQLDiE8VgaIgc9sadHXmYul39jiO3lHeomXAkgpMmLwpQ1EjobcZ/D3 DSQdscBXhMM7cMHA3TRciCgu4hkR7ZKdXC2G2pNAeb2km6ZQ2s7cPMXM30oGADePP5DkFLvyI5Eb wgQRsZAF2nmS7U3dEYVhfStCwhKk5wsu1E/GY4iyndnR3EIJ/2bndxmzbozSLb+f0zrV2xoHM/fM R33j59N4qevdW+Q1xn3Qgitw9NerwI4pBSThLE0fEt+74RcF5hCjCnqUcGhCtVnN9sMUqqye+UNT QB6ZVcyj49LNXZEw12/rjkMBtwKabXt3c56uts0f95c7N1wnDz+dRMGNZbGpKwq8dLBf1xvBmdW3 IqYkv3wKAYh6istt3F2EbiC9e7CkM9yr1oRnyWl6qeFZl13fKc44mkcUx4V1mwiaJjCdw40w4bES Fn8s1r6i3G0rZ17MiG7jV/N9dBgulWKixgiFpGlsctRqbBn3Ka/BwuqfeMEjb+YFtyrzIyx9zsPQ 8UsNdVGyVrSGccPkLheCQDPRt+iLUKIYkF/1ML9taG4haWt76qFO/UGf7dI06uNGlkXdju+khXQ4 0PqsFqLFbZ/nw/pI0r+zDJiWN+wG58hlrEEp58e9sULFN7bqMDPG8yPAO9NpBvEWfCF6FyCa0oe0 E7xkFYM2kdz2OBVKbaiEpPzg1AljXX2ZouFq6FfMVRVrTm6CCF8eKt9H9bU5nlwjEkz9626wyrnn MH3mjwWeoxmoO3BxurMO2unVgk9GvSDOgLszjIeMoWjj7LuRA6PpG4Pta0f0b38Ki2olM2b3po4Q B9cfZzdYtFvsieCge3zrFgA1lFHWfpdpR28aLxqNfS4SFZ/3GYXJJbKL8pg2kPvDtSaNtXgAHRBr 7YpRmkY9ssAczUeviX/VD7vAMH78aSxBTITAiWak7/OBSWsZwDWpUiFCn1zM59hdJ8O2I8Bdm2z7 WzJ8yODjZJDI6oUTM05uX+m5saawo8rxkM+HhpmQDGZ6H4cFQCR4kq/+x18lJlIBLtzmmN20Nb2y MVXRztEh4osJS/B6QJvCCQVpI7tZVnpO641Sx1JEHam/LKpk1fb6H0A5HJczwjVbC7BjGUaNZJwA u96VobL3O/W8Z/Dk4pYDGqK1nF12vvQ40f/knFm2XintvCQGf5vb30nScZFYupBYlD1BlO5f2dO1 UIq6MK2y+STz8hmvSJXrrVhQCfrPtxO+N5305SqSTw974VFBF6g6T8ioz1PXm2so8ETwX2O/vKzk IIHtWhOYnhRJBnp9BW6Frmg4X4tLEGqwzDb8R7dQki2Auc95w2c0feyTng3/nxCNz6A/Eyi5LuY9 DeIDgQ2xpV0VjiUqWGESPHAgdhvFsKBSwKNYZ9mmspjFH4GBX0h8/2YHfTW9GdZHqz4FOrg4Gf1q Y1oSLHkbZUTmEG3u7XIH+fOXeDdXxfmXbX92TK6ENjmugCAFpkFxfyIVDJzqYe4ilM0BUvuAZ4tQ UR8oXO6zCs6jV8xASo6/tv/W4KmVYKRavizKMIMNuFtdk+cFd29ANDn3hYqbM9lnD+Z3yl3kAh28 06FmruCOLSVGtKESVwlCVflGDIgLFx+Knrv2sK4Zkpm7F6TMq8mxx1ekugL6BukfeTA+jTABZijd 8IucnB1AZsFwdL3SX6etj7iDSsBAX/t1+3FIhBNw24Ouo0Pf8YFO51bNA33QEyizmg1d3u2o08Tf FXecp/afj+MqClAuoZ/BF7Ea0DRNa509/XUVfz4M0pZpQlZ+tp/NEUnci51KpBMuQ5KjCtI4IVR8 oYiCLOXcmGlBKRmBH4t6vcZs3udbLMASpThGi+u6FzgBtMYFHsrS4mGrBa278OeFQxJWKOzWA/i4 X3zPmws+/JzIdtK9gJRdDVr0q0uYZfl6zoimfvVINQzvA/nUoyTWsenKGXVmvlL2+AXVGw1NsoQs zom8EIyv8sT5qLm4gpzD/NBqxG1iSiuY3r0VRQV/x9G5jK1ZMaxirOA2FIEY3JpxyfFxTimC+Oi8 9/MCzHpYcSpMaeZMpZem2K170MepaaStcLRD17yDQi7vIN4mDRv8gSqwQ7oQLZt+GwT/CSkyYla/ 5LUoURgtp3FMaWmBwQpjerwnmoO4oEDg48eRIi+EtMAUlQ5Hhr5c0QrGtff52p6d/CDQXiFQ7Xe1 HM0/7NAg2RqNZ0bZRebCahdfSzRq69qzzWesH0PdFxgwSQnFDMOOUNxQkoW6ckMIGXsmN2mrpkEQ jOFoTZnldRnWS32wYZjYBJyCRWUIrPPnQOnblfNpS1/FkMTggag6xhKrsuFPlJLNxY5YwlbD6pTn t9RcOwJ27a2ScrY+TCUq2DCdou7AvilEN+oylDCD7Lwfufprbi6jf8NqHeox7YP3Icr7/2jX/kkV FcdKUyhoNYJ17IpFFWI0s4PZJqgqHXsqcAcbeyJ+SmoH00MW1WXeDlHup6g9J/eowMDkNWpPRtdC Lu+MDqafYTry4bCUYCJL8EP7HMOZM5omSeWRHuZ2Iw2/Pmp86XL4ct/XT9RZgN+k4HDpKmkjgFbT 6n4S0UcdBfvdgcCp9Dh3ST0cND0tmHz3m21Ngr7CGpQrUAURk6LDdFclrO/Z1Tl2UdHXz7vOvCsH v1KdCb47Vby8IVHY4C5ogoAtDeC9M0sarjfwpw30/L/UxtGxg+wfquwWGtZqUTGC9IDTXrlojODH zYsz2ymK1jzZwmpYn63d59rS1nEs+3qTYQb9PwwjFw7KawZCHQNzu7vq+DilMa6R1eJQkGXOvl4E Im71Q4xK4rzoEmO8GVOS2ajUYEhqj4cljmMZunUiXUbkHLFaZURZR/nddub3uqkLCnFzXZM7KzH2 XrCTDp32LWZMs9qqfHc3whJAonntCiwU24akNlfFyJ+9yohoVsMK1E5FZRwvJc8kRaUoWm09/1kR Wo6FzMqOdaiQRCSRpgjNObzqoV1yeSpeXfWz7N+O8umU/5/5S+1fi5P4C2W8KhIuckwuPQEIzY15 xV40C5XViIy/bIMOuh8i+MXJJD0rl4tnFmgN6G7xiQawsrH5+9F4cB8OY3V/mghgQyJ0T2Q4+doK VlY8vGKFzzrqfSkh9XFNNJxMuHUMuhxmYdJfSPkZbGEIsksnZ+t0Ou2cwBHNSgAZ/6MU6STpyQz5 Ey3e2ok73pGZHm21BjrpWsnCCMrJHQ1PbsS6dxY5uGXuA6TtDgx5hl4f/WUHHrqXaN45y3IBVeHt 3J7Fv3UPOZHzHlZBOJq+32UI4pMhMKtxQMqxo3v4Tx/Mf0YkCZkUezVsSqhdZrZSNb1vOfkvysUh SL+yxQDRil+KPOF7jQNJF1/rjgGKOTzA2B/a4pzD5QuDpx4d559MIY4RySPthu02BrFlHb7W8Kg5 PyTITWqtY7B9t6w9xC/+Ns/haX+YS46JEZ28i/YSB4veZlxoOFZ9KMCSW6CiNsOV+pca2ci1616x vUzJxdFTp/qs+l+v4t+C+iBlrCooupuKyY0m/tyKF5yKL0tHhGExbJ7w3FNLc1hXl9BEY/a9NuzE SMIa7AweB8bYJuOmyynMUsFXq3k8+NC7abeLCObOH2nG0NdRgQXcwsi5HSiIKxUsL7u0W+g9y5a8 psXJcG2xrJCgygJ0Omu0ABvWpWWe7/Bpgn+lDYX8fEM/9Ugm6QSXFCb2k/ETc22Uj2ArsJgqsmyu hxqPy+oqSltyGewt1PqyFPez1hCZRS2xRqwPwyEbNcinZSIgYvZ0t0nbDtdMJ8MIAdsZhS4eslgk bv9PO6sE2Z9e+s1Ura+K3gnG4T9jgJpd0j2/lmSsdCmw4ORkl9Ow5HKZwIkw3Umx3pCCIuWyGBRC t2Ggiw1hw1Pgb+rmI3/HWZoOUVQqSbR5jljVdCdy93u/yaD08DXIyoOwftRUW2DXqAhN9hzWr1rx nZJEIkGiLbQlqDCdBanaIp2A4DLytW/Qh/1tgAO7RMnrjmFYzSfh1WZromEQTK/lErZ0M7eXLKL/ Y3ZkosmnV73VYyQ/sKfi8Tgut/RLRyZF/9jwWJfwfFEEkkxU5gPSxjf6b5laFWhUmmUJ7gM70KxR RhWrkb5gBYAHkSC4CFHxUwwQv1G7UFVLF6BT6p2fdVriLuBP05HunAhihCZZdDR4FVFavOahp4ib X9sFTiHgZDeK31aiAY+wDKJ4ZnPkmDaWj8wIGO2flQCjoR4uhgMwYA1JF1ckeAdht+lvNCQHsWAP fya1DU2jqjNbqoj/X+U/l9glY/VzcGNQejT/qRpAfEEqzGBwks9fLk2bWHp3H0s39uv21HOSQp88 qSS/GSlrVrXx6G9b3uLOzQofmbGRX+hy8tTzl/RPGDHUKLTyj5DDnWG5iRGqZzLB3bODDG5BqhHd Hd1A9lEK9uh+RAV7g9SIem9hEphQEp5LAJri5yr5NH8PDwxY2qtuuhTUzEIBmGm8z+jJt6uOCQ0h bM17pGfP+w+a7b5NGlnJqdiZNUV/K7MicYbBCQTIXAjHIbxD8tzetxP/TAYiQdVGzVWuMxuvHxjl IyAJUloCKDkFT1JuSglfFQ+in2s9Kgmgbu1KS3u0VltBtoLri/2oO8gy/7JQFlilnZ9JQWE/8WTy E5o3XK9RumJV2RwWp2GgzKzdQlZdT3lkPx0ZLo3gsMQ95E1Ht0kPOgd3zduwCT7KloQAp2Ruh182 Z+QeFurnmJH5qfwg4Vlri7iYkqBKzbkx+nlvQOlefvIFjV8hhtYO1tkuA5OUIv39u57L7tH0kqXs gISjZ9tqwsp7EzKjZUKQoakxMAIBKvNZAeTzjJ5rt3pnZRS7uNW8kuk33j+b/8Y+0C49VP7kFlgs DPxmSxdHnqjE/v1tY3YI3l13FIdhi9DcyD4jinH9ZiUt/7RBULyLecDJfaUI9lqZx2uk0ldAN1Nb cQNlqF5DV9OMdBglxSqJiLqqAkGpByDM9NXq8nXocqHqlkZwwY37hLwA5GWuch0Feaj82X7kJYSj 5GWmzHHHsnQsmdyb7+AJVpzwiKJJqYaiHXHimhe8MKoDaj/cUbZ023aSIu17/UvYHyMVlQv8/GKB UOj8rlPoV66JvcXmBc9uBgLMLHJJDOQhlxAsPR49q8gy4pttyyZKFBf4Tjo7wnKyfnTk6T0ENA6h PP5jknq5VrXBu2JNCXU/4X/0gPkSfcCpTGJm8zOsIsXKKCqHqUokd54/zDrtjfOjmSmM8HXYnfvd D19frIkA/3Kj279FMS7MUwfDrJx2FVg/3OpRVDY4F0ubGWtdAPyzXhb3Csxcnh/tct1QOiDWsJNc nO/CnomG6SayvoV/YbX/QbERlENKkc7ypRkTnl2ZmQAtsBV8JOjbpJ0w5yJkrO4wU/E8DVkG/e8b 01ueguyXIq+3fE8okNg0yiSoe7hVIPjCgk3wFT/+vZWCZaj6rS0SmuY8o8DnQGTCEJ0kXMpMxoE4 Z7stFiEvfMpbNzIiaVS6xRiK9x+4vjxctftdEguVI0WpwmZKiPbNcaWe70e7Jd7jPUH28QG5FvNd kvvnJZcg/4gexC+dfvQS53Xmq9J8pRknZu7CiHCVcWd7K4aUtkVo6lewFL64Gz/yCzOIAm/OF122 kql9NVtFT1RiWTVVyym65iN1xUjvvsRzm++DexVXrBABBH3cA4muv50w9hCd1RkVe0ZO86Q6tycJ 3VHakvh7DdRbHkbrJGBHJIacLnsCgoSwoFN1w1T5O+pT8w4DjM9hSro+GSKLvO7S//V9PuMAgX0g N+1nOQDdjZ6Est/4W+9dnUpTVpvmW2WTxnxEfw8gpTFsGVD/AmJGmHVmOdfzrJIADlkgfOKnrw03 QaTROCIDm2v62DpRd6r9TTEsDVmRTjDVAKsm5sWymoiElkT7ttJo8N/D1bdZPXexByJJ+rzda2nI uE5y/aLFaD2Msu2sdV4ebygTsmMf9tQmvkotV9v/ZdWRMF4m7G4tamEajN1sk1Me/XjlPcsp72Vk r+1jswz6XjOIOx4zgGg/bT7ERSz3UcPR9vRCFZhay3DROk68/TsG7bmTognZ0QrwTXqkF96vHK4P G/BchHbACWFr74sSwplu7mXaUichwpl92aHOf5N2NP2ON2pi1OWlHES7AOGjv8dzy/UKOAnFs1Cn kEz7f+8kGWIQfEaYEdWQL2iaoXi4HRb0HvIPa96XF7d9rXUVPjK7r1uFKkm+61aYfq8kdaOGOtlk /CjzflwybbGR8aNcpYOUnuErfwIDnqxRKljEEBFL7GeE1lCtAGetKbsZcNj4y9EAiYRG4V1scjyx Qr0oilnfNlkBn5ozLdwYPmf31xUlY0zPdEmDX5GpUKCb9RYtq2X/chrXACzD5x18FsHfcXjZ0U7X lF5ZGPH5dSuqmelScnI3M5dJAD3hRYQaI8+JZwTcpmSOs+K4z7DnUZoAJHRW3lcIIZuPRbLpjkSo jbzESkCLnzABp/KzW0Y971yXuWTAPJmsoQ/j96WGSNHPLQVEDQKmjV7xWhEBvjW4Th6gtWgFYpJs 88Ne8R5bliVhUOXyyh7PF7v7VuFR9IU9NkJoTCnkOwmG24Fr3sQSex5QR9ZMLRzIdVpbkAd9shlo dwcYroxnjnRlg13t4H65Kc/QfmB3bJzY1NanAdQvNrSoT4CCXrUMV278aMoTetL4CAT0ZAr5HaZa PNYB5+rgwM58rT8BMT/HHsW44LgOBPLHDtoNNcYpxXsrIrTohR00J4qPS5nWZYA9P/slJDaf8m0C /S5kPKdJ12Jvp9iLEU26mK+uNR6IFrSRtopdf8zh34UcVptWUcnk2N97xXwTtynPH9kJtT3etODA emFUJjdDCSL9hbw0V+18OCLbgr5X2eoIBipQIMqYoduElG2B2RNUwjviPKarW3zpEF6EGvHaSh8v +kAbsmsSDMxA70wxrc8QpMkfNStu+XwWqMC6vQ4bMvoRFToMVzFaqryUgKU52xngT5r/cIPrXhHb qCuP9sfOqW+E9sTzAhi7o+cGxNjji0qe7LLL08bXsAX7j/FhR+tC3lZjigD8kArAnJpbhAhoqnJk sQv0fHZweQPDFqKt4+4aDMlHB1/RqsMDl24LG69h1cZ9UBsPA17vvBNrItEA/6whpYyCFKvKKTrN yhANo/gmI4O9Dd2uaKGE3V+goDiL+u+vs6DwSafxbI3xxuycphJHG7AEPMfEfLZYFiZ8cWPty/Lg irp9kFTaraZ2pAeE+/6EgJEgkxps65lduqJkIehRM4C4ZWmbQppLM2rc32WLpbluCaT443nacWeR cJyqHngg5JL0QaaC6mQXckjuoA1UmtKCHP7p5AIbkHWrOQz7ai6vkbjSHNDBJStEx5rhlSCzetOq nu4L4hQ/FgDoQPhC/1bl2EMdURdAfJo7VJWw5Q7M39yZcj7TuVOWGTM5fswILF/4mmu3/M1SULOT RWX/TQeXd49Zj8zeSECr/PHnwtgI1qWRXdkoO08f991RZsDPt/4PLIr3zqoON8bGwqC3xx1lKcNL 3ItDs8v88/POM8UthseGiSucFI8jtADbXTiQhJfa/egHvuJCPGeXp9GSgB2R3yV9q42aBkSCLe0N 87WQSgg2ZE++iOYtiySlIHUBLdHNK+l1x6ieW5UmnDsYKUCB2clGMIMcGmg75ZE+GFjcJaiM85W+ vgOG13zYi83WuPMCSB29sCsWPbfNE6JKdSJPr7o71BfmJxIbAd02Rzz+h8Fza77wUqssVePO+oYb V0PWgYVpwyZ0Qm9nRt0EqN5c+ERoTE7A0a3wfrmz7bQGg3yhgOtCGaws7QD8mMGwLjglFmJ+MCKK 7y9dDLlCa3vgCpJzZUUz8zeFrCm2eoaK9mdScM8fMQo1V10/Qv54/VUmneaaWRurl76UOPFBToBs 8bpg0n5ls2TlZgSgQy6AHHsKEypIW6MmoPLR2fJ1TCs40wuCBHqBzo79U+i3+iU2FWuLzqyT5tBT ttMETlAX3ibzYDuAnPxqA7MhtFigEq26LaD2rdQ3KKhDgHmQ/g5Lo1LJyVDl8k/TJdPnD6kuDkIj EKD+8i9AEFDLYczrJQxLOGM9o7/8NPPib+EPEgn0xAOqDrVf0w1x1DVxDotS2cZoUCxaGoGmVDF2 e2D/PYWe6782tigfu//g15ap2nZbe9Jyhn2uUm7SxI1vLqdBD93rCmI6Yhw0WPfU7ikSO144CRs8 xJxdy391mwPqP3s58ALOu2gwuAncsxLkE88EMxgOBva//7F47WEsC491OMkEbqyCSSD3rdIcOJiR pFCGdd/fN/DJUUVDhBjKwNawYZPAB4hrMhZGyhrTqPz5RNsc61whBQJ6A5Ha0NnabEQAD9pV5kaI XdtZ1EMYIaxETMdg7ffdzlVrYgwaG1A7hYC7kbq07olpeHyJMDPrAtd7F2zefzaWwf6V05T3quw8 jTV+HAMqbbAazdZhg8VoSsI22HApzC2g/svMY5d6oErOBAqkaprM2CYsehiYGz8WMmuHXAakIfRw rnCK3zstnyYGti3lK2dIS+/+opZVUsPjSGn/sxhR0pAG0Qb5dimyKHNDZs0oOBdlu9Xlge4soyrD XCkmXFlDJBizA+qB8WN6LOKS+rY+3LTqpLPiuan17SXNMkNlv4wyaAZGKR/ZOAB4ZUeaQ8gZxf9/ uZ6ODBtWZiM9LpQi8e5cvbBoleZ4f3FfY9U267WULXCnUYflEc6XGpjFk2GfbE5K5TCGZAMqgHOB bltrz8PqVQRDFgug2Xdyj4KzVZfTpEd5vZKYVhqBd+lvAuL282V4B99q4+tIuuEo9twoz+NMj+Kq HKG6/1tUIYvpA7PnSqy3P4O8j+87QFU4ReLLHKI22bWxWQfR3j6ZulSqGYSWyF+ONK7FJxpP2mZr NXxOKjrzg9eYpTqS7kiJaUpoYj5N/+SS+yIuprxQr4gqD+pDHCFJJVhhWv+myr8vmaKm4RXisKU6 oyk4/R/mcHEJFFhuYevJGjkOUAuoOJZtsDVFWs67iDnKfSl+MRnnZHIR8JrWAKmeD4ntQplFe3zc kIKfdUteU5BTs5dhfedzC/+sKp0UaX7lsPWBXDUrgpiSuStjbBFXpzDIHo1ifUoYLCgCaYiF4SFE J+wsC17jNhxb/YAYt2GhE6Lcxi/0Yp4J8P5/SfKNxowxae03WqCmB1xUROMj9x6wMoyZmgWL5yfh ktfguBc0oFjw17D+9t52zqNAKICK+eNa+2Yvfur+UD0usKPmtrQfaVD9JAtq3TG+l39+4mZM12Sr raTcTdiD/IaNuhUfr+dWe17QLBWVuMDoM2OSMVJVgLf8MbzoCRmZfah44gZU5HGNpwUpsSZNINah lNx1m5gmZDbUaaNnplpWrrzrmwRBR0GafgWcNB9e6qZJJWwwaTfU84cWWtWNBR/EHEC6xvDdlTwK keZ8Y3I8T0MT3ESp0fGFrl2PRICPjvKoj2SP5G+6TGL1JyaHBlO8AnE5vUX4umvnoQAvNMcSWz+k yyjI8Os1oTtvBz3ggDEoCrmFG0kydpeu5BFPKRueinROgAdaTlQ2nagTkbU2kDkXRVP+qHTS3lmp wBYkfh1lqdjsj7XPQsyvAycp9k+HEiqb5c9GnyOOp/vnx29omrhrMzRm9hLF3mv187i+xsZkft9d UIq0p2yYa7yiYg5sY0Pxb29RuqoT5rTshhqG+h+KfkJ9XwcKj9WMRc9ZKUeRy6+GLhpmABwsmbDc PVVKujymFI0VLS4iqW9+IPF4vyYYLrn/JjaYRo2GYBBun38TzO5tyndAs867n0M2ArrVw3diENXg aiEWV/gLom8B+AsLIEqMiFRG/JXjNzwmcXuGPsay9bnakrvVI21k0ClyBQ5uTRzanyhDjhY90DJn G54txH9+OmVDjZfatB7PEP7ENOwYCZaKTIlYhmzcFyCq9iTP8ucuV89zCdZIIaVpsHy4lnSrK3Ka iBNfTrGflCwHc0VF/pTo4PfKGNmDxxfh3xYvan/qj07c+OvkB+yYvEZ/KX5Dr6qRfzGeg8LTae6C aomkyPOCLAVBzveOFBT1mBmYoFMMmeOn/0ijhxQEkQbrjIBkRJ0dumPDZ8orvTxKER3rP7hylhgr AvJNpc0CfJCfA+bYCKg2uYk1XII454lgtewptSBGgB4QZQlXdFtoM8ivxisniyJW4VFqfrAHhZs/ XOf84wyi5YvBm18MFmVVck5xSGU4bM9zd7Yiw8fHnK3ZY6b/oubj+uGK/91Y1qk9Se+8Q6Y6NQsO b1yplalSA51CRTJe549Q5kuCsJmzvPW0UN4iEdjGL+jGieiAlAmL05uyJT34JYv3sMKyvE2+4EMo XUAcGaXS9uLaLwUPIa4Wcekr5YjeWL9SMN0GdaKhqibkirZEyWBaWQ1YsViV5uPOdvwmfKOyIHj1 Gs+D4ttR3JMjANm7mnFrgXGX5UmWTXqabIXcpm93/n8hOGDQzNTlEWW/9Z8V4RJ59WfZtSWfOkEZ dEDcSYwmG8bdQSwg1IpEVKLiwpGTi0FJ9gIKk0iznsDW815CBYWEjjdH3BjXvXZqAlHWNMXoAYv1 pDMJOnPC2mMwSHGX3YfYRZAQdFbdWsyHHCpulj4e7pYAAtZpEWhNaHRLPiund9fSMc3ae7L0TD/G 7Dh/uXSWZWtw8eG7jfnxpLsq2qC5PQCZmTaymzeRA7Ihz3d0/EUJict2A7phISE7a8HeVMUA9P9g fFJShojQb//vzvKHThHmSIjNtU3L+JVcAeYwTbmczXMi4ty1DFl7pURS7iL0o6s5TdbHXiHg1Yac 16317NFXuZHywGOMR0ybHym0gjSTAD7BDxkAiBh+QxxIskKRbmmJ6ES6/wQZTIcjfZSd20Utfe6n S7GhPbuaceXSNNA9SnNMUw4OkiDFkrqef2KLri7pkfD1FIl2l97/y+NtD5KR3bALJU8u03YSYb+d QtPbvDsvRfCdWrNO46Oz2NXUm0bSDOeK7fADDqUhPxbp6cHhYpQUKNoKpbyDzjn2hx/uVzsyv+Lw h1fL4r4lf87UDieQmfk3mJSl4GsJP/dRJn2M1IRevAaqAIvAXtV4PAPX55pU+JT3JeV8JrHX5KgQ tvTprQPTx6d0ik78xp3/1+6r3kgSt32PpFH/tyIKzlMaoQelgrKRE179UQw0ler2QJXyfsdslE/D AkSk/Eg8OGZD0GtV5lkuTqHkJcPWcpxwFiN3WACxXTlVFx8/tg2Nj9QzHNlzIFdlpRGVKB39g6sS ek0WiIR8/1tW4yU2AsBgztj6CHJZ0YikWNtDe1RtaEipLRNsiWRI14MHuGRLxl1ZY057hQIYt2qq lFQEaJPSbtofmFWzri+XqML+rE/kFF7D91tPvlQ+O0mtMKOObpB1ZB/q8Nxznz0CX7NYHkXl+zpk Mj6E5TJRhR/a3xQpQAb+xtyiE8N22gLdajxNz7jIp5BI4vrCYcSSlcLda7yunto+ZPWKm3h27ZQ2 JEVw+47VjJ3fMUigwZRur5t16itoH+QGO/EdEuHJ6uuaRRoRqkAA9jPd6gZ4/gSOC38oZmerTmUj cH3EZA6bc8ILLvdRF76t1+U2XU45xHRop93+dJNVRKvDgdsOhirFL7xze8BQ84MyCPwgFluvrfyT evshKhMVvaxi6rYqEcarjo7lCJm6MckL1T/PQD0+1Kw1W7L3zFAsVTMbQzdw5u4ki2IflCpDnJat IuQKGw7k5SeZOWxBzKZzk19kxILdbApCLP00dvyFLDSHQ8xzXGMM2Qt4NHu4ZeTZ3oDSy50XaszH iLluRvHr5DH4zBq6gMX0uPUj+81k/5bUc4vioCjEW+ZE/3+Avf0EXUqNB3m6zy/+/b53PxkyPXD/ Oa43eYbrMEdzc0j9IqTipncIVvgQAjaVFvo7GWiBOpIMEAnqTWlgSKpS54uiYAYCQOJxtqRGi5IJ I9iJH8s2pjJWg0cLrvi4xPB9EZSauFeRXvtJ891EqS9aj9SWjPIvj6L021qbWxl4jy/hVunaVrHE tkQ+aFpNANRzAUugdmUFhyJMAg6UNR+o9d58/4lVctoBp+dtz+kDk57kmemLaqh98WGypvigwvom 8H901yzgaxkPTWmBiVBv4g5r9mtnuz8yNdGq/fQW62MxiDMNc4Gl0OYG7Luu32nvcnmodidx4xHd 1H0PpoJUdBwUw/nm6Nwwyvsv1Is6PK9cmbRAOnSoCXvzOmbaR5aYY8grFKKdrJmJVXEZT3/OW7Mp +hgYBY/eFvhfHJYS+02dob8WIz2q/EisM1N2jQdaIQoXO0bVSSot8IT+B4MaVHv2xRYVyoJv2SU7 yNzo+oI92h1FL6KzsB9sllfGRI1n0Oyc+FUSDeXC3cRuFIYt5IvmVgQ8aWcDRQ/mjOeCvspwZB3D Tdwu4xZQAFPxYK9uWFJ+702s7x1XraXNH9GQXuBHgjPNaDdJiQGRrZoK6iHAjkUZ2yrxry6Z62hR 0e4pMbPBc8FcF2sL1kOFi3WzzPyskhfnu1+Mft062sUMkKPwqfF+SbfQy87Rg34G5l9Fkqq4Wa/z 2zuACyxi/+DkvPafF7nlY7Z8UN6kijtJWvqJeiQWDq5pDUBHF/LErZDrjJhuzNqxssxZMVAx8j4/ hddcPaJ7EunApYoLG0SWBUhIQbKnKhCVvonP5AzCLgjNzdS6DicLKyFblbGGbUKXxkKhN6ZlIPEi q8RrTHWbWXGh4XfWhArXbrazsr9oFGG0uUsb1HGR/T/dco/M7Vf0qhcXWFGAuTCHh8+izEGRuG5U fIL4vMYXEMBYBnR87YaNhOYvC5nPuj4opoBk1TRJkH2QSId1ST9Y4gyZlXtVOYEBj8JeKLBYndaA 9uUdhtOQIJk2bujN0cKP2uLqQJC5iKQ4V86MuC3KqbAOevu87PjoSGehHfh3ShEVemX2K48C2kBo gjiDihDKyFazmDiNxK6Baw24gPxjH9AnV8nHrhEL3slnBb6JS0Q5HF0qH97UaNYSDK9x/8HM2OQ2 PGZiMRqw/vWM4aoQHf0kpn3K3Jvd/wTVQqF5Z6xznQ4CVqrmJ2CW9G+AnY7aj87dWc73DRV8Vczy gXsLfsH/oCBN5nt3QLTlX/bE1Sxg13XyradH1D8isUax8mncarykGCCoYfQf3C493u/rrrNmO//P /rZUNdqaThQ13rNe+rvlU/cfP6EBVsmprolVkRLIyX7cowCfX2EyB9ZVteGN72hRfZATQsCN7wLJ DbD8qtmKepwP3eoOOm5h8OZCfkLqkRYwLiwmobIAuqj8mBokSV65lSWBLDDVoQpEqBc5Qie4ohlX Pojr6fi4IqQ+Ge+sbufFd/X2qQgxdBmT86Id0JDvpunRHLGlk6Vh8pkwQ9E0dLgmFu3MmLewyDyv H0oBp9cokuX43GBbAcYjDtyvbHRagJLmoyABN3b0AIfGDw4y+MD07y0Pk7yzwLGP0ydrqg9Fy45q IIodCbJ/lB4fsYzhdoqEsKPkQlaYvtt2ZtqhFNLl1bXScsyLB63pY892UtdZcSylzSVT0cWgl25m jcLprLXV0Rw3k2qbVKksH0CIPk1gykfXJznFdQYTazG8iaGUMKcPKYnJxm+XBMAgCKjG7m+qXAf0 BoGqrUogZYUn9GSmJB1CyDN91Cw5QHKgnV9x2I8WLpxOBeMvl0yzXRJfh/9+CcU+lHl8lHATPB1W GI7eCvbgL3EAnbdW/vZDW44pNr6E3LeibssTYnSEViBQS1p1pmBi3U2WjxfggNhPYJ6Fnb7AIkQg QvPwc0UnsjJ04fXm/0UBm8tI0vY9ihJMRYHU4TPdCC/KplBr9xyFcLfbB5V289Z+PjwyktPLw4lY /8LTGx3lbO6x3ZjS8jbhh6v0NnstRAqz+azaNrzplSKzcBQUUQGFpfpsJSIf1039jnjVVHoSeBjd WBcvT9aBTvGrsqDW02Nibmptp7IhO71v9i6bf/5ieIEEoZJIKh7fWCEnrrJu8dPoicdGEZ8HUQsq dEXx2xxLXoml4MYIrOmyCXOFe2ZIYoeyWM4m+ZWwZFzFC0bVHLNTEDG4mlzWZIbNbarh8egaF10h WiA0QfrM/3iIyI6xH0y4T/FY+U2NhmyobRm4VhGlfXzDFziCyDysNwHDRcOB2gVd48xOeZRRkmaW 4JwLUkBQ2h83HJZKFmXp+z2OQRCx4sc0LqJdzsvioSxbnJ4vmxDObkZVyj8E78bsMBtUqpnjaVWK youbhJoo8rjDddKnO/Yt6ZvOwiSZ4jH91on5YBxOFNvwCqyJgAfR0O9g5drJzFzwMf4zd4F4lOk5 KpKcqFjMSOCXstXuTvy+iX1QAKmk7ZJC3hyHjHEN9gtKhPl/HZUERQPWRpBGJFhW6ZDLoY30zWcy cWet2FCML2XoMlMY+bXi/bn9pMLLzkJrQuxFoCASIYbdDR4UG5n6I5CM3zlUkNiMNZlDTPRJrquP 8RwQrJl9rSYwQe2c9otcJ4OiSn8gSyeiM1nATd9KXzO0Cd51vbIsJrYrIJ6CThWgM18iNfhe3NSI Lmr0A8NtHeMeT9U9omu1pc6yK0Qqr7Zdplg3YQxCzriofs3cwWqaWy3c5mP5Dx12mPXSyVCPbU/E CTs/tj6zhUZvT9fC9IaA5t9SEOr/BKtTw+6bExQ/vEge0y2x4A0WEblxzpwxZ/wAK3pueTA6hTUP o2WdjnadJTgrT9feDxcFyO31r8klWc88slfNtmDSHyWKE9/zBq7GMqqMX/cCXIPLxOrjtcWFZiYj Vw1h0BPcfQq8x6OFWJJgo1LezeRT4wR8aend5QxmI9k2l4KMi3DohZZCL9GHUdugt8IFGEM56dbl Y7JwUXytG6sLXDwqhKlg1PfRkkFcHshSV96hEBb1wG3kQ9GecM/Fn1likFZeQ8FwIFb+pfXZgSh3 I3cGBLYciddvgifw7QU6dVeHarbj3IxbinIlQeNEbrM5I9t8Lx8pFVb3n/1XG2Y80WEkOuyl/Foo OX7h8N4OFAw7xRykGUuM8Xbk0TCD+bt77niti92+dYRyQ+r3KwhHOi1E+c1cEjWDY86Xq5jJVAb3 BWT6q+XsfbRzziH6BvfSDxoovxWOpmvxDTguPpaUaRXMrzVZQVyGVrn/1NZXgUa/C4x/qL7YGfUs bnE/JKqShoTi7eWfi+T38LZUbM6iOHIUwlCk4kNYEBHpfK07vTZVaReHuY4WStx3WeNR4ehwhVs+ a99ugbur2bZM3ZROIzMWfCbU9KGjGTkxnqsxabMNpvWHbgEg9vDfXaUtdNGufMOY2vH1fgtY5nv1 s3lMIx+DcQpF42G6ytiH8+P6GqEJYbrjjn+7Z8UILfY9OkHlr80EfiFjVVhOQRkTwdw1hZS6upLW lqfO7x1YlLAjSctXPRGbL+V7Z6PJfZu8EuaJ3QpiEJFWUElao896lMK6L88pj+lp6X6JEaZEBV2U Rkwswr+lxP+LPCQMVUWXQ28mtSAdou65oJTaDb6ZECmNn7O7bAmzyY3CYMeU4hBbuSsLIPZflU6z IQ888d+MXzC541ojEr3RcHYR8iV7O253HRg4npuODyl8673IDTawLciV+qcDeN8IqfFKDbPYnBWf fzvR9yg0icizBPNJnKCZFv5Dr8xyAcp9ksYZ6wIyC2QnXBI7TwBwGhRcMpEq0fdB3yqZs4pX8bMp KndlCd3JPKoHFOo+fcrgTDNFFwwRgXk1Fwsgv/wbpUxOvoOEpZez7vu43zWsVUgsj9R9tVDEp92K NIzMuUhkenERWtv939fNpvpw3mY66M2MxfXGHA/tHYOSQFZyfn4QfynVMWjD6TooFDsdzDRBtaWB DbR7wMCQ9BIepS7t96ZMP5NlYmw8AypnoBJeGVCD3+jGgISzqHKB89RucTmsvj+nv3quhRJl7VwM ZGo8E/ktuY/A/nXyM3MJi/QmZ7GLKLMX6J+z7zKvujxwn1u7Co/EW1uqhU/e/MxmJiWEPDNyzQNU im3UK+qddm44RHpKjLUkTCW/sV9umjW9b7AEPKBU4nyJS9bUAO/5ybMqV2B6AOfF5sgSz9diAHHO 0vtyHAt60z90ZGeE9GlFo4kBJ6DgaM3crWX2mI3uetUWr9cQzjH6h50Sag41uurekoe3THRO5IcM 1bXxY1aKdz+jOBY0qtm7RDojxclyhXm6HjU8ev5o7cvcvx698L8CKfcxftzG2Tcs51261qjXvO9i x15It0BEUe96NrQYvdv/3hFSElTywpQawIgj1K67kogLg2B1Hm3t2q26GuZ3pLUN/q4y6fIeiyMe 3GhzKCwZ82/FL77FVPWVoOIXD3FgbTuatCWXyCgnUSHCbt9PwHwp7rS4vjAvm1Rnmy4is/Q+Buna lncLcbn7XAU5IR/Q/+4zira+cM2+VwnuG9sBJmT+MdzMXPE96pvpIyTx9Zsm8rWVuDeBsuro+FcJ l/IrWq2tU7oQJ5DymJ8sLCl6HBQkMWnIXIPRwK7m6XEmpgBF83YzkcyS7+v3nMadhhbwWedbcqhX JHkezLHB3Oh0U2lJZ7Rv7VqykFvCN8+1/LA6andKex2UhCBSTNR9TE9KwSx5PyFdwlXzj0jGyet6 ARevQ+FiEWp6kpDw/BT/tGim+Ioea3reMUWypHyYIyiEkhxL+QPTCKCYjM0iTKNovpe1fHee6azR QgtRDqNEZU4y4GlEQUE9DHF77O0kadajI3GEwsACm8ThvM06Uy/9dEdmZxM/NdVcaM6gqVYn1HV4 u+Cq9h/nCXn5EPlSpUhLlv4ZZHixmmKbBBz+/3cIXKvbXi9IS+B4zAcVYhDBBE65ek1hqVaVIGX7 96NlAOjIlQwqzjWuy1N0BpQKDNm4U8TM+OYnIKPzMfJbinWG2iisemkoYeSfS0kQmNFdNugNnEaR HGvPSrD2mVI2sHZfZBta431gW9iG7AYKRPg9PFb/geXS03NlX/TyNbhESQK5iBQg6m1AEU1VAvvy G/tu7TCMglAq5v5JLy2gSlV4aN0EosiqbU3hFRsbcdzxuLe5bJMF33WTeNRoUcw4r4xf/IhePFHy ROulyZRTz3MOEWjc7Nq8xGIAZcKn+2EJbPRRrDDjGyPy+uC5zyPxwipW3+12sorDICbymVgwbnhv 83AmuESHSJ0RuiZ2D24BGIQLZdMbbu+TPOhB3Bsi8hFB4/nekEy5mPRqQN1iXuWxcOgM7ZxCkUtD DcxDhNOlHpjrM8OjxeQUZHrrrRX7CbLfrrLTaKfOVLnsnIMd6LP5zA18/HjZPQMpBIlavB/YtaC8 AkOVCObqHJEKUqwUjQsksw1lXyPGH5BQf2D2wk/GL/j/24I7Y4f2tHQoL1rWLyXhZPzRv5nfUC85 7D9T92mKG+ZVm1rkOycbqqMryryC3+dcotqOPXg3aAtUlUMThxI9BiN28UuB0VH9T46DD8K/ztaw WNRkvj6LOexWVZJp9q7QcsaVeD8rsUuhrsxO5IhFF4FBxDU1MJcVLmclUQpMOMjfTP0rKvLoc0MS 44GwVszJ1h22r+i8KiH39jBrULHmpNdEn1l11R+Hx50QpoN4HSbmsXMWSi62EGkcg5s9nqIfJ7ha EDxKD2g/NoPdFFF+dfBjvpWnXtLKaewP/ClkBuBVbl4BIlLSF2hpDtppPYbgbKCB6ug77Es0GVNZ WSkqagaOWCqzQYn4eN4/nxLHmWv7SMsIeZ+COIP1t304QX4LJBJuI9vHC2iANcNRF/ItWy5YQunm sn+o+rnBd/AwoS9gI+rxGcvRwrH63EUHqQ7VI/AfVM2uI+uI/eprwoj1xsYW84O6s8tzkqlB1Agt pveswtFOx7VOzE+juWGKrP2RYlvIJYC3FYPG0SdoiQh5Xx37YCgKvRbL9utG7rHtuMo90fOoB/Dt VS3EoJHjEr7AU1VkJpVZhlegSF7oBP+ba/kfrk8z2Ubf2mXere1QsrZRUzxzA9RN7dNtFiq7kWus r510GrE7lC+EGwHBiAK56qJWaKvDfSs7h4ACSCjK4+HG2L7SFCludTv1ggDxBkMuoe7qgZzEHud8 xY5fdboHp5upeyhTMq8NVn5wXgh8PJC8kucsUerJHjmNYRzXb+G/ij+KK6zaFp1ciJdxERBQpgOZ zDZYdC16U4G5s+iwoB8rivWJQfgpYRXT045yQCB+Ze+eX18k53dtenxEJnnfVMtQ4IUF28Yr2yaH obg4PNC6nmwMWLISDTOanuuLD97meK1egjbhSya2I4MrXz3LRr/txbuVuZ0+zlMcxpGe/WaeiIZF m+swAP5uhO+WoCnh89uZ75kL+7bC08rAW+1oe6aV+T+nF7OljWTwyf5Ej9HpUrUw90Fl2ZLdmNSX ArRMPkmTLvactBFUE6c6EgANCZ7pzpvRlpAXlEL1PySR/vcOGGiwYnhSPqylj2F3WckTlNSx+ixC hKPykHajghwm7Lp6OeQcIp8WimaUDST0aJItnU+xB+SXATvywMmltYsf19Eke5vK2rvh2XJP+e4O v2GE55w2EdBweBP1zowo/ONmrowtLmTPKGi892+9YcxX2cv+8EMu+yNch8o+BrKKTe6o5c/Ef2PM J7eqI47P8njW0Hqk4IFH60rLidzbR17NNnpHbZZYwwr4FTb7tjygvyzkyrVLUFqGVjxL9Lonwhjx BtUnBC6mOxsExsaR5gEdiLKaqwtjNEBRRIoghEwOvoNBn5VPU8BLo2pAEFzI2PbXaKzjzAd31n4y CeMPBJRGmuP738RM7QDIorp9BmbKQrBQ1diA8fke5YzKFWkvb6HecLMJFBSrWBkLjnldUB0Dblv8 QC17kw6/09RrnLPwB6KJwjuQSpJzikalU1TSRA68lLuxoIbPugfJnxM3FXnhhAHCoRFcXRdx1cfd DvLz7wkU+6xAQKM+6H5VOHaaaoZj3t5elqUF7QV4CYlCR+bGc3ojJjOigoRxMx4BXa/B5xCG3Jee KGwtIdqdUvGTllqy56fhgl82sbxWS0ms4y22jJiNvmAPeuCKgZ76KhjzIK4q4LQYy6FmI3euprny 4ALw+ot5E0x0MezWWgGOPrg7GRqjFg7Vd7LAx4PsaZTK5E+xmnXfz9iq0zapz82h2566Nji/0PZu sA+zBO0JZhvYZmT1dlwS9FtxaqZo5rSBw76GLLWMAzCZcbWtzAw77hMgyjKkr3nqP93vU4qcmPkD RPqv+QmMeecois/PVr9P2uWHFFgAaCIz3GP2CF7YSaZ7ClpkVSIfYy/2n7Or+NK40l6mAAisi1hG N/f+GqbeoRFhkH2Vqvsff45bdTORSaGG7kenFS2N8U/ME7U+kncLxUlioSM4bZyEUCyt6KrO4Se2 aF/jE8G2lb0d7NbE2Pzqs7KV4sP7Sg1tYw3BDiM31haFBSLN8pWemMbj02KHpsqMWCxzqQojJdSz SB9yGNilzqdtxbBzbf5G5w7I+lKn9GZIEAKJb4JXgtpmkqUC2OOnvuN0Oy88n9Eo+IoISr263Dum DR04EJiYq/eOYNWKVdMVrRMaIeSl063R+9m/LU5h3phWdLGJtoN6R6EAKVKTYq0yAzeOLb8Sp0Xl dfXmhhej5JzNTG9tYkW7i03z25Z2X6U0cSPOA+JG3uVw4SgQQmO+/9DoGwwFf9r3Tp1T8wpCQhoB dYBgLEspvK6ac5k1KBkWDOY7mJ8+gedZD+HAMts0TMidbZuCVHjwhKGElXkhckfHQZe9Dugtcpa/ 4W2kl7hLaPy7a/ZG0jnjlLK1KDtAOe9NM8K1tdcwMlTh0iewEsdOLWjNVlvd9XdFCS8mip0ltHse 42Ldr+2jQP+8cOwlw525igspQgMQNOXFWZi7ndtw6yuz8F3l5fDeGXAkp5NLoDhqI3LT6hsujEI+ KFSbnnfZt1rL7MpX/5y5Elta4wd4dFvWssibU97srQANjapXMjXtf7sV6WcnedKAahuDolMcgyo/ jCBJIwaf9Gzz+bufWz/XqIC3g5xq3cNI13t32OpUB0ruvLcARZP+K9d/2AkzWSo6ufeZBAAErwlg NJF1qM47I3Qorg4o5IOEDf0LsCsSm6zfh8oTuvJxa1NBBcKxwBRrMVi3zAdymh0qfijVEODwHHOM fkDD7N1l9DpjWez1yVuGFzMAYQwq4tqWIy4xa2nDjeFdVbcybctNcLn9muFb1829WJvHhqFTzYcU OTTKLN/7iTss65zwYm18FgkSEDY2dLyLWdgR19kY9zAbtjL0CrOYtUk/A2hlmmyR/TWDTjZ6CEsV icr51F3Oqxu6wgVvTRVc0VU0LojizeCOLPl/9l3qLK6fDP8ERWtwC+K5BPxGTmTIb7Fnj6pbh32d BfWEIhP8qt0SCRCvUMidcZimpCjtS7h5kqGMY/dB4ifCU/TC+TMp8VGHuVWNv4EJ4+zSPX1ydKxy lrSDRH3r1Tm29yMJvXzYpi7NyDBzM8mJ0UQpIKKFk7B17wPIGUeOsmi44tZh3xH+6j/tYAEuDSQr Oipc5W8TrsS/dO22hMFulRCCZa8b0U3ZfF7WfCpscd7COtWxNJKPzZhYYcZAbPFMdrBnrDWRoPDI 092qi8gXKZQPwC/arE11VDQq1u2YX+dmjoZORClTUGp/nCaxFhKzj6PqWi0CyRj3ccHz1/BKTMda PY4q9CIU9BjDCg2PWjGhxKT/EHdLI8yGyj7xvI0AGDIZ7izEPsJzmNq5o55Ym03uub+WFFPW/fNi nnmyXBz49Z5KQIuC4VrY7vIuhVhXvzIGfmiBEFJWvumoYcbj+uItXrUvGsjY3hWb6odU1YEcufpT etgoOflOHwki7Z755xP0kqDh5AH6n0byzgS8fi0L5VQQzR/YZSVWzHFD798qC/77Thphw0I1kqVP ufsOjSEePnpKAyA7eDlij/MkQYAp0f5qPv2gOIJQpczDzJFn5iD/67TNwqrK4feqrL3H7oJEXQN1 zqFzUnWQgytA2oDDuAnfxNstlrCTCffDAQivaZz7qcr5zsGIBTPQv8Atcn+xyGyWiPtKBNmrvMR/ bl/Om4a35qeYMvv/qEIYZ65lV3RwIH/kg+swFLtEcmvDyZXhGDK1oa+obOLnCEmI6ngOjDtQCL0j mWhn9+Wgfh1FTSgpkz/nJ914uu4ayjKtFNLfCGYZA5grzFqy4+rjr+a8SZ3P8OVRa1nIwFL3fI7f RunZOZKiX8hPATmtP+7IkwLpOHpVgA9erb+sQgNpigwDlE+luxa6wD4jJug9WLg7LQ9VsSd2YvOe Zixk3y4DBgdFvp+FWwioq0UjvFoKgtYm0Lq1FYDx3J8/zcBDC3QiLIrEXVcDnFIb/MTx3Ed1cCZ6 opOjBfjcrSJ3MKcbEnac0ymqgmCR0PsXDe4AUqowS/sDO8uCw00Z8XSWU+13ZKWrhp0EVJFoJ7tA 4z41aSD3VSIMfjAjA63XRSP8ETEx4PjqvK3Cz9tRo7V21I1CThlNKJ4/2OgYIbn2zfoCXYZrBEc8 TXuRPQSFbJj9l8pAZx5g2bJCVGQ+FnUQ+S7oMxjIGXlgzhcbu+DdgW4njBEEg+0URFSUFStonPBP jW1aWZ1htNAW32UI3ekv37I1MjETgmuqvc1J6sZnY/FFVqxPD3pMPCK3pBQCoy4HwOY6C6tRJOAc +na8s8PeHI+zu4sjbaaam4UkcN9UIQjFKHEYbmz2FflTLbzSXe+P5bl8VHOYkydUSVwUEKFhpynU hVqyWM+Y8z7JajqdJFeDMZH9i84dhBLfo5TGpSk3rN3Hxdx4CdwU30MzG9hXoXG0/sd2/btZ9LC5 RxqbPxgUv2461lSBGysFbXgjvlRdFeLo63Q6LDZdA4VNO71Id88HPu+7+2MBixmFgsp7W/FQ9rGH oCqxbwenRfM+rH/2934qx+khEoQqQYt/QxSHinHUvlrKl44M8cE0DYzAhvmKx/lqKTcODTZJ6SgJ l5D3Oe7NnnTydpqJgsuMKBsK+9J2ta6b+ie7/Uu+uol+MwAUlTOC6h+G56umi1QeFQRbxxeQQ2GQ 3h9KYsw3ctlO7FE4D9PGdq2wZLhHWfKkxRHtCsFTRrQjDOWjxkGdIH0acEG7lZg+K7uwGoukz4GZ nOajqthT8cl5D26FBdBl4a3zczimF1g03oWLAg7KSA8kpYzAmrMWxKTH8gGhOnSF5HBHyvKzVEjU g74OAcYRs+RPdkHmWMUUE/BbmTEyIcYVZ4p2oUOy0zO1n3IkrgSuED+45ac+WUft4tNPQYkdzr9b e45gwJnqZwhds7UP6jyjAHka4aLAzeDI05Osd2zeMZEV1iiwL+ycVsxpt5RnxrMb1xpbzBwh5jtd zzsJJnUUoBmaxDTPsLgnmoyc16OoPaZcQKgCrGoKXGK8wnBlILbPQoMVZIL+y8itCkCHo9bfstp9 99NVT+qBWz6MDafsBH4/EjT7B0fK0/ffIN26XkNPPs2KdBTsitRJtJGiBlNlKo8yz/uliMaum0rQ BdnovrrtYjQgyvIs35eOAB77qO4v0Ud8NcJOq3n5uzH2P2Nu6SRGyfZ0oAY+rl3HJRmFeX2mg45D CUnAdbHttONhQR1dSGm329YXH+oEPkwVs+nvZUygeUkeU2YS93cJzcVBOJZhdnn+jqYrlJ0HEGCx MnO9TbRkAFcsn2SqLrplEyNX8j1A4ukRppRyhznxO2nwPSu6IWnlGvEqH7nSjTLVgD+6ytU8K/Un oLNISqPMTZ0dR5N0MV3ELaCoDww+GMkW3gi89wj88MQQlTUMVNbab1QidtuQpQVadk3bYe5NM0K+ 0mHVQTubFQzTw5o5v40iMVBLxuNkDSaETSYUmrzMDsVcLyc6Fqjxb6OEoSOfhDv17K46kuL9dQEM MJqdVZnH3Vmz/RSbwY6uwB6orskzkOZsFMZW7G2YBSvrMhIFaUHKzEA0chBb0krxxNHC4os/pUfw wv22B8MmT7TvHRH1g+KauNQzalw5wTqYEvBhcc+JQkCkaMAn54pmHlWF7BirlOT8AJY6IjXbW5PZ HqSc3wAwvNuTOgA8AjObC09clxN4un0USNpWy25S8sIGqUIG+CBMZxm+TvMPTq63vwfWFskNIdDy pwdK8Js9xzzWDeY1DWDBDx5/wYYNvMaEaNIHTladAcMALjGG9o3yV2V7JlfAyXHTSo8dal+J7U4F EDg359Wg45BNCpyWDZfOwan2iriu/3IYR3O10piVU5tKUIFm/vQ6Agir2F1chWvuRISNXaov90NK lAy1yFLwzGVOEnI+mzujx/7GU1GlZxjXP/PAnqbgNZ0C6/p2s2DuG4tb4e6hgQRVZ8pw7DfUoIYA ANeiUucLgRBN4WuRP7XmIx26Z/LTkoo4J32QFy7qLkBFrVoj6zCxrxNQ3ox2tFkmOXePd2Cs32Xv xBEunS1utWpCpsf86N7GNli+pUGzdq0RHvSFpyMS4W4yTy1FihvrCcwie3WdRELpn74okh77ST2i 5qfe8HzEmHHJD/Cx+d3N3v0ykxw0HMVMB+BwPwypKWtq6HAG+5s9/3X7+ChEbPTEQJ+gtrobfizK /674B8rs3rJS+/cRu26fwUo3JUC5LPpoc19yo/Mcr99cu3bBP+xDvIH9SVM4aI+12sWTaarsJKRd WU2fs5RwPI3AlUBkRAPB8Yrtc0dZvha1TCGTwGux4pv0DCqQ8+QYS4Uv6GxWN0KPEzcMeek72JgF lcBClusnevnR14GBOsKtXLTxlCOTodtZs9T3fR9t7JutAXmOST2gFIdiL0PwhKHZCNqkfkHCkwsO SaZAEI+GGU0dhFfCT4WYIsNtuH+fKSV0l/fVLzYwPO8yp1wItpZx6h24rlFt2nlqLdvdTnvSYBYc Tya0GNGtTsXqUyzDrOYPFRiCSJo8gij4ZoOEblAJmJTlvKV+jsJsW544HduDYJCEzFHCu/EvjogD +xxUXFfQ8jqP23wGx+r86U5Lf53GsUs0/TO+EK4T3eUOAMp5hQSc3DO3zr2X3Od5w75UUYgGoAMa nSOd7u3Sl3lVm/n4D9D1ivqX2P4wF06Y46ONtmPvqP17DNZ9q9H/6OCmcje+xQ91jfBySuhWEy5r NT3aBJ0WhUXU7sCYtHyFyFzJu/jcxhbLjGIrD6G2ZuSj8sxvF6aeqVuMDDj58uwYZt+S7b4SbAnm /+wIaDRuhW0QzUv3VWwgdCxFa5sHliyjLt+u/REjtFkfxwpH0YrBHiVAF0U/dhM9zdmNSY+Uce5S GqDCcNWxbr3tXK0gryCQ3yOcRVUPQ7WR615iJc2B5446h1TKPR+VIoxsJ8XhKDOuZugbe84s2f3L 5Z0p4vK/cW3GVV8pqJ4T51Wrba4Pjx9GaxRvk0HLQnXkM0ewwrlEkkA8PdZGy7ivz4R1y9nRB2vE 0pF5NanFTOdycaZ/PDevmnJMe52yPymKoBt7PsD4mqscQZ5yzc5YbjoV0BVzW9ekWRZPJk2r5m9C UI1x0giW38sG8jQqEbkstZHoG8/SbcUKwxp+Dea2sLr8Ida34fxmGrvLNaM+AluOYK+G4dGkNpT9 WNCL/JqtJnWWWl/5NAnherS01eYUY9RLqDpIbGtWEccY70/lPbaX6DIdJ73iutF6p701ityz2oLy LB99a+ATpjenf3imkkyIR5q8Y9FKZYub8Bl5t+uZHRqD437qBSnDLbnI1L9apNoe3NC+5sjCHm/m zAojvz8qyV4ZLvM2svGpG6pUlFgXi/OG0wcN4VPSwMBFZuzGo34b5qPXB6N73XkC1hI5L+6YTQge /ii+6kZJvrBvCPDIQCv74lDJPqDwpi/P0kvERaVeiAXWTg1l6v0jaEJc+zqDN4+Ja5BDCrUE1qV9 Z9Qk1Ot2+74O2/bA8M2Nf4ooAWRdNnvTia6bif4BPi/nMrYWkjl6nhPnRz6uwJ1R7VGptRL8amrL ftG1uahgHY8UHza86q2N21lI6uuTxCuWbXWRH1XLo3waTtbwpbMBrZu6ewA6YjEDfxQCvH57IWdo wvrVLS6EV3POFYS2+lCwzLwhNNEpco3e0ZXXzgQjrZOZbGbL7MzGJIOkhzpE1VCuEYlzm0Ozy1qg 9oZq1LkCzMBVMaKbvubiu45bq1nbH8FHCBBIVA9RQvLWDbSmY7+i+a2EGpFaX0zhBYwCd9x6uyAp o9DPT8/MrWdWFBDlsfU2Cg/sr+eMI9EV+v01tiIe4Xt27l0PNYOUGD5WazE0TM20xCQRWFKJT9gv 1PZv0EkS1EGQXuej+FTXRqBFvL5+jVos+QnjMUWI4g20DKpYJzjphyBgl4ezqMr+CHIcAzEafAJg PyElwG8vhz8zzS/2Zr55O4KEw7eUs7GhBF6MCzItubi2vV+6jrZzMDABxyDIKG3N0Ms3MdcYbTTq cqcaz+OxtKmPIRtrJ4MlfI7Z5h+/g7Ny4Hhh67UhA29cVcy767FIZgXARFTNahvY9sGepSE9j/KW lM+4b/xrDNqLxIZoZ2eI2nsYpa/Uuq5L3+lgPKNMlnN3DNq2YsDeSB0wqCcJCzUe2E6mg/X1B7nX leS5zWrjgsxB1ic5f8kGEOjZftj355Em4CqqU88zX+M1nAYo2r0R3vWM56a6XSyQSgYEVhXbd7zJ wTC0nLqlt7SKqmHr90l+KL/xmQ0h7Yy+B/UAoBVKj1JyoTAREwcVTJqGV9ovOVXfH9k5JLfNd9ID k9yMa6wtdNqUkQFsiycD/cXwDn96HIeSDIE2ZeBVQhyxr42PHbSMpg8jQu7dje1xviVjoqSSbVOr Ugfr+LZlyWDiBIQD8O9t3GnGK4FPzc2kUy4TyXD/18XZBTaGREtrJ7V4j2vEBkNbFdNLJlu4VYt4 9BZawGr7w3OGFwokydKPPSePXLkeUsIG/tbVg9MI3cmzpZoicvxqC/UCjQ4C5xT/XHGNmse/tiQh IwtCpZoGlc82TUXaNpIf0BP4tNsuv7DQ2+/QGLey9/NCSKAxTgov3tj83lvlDZ3C1cBUwR6DI2yT 44hb4hnRweepw7C1DoKwdYMlc5+iY5joWEa/sCMo8p4KiVN2vTp4eqdwWfyecOcAsg0XFrJxwqZy s33FmWXDyWY0ak3ed69S6u9PXlMaTYnHpNLAknpAelltmC4644E6qjNb1cRJI51gFGUnIcpSIjpz Y3ehHa9sNTyrewwydQAx1wIP+fSk8LtMd2PLlIAXZdSJKZ7JZkGAoObsfLMl/nhSDBuDM+jhoRBx fT0GGw9kjWfXa5tc5wm9ipX2Bf0SL/EMbFOXy8Yy+jLg0NCPA+uA2m8FVrJWc3siRwwJ9lVOSJmb rEenpPOJtaMs5aVfhgPeO09UJpGRw5mHrk/BO+0FzQvfmyqF2Bsw7ULlNKZTWGPK6zVRv1TjZt6F UySz30eKMtxUtbwsDbmy101HwLrDu1nSkVezKFrzQSgIf2CwqSyYG5Fb7VY0d9rhR0CzJ+YQGPZq ZuBoM/rxP7SOOPmAvjfMMm0v8GHmJa2VSNyNLZML2F1br77Xv9PFA2lHlOKKFG2EbSbXDjPjTFsz 8fqYg7S1zrxyMZgGBpaCG8Zhdn2h4p6YTEAYEyJNXMEZSXsORgULfm9zX2HfLALXBpzt9VQOV7kR YQlDwrLYGJLPwwjKVBcC2v1/yyLHkvEmukYSOfwXkF8XGNOCro/EGpmdFRasgBb2b30tfwK6zGNz R8seAlEd5Mtq/Hr09LqpFAl9pVWMZJYp5NF1YHwK4wCR2TF4Zlwmq0ieocmyihbp+1017zgv/IJ3 V3fLrpBA94dhdRxmEn8MO5tikm2jZeOIIsCJ5DASigtmomVnSzfWK8EtObmclyNWeqt16VdOsGgv wmreEGlQOOrpIe8bdSvyzwFJixoFIBdpEg8ZiqO2wn8fH5ID+BpZGHwHjZEeZtRKVV09y+57n7mN aA5AUO8GuHZPlcmAyrINTa/mASY/o6bF8Of9CIINo5PggKO/kmq3jAfXnDzA+3d5C4uP/1kY4k3U d9RtapedqvfFPXteHIVitixNNoNOc5mrcYR9xu4Nz+D0fxMjOYCwrj+TzyQdrPFhdFMn87VS4TNA 1+q4uwJn5R+sojsZgRNZrnmPfT6459H+XaDUSBJRAaWkeZTaYtldZPyWFWEAo+a7fdbu1sqbGXCW mg7bbwfUYne6/jLoq7owsA0EJADyZL/7Un5alKll1iP7jU9vFn6u5BDIDkpHE76350pzmd58C5aY oFYlUV0S9I4tX+oA0tFalzuuV+CCrqcwjRTr5LVdgReoDSvY5tDpKabKlW8iNlNAzIKK6SuvBvyz hu1YmXoVDpJtFky8F5ubCu9eBlGKMdoAguqnU0IR9NYLdpQUNSoSx8FQPEzNH8yuULqOQX9t/1ri TtEinAOUqdYrP2IUCvO++S6zWY7G5CEnTpAWZ7wlKbpOTeZjBDnrXbrsXwmHQ9NIT15afoEakDdQ S4SNVy5f4u/8CinarCsWHJUmCLu1PTSWQC09xDaD24lTpP5eeFO3Mu0HcqKDotiDmkKdXUA3YqgR y/KR56X7h20r769kCcRNtN7wP3k38ngToi0J/xkUzwZlmjUVuCzFhWsSQugok2ValwhlLpu+P5lg +XrMBvQ6AUo2+h6sTFRZq2NB7nP9OnB36mX43pya6H5Ml32fEAhLGDPaiwkQbRkhBgYBnm1RtrSX UPUOi2Pj3J32Fv6nhIJeO3fO5kjdZUurnZbWf9dT7QBUXxwiVBfvoKaUqZxrlvcoMXqxans3dIK6 Lmikdb26X/ooyiSsUcSsD/k4tvT862OcJIMagoywzGlDWDomHD14YQsaY+wzzSPcvRw1h6Rceei+ tzgH5WT/5JDGxx5zd2ruVwANC7WPyQ9tC00hzNNFRIqhgUZbPNA9+aZnY+S4LC1rJQpqmWXGHn49 2hMo5msWM3bGxPsXvkUmfN58q4kk20v2lk5Vjs1K+F79Tw4+kvRkyqmUVhtGn1F+m15e2J5VdkY0 4tIy/NTyBJiE5gjVHgA8v5ZRFZxIyrPvNG9ezJvO19moDIgK8J7gr5im/pI8TdMFbgSpy3xfOqUN AMkwsYh3PcUtXvcm7z90NcChWkdK1o5gUJdzeF0X7D/l6se+GLuYpqvQWk0K/D+kFRf0w8eZ21gY LYbmko5GtS4TWyOl5s9pgryhXcrdZuLvqcDpXnuo/oqTbETF99FPA8nC+uJzrutrJH6fI/uiOclF OKEAXDbtzCWttGEMIg/KHhA/DRHVJaTlnS5GnTgYvnO2aGjXiJ7Ybta+J2EHE2GoeE2iI4UqNIi1 t1jOX/ONUeEMkPoforpL6HQeKdzcPv3xE06KvbAfzksZszoSiGe89K6Me8fIrWmGk4FoJzG/nQY7 lCNZ+oFFjaPh0cqF02kb5CDTZ1zzU/s08c3hlY/Uh1eyiah2n5kta9Wxt2vwIb0C1a76qorZlowk Ei/qb4rGcZARQShdTRhEtqSpwXRZ4dIoMlc/HoNikxUMOPO/6hs0ipLEVtJqH81uQDueENHbrAEJ n5CNDb9qMVU7CbOUbQEXokd+3hYMsOS6CqV4CmysesiyiJOhee16YaEkU7ebIjxPOtURNsz0g5la iIoAGG4SMYYsu9jhCtscopu82kx/esEiS0VxfBM5tvIozyqRj1BwJmJeLqhVmtyzRtuydPB6Yt8J mrsfUaM8r3CK/jscxyshoEIXJiXU+lZbPcOPk7ffczD9yXBC01T7hJx8ZIRq83zcnr/cph4TKwrt Q2Nc0jvvHVz9x7/ffHmbpFovwvXjCzVdqdc705SXn0C7qZza332fXqDqSWyrqSxN4JyGz/ZQBXKI e1GjKwGuhnbbC7j8ApGkKfZCoqLcV4RfxDrsiwvAt/YkA47+ZV7kV1GLk6egmxJNUo6s8vWyXczQ 48/f2WhabB7vJpbjqfezwJZZknQGkhuGCapyzGYfrwse/gbhtOsAoImRfgQL6lqUYPrp1TcqltSO bFlzMEjtzsb7Ag4SSHFaIXXSvDuDkHlzu/N0UJ2wQUrJCC4iGwBL+k/R5xUnRJ48ZOyH7t1ckw+3 NKwB/0gcUkS3nIE7Buhu75sbDavTz2g5sWmVosLLWZmouVn+ZowyKFFwoSGMx+yfVCIYsYt38UdL i0jwp2hWHhiZeWDbiK9+WMPMf+1Ubobr+YWShuzqLsNa7T3C8N/6pNS+QvIFAv+N90rNWpkB1+Co 4UcGAP608j85L9GM4m4j4qEJw2bYSfpDZ0AY5XsHZs99SxIIFONcRK4GwggxQldl+NsBviYIFpp1 COnRp0ul5ABxQhzVQ+iv7TxH9G/xhUwgtNPxHIl2EzTeDvw2CgFuBzK0Z+U5BDZAeeGYiT0ecEjQ 3Cb79RDL6kgUQKeDHu4oRZlsJDujSerbj/GdHwRahbyMETK1fQRdeUTeyaOsANT3Qn5NhG2Jyn5u KLaKgmC/Aqz/J5wcspLDPQt2tdkl+foBd3WNy1JNMB30rV9010v9yJOSoPwVsJzfcCpf/LMSr7ZO +3ffPtfN9zjIS5VHMXwYQbR+CyOstsPzepnkoFzNGtFDerFI8MpoGk+4e5WEs4TgnPBP+t7NCXms 4J3kGIHPtqZQEeRWPkzgRb8N/VY+B7meL+xeyWZFkkZ8Xe+Fe8BlSfTdryC0eptd1ZO8r5w5qRTv ODqLSK3nx+5J9Otl6/BLi0aITR693T4NJ2iYoU8kUmR5S3kZX2sDAor2vvaincc0pHvw13/KE1Ek e0m949JvuNKVWV1iw8d6deoxXoJKwaVytVpjl7czWfFf9/SXZLBMTJTnFNQNQk2MvN/LWbdEK6Jh PhpjkugwW5HzpbtL/vDvyLmR4VV+ExUJ96BIxatmAIeG9DdhiYxokDwDjSjk1Ab0/LO9RPMp/7Hi Y6sUfIk+2v/8HbAA0KsPxaz5pE5oGRMcW6TQZumhXUCMOqa6e3VJz5ZlP1NTrgSj812yjyL5wkIc /wM5tL5CdBVm9qPUGW5e6luP1r1bVfpL3ao1cA341MMXFvp3wJB0XuHmbYCAK24Ea+C5rYexjd2k ZX7Okqf4C2rHtZdE/AP70n3xo4162w1RZoZps7goXWHd3gTCvi7GVHmtATrPUDKI2bmwFaTartFk kpX7wb514TL5UbgIN54rVMzlvpbnAIKGnjUqtMhmZTx50YIqTozeT3nIChl6qLu1Ez7YwcQQ80jS LhWbkAXt52MxLvkybJTv5qs0ORcR2cFBhOXc1ZoTv7cPqOb79EZ94dq3vuEipFT/cI9W/7BESrYW Zd04YvHBvPNNmlehm4mITR3wz8t3+vVPfFhq174e1jeNcQz3iDVxRTbKV+giCkQg21XRWF/wEfMX X2V4F5KGzDvpqnjZ0x7dUI3zSVx6KNhP148ZPbFOVi+VZCSDd5k9i8lNM5NrWcBX4Shv8EKjAL52 QSkHL/54HGrHiXDHD+2qdLllxMKPfGgl1U7Tx6uuW2shtxsXaq4LsGYgDaRJZZuseFvjXs+8mmbM vzgbgK9d4pzvL0M4vIbnMBnfnlYCRDGIXCSoP6t33TbyApMyRy2BuQZD80aFRaukLcHG105Y/31v Aacz28smGkL0OiM5X3F5u/fxqtAOnvQwa4hAslxktiHmw91HIvp2F/bPOzYD+ahpa67k7iswOEqF T4De9MlVet+l3dNw/ryYj58zzSt9L5AdqzSkgAMa3ZolE6cXpw0wWFTR+jsC826l5aDgKMAGd/bC sjrftSJGRCkJ/FNcgLALMeG+fEr2eGK4Uw0dZVb4+WaiJDXZRG4uHlovsAVL7FjkNfazyurKa9UN tDfDMCjznRzwWgR/uqHVmavxJ2NldVDpVFNYQWcK8Bf/FRK68/bjF44cnlO0T9CgqDIZlceKLAeo rws0ds5Alpb1J/XgysrpX/Zvp3WkMe6UY/p+IWPrUsWjyjNsMqu3vhFmpy3TlqWkNWSsq0o8Sdip fbsNaWjkPlvnIJbc8IsVTXLM4Khojh65z4nppr+N+tbML4wt9NIqaUXQBesvDD9DcN39MeZKxo3O yoeEOcc4E5/ZbzKcg4skQH3v391kUgm2BtqvOA1PC25EjoxFbVEXI2pv4h0ffL5z8mPnuyJZqwvG x6clnm+39CmyYYsK++YdkEjqKYpST34uL4aUy6CtVXewZ56jS51uKqdyq93ukvLaYxOGhGo0aUkC DqmNbxwNm0A/qDt0LtxYr9iOtB0zNgKTDUmyYlybv66OvaTQ4oagjKqMlInlC6ewHrS1dXhR2L9N wo5qHHIWl8bQBM25R5V6ze26HMNwGGeUBiB60kBOSQ+8SsVANowOK2V+yixsWFKbRvYmNyi3QpwH DyNKPMHqxVme6C0SrValM/YsQbLFGuJpzuc89uRSJo+57HjVTii598HuFSZ5NG4XFHqyyVlLy6aj JaGqR8jZgpYZhDZQPf7tpBWCriuyHHgvGSWz33tz67L+WWDfJqZ+X2GcpF9V5ZW9oqtGmORx4lMh 4+jVhTgYP1RnpOo0EuX4slCIJCVs1nKLDvrNijcJQCUm/MIUNCFxv0MGKnPaxgknRA9FM5/5D9wF sUtkNNir+dA+ggAZC9VhHW0gXYnGj6jID3iMtAmxQv88r4diug3KivL0FPD/HLoy4wqgyqxyluXG MY3j5fOPjpnF9D+RzPI8cujTZgmS3bWvsZAJrNv2gE5cyuLw7vNzq5kvW4XtomqjAtRS7zw4GjPV c/kNRL4LgcW37a32MfqI4UpbkA9mN/8o7WVl6J9pHsPLpB+DCZVMYsobK779xMi+y9OeSF7ZOJsi ODV5n9vgXWc+lkK5TomaGr87Qb9uC0PdiiLBQVMeEdVldsa2SOfagq68lUrfW2iPssb3MOycs9yS Avs9KuRuJJGA/LZXpuE5rHYdz6LtcI50LuOgxYqd0GGo7ASzKuCWmyvgz9TmpaEtN0BT3WcCzz/l CdlogKNs1ilZcv0N7CdvQu9YybgcXoJnv7RRZrLnSpbxb7Pp0WsK9QCXn/czsgFPcx3iL4jVVK9D S9fu3xBwOS0SlpFg2gFRZPSi6BcO7oBnKWA2dqgD6tZY7K9Tmk2GMDK9HpSlBQeEIf/fsgEVoEsF 0r8pszI97n3n83GXSyoXK8+LiTZUw5S6RCNlzzkBIutf3Pq1SWtwaiii8PJfx5trPdzNmh1Gq58/ ASmUXEKMgBQ6srigA+8BEGyn9p72aZiiDtShieaKx1/rSJvCMY2tMW0S34zqfwUBee30QIaYZSsR 3nBWkQYPGzz77lqxY7wl0GIawu68F7N7shmjEdJlI9pfAxtmUmAj0r5uZm6tJBk7DBTwP1dHmsrW Ug3zDgV1w5ucguIsOKSrcrAWAw4vqj7bzpAxBnG7wzZu6MsrTiucnoTsoIaL0Skdty1sB1EJTJCr kLlGZ6Uxb1gBfj3n8DmQd4FK643lwJ4nJcWXYbMcyTaETRKV4hkpTHJTV+TcePMb3tcDnHisY1Bk ecMteB3Yg4VzBmBfkmn8hENIyYSn0+WNOdQ1gMVunfPB1WPHu4cUwyFduSta+dJ4tuRAXVpPprK/ zsteecE34iMVh2NF1EJgIa/WTt+80ExXiU0B/2SNJa9EES6UDzSwYgt3b8BmmEa2WafoS7b7/i3F qE7oRRIgNfd+ql8rv+KK2uFSbK4EOwuT43AtwzitaJtZAQXfy1xrwMZqh84hGSu4NcIlk1sTD+M7 3RfyVUil1ZzBmrYmvNDigWWB++yENVm6D0gpwyEfr+Nr2jnG+7IA2p89UJvVfco/4CVmc/bW7K0f fnaY7v0gBOnyVcUod68X4s1KNdEyk/yoPf9B9od4LkgUl3kVGDmaEW3cOUgaKm6ibLbhZji8sBjq nV1zUrbiql7GBStKXZO2kySUZATsdJUMHIrCbrix6hI4GnupjoKJB82bwrpBnP2/vxfZLyyqfdbH 4Nw2ct9uNBp04DxBJlNTRoru/Lg9GzuvRdHrV3nVLpRpGme7D4zJV+mIa1QOd4DukqTMyjX8kvB2 eYcWncfFBHNJ+trNYWhp1HMJJQ4XXRzXKovhLcnNkqxQEVS8sW+1e9wbQrEvYtybx/2DivY4Aw2f HaNJLMB/LYck7j8YAET9UbUxy4EEC9oYQGibVbRbIf9cfL57repOSZDSdStRz3kgTcAArx9khtjB 3BTDRDqdf+r/UtGrfONNKw9GvvuBF4UpcwKUjUaBBnTayLm7pXOAyJeYF9OWuVHY7d1lxeUmlUyH mhQBECD3EbFSaRIP8XBBSH0WUbYnrnMy2J/K0eDvMtO5KVlZl5Ot9/tPHSbScRrcDZiM8KWOotK4 pvCcO/CWMZZ1VV/S+DeN8X2+Wd3NmAZjDES4k3N/nLW8H6FyDnj1xyoDpQCReNhfjqGB2+4fOyhW AWSTAJnSJjNqu4OCNX2EK5YJpgMB0D7rHN8SdM49h5Hv916jMfgQRSSYb7lv3xj5eqLmxTR4W3eZ Ye8c4wn/qg4y8sZXjafXXb4nBPj/IGSsbleGxigBMuiv6AUcGNjvLvOAhqJjTrMn4Mo+3MUMEI9M Mn/Uum9tEuvcQl1pCSPAvxeZpIyXDiAflbhG32Bt2KMSzrdA8urxpM/Qsq43DRQ3gqzAPTcbxE32 fqM3xAQcRKEcR3yg2nT36PHA+mYE5D+XkStexoCVQD7+1T41u0e00jHWOxj4ittdtA99H34AuY9Z AMLeKveAAq1s2sqwVr27RGe4VQEpMQomkxXWwCFsE1ksFQatXv6Z4YPjQr9n3eytRUnRtAsjg4es QFqBIolrqFBOaq6wOAxWt+kvCwF1kiiMYk9nQcFQxzE8NtrWICnhdIgK2vulBmKrO7LZJQhGX1Iw AJb/dUqdNvfHK5Vds4GYAKaSi2CEz+IHo+T2zRge6nAT3C/R/jBpMbS8JJ2RZCYQeyHmtN+G04W1 v1H8R+BHxiQwwK0sq2f93VqXNYC/4jR+pjnMPC8C6G5RasUC2j261PY4p4X1wZsQxbSxcGVh71Do UA+us6oe+R2S+63YbjePOpBO439i2BoPpaU+Bwtz5WzCQrmbTwLCyBljLiR5yn72T/aZBwt9cRFk IBx9rORLLTDoXgbXZTSvLJsdioDybvdqceERSEEPS+gD3n3qB87NLQrxABDO2+3Y8KKvgMZCB/W2 5Qs78gTqCDC8MaDMig7ltJ9LwBfI9uXBZobHf1nByiL3f1zemRCfTEvU1iBO42+jU10yD6mKXKXN 9Rmk6u3CNj6TsC5WYQIKzI2wilvnrEpvFVX1474JtYads+Y5zKU9r+2x3X8yhxPJWyVopluRy8nH sOd2O6pqxAF5o4pWegjznvVbseiniAncsC0eoB9sHyrEC5rTm06i8VZHOjiUYwHEPhqBS0sTwUPh 5z6joy6pCz6svDdcbXU0Ju0a5IMNZ/byM6iql25HysJBMFoFSnKjz414SiUuVfso+LliJ3aHKfhZ 2hXF+YoNo9krb6JLglPRsTOqRT/V7nQU6klQbNgSzEDhlhcx6VnyBOn73AZHMLgQ8NanJMfPeswg BgFbZxgsuljOZyB8DwZY5aqG0SCE7dNyexYviSdpRCQc9XyEJWwvZ6T6NSfm1XejfddY0grFiY1w FqM71RQ7AVM0GgbAAjJVJOotjR2PzRQNZfkmSi09kq8Jh3PQ9zsdqLSVZQNIoZD9WjW37yCmARzB uNAKuB56VCpa4+s91ujU9xboKXkD+qupheEgihbXgdnum9vwmVL3bYYnsRvp1IfOQG1zYWqjNdBp /8AjB/Xx+kD2dEpTLIwuz8bpdb5VzKSXkjpcQjzAORbWome8FoVUbGSQi0dKuNUB4wa5+Nto+gYO uBiWdFuG0ZUc1vLSwEaz/h8i3Jba0xmGUaroWC90k7OG8TjncfHNL5DOumIz4etAwkocFdp2JbDP Rj6tRGepRSX4stikNMAyXGi+C2zDfpYjM3KmOXdh586k9/foDHMRti9/8i1hIIUi1DbPRIDrK7+c gfrlSpaeU/yDe3rc1q/UoY7Xtt3nURLtEYJqsnZOnUXyPD2Mmu9n0VgB1h4W9EnR0DhrciGIS30O OKH64+m9Nv+DNWOMS9noeXxtvbAaVGyo82fpLcb/1ixdO+/TZ3rictOpNKFCmCgF0X9T4C4pbiAO 4wnRZw/0/BZKhh9TxWmNm9Gw+QSK4BcAz/6NzSvYmSGj1S0zUzb3rPtIZZumBT9duHybFdTie5e6 C7nwvJbEdSX6fws7saieV1fQQwvFwlilBU+VPsD63x2syFs8dUjzstYoBoQDcFJwdPiDBs+s9SV4 4bo75QV68ztvPtqP3Fy2jqPNFNLmOegIFsPNRlGF+DenPNq+gNApauQBJ5VGSGRepT639W3/r3wE b/N9BbDs74xLLlVBbUz+oBrTAIQMLdqw6PY6WYLhL3n129ochDEi8cbsj2UOuZLnNEQhtVw+m4Hn El8kEsbxyYNKMKHGdvwGwXCgmEFEpbs6z3ZR7iOLfM0d9Z0Wvfbhr6AS21sK/Cng80BkG1nLyujc f688QyI9iL6Ijl0kpzWkL6gozNj6m8BNMIePdVlQyrcq//tV4DEvpqqmAo5RwNjtxA4sY/rD1yRW o2oPaRhZNxQL7EEqDlHzsaDb9ad3Co37pN+Ol8Um6oXDiVhMtJlSsfrq7tn2klV4+kS7q+QahDmV wQXK51RSUyVL1jS6edUfGsDoOhlgkZ9vGgFCIh07v5ln6ceXcyf/lC4oGr+0qrNlcI8La51t/AEK ViuEYqeb8v5+I5mu4LM/RBmSLg0Rf/7f7ZvFOEgDDm59SMC1VB3ySv5ig7kGC+V8lKUTlQHAslz8 opoBEJoK/TQnmZobjiVnlPi1kb9YmRwX3oi4IPSXjDx0lCp8DSEEPlhzLDZBUwbGlTcuT7dixb4w 8t8JlAX8EcGviPRiVMK5DF/xODCyFeTLm3OY2YRgq6ugNw7Yk5hqlSUoOG5NGVZhIm8hRY311rxP 4JODFNHrOEP5MFqs+gLXFKI6hYVqFL4jwVNquNKz0zpEjFhfnvww9jFmVNIqc85ZSaQwKhR9DhuS wCPWuiy340vS/LbU0pk41LAq8xY7flHNxzhFR3niWojDH77JpuONUmVVjZIYjxi5kyOdVkI2isDd g7ir+SGbVQRR8aiHEdUJj1wJcbJF853qaPmtGahqzPKcK+vr1ojzQO+ZTppd5871ILn8IQn4X6yJ SgleE5Njulj5z0It+G2lf5UboGfOGRCMojRcW2lArsavmZATG4qPmpiyS1TtnvwTJMhbO0BSEwp1 N5FRd80b6GV/+GlO6MdiPvgKRSZXNzJYCm81JxvEn5zF0ek1s3z9/f+b2Z7asf8Op9xR3bi+rhCU pePEuJafcAe13AqJ/1KSRb7S79VdqTmLHGy7Tx7s6kJMzz1oSRVAKzmpPAa5FH8cRi3tVlO72wce qr/3uvGR69SeQLfzz2w7SoqcVm8iVRivN3dNtS2SzXF8je1G7B2ISFQzUyn0H+6lSSSQLBiYsYGH CmImx1RkCyaNgxFEpWZ8Unw93z5NE7Bnk6OTVnVdXpTx9unyD7I0gx+etoZNRKizSzbRTEwahshq PE0f9snsaEcOMjZYVM5qGAg1ed52hiELQ9GUo4JU0E+yLpBWY0F4Jg2ltrvBhFBXYB6mv4w2FSuk vWoPfH8wBzsDqdqIvVDTe4VcVFrU/XaT/Q+6QW08FZpFU3IAP0QaQ5fJoCaV+qs71LaAFB5IWcH2 mpJCEHaQNSAzAVJ1CqIhUjeuXLJdKMR8rX892svKKGQ+qnX4knMKfSGqEQCPqMpJCxJm2DwPM3LN DYWMJJT0gi+JPOqa2+DKsBi86Pa6gCx/AY3mbiBH3umu3TmdYi8CElF9vu5726sJUjeUnHoUJdDM 2wviCCHq5V8PN1ZJyJHZOkQ3CkuDMe5845+YoZAL1sg6KySiKu0RUyk0uEMtVfx++aOq0J/vJY8D auKy5gqunzt+8eryywVk1/LbqFDNP0bwS6wFaUd4XM+rgS1bp4cVnJTGYcT45WBJDViIEywHd/rR 5GVpTX/hLHihQxRpevHThsOoVFJMw5FLrenV9HXaj693VFypDiC2tg9iGdKeQPURPwLTywh66u3F MlNnLUhd2/XRB9IH4Kr72BmL2P2zrslaN1nze4fDV5svWA646ZuAgN0xXdeZhWSTMf8Sj03fbOow WWQLyaEIS0kMHP4DkqmHcAYqrZ22wIcFyMN6eae87ovogNtxsKZGqD4oUdeBPA1lb4X9b0ufFPvs c9Z3bm7JYHFp4IlH/XHqyttWGhkUROavimYBdIy61N3F6cE1NjNMV6IQalJoj0AgIJbxuvL/8yC2 ZuPK3RiV38NaELHckW10PAD+OKAtotj+3uF79sE79u4WIud9oT30o4txYyBNnWJd5IDAMqjyShH6 tkAljzya+gwfenF0D3bQMocnulNQSRfY9MREpqZ8JR58qNCsMbfEaN3jHQDJLIP5RFgAl+uOFsTX oir/GATE6Qr6KRzQwyJUsOt2/mG6iSkMz8r7TFt1STCI6EqiGkeFStiQWhYeAhhHK9JFqnrhF3a5 bWDsbDh7GWYWD4cmMO2F/qIyNO6AZB04ebJihWP8XD06mRfdf5aR8VAmqnqiIY+butmx7w2/Htt2 h0TAexHlS5wnoaraAHZ0I5QFVcJzlQJNR2pu6fqgrcSFZGDjT2auxAiSQxHipRLzG+RIXWgXLywr WNTos894LXnmaEmVhrN4pqxHOyIPFXeRClIUa4XK5xJgBhlyTBJCdM4fOD85qyLz0JN3L6I/Etfv qzls71T3k8rmq1wsg7/t4mk2Be2JCiHnPjmXX1ckjFC7ISUcrCWx0mO5kP8h7hgV0DqHqc0Bkeks YxeDVHGCOmYRKOGazm/HrmAGiGwTsBwUze7/pdAaJIM8Kc0lIU8RSwVZuxPynrk1GC0Utqzo09K+ PLHQ4Hgcx4JP07KyxzvALTULdL6+F2/FrG7kNtt7TetKVbU7C5MPx/xugMCZZj+JbsLlLBr7Ugqu wbDtJI+eMAWYtjai4ZhrY0EFyNpdlgLMRjKnYWqlqLKny0NuuWncXDPs911XtFRt4MYYfUd1J8dR LTqq8BQhR8ck+eGa2lhFYVhXMeNsf3iyobg4tMvynMowzxtOfKHpgR9n/5LfDioTH2JjZZkxw8XA IfFIZAjYClnfsC5tO7KReOFtnCzURCDeKUWGFBQKGOAk5A/BJ8AASU9N9pY7QKpHZZXnUMkksD3f //bWkNtvAaMleNp+8inWC+LBp8cux9NkRfOr2lfxCdYPDFjoQ1mq57pfbU6Fg2eLzfOYLmm/hn96 ++3x8xOmSUh7ZwoDVfh/MCWfBPvGC3D285ebEaHYZ9cJGzc+0wBb0mMwkjfHxWpMnFKW4pCShFi0 81hbhkLFTxrakf7B7mBslvMMJhLokFw0o8WGYxzGf39cdwWTaQpGrqXxJft7QSm/cYxyLng2kSlg waSkekdzCQ5iJNf8KKsRFnZXwR/9zalOTopG1Z+FdJ06Eg/aR8KaPEcoUwxzKFJbHr2CLAJo4N3g AAfjagvFos7wo49BO37OL+fLCxDPt+5sRj4cu6Q47nXCiBTTCYTCUTIbtIKDoZ2UZ7hg/ZWAcnzt hO1ReLrtRlMeWUcSc0tAWYmEopZuxMdik93XLjt/ggbuFQSEyVg/6doYGDHHHErixuT5x4A5tkrU xKpzz/Y2xY08v+V41I0k46oNLOa2T4FQZJyR4HFzR4nyjfxlDdSH1DJX2kBtNsTEUR5wi0Up2Ugz XjV1RMYslighMwnqxSa50rmUTbp3/nfmK0hvsWEd0ZjefWWRFC9a9aoZFDpGijPfn1A8nojbvgvP 8Q8R/ysMynHjsdawXo2T7+iWz28QvX/ZMewDOX+fTFCsd1XQKO4pRiYszBNQ8ssH6/p2dRAWZF6m XxCjzHZaQaH8wuPt+tQ/mG/NURnIR6LtdMU91GLBMbG0EWb3rRBEPEYUAajf9enYgIG5Zi2u/PA5 D/dt1j/z5yJ0yV0cxdVCAGXDmx8xJh+w3bCJss+iN2eb6VCa7ZE6hm4a9lkDZ+NoSXmVsImkkWay i0gAaNQBdhsTGRg7bAeAnIIXg04EGtg3b5Go1YgNgTvBh8OPzkPXtxrN3EiSzi+MWjeNc1oGoQ4Q lYXv0CwSZT9ayk5jGG26l97YsDrk1nRhsWQenKzBwvfR5tR5xj61gps3ORT/7Pll/RPidyuw6sdM QyzIBponVlEZ4cYY7fDmneEpXoKXymb92GtMed8ueot5/B1frr7FvLcelhypBsd+r02kDsr6SuhO c/HfnFQlAwshGHwkh4s/jsUvir1UPEz+JRZXzJf06AHBohO7/Rr26DGDxe24yOIFeQ3Db9wfkcyd 1e7nL3NFSh2AGWkmUNdiTamwlUpwTLPUbQdVymlWZLM6fiWDh7Z2B/LOGHQZpH4Z/pdnc0nYQ7ch df/rlhGbzWWiWNj3WsOYdYOMpiXFDksdXUG+O7oR+hWGduYTIhu+xVG4PG4O2BdxVLotLWDQ4Jke 7mfJulUIfVDumTUucUufp1rrgWo4rIblfM8MentMop1PWZG4aiexbFhEHqQMiu/XQHkFSbjva+Qc oUFpX1qX21B6wkrsl0a2TXCPFoib6XN1/fRNKHNRduDwIsSNDfv1S2jS2xx0Wrldxwesut5OF7eA 4hk59Pm9A8VxaWHpVi2XOmUqWmiAtrBnXqnqyEzbzAfGgNV+kTvGQeXBFl+fzjK/JiBHwScsMiVp l3xEERbxhkBsS648bgMAKXYhiaqhAUf0YGhSPmOaYl0fICix75f6hcSAMNSUqv9cb/z59povlmTg LAiucb91a3m7BrhKgwu3I1KYcCQWX94tXMwWkVETqZcTlLgafyO4/uM3fQqiQ1Htjl78ZpLlUydR /KTHamFOZ6oawhHDrWCbNc3DlyLl5gq/oNTQL9m/gXbiskJHsi6p3yu1GbO6TtQKMXvkBXw261q6 ScUO+y3/Xi9B/SAHVPxI/L5Lsbu1mn5qX1jOQ40Uc4lHsYUtlwFgAqOI9eQF6wmGeK0BYVMY5vvg 2gY8jE+U7gMEHOvCOU4yLsaCgClIuasvNgYYXp8K0IJ/CZ3jwhYUJtCAF3l1FwSGbRmttlKt1P23 E3XE/E/3lcr0JGt1spyUk9Ls+3jpGaFKyO4jOCV3YruhWefrcS9wTTqlnEMyni/teETQ1kV38OoC PYxN9c0mNgZconyhpqTX60UGKug0nWVubZ42FUtDxysROxR5ZYhiE8PBERanV37IBlMqHsy6YRnY Px5qB8BosBIigU4Ksab8wx+oWDbG3G62t5/nyPhDcBPdljbRXDk2/SHtvVOR1VN+6RaWmHt0xc4q a8rLPEZOsTBCw73CpgCc5AUoDBkFqS7xLd40yoLL8bzSU9I/Ni6qKqVf43DcohZGYVVSC+fIhy9H wMpTggdhQOc/gYahoAGf7g8p2bcExSimtBCOGNIolk2l6c+z/tVFQs8zEv8cKsgR6/I9gXAI/fgQ m+BufIns2MDYqGI3SdpSDqLzL2pf+VMa5wK3W+XXrLsSwwxW1SWqG3ENeybc10y0mo4Z8gKvjSml 5YVnro0HjREet4L5WMgrte43gvUw2rlOdqGtT1np1hxepk43YThkAZUGwBSKxy2VDXzyhVevRvc3 68HJEQIwBisxpzKg236+phzr1lY339Cr2JUmrJ9zVNI5fm9pZjHw5y86MOEC1+4dfUtsPT/vROkk 6gQCsj76MEmAzn6xQLLmDWRB8MFReTzrtY6CVurcjbkqHjdzCYaV/2gLpp/vQdTDj5awgOd/yWNN UyXblAl+OCrp8b92FyIOLzjOO2NpbaDPx9xkzopb33a+0YZsmRx0qi6hK1vb1z4gij5zGtvdqkzj Y7Qsu6PvxAoSKGzFZDLDfreipu3WEsCqKljPVk98kyulqHXOhPJG4Kw0zYzRQwrtdZU3xUeKzhtc p3/sTQ+NwKUWFblhaWr/FeycMP2qKmVQ6+xurySMduS6JvS9E9JoTC6aoAobhcQkH7AO0cXCSeiW Vye1Ce8wg2wPmYxLQuvoKgJslTyJjQXgfqbUSYpdKfyG7UP6cDMdx4xCD1uu2aqQgVueUJlqNnNd joON/23LP8j5+VaxGuf+a3cp6YZr7Z1fZfMagvUxpqyOfuHpNkochbnRXg+3UsE5BnepDstWXwEv qS+RKsBilW9lMlhTMXwsqlf4wUqsur6OhMXbzxr9VoUEaw+bp7a88qwT52jI8lu9ePMYDADbYkEJ UFWsqb9dXXDIedlRJ8f0Q8U9Lw0tJ3IFE7EoWHY1uCIIgUTSx3JwDgbXv2CMzdEQ8UtDXq8svIjS Y4ky2iMCVsyDVB8e7QDIUzwASp6UwQXJpcLAlXmJ6gwe8YQoOFY2Z2HURRvFnurNfNlgBSbHQMzg 8EJp0s8T2xwmCMe5vjEy2BdjxbOIp3Csv9zqPg17jwH54bGUy05vbIoJYy7mfoQwBo8ibhNPJKOB c+1Chv9Dv+vhoRzGrjM2M3cdNIv/01wdxsTsZolYX1jyzdZcO/aMDdMWinUCdyjL65/SmUZAOm+Y ktaSSZWZ+JB2s0nmoSjhrgItO0sh66gdolxxOtm/ePzWXMcFkz0UfGEqeNDkPkZzBy/uhch6ZSEC VIESzgEBC15Y3MiM/vLzBL80M4r60bfrmLd40igpgJ2PzGjCtKUDcFcOSAkzQEyEEZHe3rBdTOnQ 2j905mbNN+tRaZOm/jRa4PkRJSHpS07TT7Exna4KIxlvsBW4cgzKCJJi4NyX2CQ/x/pqGDBdDDgI 2KM0gf/yChoN3JRdSDv+Ey6GNzkvChobARco++gVKB4851bgRe64i91oYgEOCZ48TorrUVuHytHW 3TVjyuQnoCSGeprlUcAWLm+L5iDkXamNHZUxcoZ1jSJEyYbtZgogazE331Xs9ox5mMA225vuJEqx BLnFG7rYgaKIhbLkNbMmW3tY1QxhKGe43Z1R+WmFzQCeI9gtdOnCARt2uYdK7eqJSTsKLdbY9cVY TcFJmfizGlem5YcVEq5rhAug97VOkLtXObCpbfezHr/uNB5cKrXRyPqh0UUix0L1XHasZY0lFAmv qHhV9mc52QpkmnhaHitowS8vb4z3tkpvbzxLZdTUNnJ+M5gWkPU/m7glDV0zUzjVesLn3gKHzVbk WJnETXkzQvGr5WSMzWwvEEdtIMY53RJ0eJR7P3yn1yMznXCa5wPqrbKzMY5tVDkdpgbTZzocgUeq NCuT7PGQQqInLXxHeg+HxTq7P2rMeEged4JWWojatEyyIY9DRw/es97bOPwDszAr+fHf6w0qlzt9 2fyy1/NgGpnIvpp8cWBSA33EzRh+otLSe9d2Q5+gR9xBBZPUZ5/SFd4qknI3cphVne10h8NSGXSR Ldopmw3G64n+6V64vzqD31zl8ISqvz/ftgIGgO19wjPJUGhqyvt/LEb8CYMlwrKN14NF0BcBmhId 2lumMhjXZadVbceLra8Z2AmQrQzfJCXb17l8hbOS71wN5PgMFebawn1DeK7XXAwJXgKT43k6Qh5n 1JpSRTL18QaCMSyWQDHi4QHI/mBi9L/Tlc9rpqqfVlQ8FWXF67AecNzKPHTnEwU91kasj0H8/a2f ZIWuw9nBXXE63Rcym8PYTHPBkExgTHnbsGdD9mfbnPnkOh7p7O1b9CiE7dNVRotyw9vJxdvcbnQb euDnZ7vY5XJ7OZcJiczWPdgJpARMZkwmASfh72fuJCX7xhrhdZU2JJMbrgPy/6kcEt8Oe1bj7OgP /UjoegsfFm20UlL/Fg6a5svnVy//DLK04M9Ak2ici4iglvIrmvNlSlkJFi0NqNH3vWAwUEaDzVR8 pJIchdl3rgZCPNQb4ZL04vMi7ryztj05nFDsjbSChMDZJR1uJj2Sk37L6FNHZEX/kpks0QBdzAlg /1fuB3zZQyH4R5v0cz+HT2uGIOVs86DJ5F6trHNB0zBtS+MxFnMFp5NVwvWOONQ8AZmaS4MWBrWZ BewE2oJQpptEa7eMA/wX2iw8mn2fhFsTBkPHK+4vG0lOJHX1m2F91/Nz4HTsbCi6UZ+GH5IMRTbe YeUYYIXADw4JX/6mawqRNfnFo4m42aY8ltwF9VUSWbJk+K8Nd2Ee1pyRiKHkzEN13Mo1qCMbR8Ai 4WYOIUmIRmMtas1gRqgBBFZQFsC/vTaDvmTK2ojUeACKLtFPo1BA3eoxXgHRNG52cl8w0fe0RAgd 0OBdVDUO93inNqLtRUJOEDJKLuynd8W0d/zYzPQT52ODaiUbJG3tav/WcRyulAOliY3mFqjcQGXD /TJSkDLX32G9eBRmHa35g116JmHkYR5SVZYlLStgOTAYaCDuNVo1ClaJgkYIafoFdAcf9Ff0J5t3 RKGCAwFmOzP+6C+Bu+FnlFb7JCljnP+q3Y2UHadfh/TsKAqyoed1wXlwaUArnNMV+6KpleysgXea cW/Tpvdc9qzyWK7/MTIjoReVncZ/I9uPm7wDuJ2ilfSyYWTvGl+O4wE/+/lCpAmUOEEkQZLGgSln B82pN6ZvETXpTTRUu08JG3hBHyargAfeN2KaMMKJXAbxbKeEYKoWpQqGgtPOSNd57QEZs72JBW3T zVK5Yb+aeIUic7+7eimdDU0DVNXmU1nPUvM2O+75KaogjNLXDunsb5SyKo+1jB+ogZOtDnujcrHE WxETBx4FclPRSR3d56TurHaG8D19O4fa1enhyEhsXZ1Gx4+/Yb1Pap/OZG5MioXInzZyT0xijoXD dMKalrLingl1AZGsXI4Pi3rVBpxwCFx/hOP4cOSiEeFj5+bosAcqIsrGulcXIYJ3ba3rTm+DRI34 M6sVs7QXJh7u9WYHhldTpIJH+VM/2NDkTem+XnZeruGv39xiY7n8CqUg0TX/VzZpGpDnmiM+AKtG 4KNG0xHzJZw1+Tijd9CoFOwOHd5F9SjhlFsgatzSIJccEIADM3oNBUaT5vS9shmmAbOKh4aDW/ID OtAgWl40yoKrnrmyo0fvdbmX8ALyca8qDkIbwq6d+PwGsjb3aitqFR4ThnK3D0GngZR+CTqWD+G4 H1s025ZBE6yn40aXXIjCk6zoANevVdojGAFvUKJ+6k+GemuIZLxkT9n4SFxeYPSGKKqn0GE75iaT T98o9FTbz3/tAJ6tU4aPUCjttnYrlF84LzpAQZl1pG1OyHc40vuYNULs0TdtIN3PTYF57CHY/uB3 GNRLIqtnQTU/64j5bykfPkWTCVf3Qqll6peWkpZnlWVuYdSSVuC6Kl3vljIHySOdjX/BYqQI3PdM rxFnGN7ZzpGgcRfkcFESNu/jwOVS82l5pACKwzGc6BFCBssqH46qv2QQYTkDdU9ny3SNZCQ+v/iU cRdBHYzp/dtODyCrW6U+ex7mwumdMPGtVJWm6pbR+lhItUoYyXrbXIg3rTqR5/XDxgmv7lsqjsfg rGNcorBGMwMh5t8NQChJKM+CDXkEkPsuz24C778TRMXVPuDK6BRD9WPF5XZkSQm7/+NPZscvyMf8 B55kJVA/AfNl2FmMMiPEo9DKfZ0HYbJ9gGuzvIXLfZqtKcGOUq/VaoEjC1nuaZ7p8nCF617x4c8S b/tJQjo3OxlQtUV1ZeHKX4A1gYOVA5gShQ61xXBXbjmpjrNNZQEPmTnJn99N3GlmAr2it5U5zT6i HiMlZCEP3ue/mfT524BI5lAqtEX+P8NBQqpoxSML0GkKlX8+H05iQBHVMTGTBFctOfl6Wq5YScwl xtKcsSOSY67wAgp9z1M0jD/RT0Mcyl5wqNM5lAsSj8C6xFkPoyiCUSoE/UdZZJJCnvz1kCgPXRVI 3+mlOnC86FCNeZFulX+Rk306j7vSaSmAMuyeD+TqXFIDjwpEHNAojoRTmZ45XnxhzU0usgaT1EdZ O+gRDrsw3q5/oOFCZR5QKeBKqE3ce8XDHqOpiY13jXbKrdGDnAt2Pafs9PCRYTCdgUPx6Y2I1ZW7 suOB1jxkThZAwkH4D3BdaUYrjVH00USyGCW7l1HTUeEqxZXAlVzT38go2TA1QB19DYIrqW6+Fq8W mbTteuw+1RXgheWrg4r0BHl8ZEdH0lGBCF3aHvlouWex2yfCAX9v5M/VHd7PPBkYcDYiVepmIClS ufeLbi19c/k0p0Blf7EM1L2tw3OR5JihYA4CrY1jsBhPzrcgfRByopjc9xMT27bFHqI5FtSvYBoU dxoTzd7j/Xzx/9O5H51n5TL4O7zQpBjpzLWUoalVYAhD090PEHAZ6ytwWyIpwCggojy1vOoFLIJ+ 4KMJpE+eenbcsv2aGDfStkN+VdUQYYqTS79i8qA8sIpR9rlyaHwI3fBtJIIaXkEyA8Wznx3+EgKU 1y2l5Zpn/UZ+XCvV1c9D0lprkiNPHXjiAuYNSP7GocEl7AencOnaar7hlPkzSVVKl0K9+Z71EAv4 6Gadq+Zr3GVw4OADaTL6r1RAAQU/g2lseYnYN4TD5afUXlJ6Wb0FOHwz+d1XhQddsfUSqnqk7dV/ c6Fg8szJhXI3O/+CzYNYIfQ9GQ7XLivQv2yIwLAnjA6MtTa0LeSBCkp7p8gmM3u+plEhXpn1my0I tRUSlE6BI39Us3b6FKvL3eX5I7PZmI/lk+/hr/ZZHWlxxWvJjkGUmr/lPPcAkVSUGyO2skqV+yw+ 7tfjGtduPURBiZZ2hkUG5jpIMtWqNsxs9ZEkGOBPsKeuF/floqjcrprltr3je3Hh4DjRAokrtOVT uZxkZXle2s7CUT29pJ1hbsuzHcJmNKv9j5oQTG3A4Ez9Wlj+CL/fpd+873lw5blVXDTbASo/0/dV 9EpMPrcPBSRPuVhhRMn4LrdJ2kfAnIJBkZLOMLzc/IfaYU8CQWxrjHIy4jdEPu09x6o2D0MKvsqr DBY9a2iPF8+0raTgKye4DvsBtsKTrnexnWt1fcfC+mx7VKTCEhuyV05a9neREjI342mbZHK9KLhT GBfIqGazwKCEM9UPFHntAwnG7m858mBuE1OTmb+/LFgrK2B0/+zDdQmrHiIIgw6hDyrUwm2Gaqbi UIgTf0s9rG4M7BfqUADKqV+un6pULA9H5NnqO0vu0/OlFVsRvPJg81hso9d7kzvNBLf58QBEVgT9 Ph6BkLJbYKKEB0oQ9r16pOOrlUQ6OrfamWvY5NoTFDvMTa/eD6LImO7N+1Wt00vZdGsmxgIMJ8AA LSGZgEAySC2++th/Vgz2sA8y5vP0qq6Jb3X2Uuo4XfUHB1A7VoFAwg+1IREm/CU2LabOB+BDdetN RDHNnRltciqGJ/qRtmyd9TkRjvMYY4QqUuHmFmC8O632VRPTPXUYI4Bw5eMwxoEqgn+7UuZNtAUE dMkzTgOjfjKRW5m0+MrxkYPYj6B0yLogurEsOjmtnOdF2WXVTea9R5vQ1Ckak6u4SqFXrzgPGOGG 1KxeaJbtwcvxpnpZ+DlkwYD8QxY7+7ojoeg5dEBYXAjxOKkFw69LPVc3SsnOHK/xFVGI29bNz2j2 6qziItQdXrZd79PLpGCmPlKOKwUo0KoTmtwWZf3q8eK7DobLuSDYw0gIHneQekWJzmdcztg4kWWk RyTofGDk6MSKU2pvZfbRs4EzjZF2WIS45mqoCncv/Kg1Xp6s6O8CxyvenjZJnB1RBHpyJ4Xpy6Db u5XDiEGrWCJC9CpqcuMovo6iXie0/U/08VJk3xavDiYn4rOPxX+I2Dk4VsiWf1YuIvki5mY3T1X5 ihJCkvUAhECu4kTGVr57KpoX1cnO9gyFWKVtXrrMObS3oWqRUioyDDWtgNC70U2io5lKkdI++F/s 2BDWUvBjCHA76XemOGyB04+2fQ/b4LdxiM4aGy3GFPfGbxqRkQNzCkkEwpM+9U+C8vUyx5DZ52R6 3RDDnb/+2UoeP7Y40kCawxH/RNj92/3zffBSO55YGnFEPapwkmWRmhKgkxzwMq5PezIaUQMWUSfz lfPnXGVWtl7sRaA/3uPN62d1jDpmEgjdOAsIxjs+qX/Jc+JnrTMt97MUGeU3hue5nqAwohZZUTdU 6OZ7hzT8lb1zCXYmnAUGec1zXTl1UUQ/H78slvBsVLOBcFXWK9Z8RqjkyYZZV8T5dfcJEScUdBWv z26xjSbiHy6+jfS7J/XyjFtpK0dZmMuQrynfJxdpX6xgasNjAk8s0X0iIrYzGcMFv9u3baEZwK1g zRtTatWh7OigSPecOWT5JVgSaz6dXW/oQZysNpUXpbxbu6nIaC/clYfhNjLIRbm3jizntgwLwhZA b694Ct5mhDiaFd6Ap/ckllTvwa3UMPON5cFN35lY2V9yjXQy6oO5zyRktGb1+EAtNQnqI4x2NquO cCNEcA9YgRZpq6OzZG1d9Hxj8c6otK7ySre5f33L7sX4q2Q35T1bXV2geyzTLEVhvUnOQLlJzMMl KKJ5OYFtQ9gwZCFj9M8xUv3YPtiMVS7kJCfDG/zHmFuxDJRWa82oXMGHur4nLaWwLEsfgzgARx/t cxg4+G9AsKycR0E+36dGVXVyOpjkYODp9IoJbdaZuAuyDOnEAtxt9vFBR3lWQre4s5A266qCrYHW rEV+S6C/dpR27FCUrhtDZSAN+wUBGrT9L8VQ6xhX8fyRDIcIfncGHMEMmmHvUyU9LNdwPwlFvxlo 2NMps09OhJu0L0S1/CD1UJJ2LOxlR62VqT37sjzYMZLJbDQxE8Tzjj3JCIuNymVf2InWu5H0Jmod Tpq1r4krZ7BuNGmmZ/bLCtzSRBp71ZQdfpEC8A3BvnNgbsuNQgJpSnKXFrOZgT1nFKkQM0zb3vRX cZ1puhad+BnEIGy7+vvHF9jmW7jE33F9O9n7ozapfXpYcoNi5lVlzuqJh5jFTUT0r9H0R59R1jRI pqeCmQcB5cj2d09s6FUqt7HzJ+a8GwGDttP+bQTY0cEj8qce0zuvY9kwErvxE7mNJADpl1TzSM1Y mCQKv250+8cEoynCBO6m/LnuTOyo/vLac3ueeAvsgoYkeq9b+yctSYCebhD7PJDQGVuJNsvM17st HC6RaCG+4boQ8ZH7gI8vxO1UB2RNVd6F8dr8F0F/EAPwkFbKFYIzn6+i8YLCainuxIHfTzxp/d3K XcmIsEurAAK97lD3OUzqOUkdDSLjR+7P3Y+S5tse9Zao9H/IEfldSUaYRVGzsaTtrMc8xLCHyhxU E8Cxk3svDKLziB3iGBacHKXSnX9y1cHrf7Ty1HlKp2MeiZ0JvWcbpj85Gszn+yMooq9FGef1Exk4 Q/i04JunpRIDYaJ7w3CVsn8Doyde4ZjupiBBMun5W3azajY1JRpbvEG9cT2U6CPiPqylE2ZBB7YL lryAxjQMohl7zeyoa8pgh8GxRDngxKZa7cj3yqtggXGdtlcGrMVe5DaUHFcMDYvOdc/rLaRX11/h SDqbSya1DnxuCpNShREgeqWbw4RrhXsL/aAplY0vhTGposJBb7UbToZKjeDQF0w4c4PF0pPcPT2w B83d02++rBwulGrwBONwPxI22wUO6P/IfG07yhsqW+zMspCyqImsnFFCrF1jhzG5uswzEwiw/dpt zCLKOTXZNL4MdYR31VQ6lYNKf99UNUuQvuiuu0dkelFNv70UoWmBPZkObEfwuoc7vFExUbHGrQ2s AQJ/njSKr7gYLvjJepI05s+3MNmvffBv3iUXApvEZyq2pPe4Lm21A7pWkhqw6nh8vDCRCAibh91d MaAk2RK4tiN16hY507B1y3ZGbnuP/GwmrPDoLQb25byYrLieqfbjZnSmoS3cgjXcEVTmg6isJi8+ d1uU8eSSpcx1Y+utag+mc8TaQDNp/Yk/RbX9qjAKS/+6WzmKgCzEs/9BPIllyK+kUqPYBzk5n4Qj djFdfBDmKT8rvFjrxCF/KMpAbaRp8JXsAhXFrWUxKssQcW+15mvGuFKG0ir84OZCioJ0KyLjti/Q zF54Yr4jX7c1FDn70k0daa+BRKEAwjl4j8E1ut7zGGn8SanQv/t7mlXCsXzdgkeq689Je4VQsOeK OhdcAu6tXcPjkHLtMEQ8Gp+Vx1R9u8zdiJqiyq/REpLkmEU1Q78tlkYgnEL9V9YY2JcXxThufCTz qGjbiI34KoT9wfuFKFrtlXEjUkXrHXAosD0Kf2/nAnSqRTPm3qlBcw1H1CBRgGBZJU5qPGOGBg3b 9LzMmFRV0lDS4Tzc9BK2ppiK9jjyr1F8JEte9TqHY0FRiHpJs51Xur31zyS2Zmkiuc8ezoZA4iTt 6v8v3B34ZvP0JikBbsS0y8Y9TQb34yYb4x2N2zkOrn4JonQkMwlV+TL2cC7CsvzlIielyggxRkLt KyazOEc7tBxLAEq0/QC5WekEMluJz8IyYwmi18Q/c/AYcDPir3fJKJiZABNbKsmicCac8aKkwn5Z Ltxc/kor+Zl2MUlm6SvEf8kVDbxF8NM8il/KLQ/SKypBWvUbKXbldQYgjSFrN5E0V9btGRY1ow/F wC/UR5QeqnSSp/r43DmIn7nuQ+ndfpCsxmCojAWitmIAGQynRvFQFEkOeEF6KjyvTYDjn01T5hsv iXY5ZdCiSoKzW/p0zmTaTUwdboxMdsYv9KtwkdHGmWjOREk5biZleq4tfxJBqxbii0KnXxRNyisT +5X9L/+COAHwIXg5ErHGeAVKs+H3al8+6kyu8cLvIjpvWgcUs5h37leKKm7aDWllcpuwqvzHVhuC NUiu0N2NJP0ZZU5AEsBX6LjAj/zlTgFOm40TB8SkJVTSXPAfph1qhs6xRBBcrMDUkyfHQiFYl26G 7cC3UNyJxaxCRo/ko6U2b7sNhqvDqX5wuO1RQwyjFRnHO4KOCoIg0n+EoKFieOdPNBbw/JY55vPa ++pOCpT9WbmWu3dDAojQxrCXduxEaDXMxt8l0y9iC8S2JJA24vYJUHaxP8Od+1svpKe4wb+Ujgvp YS+wOuidlihX5RWuWQqx6rblLpVi2UWysNjJVo2frciJa4rpI+qvbzIkWihnztRMEznJgfi64Ju4 JJsc/cVBsiZerGg1VQF4Ev0AI/h1zU/m3+qbJMvPJjl/QdrNXYS+zuY80ZOKN4j3mjYgD0qqew9t VaDUDZVPJ3Ivyb/X+ERJYKLlk6b7vw0VlQ56UJE7kH0hzNqWIQKSgXgWtwnLP5+hlRxpkX5YkDXb IVl16xowIWBk2k7O2e1VVaqBHpeJBPL/0bvHhZedgk3Jy6oJt2cbtZvFrqt+cT83MWIkX1/CHZ/8 T894/3ioVyMNT7/vSZTUzP3vIz/vWrK0VCa/x9rgl3ugUbfMQ0DREWvFrhiQ4jF1y+jOXwWAmlP6 G+wzxll/cpV3m5qpgJKhYE9XDblZQ2OTUObRWJ3GaZurh8aDxgSZkaMyxgwn9hNbBop9SCr1F39g RlWqUXhn187wl1Qn1fCbATAJeRpQMxwVorgNgjvRmDwjkglKjeQCzJ0eHO9tilsy0tz1WztSpwS9 NzieiSXfBGAgAlq/wcIuk/3qnQMSXhWbspnH+OArjsIiAdSGACUyJq0qA+iVE0QbpzU5ukrqii1y 6am+HNqXXNjfmJY1wi2BecXRawjqQpVfH3EloZXz7i7bauMegJ5xhTLFbwg5mzHPOHzf0ln+y5Xz 84yss/GSHIPRmQaAIE6xUnNSDNdcdP0iPRzVIqQBqyCTLtfVlX8Jj7N+tZOINVuQeHfdVxRfH1km ZOVdOaZaTuuxnCLQ0ndQB/9925MxtnQRVvN4PdrJs+pvpQPlTnIbd3Q7+uHXoqunGUamifYsciBu uSRHvNjR4YRf1WxFepD4BI6cnNkvZx44zeCcnSF8hLWEWI7QWWGLRVVdSwF6bBgezjaxjpJkGbgM oZ4blbg2nmPfQvqncv1AyrmTVXMk6KMSex48IQteWw1K3VrIATNoIdTPOMSFIFLScvjrPfJZ9FHN l8VtuEcHwiaN7m+R9f96hRePunbQu8YfksNKfV0W44PP7Ge2lSRl29vM9JBy9qivMln+k+1AU/lA rTjDxTC/lp7XR3ODBysjiwLiKvIdfyVXrbxM2Bvl6ezwmDoBYFW6ZdPOG7fZ9DuI8gCs+8xKziTR V3ekxhr840XRDTn4+r4jNuqdOrcDOeWwn/JPZ5WTg0Xp2pM0XO3u2hXCNzYkcfau6vf29gce5H4W oPkiyYdwdhOoLlW7pofeLONe+KFzFcE9VDFiJH9KyYNBOxC26wbpT8DbetOP8dlSSeUlvBaNMTtd wYqdT16MihSAjRW3lEqOkWoLkouRdymU4TygyzcmYdcWwTxTVRM5gJsNpfFCmhEDkYT05+xVs2pM 5kpnz2jSC97OPjWH6GMYfCzdYe9WCFBWL9Y4STDtYA4gu18A3UNOiGBWw5eRd3AEXxquMSaCwhRF 4Nw3qw9kFP6kF4HCGBWutjBHyn37dfwlbS+BVY3DeNAh37nTkgpYt4P/pdbWvT+gL51LZWROsdLz YjnPk14NJOp6TF2+gycsl9Y21lq2G0TLxBdxgpHFDGdt0RVuzmfEodWtpt0jyosQ41Toy/VSbSL1 0BZdeaS/HGpUATwGIo8Z4TkQOh6j7sI55tlMdMzjVLtxAj76eZA5ZTDGVdzkqzHVuLJNuqEio0fN vw/i7ktQyIsVkQr+B4xekWURfIA1+0SFov5y0eqFUk5Jcs++e81RGUqRDscbzLr+aF47xVTFND7/ DWNZr4PK+v6EciBsVF6x0Q8l4MOLIyxDGcod3zYfw+aYHX1rGIMX8puCAsT9IJVQbkiL0GInh34S IwkBuX0QqgXOSju+J4p+oesVheDQjWZx5l+act+QH40aQGMVymCrR606hm95fQAe5Wtyu1ohxrrT euiAr8J/0cPUkwWsHnGDCQ5wR8/RzwjB16orIdVquBbOKWnrqa2HRhsEQNMyCZjahFjmHhwRJRPd j3DwY4tLhLoeBr0beWxOoX/VrEjJafMP7PLDG0tRgh8dm0ee16KaaTMttrN7+czpU3Wyp57U7+Pf KFlR2zXAOJXHRHCaWOvmxqxyWtx0nXCsu0jh7m6Cf2pC9UR4DGKrQ3Bj1PhwQslK0yzJdVVhNfBI prPz5ChPRHuRnj4/ph22VdngK8iBW/1o0R5OHF5P15bXsY8roKnRXECcK1VApQ8HzkxZ02nh1hAo 00YiaBac52nKILC1OXYQi/s52hh2KPjQRpH6riucxpybboYXVazSZeSrposr6r3NziCD55Fe2Iqv B43nTnFMy105yL3a0+e0FNn3RizrzCSPUxmir9pEcwsk1Px8f0sfjIk+TEEDeI1vi/S/fuk+2kx2 And/QYOI33A4fjLQ8NCLtkLczymBxz4NsCQYLxijM7uYQP9dJwiqGxJgv5OrGn+vdTYtg8K75O1W qaDf44v/McvyeHm10oY4y+KJIYYMWjn/dN/ScG6ljGieivxQO5oXv+NB/E8U/aqx1H4mBogA5S0w Bw8wfmYI4uwFltI8KVabBszV9enFFCr5uykbQbVs1YiZFMV9kneuXB1ISMZYs9tU2gWHiqtby8EH Rju5l+Ye0pE3RLnC+PpICTgt6rclqN0/wnmqhJharMncGdBiSePb/JH4eg4/ZIq4uybrVZ1klbAS 8FwBWb86FPPN2/NVxFBf77s5OlHwCdYQq4MP8d4YkX1yb5BMJjABgOvPsJgru9dy4DFjSF/iKrwG uauruQKzk9LBQrewY+5kDWH/TwDp18Gv1U3mEfiQYrgq861ahplCajEjt7uqjCj1i7oh6pAbH8fa E8PuruuDORGeqwV1bPeZeA6LPPb8p8whYPn9TR5N87kDEpzKJz1dAW7Ah/8+wM3YLjMXBPm6fGs9 r3Z7OM5OE1ZrmrgBZF7WD8/GZ5CWhX1F7Fji19kDAff3BjT4AMmaK/0ry8fqnZmQtMX0dzYwFMda /d+J/SLLTYZ1atPVPQ3otwos69PQEP0sp5guDAWz5uP6f9SgqWScO2F1o6JIQ5X8vlh/3zQqoOxU Bd6WFi+VQKAw7ptMq9abZksLTPj2YWQm2cwzYhgTR/emiOh5D3oBlGS3hj6FXDxU3ya1+fAIBa1z k0II+U1Ri5HV2epnxit0DDaYtOTaFOvIJdfZ58oJl8UeQB4SDl4PUJrcd48+IY+56G/tUZRiNKwp Wq/KOsnSQ8ZR4OCZVuDhyDaZC3V/5AXNucvwAeBE8kQA9hyz+qERiPXrvwS4Q8bzQmiBEFoCjGwE Xe+JxGJot3t3uz1DVXfGn3hNuPGN4XdtPAuOWWm6dMHn2IGkM0gJG6zNFNZjaa8kj+tcDbiE2zyh A62oKdstv+rcJpjGhpz6mJYzymRyEG96gWX2RvTcVgO3XCVlzVkT7wkRqVK/C8fJrzNazQB9Kure l61QpZxsffnT+atUEClJVoL8KBpXvKiFsP1v//Oe9Dfo2b5y/ocQe/uEmGkNl9JVgmYIELYSlniC mM2AY+BetbIhGJHrhoI9x0cTdB5QGBPpIfHTzItCCjgvHrIv8dqwgCooUSV3u519nIv+En1e2G9u ut5zEajiOjgKgAMfx7Ho0yp9BbKRBQn8TJ+Nuatrg/uSTNPn/K1vIRjD47jgAdqfN7BNukKKpF7C IHGCKwxpdv2pbeMErHt1LqCxA7NwdzSxkG1dayqYMNyuI3u0uyWIvraQBBurivLSkac0fsfZSK+z vb+i0AACCeP59yTAA7ucT1akp2y4n2QFirqgQoJYQobaKRPVZu6jMdWnxeTosemJW5FSSqjqyE6D PY0x3PCTCyDooj4n5LNmOXyjvaQXbwnirJEZQyLTBhi+SuXaX7zKvw886NqGl1XOZbUEpTgBv9cy Ow9TnmUgiHAFYn0Yp3zJEmfCM5ioN+THncSX6kU8v6HAFWsdom7vuMvRq8L9Fsei5sqbp8GGNhdJ /37JI9pOgNFjCfkDglhp2OC37r5Zh2MrUiHA6bVurQjFAe9kyD5uJW9ZnE1J+kLdOuZ1Icwlqtwp UiRVKQOqnGDwfdm9h5lQGTA+cPxWXyiM/oP2B16X7sR6ycGLko5GS3X5iQikYY0cIbh1bD1w1UyJ jfeuG8cF/iQLW0f07nQQvAmFu2ogM4mJeDkWV8Q7kSC+bdMHYqIU9e3nqTx0iSVxmy0X7DUFAmLH xzr5GmykUivK0mkTorNY3AVFKzCay1zhxJhPi8Wv7QSJ5h9nKT8jWfTOIcyAyrzALG8QxAaspXpU vHlRcXtS1GgleVh8FdLjFD9F6/IBWHp/NChiMF/a/PJ8NtdlPOvCHk8dqFQq4mQOL0noGjkLWwc+ POZUYvKifQKzLewKp1JudlxiFMgF6zMFqyMGrxwdiUBoPtMGaY/DU/diS/mMMknPOQkXG6qADpL4 Zy29izMryl0vm8MDcQ5U252mKsuQcus/Lwmm1bKOdLEJGv3XQjR25svxuo3byYnEzq5KifPHtMas J5S29hGJjwrxDd+jb4uURAbaOpLJxFvxkpGhE1yr5fy6+ogS39vy2/ZuWe0WndqOj4LrOCGEfvKo pTvieYVTKbbcjc5Wjr3Mt1SzObCPttkf/VCcVyIMBgY1Zd2qwLL0tJkXvgDPDcdR75mMVFmAWPyN HKF9V6Maxkmtr0OaMa9YY7x4iqTe8ZZSwlCy+V50+cj/uz8HPk41X/paGJfH4ojq9yVQKSyWxyLt CpY3LuF/GptYXI5lzcGVU0ujiU6lwoSpQUGxFO3OXGMftOb+urrkUItAeWImPLyZhaCM8Hl+cDBQ NiyfioqH5pZ1Q7jiS4r5wrARSAMCkGXc+HXhnbLhsTBxMCmC7kAZacXNl7cmhYJ9kh1dsqjNhsL3 t4GQCTkCf/oBTsgAnbkI8jO3UjY7XxjXosmMamfxu4J1BF6lgOtS7RUCZXpvCDw0ENYjU+WYTZ3B A7lfKOiN/yejzQZ+7ziyx7wqIzF0mp3eq43IA+K3wvvhviIwHx0ikmUmXDCxG5HYcaQGKK6XlSvQ UuYL6ODFyIM8P+6ZQyo6OFwR6Bf3tpnKc0p/vXc0Q/22EhP/NndyA6hlyS8YPLSZw+7D0BMvSLY5 YbT/cIr9y0a4V8E62IYCI6m1S9+3JEZFKTKzX3ACqmcYFhqypPTi01IGreTx5GJmV1iTNlXg/SIP 0B3Ms66fLhbumj6WzSOlwugCW12b/NzvQeqEWwl6+nkd399x9xenkbT4EV9hRu1E3aFqBW3x8Aog lryDTMPjF2WxNUeC/dJP6R12QtLDlxpQOv0267qigpTEzw3SAsXoiQxsV5++yan3/lK2aZ3rpBxu WVfTlFi6RK5/pPVSY0OGQS2mtMCzIx8PhgA0WQNrMvT1+BHrqSbXcveQyk+hMHpaGLyLhV6zzz// 9d3t7qr6d5+PwGA+kAvyAvNOdcz47OtdffE5Kd0WtGQ9w01tG4INHJY7h1xaXcn76A5r3QSbG3hH NJxEfswAtlNMHKymiHPoUDrK4h3995IZFD0KNEnvFK88pAQs4u4wlsXmekwl1z7UFDl869UQUR5H Y3QToDWx7AiI5IqlELsbDuBlltKLyyVpZUblyKTtiCfNgoRuZgYuJogT0Qc2WqR/AnwwwfFgL50l uAjLuc6DBkYDRdyrkQ3P15rZ1HFuXUWcgQQ1bMTlIgblApD3pemWCvMiawGZOQ9pr+hit7ibGIHw ZDqGfNyNpRRcY35E7S+aQmyd3o6S0Q+gC9bGgrRFugCu7TKv2SWw89PtAl8kxc5FM/8KwWf6XRNK eQXgqNum5+96QWuiaHfa5gv8NdiA+dvAGI0TxTxbs6TmZDPyAZ8nZfh0G64jjKGPk/Su01JAhgM6 j1hEvRnC2bnhD3L22iog3j66NGW9XesG71JFArdi9qCJNAFOcPonS2dOPXHaE8hFHzcBsGT0ZYGa AK7xIwPsUxmmQuAYECol1YyskMLv0p20EfxZYOxJsa6V82YC6RewIM233Br5WadHHGsTDr0kI7KN zc0f+41bhd9CAQfYvbr92WznK6oSoyhIES4r43wkp+o4vbUdWpCgsEGiChnuv9PWGsGJPwYM9Ufh D4v0wzFoWSrv+y5Sr5WfOQ3PA7UrDRyhjo5l/esF6/6A0fQXqAIUidB4LG1INBFmZSK95PJnQVhY pinbzA0JTEoWxhdKjzNRFi3f/+4V8fHt/giNcS0HhMAMaKaftLUnlmWGlnHlIaOJcED5qgVgNNXB rxILTQsjnsMuwLnsQ61JAGt0Vq3LLpmIU9WGCCZSelhb6fLoW88PDD1CWaWChsIzr9V3SJ8YfWNJ mWPQ3vvz/2Pl8vUWX+Ai358UOOHTj6vnIYljzhafxGjuyNObB1l9jMA8YKtQr8hLkPy+QSlCuYnk bi9eDP8ht7uWICIrnGyv3oxc8Gj7g1t/nK32POxmB5s3Rqn8b/dTALIlLue7/Z4PtwsAWAS7ynVg S/2l53gavBavliIJ6gttVik0JiCiU6qKOE/bRvl3UldgZAQG1Zt/mG4VK4g08vyfmZbAiFU7XgNd S92MLseM/AFM96KcuTg896ojNpf2BwnR9Knp+nnMcoSqMl+xRa2pS+ek87ykKJQC2LrE2KVq8A7i 8eXroZpqBjdsL1JIAHXtbBs2UROewNx5t/6Fye9ENySe8k2k4G0sMoQQpwRNJW1semx5hBzbS5oR 31zZV5dUFdNZ92w0WcIWZyMt8GcbVtXIAHZTb2L1PMWImSM5XHaK6dDAv2TleYF3Fj2oP3kgXkiS O0ofYd48kVfUhlbrx8u5qdLyli8NrGprtznu45f0Uk42++tz9ysuppDXgktL4+2Rm3xWuKQje/yT 89GOfjHFbrgor0WDXyAf2c95BlBeN7HcOIjfu6n2xZ6Lt2UOdMQozCo02ZduWcSjRqHZMiEENlmS J5YwO76dlr10I9J06VrPrjw1iinvdmvKcgnD5Zjpcp3eeZVpcUcj/3zRnRtqzkQK5TY27cpy0DXP 3v+vC+QLNlSSfWsPVRrdgTuSvbcqZs2p4NV5h+7SNYxNDZ/d0Xfz3ENP2VQMTc8KoZUp/5u49ZQq DfLHHJMmMUzLt7fAfss8dvX9b0zoY+6illRKfydk4jb/jHDgGogRzp1ozqzTNQa8RyfgBA5MDTvM eaUOcJUHMVvnPK0lsCbVyL4GsRaOMR2xLxZ8fzsocxz98HuQqLlugdQijzVXV2HuPQju3M2cuAGX G1JoFSHFYimJfYRqmwc3uQnKvBZ8RMM5QGFkZ2bwsaShm6x02ldgZ5vPeDmuF5/nBCbqF+lWsOmI sSbFR5YHULUkvEqBpFtNAMWdlanXs7Vp82xyOVxt2xFdldDLHBB+cYWHZ7A42aSJDxlyN6Mh6MFy OB1Q5d5P48rgZvGQVmFE6tqmEfb6xl3eIojAi1NvhZBa2YpvGhZOf6JTOiNbkKZLk5AcpiiVEXHz WxyFLKi9ztZ8WjVxGDyX2BoSOMEAoX5eMW3mfWq9WXKl4GJg2Gc0j+QrgYqwHoV5kdGz2ZujBT5n 48O47sDUwT6dAPr7fhE6wBA75bF1h+XNN9Ooemdrwrtwbz3R+WSDT5G9uG2oKtr8XNClit4Vwbbw HeZQ3kwmFsiBLcYiVhSI2HDdU4u4kcLdXMYOKwajwI0ALQxusbNhLyPhMvU+d3BD4oFfOxEKcLy5 JIQCdvVs+VlUbP1hb8tWUavYl7wgc+Rk+U3GfkEYRK/qkHzIwGPEHUuTI6R3lKH62+XX5JE3Tp8+ jemq/zyWwj9+lj1aqODRChWR4dGHsw7Sy5zjGUAOKorU+E8zRYaPHgNWq+OG8XwWYk72WlD2y8BP WlpV/QbIaJQMp4GWivSwmJye3TY1KLPlUquA85twqJRV2hbaAD1nQ6bMkOlbATS2LU/1two8raQN s17bYdxSY8bRGcvSt/+ZveO+2FgdUvD/Oqtn/yLu331JUw52CBxoG3CnKBL4zO1x/E85NoDbW5yd Gmoj7+NjXe+M9TbJXaxqY/Ow7CPKx7rH0speqLf1EdxLgUa2DoN23sxJhcP4E4kAuWgjDNlHwtEj RsPN6ZnHYkniRNkuo4EVEVy6UIn0S9OAZ4IXPP0WRK/TEg1FatzFVudtbYHafMiXklWaYE3adhmc 8B7eoGJLRdzPHIRY76qkU5vVCUs7FKegNWbW4oWrgC1nlc49MbudhOZQHS8WeYnAflBnlGHOYavH PaOhsDCMjGIAyZ3FCFi+Ukidb87W5QIdEG1I+++MJ7zKhyD3Hvu9zcLeVgAXB9rDqrdzP8Csx2SE FuMfaKJgKLJet/RLIeUduCoUWiVz2inbFcJj7BDgg1h2HGVjgYLDlYGcY7upn6QqXSts6XXP1194 4jcBL2LCxRi8zv6Mwjfn1GvaHEiditYog8TU9fdQVO9sQgjGDuV+N/tNvKQu4W1a9UqVqEdUggs3 8MbW68mqgBk3IFYTu05+DqQj6vDs0qh66J0AW9xsDo+FkB++BPGYYlPbTROsDXMxRKvBp/bcrOwg BCIHWhpjrs1WN+63cEKC3EFjTeqHkf/mGnumDQxUnG2ARVIecfoMwU/5b4v5GZI4sMnaJaEokP6w EZN7XdWuISzIr4rb6R8vFy0lkJeqUw1LoEwDHbApiVDiTsAvya2UhQIlH9xWeUukTjQisqagKHM7 v33XZchwhWLV/oUJWcxHia9DOvZEmYX4Gm+zS7I2yIZcnD1i14R5fqGZymJoqJdBoT9FuIm1RtOR Ag8nLU8GHuL4EppZrlskWb3BlXHQuI7HCvBlSYrABCJA4aMMOHeDWV+SdYImdGGmZL0z4mxD74Vu 4gc0+i4sLXFuQt8zMMqPjdwArgv0fVAb5YpR/zHOLIxSYzIVNdQEzXWVDE/F32i/g+ZoyHyvHYzw 1cTkeffbLNvdDeu5Dh0r0Ymd4S705yUtC5lQIG/gNee9WdXDPYSHIFRJMmtdVjD4OPJEj559aKtP IRZgQNLcNAwNso94rAes4Poa4gYgQggJTMoK/H1LOh3wXG8NEonk1m9EZY3ixRNVmBDRik+JKonM 38sjtQC9UCnPyOFv6k//H4uuodtahnzATOo20+wr5xPUb6qyF3euBXTHGTbWRs+sqxqEfZHNrA2g l8DyLojnEAT/wjY6ChqjeOa956cO2ZTxZVe4S2mc6K6TWrgeIM+lq36uqGZ7nJ09msxtgrYSeb7T XbNUYvK+EOu2/57bhHaoe11vMOXRcZHD7Zaq/8jPs9Oi6+UNH7wj/TKmMJNeLfXbMdBmC5+NCA6l lN6pnYtdgDkc9RyqBvKd8dDzveopn3FrGls0BPtvV9RxhV6zzvLDedleQafhYbUmq/bOp68k8wIh nuxWnnNuDLFmpf6qUyJNLu2dUXjfVaidOKAX8djVUcIh35zv7eNXGFsSp2v5yQFWTYcxu+vrIepO 9eoUWid9JbAdqScsrzE/Cdg4FsaISHKLhL2avvq4cnZnMATchRWJSwEdTzwqVkCpdBRWSpmUL1Xf pTqAwqc2hY19K75HS9Lhy+98Wk3MmO1G2+lUSFIz+vQfku8vCK9T8JyP8AW1QsTpbXnkea6pZ33M kwVlT6UDC9UcspbBSoqBeEl694MfRd5NY1onk+Qbfvu87kOrjHu4/YDwcd+8Q/8r9/N1+FEZkMtc GBBrZEs6MOB2su2f7Fq7s1BJ7gUYqnukKTIrPTaa+3F00mWt4eFWHjaoPZ5eK1Z2ToR12yqU+Pgt YtpqQ0p7yfmuyFPiy7czfxtMjx60ajRyF/OtQF6XC2GIT3ZYoUz6RkZ/7a4yD6mgElEj/c8JRasr SybTkJfxuJ+y1r79VjTI6YQGt8DDODvlMwreNT5coW8RpKFcxNGcj9/6Y9152v1bw/oQ/wHiQVBl K+ad4AuKHapu6SDPdNxgHHpIfQI0saiyhg2lr0KF5il1GxEoTdbDSjfgwnvAf3XbyWN1UOMb6M4P ZUoM6FxxwxUYtvU1swOaxBUmJnFqf7Uietg2HWqPVS6hfc48tegQO0I3wyKdGxDAp1JVA5PwF6jC 10AAFr9FpSqi57LkkWBUqIpgw33OwpgmP3SUU1wNcnqD8QZR2S6LHNnThwVuuTDP3UC1NJl/yy4b B0rXi0UsmJW33ismyDHMOlRTTJppd1ujPy/2bwp65jcZhaRDSazzurE9/bdzR5+12WsUlgmWUk8R hMdBWVreW2cr46by3TI0H+U+MZqFMHqNKNSKSQxiXJ3ReiqHxVori97uWbVt8G/AsFcRjq0qzpWk BPWZ0cmTfmT/IhoomwiNx7cz5oMcGHzJBtP9lXx4q3nqrREPdVTbSPq/jeBev87nqIu7c807PrXG Slzaz2JhW3Wwz/bn3H1N/l1HuOqwtqLwaC5J1VLfTc1s6bF5YPNkkhtTJdh2/y5TK+Tt8nsx86jw yNTjCbrnKMTuKa+G856ihf4exfCennGeXvMPLSABceXqEe6b1is5PZHgyMGim+SvENoUGy/zWAXq IAn4evEQqsQ97eHH1KOi+B40BUAbRgsIsghe54dc353c+ZlnsLf6Vx+FWKkD1vSjHYWDxkYZNzSb J8iYTxyLlW2RANhtbvxB6CvAiSldmo5FQZp/bRsKbyJ3o2VWSecTFYljt5uK0K0v36QYlRNowJQ7 9NrjYDU3i4E5tgHL7ijiAO7iX4I5+wcI2Ze9Ks+FvVKkEWOcfLGUdPSsYBgSRlQkyZ4qyTCqBgts QgshM9EYQAJZ5ialK9138fW/jZfbT1ewLkbBHX+aueHwe+z+m4bexQbhvNrq411e5tXCSLm+YatE mP64aJ2EXGg+c/hqZ6HQdLPaFlRvdRqZ5oNrjvVdb96UIhqSn8Brs+Jrovl2IGyNEVrjaeSnKRET n1u8YlsRw1A/8mQzywTDNBy8RFY3ZHTzVccYC/9hSwLk+/rkLoY6ZMp+ptgeM3TrAD5MeXRzxs1A 17d9wbhSNYKX7rcpop31UEXltIvbYEy/Rdrz2yz0vBJhtEiUe9bXIeFYCbY9Hh5xkBKJXnBpYP6b woPWaEGgHRJ1NeK9enq99CiYIE2qFhlaerubAxB806thI8W3Ke+TRmKfbJz4DUs8V8+BtdnHOjQF efgdDT0l1PKRXNiBeDZxjemc9eG1tJRn7Q1q3K2Q//odD4DXReDmTSAS2zMAkWA3TpYmhM7L5I8O GdFvdaUfcRmrZFop3y1tgOYD/90YN7JuOjJJe65woEWrTMRmfdMXKZay4tBhSmM9IB7Ln0oXXKNt Z8M7G8L2TYeJPLoVt64WrWu6MtULK/ruKcZB7RUzCMlCmuFIsBxWr1OqDEfDp2e60nkpHjeOCqwP oMJMSWniDD2D9UsndO5PgHMYfMtqa/nBcyjQYpRlx3r5XyzlOSPP+qJk0elUd+9OpKDoikiawIXc 4P5HhC3gmw4c7bqiMM7zsTA4fKyZZYwQabZMTax2Wk19PbwiudGG7bJvuFGIrSe86f9DOERoxLoX xq+vzfKa+qD46FlWzV+9Pp6CKwvxHQnW3Jh2lVdILaMdJP+YsKV3ikNCM8Eqrcm36mlEe/5y7goF 0iq832FOUmfkGNsnwGbwVRD3FhKEiBSA1gxx8wmlrABI21+qjjvK6YRt/YelzgXXu0KMLuKuabaG wM0ysddiSf9A458DRaadtQPd61lF+OClvm/hRN46gPcH0KPPaHJtitmC0y4qp5wuF7IRAtQ7Mo1K OIL/SQ0cES4vbd1//Xw4KwtrERIINxIzMD+jaHcN8lrdwrWp7bltWpkSUb50g4c5iCvIDAls3idr WchVfwdnO1O6riSx2gvKrOfALXr/faras8zpwO2+UAH3F5Yt6TRkcq4YqzHMh+t5HvzGtUbsXOFb 4PkP2md+W3cvxRs13qOPJ4nIdI8wvy9WPtUuAFQBNvVtSXMjUoY81rwV5znCc2K87RrOo48mhG6g uiWYg4ctI33/ZvUxQBHZlhQowFdffSoxFsN++VSfSJHFp75jbtO0Ie98jRL5z5m4Og84/gOnKMkp Wf5c7k9ax8SLr40btojoRWy0+1vFxFALvF6SPKoyPeD0YJz8f4inagWEvdxg5xiZ3dug9Aduqouf ZUiyeIjMlEUkySlXnHzbGScP1FO1Nvpvxw4DX3Gp8duen+okBzaS6ruYiKxsO7GhRgwCj9NZu7Bl /XkZA3ur86qjO+uqLhG4fGHmRdgYebZLtfqZkZYCdxzffylrROZrKENBUR2nOWFfaEsOtKIFNHBc cnZKmibRJ6Yo6hBOkkQ5G/v3CpTwTW9/jba+7e7DqPji4REcNsW148zXGMMJCt3qjqskkjm4Xuyn zNUzPzHKFy+Jc7RaSS3c5qlGtqN9j8JADjyQFuaGwSmyugndKFUO7ZrhSb5TAxw1XT4cbjOsmEBi uIVpu4EyJeQJ8YpjHotDzWaOFQgrf5D+dD1oN8VnGGuR5soSGscO3KU91A7If1C/kwf0UDcL0lWz wzhJL15pYx04FLpPYcQpVP+UNu7E8PMx8B8j4AQMJv3f2XkVcYfuzVKuPLbiqitnLeoYEaGfpWV8 fJW7BeU13VpV+cIMGSfR6QXNiOOd+Taxz+GIBkZ91d6MHgmPQK1mGqELykaOFDoMrpruAv8HNNKs 9rP/FiiYK6NugKMtuVSoMy3ebBTSdw7fMqNx5G9UBO+AB3TzG3g5pPzd/zEmMJZZLIdWefCH1lsA TgONcWKbFLojQ5hs6rBRFKXXDEg5/Vonmi0xoxv7vHKBBLoqxiiUPm/y5Pu2NH12fgPgrdkgslMx 2aYN+EhFrMaqwKcP8F9LiOs6plxmj9GWGvbpAnPJtD3ouO9gcdVuqg1lWsw7WKoFANGaF7SoEIpR V7iatOluKwQxbeeR8NBg6wA9WWcoKURN0yKApO7KHBaOKRliQ7gdYuNteXsJeG1bEM8PgFTj7pYq hlDevpwbDr0Y2nJc2+e4WcrSd+SAMr9KCnwJjQBPrPMaxfeKwZ7CDqDDGlnsRSJIDdBAND/Akf6u drkB01xe8IwuYj3ab8e1+wTUD/qZPf13NlUsvFkeq3DloytiC75NWytpCRG/aj1eiyi7WXNdRm9L v8nefreElJmauuniR8ERrpNI+8ti9f2pXdOyBBa/q5CbSCONoruyCwZFTodElc0UwdaLGW2sGm9D pjJ5UH99WGepVbXAUFgEERZY6RVabiK3AbAnWZCOnOPKWPAtARgl00Q9SiNcZcmagbAsQfWhMdNb TdGzhuMgxIAdZsMLkjGKiCV0BmkhR79w3U99HL4l3TzCCR3mccOhvSkDF1pxUekTzY3Eje3NG9BO oERBQFWuK8QegaZ2/HX8qV8WVFhpSMFMHm8zdzriMiiZOTZaeVI98vKmi9ZtWnz6e+OTA/A2oFK6 IdcaepHdTWg41ibAEbJPTxOClJ15hN6uOInkdxWuY0LzO2LLKF1Q9R/Nc0/Kf8S9IQaQcGHXYOJi 5JKVR2cJ6VT7LHp41CQdR+iGVSIcSJtdfvYFx466LMsv4aspthGR+DZ5W++L+v/TqAnR567mshai K9fZ40mnQvLzNFeaLcKZwOir7WdFW0HfpRNk31Izea7KCtrZNBRXaX5IpMzLXTT1ZNhgZuo1mq3H tvOuBnJ/eit9xVp02KkGB4su3tz7wP2MyrtxOE/J2tXqSr5orLtvfhGmOhr9Eib81pK/qGP2rY07 uCA+81alwimL9tD1lcDB/fnGnWiD2wzM2BKQbBlHnuhBDI/mLeKfNJ12BpYNEVO4DPS0/TuBJc+5 5DJgoBQHHSel6PqiC9chJTf18NDVyrMnW/Ds3GQCFyXrX8SaL3ThAmLdiruVhnkSl9ZZfW8nnGdu sTVSZIuOQ3lMqZNqP4qJM6UMLzx4o3k1UWOnOsylTZq7WOCHE198f13RK4z3eaXSzIs3PR7FIgtO PeMk6vy7ZYGjCe2DxBhp8iQH4keAhaa6pYWvpikX+G2z3UuxRu6NH/er9yQut7wBO/N2wE8cquTP WJniaxfyVD/JNMHh0LE3mz4FQA2N1Ac9k8ce24S0c+hTzFJHHva++9NWXck/CV0Ix2lcRhA0M7sI jsUEk3THGVDa4IBwiMK3O/hcWxHjbqMPzEjlKuJtiYsKmf1cPj+68zyCP512fUlBEaS/Y/peAr52 oZ1xQHW/WSTxx6kzuy2lTZjuawotZiiGhrxf4ABjF6r2AwWCTiVV0IHr0cImk89LQS+FF03KYjl4 6cAsq4VnZ7fdu7k06Qwx1Y4+BBCF8ORm1XwomXZOmLN2Uo9j8/vAIQm5Dqd3HIZ+GLPsVZdlziAF Fcou0cx/2ctvwMYAcfn53ivonl4LKce81yO+ovxzegHescdDKHbBvuQEWEwDKbD9ZSlh/TvxjA2G HIEMt5zgamBF/fNi/CYJGjD2bqm7DiKWVPM0bDYB3p0XiLAZ1FBdIBKc9thXQ18NaIy+tcJGV9wV I4tHyDqH7DhtbJuJsBrilnbVd4Nm08ZkQC/CzcTrPyXH79NaF3W9rLfllLgPjL8nLzKozW7xZD25 9J+SdWMU96ZvHLW8Ph3Vo58xqG1LeDqFZXpFPlgu6j3JFY0NcfFjIyH1gyJ+NDbi33PPtEfVjWXc wCq+S9zAeGGfsZktIMhtGFFEzr9+SOJVLnuwMrTcZ2XwrhccoVOiMW2/0DEWmiUhsIDmWvhtMM6P l+rTzCZzrqHFxtxmwo9f0swNgNYzfW4XGZG0IeCaMdd/xPjkyAVduE1QqWks04RkEAl3Sr3F152n gpA9nslOLfw/YeIgV3bnH5m20Eda2zLjMberyTU7ptpOTObJ4N5fMc/pz0K/SZC1RibkXlSN7wbc 4HZ/oFB2IGM07MI+gNl5BV9tbSEZLa19FeawefXH14r/+M5RvqDK/EMFBgweq8mOrUmoHeKahTrX 21K+bFsZGfEfUXK8M5FEuSbKSxz4zOft4hbbu4SL7RSNWtjtX1YPt9YCdYFbzh971uhitDXt3w1k LvKr3ErYTzi+OVfAFky0xchZjN8bm9cOuNVNooxQHqthqGNigfEGG+CMyiHk/G4QbIV2UV8OoCEf CkBjHlhA9c0k3TulBMGzWHmRaSyNAp7LN/rtv47roJfaIqh5H8G1VLQo1mj5sQJDOdx4/mEb3kFI NaRIUU+uUL27eFy6aH6EVHIUnju+2UxfPHn8APNLGmopKzEPJHoGpHjQu3MyPMsknmzHimOr1ane ibzV+m+YUtLDWynSTxfTe1a7o+ROnFRIqh78c0upfBdJbsUAYw0QneNMPslgXLs9JkJtM4II04bw egF/j6t163KQLoqxPrXhSV6PL1laQzWA/n8P9TmgXXbdWY5HFzEYj9FApT5b4qGRnbmKRmwIsbba aD9Xl7OqO79mfEowSyz8uD7jAs6SPnvo8u45wL5ZwtG+emXk6idDuhWCdbBODXFB1t9ONTZzgE5L LdaNevwiJnZIdapDmb9p9togFF3oqN9llfiq31d3/GTsFoWFRONiUFRSt2N/FP0S5OcM9BMduXkh xWns0sayCp4LxxKlzbgyg0jlK6Jt5zy0+OpgEZVDC0CAPqVbgR7KRl45ZVv0MVs4Ba1OvnjUvtbX wFeXoukA2H0EGzZi4AxI8J2V6+wWf2+cKWNdkdilczXgX6nY5dfP1kaIHZZLwD5pAczAWa5lXhVT KczHj5C5yeeHt2j0PPTkJW/fhVp4Ti+Bn+4DFC5lfL2bWTc2SqNK/hfYzchf8zhH8FXbE3hCFEX7 /JfzdgCLip2oJqWyHRqmZvCJ+hnlFbQrDwZ3izTAZVTNv4ITspOOl89FoO8C6mMQzqDy/rluiOUx ll4+PorwnBdJK5kD90VbbfmIWPrLbXru0dYOAu/C0OXrXbxtrYr4JgVuaQhy6/M7dhWS9/EhNvlA WygQ2J63xP+qA2PPihiuu7nxdA1V6CbO9szLt+g0dIBvJ0JEiz5VDXpOWE75VscQGcwfxkMMXy/c 5q4qrzJ4Ahhn1z79K8l4/sBDa1nONPfTHJdYtHISzJEcGERLQUpNScNwd7h4uRzWE1pSyJEdoJWe 7uKNCHc5uKl2yI/YN8q4B5W9wGQ6RotNAONeZyAI0JcO0d1YH7z/yzXNjM+u7/KI0McQt6zuiSaM kCJGMU58Up2DBGq+RWuBh3Fz3o8WCNS2fGyy9ijXMnaK4fANIu0xFiqBp6tSb0LrMex7d067RKdY yars2pk4lIdzjC9r4cAvLGy8yZCk2sXOi8pSpn51iwHCtcPA2BDv5OvbVcAlzmwjxAZfvJY4qaMu FZhSfZdzHvPsIjQQitXE+pcD9DNuqr8gfgsaM1bY6LkTXlyjzX4rNGSRFxvttj0Nh9lBQQ04pJB2 EU+tc1gR0F0BDX+JcwDuWWxCq1uNALfmXE1kuaoB+7ifBPDeF+35Q9EabKVcJNZ75MsipqteIOLH eXNHilvBLW8nwL9H0ZTqQgJNb5YGQJPoW9pQ+rI8IEDZq8HreBoPREFEhzdIEkGtgifWASsN+i89 Jc9pmJP+ih+HBiU5Tz5RHkwQMkr4yTwtHhdpUkeDHJ8AfNC4yn6rtnUjh72Lefp6FZNW7++Y00Pf 5IEmDtxx9CFkxjASpIMqrSlH4qgJWZJrZc44Y7sv0aouZ//BrPHEg5g9K2cPulL2YojZD/qHuRi3 qjGXRwlS2O04fen2gDILQTqPjfpisoxiNCyN8i2oFzWos6nANS3F+dRfZQ1SWJbnGJD3RBDZK/L/ 4QaVTM/kJDe9Zd4TypCURurBk4eAUQ6VNINS1WA5A7B/9RgchTIdTUm/psjmefKPcStU9C4kgvmP 0JPatcLKErVthdjagISfAJKA1a+M9o1LTtMko5lqPjw9phZ/7juYx0YnvagpQC6YoKriO3INiB6l djV+TF53K2z/SDHIK1pPB60eXouH5l0WhXlTcyMZ5EtzZQMGdhUq5177fRwKG1yLOHMhbccpujGl 9gqC7/ogGGc4b+wqmneQEQFVszNtV7SnqogCQhqnUMKAQj3WgjAZm+Fqwt/EiosBBHnORj9tmmko 8dP4DgmoLX+s79J6g13Elb1l8N2E1ZcYDlgttClsKeEdqhPkD0kRW8h/uGBXE0//12Ak7nxJv1W9 +NJucJFyoWJ7Ee6cFJPxdHbBrcXDODZsRE/spK1JePbRqTpFyZsBeE3qsvCGVVfC9LNE5i9hqQKY iUZjtt+QIXbcVI7/R5JoLQYmofW+9YKRPbvOWNXasdylNSGcJ/5Mi+mKFSXse5F1PBRtIrTfa5Tv jSH63+e3CLg3TShjRnR4D0Fii3+doNlxtLAz6pBNWpPwg4BSEgK009V3pGZ54c9UM1pu+m5PDQbS 3LDlTiqOofZeZ2c/7fGxTRv9heJeZhsB9MjQCsNJ2CMuHfaZoGtclKAX5rJBo1QFj+WAjy24ChwJ m2FGpP7Do/PHtLlIiyteZS9/ZRosumJ6A/Eh/EY0mzEtlMKiXhA5WpFAufhrqwwYftArtdUi2sMr T5GSQnMOFJGwltAYv7fQcBgOLucNC4OyWxjC2IUR3t2sG2oYNDeKvEjcvs/XK5rzWVqPjkdiVEdI NumwCbZGEPQDh1coeQUW2BbNZPevxG9hj/ZIfSgX5dZRACEipoq8NE4TvDK5yTYCx1v2pT4Vfwft 3qKStodhBW1XOpyn20My/gEq+CQfLYkjnP/4BtkmmbJndbFyTVBcoyxKNV7VSCxWqtr1E6wEmPKO Dmcscmi/JNaNS4HR7GiUSkJtQZ7ZYF8frUqQqjClPKyE2t2rY0mp4vEVpvp3N+LTWk6CYTeEApb8 ygz/t+bugNC+LNd7NODn5Y/w49cYGDWIATAzxfWsnAf9q+Yknz801W4unWWWVmr8qkt0ibNFAI2m xxnHrDdxaAKQlmvEnr4Yv5NIO4VYa/5VzOBl3EUsYBtEtI+aYNFTS+0ZsBPHTdlUR6UV7pcBChZl voFGlTx0Dis7VUxJ8/QUcFaYAykNSeGgW64hHvniavzhwYFfa3kwykBEzAApmqAyCLf/FfsWRLQ6 rTC/gxIJx5dpo+KVtzMKFgGsNefOqZ/DVP5y05Vt/4NUSbmAcP5C6q25Ha19soIu1J95EnEf1cPv OwMrf+Zca401rVU9A4/+qUPHB2kxAIE3pwHpEv35fC2COVki0WCUcclf1NHzdNAJ2rtUCB6IL+oz OsLc03f8QIna8pZkNFYkOAoI9OzGvlCc84ddxwwcTbQafUYGfMlGZ8zvSv7oYMsu59HaRpixfEHo xj5HDxUv/wV+2L6Abk9mZLM0LRYIxk8UvvKG77IN8ivCvRyb2P+Dar7H0ZwViT+lqR6Lhqw3UY+7 LiwDSJjW+K+uFUXBWdafZ/uFy+r5rdlq45WVmeEvcwcGmukhyjpTKu0jHqCkyYPKLYiyRkKnIS+b LyY5IJhOUp7O5k2Qb2aYsZpXN1LYfl1bzi4uZ5mgXDXe4sJcMlyqJ1VsuIPN8zG4We/abEVg50Go /jYdHYH+OcqtGor9c5OGVCwqqNhqDNgIUPlvHFAyjX6uqe4ps+kCfdNJtbmFDbYWh/hcYc5XOZMs My5Tq6HOyNQZODKktroL5X0cqWaVHVeFKpVmfJ8lwc80j/q25zq9DBm3ZGR7gp/xNVI35WpMf28a 0AMwKXbrmZkn4L5a/oU9ZfOISn2H4/W7/VLjLHZl2LkX1PxzRa339PmVgsizCfbOTaFxq2GZ2TSX n8A0s5tZTIPTgJX7dSLKjgvQ792hH1Mn3X9pMoCiioOzXTHqawjcCawa8+GyJbcfO2c4KbBrT8cH LIjDk2i0Oe1Ufx5KToJutFnZ5BsJIczomY/R/E9aOJELzaRx5NBXqHNMJ3f/C3COp6uQWAyJCzWB BaYenUdDNuOwLLO4BLaSNvDUVjaRuJj1gWbhg2P+wuFokpYgyMF+aooNZyFFikPfsEta/l9UoJMt 6+6rj9vB212PEmwUlYH9RWfQKVtVPz9ySq2Fa2eiDLUhu2qm2A8UHy7iszkbRy/IxErGqs0GFMnV ZUyrVMi8SQzgrbhYf0JyzIGAj8L3R2wxOnf+3CO71jh0VzHsCcLETvg4iNDcPdvqufyKemVgyFRk KkvYZbNUCOvPnNnw5rXv0FXFRMiUOnM7PwoK7nvRDXskX5+C3vHEBgd4+PPdIt9r4WYnHtKzHVDu 9qDF5G5SEiO0G1RTFTlCHz8cyXEZiGMAn9tGiyfmvaiz2oe4cfGU8obpqu1hpwyn61jDQi3XGpzn o90q0+c8L3YZd34XKrQauLFePvnGxcYFfHVWQNPC8UPUd77bTZqX9VRgVrmbzrOZb+7h3Y/QiFl9 FhUEi7HXuDRNz7Lc0kezkDIJfO5fkeuSWkha1ioWD3EfK5IA3dNx6D8SX1znT0KsAyM6S3zWygdo MkjXqHzxuE7N7KNJCbTbTIVJTS8e17lvFMNSqkgQaJ/+Ac1wJk4JUZJQjVIt457FDZkXo08G8wa/ QSEMxUCEl2MR9mh07S2XAdwofak3wBS4pw3kNzn6Au6lTNLgPSJVH9E7uCvLfdkE3vbSrh/QpbMd Nj7aEFwHHGvjUQTVkNN4i3sZ2ZBTUxXkSPxFBEREtJXREY6Nl+lCMrD3zTR3KdhYvstafwIpDy3V xzt23e/JizW0xG9gx8BkVwdCqPUuVAu757/jsGQEcHZuMG47d64d7BO9cvyJbclCJ4bV7RsIwNJn jlNZf94AaWcKq86k/3IjngEssm9XI5KWrKYDknfsJFEcbkOSi8e87O3VCXFjm+GaeYK1sp9WaB2R LSvaKDYINUyiuUlxjHwyRve9qE/GkzRqw5lBnuph8uh/fatX3IwVUp1No/uaT5X+mluSHzaPQoMC DOMaAlPp7VYAKjFsbRZnJbf9qoJCGVkm48V7xhO8Hd+tOZQ1GgkxyfhoAEsU80X+IgQMWZ1YRPyO AJCZTFSXvkNpeuAXb/9eSQNB7dQX4T8Q4bwDI6pNfgrOOttmxL8EpD6mGFbbXxox3dcZRp9UlH/p n2O88gjW82dM7NXQXcoTYM6UfdMFv1FxSxzG+vQnaN3QO4igwog26MSpDrx4yFt4HAIRJ0I4dCMw ptLBO3ZbpK5YCDU2jSEuacEaCdj5nuGahOS4r+5KrrgyCMKn7+hvP711JZe18kwCxnd2huAF/f3A rjlbNBvX4ykBD938iIp9YOhqcMaR3TswV/0XnDLMogb+WkiHcmgnjLuEWYYidhYgIn19KVEBG2uy a+SyugjAH575YyEeQcWcBS8/Qw+zaMushA20LZHAa1lnMYtgXJsk2dXCBnRTB59WiyTjTbvHjtB6 CPsw64YKaci9L7w8p7o7NsGzfm0OflvXy6Elac74nYFqNVDA8zi1NOxd/G0mJWZAmVFp9BB7gJhp wT9cyb0LzMm5s+576vTerLLwT7pXedRgL0P5D7M2C/irbaDfXnyml6mZs+f0Qg409El0kCZq0ZJd W3JOZ8Jm6YCnoxNceP2KwPs0oYj/ly9c9aCKKWzNXndLvIgLuLSkv5jRNdkllODD+kYBSew7vnGi R908KgbRuoEil/O7f6SWTzJ3RUCS7nO73rquWUXifxQzyiiAaYScRbEXz9JydpkhtiBeYOTzPU+D 7+2etcTKtbgoEBcYNYA5CPDcl1Klms/KsCQfzFVxCNn2sq7JGFnRI0SRnXU3/RboVYahMTgbr7O/ oMaAz0UHJlV0a3fnjz8Qeo1h9yjC8JZT2FosKfif12RZsmSZ5UA99ksDqthTr4NkZMJSpGEsj9Ko DL/+G00/uJEe2z304z8KXM392HHfuhLBYypq4fyJNuOMnfiXHV558ngReCjKOJJVz5Dr8Jc+i7J8 DK/qkfd1yvsA2tlPqsaAW5Z/uE7YsNNkdk3t+UhBuljZz8QEHJXH42rw5Hr/Gu+gMerVD4eD+OR6 V2sn0K1vPvWHDEmSgHrBYtXBbQTdl1ZkBJBQYfZx51LG5PNwx9fVAKxKThKHQstQtkWNoVqOH4A2 9oSXRl53EetK0y3ZEPI4hczWI7CHqygVKsFhiKNotz+kE4fVa/sSEVXmGMR5K/z6zyTu+lotZRVv eSypsx1PWwj6YhjFOQv0aeD7HRM0bNDIIx9LATTpclJsTOeJJjzSiGOhfRfJ6AzgeDm7wdHiOezx KlHzCFhjFADU25PcvuoIxtGKVFNWicXx6HIxXghekktCHc5v9X/F9NCCe5UX3znRsuK86dEptat+ Oa2/HQ7lrmF8mSAfd6QY0PvBhiKEBlajT+YblO8MMaVqT7Rfcch1AENEdMlNpBwhg+VBpqgI60E+ ICsIAYOFqARIj3guKLHy0FZ8qA3L4zWGMrDNsoMTw+Bnvbi98jSxts77qPSv+TK6kFGXAodkjWoa LcCKDQYgVSHPqbW47APFxOQEj+bzx9XuLtNh5T0gKBUmHw9MpZH6OAwmtLCE4E528MwptdscIOtG feMRvLhwaP/3rdQF3Z+mt0SOW2nujALpSaz+8LMWVSm8DxiOQdc/K8YlTlu/kTz+xEZOGvJ3EFar Tu/h4+LTqQ3Q03vlZdugLWTQJRkMvyH4B2th3R6UjZsFir1j4nBdmp6BEx1CmOSMWRPznfUfIdPs jiUjr6aqRWfExcwF+enVqEX7eaLHjLnUoyaq2fyd5xPoPxghVpOrK7M2jzs42Ck28RecD4KvZvsF RgjU3BzmjWn0xXsFQlGMDl/EFKTpSOKnZ5QBKo0Npp/pbVhLXckItwmSDroDqSgsBgyoiNOvqeDZ qEEU1K+tEs4ONk7o74qFAIiiTnNsGpbalacNOB4DT3ZxhorxMSUSgJfqstlWZ+pyqXvpvMyaanTt SWbDeiFOcyLxahovJ73RfgWTVFf+fD4xK0gD3fuY2ZoC6ulr2cm5I87T57R57he4Vqq8Uy6u9IhS 43JCoKmn9Vt5NLn7r8y0QaWv/74GnL5kM2OQaB6nhUpceUynal0UchoLsOBrlBh9s3CEnA+nWoWk 7yETgJi6FooveaGfXeHjEbbmzu5ddRKPYJlOqEOTBbIdqHx+WmJs6CmCSUVrC2yWoVfMyGk9jVYC BdZxGvj8ZLi0ROfdeODodYDS694wgqesrU32M16B9hBys03CNf63sDOQKOTQql/RDjs5IHEdTX05 l+n45I9L6M5zOV5Kh162BSYRfiiX2dx7GT6wcS/JejLzNZPf/ugVeKsHPK6qYAhu11TJeTswpK5z OSJoEzGn86QISkQTX7a/QbykuWKxU9h7AeTETvGyd/Tl2II3zTz8z8ebI9i1ETi8o8OpmZzAe1EV vwnJSGG7ngfaAe2dDa9Zair2ZAvxdhoz6QgCStxQ8CvnJL2HWDwz8uOXzwwRdZRpNwyPGL9KfrG6 6l/fOF500eIeu4fs8bLJiyx4BiudqBO0qn48du+TJxpJQ7YYX5L0xWolxEMhx+P0XSD38ihG5iVx +G2Q8s61YouQP5Zhja1WtqhLWu/nvsaDjVMx3L3Z1EboN17f0nc9mvaZrOhF94/A2EuvtJTD+puv JTRXmk03gGA581iXU/b5/LIyXHv7SM2/abMfdNYDCoWme/eyVQh4VXtigS3/8q17rZ2B+JHV9GS2 pvzI3gCveT7sEL/StkgHbfPbVVnZi+4Lz8A+Nx8iOF1PZAGT7u5mhL1i3SHiV8D0AuwuS0PSs6rZ zqhQVJgOLnv6mAC5Zrz4Sd2hL0DB4Aup5zHgVofQPy8ypS/hF6ktM4zGE8ASeKqC/Znk9zE4458D JLGFaidN1A/wqyIEeciyetJNmFiLSEvoxtabvDoxvnmz1uOM5CXx77UjBPmvShsgeE9bfpK7Ui8M KtwkOBxiNUDpnR10bQqCvwWJRscRMVXX+9tBbq4SsjYFu0zVZVdxFY3NuNtTmzXa0Qzq+yhIQjt7 swY074VrfknvQ08OMF80PEZ1mcYJ49d2CPJInYsjxpjhR6ZGJ+mi5kSjsWKQ95zVbLopgwpHhhlB R+IyfKQ0V7qn0kcdizAnRi1sW9kpF2Jsje6/5ShwAeedHIbmwXc/b5baBj8+1ehlK2Ax8xC7hgIu XxHsf0WGgvnA+0efSSU0+wQLWzy3QYmfIjviWSTPHvi+9uV2UcStEqnn05rFIUKuE8zNuck4LMYD g+bVIIoIP0M5P3aE0uZf+TCNnytLAkYgRo9mHONPyTZXl79o192Cb2HMGt+6vBW4IDHUg+MAxEJ7 GetaPjO6RvcOewnIuoSWdksFlMPEBBaXzaugS94cKmjtFM+LCFwnzYnU7nC/eion9Dz7WmnqQPuv 6YNjzTuFU16SRbrm+rXNffSz8xKPakesukYnOTSgrUNxJ6ARF/GtjL0TdPuzNiJmoSpG0bLnZirk v5F2SsdAwN5eUlgfLnO56etOPIs0NUvv6GL3snz2XYLnnFhGtug1jkZ7RkOl+jVdI/5Jr9f+T317 ArrpoT6NIt545XMXokbkdBKA/QMExWEJcO1p3gCr2lY5sICTvbHVB7FovsQxQysu7cu0RozTlM+v 1V4FOAuZHTmeGo3ZG1Tpn/VoprK73AhwjgO0cd/5ngXfEQsFv/D+gNloW97Le5RY3v+K9JXHCFgz yD7D1dAGpyLji+LvhFTDrxKQXs+9hfABNSwk43IyLUmk1dJXYSwViKYqzigUiOVjfFp7OgsvNAwD EDwwqMrfWXMVZw+fOiKxv7C0mV7M5TNGxBZaLEq8x2Z6p2Gia38HQJQmLHXGxYlorIPlJWzzkJ+P vByWbnauPqdt6T8VQ9gh3eLWZyI9K9ADl0cs1ZMW/sngFxMEWF93YQvukUc/USZsoKgEmeD6Z23v H+Hm5Ij/FSzVshxsKqCo2pK8Zp6dn8BiOiTuPJ7SV7vNmgOVzLgeMbP/oJpNk367DYkloldNeyyS dibospPFaSIGRFlkHtvNqGBJP+5aMzU4KhrULeupDd0vSEFf8IvGqnzXMNch+cp1ud5X9M+hu1L/ VpwJ9Gi15N5halT+usKfEuOUpc6GMFGCMTm/BpEtxX3NwxfkHCloSyhgT8O7XpJcFqpftKyFu4Te TzT49Z/C3kWT6T4cqN1rCfy4vv/sfJ911FfnBGAHeQsc0+CCYBzhEyUu0CBMVAY+vN2Oq+IrE+4Z e2k4cGCOdrTzIEPoRCfX56ZTvdS7u3J50WcHIiNFvIJR5nbIvsyK2OYOUwfGi+SsJmzQzMpSOx9a YYx1sykoVm4WV1hk4NvrdySGeHg0s1X4uFBFFJ0GmsTtKYIOA56fRcdIjvFQVfpzlgqKlDA96ifG qZNIzBdk12yHmvyWt6BYKr9pi4etkSnPZiGUluLF2rcVXXWjucYzGzwZiS2DFxW+r1CZvw/sBGns lj0z4PrgfxMXozAN6pNV2+XiiWz8gbopWvPMJbBXrcS7oWP+jPVnRtfg/fv23ZgbVe086x67RRQV +ktHrMhhss4uccrOGitiMwZybqWqmoyRds5JZ8SfcZ97WpXF1n56xPl7afgd/pz9e8eO5/zOZFqc ZSu+VQjWt5fgZAk43tAXhNkoeLOT3lBETrFqYh0TA4pSYlY8NZ3Wo2zNROfPQbpB0wGoLatT4KJ1 0Sqsh4oaQ+uYP1hKnA7j0skk7/9KRrFItoIK2R+DRxMF8ihsnLhxjY9D+r7HNgo1vVXU16sVGVaJ qO8CezBFOsF//hwIOf14nzKD3CRyI9pUBQ+x/N2YHL41cSpSoue+oMOh5naznVKqoTz1rgcGaJkD XXvpEf0qLQwIws+PalB0343UntD9rYZrPInPyyxg3MWlSBw+f8HBxIkMNN57bEZlUulXlnk1pG2p 3SNf094188FyrU8QwLf2DUQbxS5LrtTNMfQTSGnENBJ3vmFlmaBgHjn5fXoFmsr6YV8UadVkg6WC rHmJNI/JtXl1QfiTaRI/zoTHp7m47M9OrOiXSaNkpSxCdMSMVCwdW0f3iPG/jMVQ5UmpanDVJRpa WvOfx3JcOggVb81Gl94yubidzu4SGLkyJPtncUPnTpGWN2fgRQgLOqoKfrKyUbFe3hSswHM+1kaJ XTTB+bekF+oOtdSDt+aNmOFGnUv1/VBBzN+8VC2dPzzwk+np4+HwrFj/12zT50yUm+mUWG5c6/Mj H7xYtI3SP6edszdppUuuweBMUGJhO/6XeKUUSX+m0upJ6UryaRbmxiU8BJIIGIOpK/LKHHopG6j0 9qTP14aJNxMIhYnFPi+F0SB24JX2sbOwENuclXjItdTVX+OvGKvrNR30eMr5J/XE/9IqGvOXtFX/ wun3lqc8kYCnXpQJDF8n0JIlDrHdyI7zFfcc5g65lUP0IDgoX/AZ4xR7K/oJLU+n2ymG/n27CNrb XU1s0y1DuOpn+kh3MHD1BfXF0eAq9/zqu6WU8zoMT/gZeBsFuxrqyHuuAnd/444goHIf2chPPKDC UCY2NfBaLjA3Lg/AvKIVJslIdgSj4KAQ4VWNFgVbjENuJSVgdSk/9DHWLb0wMY7O9x7pSjiMnpcr 6NAYUsKNfSt/Y1h9entIuLm7zP5MRZ0vDPLQKtqsXYipP7jzzEQkWxGdlrNugaHbWc6OGXRDNgpt wyyOXT6LEhoLPWBNt0Yz0n7LYhaqx7AgFQ84PEeti0oXFgk1QaPixq7ENjaE7SMLDYb1IZ2yfitB rz7IOYr+1OPDu7IXc+bZywi2WsMo7NRY1XdpsKyd1DQ3cmthHfa7WpBBfEZT07QVMtPAdZfPqnU3 9zqGGq7leiHAkqcqECaguQG0h0Vw4Js6KjUfi/NbX8ITcQtbq1z8h3AbDHE25wVl3bVQcNDKvaC5 UerkNh6TeLKbZkxX0XOTBCiGmoBY5ibBvnU7WuwYI2K/6BBBaYCBpufzaT0YUALtXx0B1kbcyiCS idQE7ls8IVuKBdUIPPODpNKjLmWlnSzyC9J41pTiDTtItVZXkpfoa3fssDG+/vick1ON2KnWsGtm t1XEtyJ2LtnE/+L+WKqQqCNplyKgiKbOAR4dtNIcQCIOAoYFq8yXa0Ev1awhyrpEyD88TmQs2Uem I3EC4eG3kxgGS3X2NIyNU/2su++oEVhVq4/tSKy5xvxnrU3hOnT4AFMrKg/WI21GQNK3rEKLuUms dFX2ckdIkC6pKy0C4DV/FcemqQpOuCbhy7FnTrQE52PmCK36gjijTSn7y2YUfitAf7Jh389yW2fy l9rFF+7WJ7mNbZzFAWfW8b2HLk5yQWUJYBMrNXNy5Zy6+sh83q1XG3Miz54Y9X8kRR8cGZFWIFsI QOOb0Nq/cxFIZV5Vvtd2rKWpOrIEGC2Ks3LPxo/O6PT27u/ufEyOzNlxeA8fbusFhUPd+5MtPrrG fBakW1KuvW665OIydN++YCnV3c44o11SUcRdQoIG0Ara9gG6+KdcunfNUFnef368kNEkfi+ha2pE nfqFRuOmlPFXNqAH0ZD/QA8sWQH6uS9CQcq+RNZOU+sneuCGEw3YBRghrGK5oISDc+ZYA/EpBIax WhvGyMMxl5ADWjDSC/fFCsA4oSHuSHh6uFSGuN155INyisMn9AYfHCQHMvtyWTW/jaxrz3M/wZNU 0fFLxzZzscsg0EE1CWv4GP0ffsg0NA46pdf+WzNhBiqefnKMrx46gOSZ3T761iUyVt539Eg9ohiT TZi4LkjdePVzeQmkD2OsKGXAUlR/kt83Vj5CXknPe/eYg9WNYEpj6XqCyRv5z8SPb8uQoGY+ACMJ hwocmUnUgeNOK/oWWMXrVTH72l6y0LExNXYbKpRplrC8kmpJlcvWcyRQfSMqKNeUfqfK9c0eJtGD s7tVR1u9w5kzBEdoP4IZEGrYcdUQ1u9CUVXbKAzrk3Vym86KfgdDHiKBcxydGJPe63GVcluQD5gY Gh30n0p0UUhMZoTzUYOMSE0GaOsHH8eopODgBn/eeM1Pu7htFJyBNIufYlPffgA70xS9kWtePMv7 dRPz1F5/chadPI0hOr1cQfdq1LUT7bdDAJQc4i4PeRDQwyGi64WPX58hp/cGt9SdiyANw/RpeyJ5 Ji+DY1GxtzUK+xh5o1y1KlZXUdt7UPxI84sftTnoW2LY+2OGO9u32VGGP3VuFdAVnXOKBPKFCu0f vhHzw+4n6Vx0fGoQ6Znc6XjnRtfRq4i3iHWsWAjlpWvcVnus8OD1jvrNEK6xbqVsYl057aX/Mgug JOYiscLkOUtLF24TWQjub+kANAVdzkx6WAWNv51cZpslURa1m7QiiCvX/JpTgIk183oY5K4UUuAW qNjguiufTwilNtUZiwomhD5m5b91Q9JLGem7rlSG+u9RbHVVuF8jti22/oSLmXn8HIxGc98iektD 6fr/8W7X+ioGOE6PIeUyArLB8kUH6kYY1Hf1xiJ7kJpiC6hC4eu6GD5Rq+xNGWKkam6QO+hEuWop FEdntW/MJDWJJKWhzKi/MvLXpnNaflJem9BHEfxRGM5BSGfXj2sxgHVXq+40D0Gj1piPE2liyRxM GL7I8X1XIm7GGLfD2tfbROADQBsCdVFOddejuLhZA1YWoq9qFfA970K1H8L5SnGm8QMyZaexv8l6 8nb9eEOvGlk1thO+/eBlz+M7tgFz+gTWsUWeJv8f5bKMrqP4i9GKdN1IVVCA8N7fqBT3gKtz6C5l cpojaM1SQP/aDkrl0JpkVQ1Z+4jxjXyS2h+1XDLdqPRZwdsXamDpsJEOrzttRP4VJdnBc7kp70fW TtzpCrWl3ddaTHU+t0GepAyN+Xo9WZT/XXUw82yytx3ADgW6WJcyh86Pg9MyCfWnRxTWG9YdFCur RbinodQ3col9HFHQggdr6QYVVOLzaVh4VakaUL4tUfv6T+rCrcYNglA2AON6khWfSSfo9+DnaQq3 5B1swYTfwCAwGpRcfAhKG+kE5PtqH0EaPygJ1+6KyE0vBlwOGjrRpbEGojF9CXP6uK5LCV65HyZj yaePfReHokId4Oaby9oa1g56QpCB3SOrbb1Xlc6Y1EtppSgqezgPJfloM/GJNNm0315QNq+bL49A gz6erWvYS7RYte1DzNEkxzBvSnqGS1LjqRfMORMbt72HAfjL0KU6l2/slg/61/s3DTDAmjPCQlrX aZhz2XCnvbpDHQIbJ/+fQ1c30LJjKOPNYCx91KIRNVIPosDpVwvTB981WTCFgmaNV0TtpM0keGRe KfHcQ76LnYw1lpL9RE47ZYWDct2dxqX3Vc4/DOmfYHDfi+nkaDxP4GJ12/dcE1bLtW6LSUx68Z0E xBKqVUqaD9JLnu0TPVwbOCB0L+hWn6zwcxW81eHdsFUVlQNKof1UCgh7ZMFjJMjXD2joVCi8Gvac 3KBGHyoBOF818pjwK1eP6Ajdb6GTQ43rl/+/73EYwa+dlkR3r3RVOvbOtEmNbkW3rOCaNLeVnvwQ EwRAe/xpmP8+5MHJltl2WtqIB6Iub+8CAy5YJ3iOIsgPz3FpKrZhVOeZwCoAOUGg6kp28JDa9vaU S+C/8HPrNUPsBcF6Dj9lB6znK64t9PwNh4xNSwbBPsrxuCnOguIDBFBJ3zjtFv9UxH5jIe7FdiWm cU+x8FWa+brnZsSoEJWTLaneS2Lc4r0XhV8l9ZlS579ECGj4e8XwQdq0e50dCcsJMSOYf9czk216 i/yNyGbx20MwS2rsTZC981/Mnej2JyIfBWid0yblnzLlE0MTrik/4d4sf3O80ZGVFzib11u2Lnln T97CPtgk8Dx/SFa9O/lE662LrgVzEQWk3PUzMVWi3x5fQdQ0mSABXTRALQ6Ohh8tnV8YOV4aFdbb Wd3AG6eBwhfl+U06L9av7wdCSnyEMBNfYuAJklr0kByXtJpOWMtuFTCLrcSkBRaWjWQ8R//AZR+o vYdXzIGp7zi0a4ehVQOfVtYvl+6OkwlK8K9BIMf7/eLEk72XYM5bCfbqIr1AlnayFgrGpLXKxVsN d8bqdWKRgeJfjBTgJJ7L7vtU2yQzNs1yGcXH0gFKduq0WDN8eXclxBlM+6l/ZimhwLBq0BykD0r1 cFpW3pP2TQ5qlVtZFgn7GBg1F43gx8H08rVtqtAX74ZLW4azAAsip2b8OA+aCu+9jtr0qz9QLjYd RSQJCz+tgxQMKDSgX3F73fAG+Pn65+Mk9UGyzD7jLl/etsrvdcN+DGH3R2Gn7ESDAk7sc1rkPYsB ejCchD1a1/lodb2D1FRhkZHoBUzwaiZgXgyaEFd0fXa2B8jjvLs6fZybYF3+UME4dnmdWEYwFtOU Y60M/ORY8pvf+2t8DE7fx4LZUPTaa0EVtTK5RNOVDRojfRFJ0nvSPRlg0v4xxQNMIygrEo3vko7V rrZStmzDHrd27AqQJfVs71298SQKshytydNj3YHO9v2eVdB/Dxv8c3xKkdTjCM6hMG4JN8CWsVI6 sp2USxa7BpfqmBcJHGy5DxoLu7iGIf8U6gObxp4jfjilAnheeau6NqTHrgGRKOZIVgZSsBJtdZhI KxvpupdwRCVJTSCwl/LF/XquiCsOIE5L/GpE0QH/LvEUGlQ0FjX2GsYkCLcVhW/Mtz79N9eFGU1I ZpcAwhANI2PcS6+E4sqnHXS4Gg1vznmuBU3ELkB/Y8fcCe35cu/fWv0oJrPndgC1CRA0h4z0MJui Etew+hbWk45jI+7k5Uw/s7S0cmumvFgxOoPL2a9dyUjdJJ9r8x85PcZvtCBMOGp7REASFAtPOldp 6AloH9BWBIfmRyr3oksH/Sp8gLakyAfOjwXeTAYoFq79MpJwUVWeSj4t7TgsE1svuPfPHsc/i2nu 0pZqu2GPzUSKJ4i3GdCrlhnRf6KTEbpSYUBK0/s5SokF+ggSys86QjW34DHQaZTKzoikc3nZly/y c774IB0dja63xKMvEeuuqFSwUaYJbXBR0eqRWoq1aTyx+bs8zwCc4tt6Y14SphM6/eIMp7PjXrjz vx1Z9vxZhDx4ea/ruR1nshgN2OiWS0QikNuHDvlrCq2MHE/BGZH7ZbGItTNXOXyziFHzb8y93RzZ TjGxqRaiVRbYg5fp33GMVQXDJTfZpL4DgI+T2BGw/UV0RcrXKyyQLNmJRXHHYcDfSR+6Sd2++0v6 EpHGE7NU1fy7w75MeTtE8pEFQTMU8wGzA8A3OlhnADWK5sUhTa4yAA+jNurukP84lJVV2EoeEFR9 nowj+TKmM50wSoF8qx6oP75H4nhiN0poqD0rn8OG2uTI1PYTgvz8o3HtSLtxfcK6/IvUPs1x+sQk bJQEH8TRtjO/XKnDdxh6BsBXMfVvJFzUjuF2/hDhRwXTxrsPgHZ4kpAsw1OtE37Gakyf5M604xRw VT0kaOx+a4EB7+AxCmmK+Tv9bO0tGU4LPQUqPTxN22CtnJf4qfgval86hb6ydrjI22v4U5ysL6Br 2SZEIP6Vfo6XG9BguuKfxZCAoLek8Qh8TRvwdOSg/FbBe/lHyP49OSaF1giLZmOKt7G/BH9P0JIT zbxUXjCkf6HFRJOB+K5lAOVY3HqppZuCg0SqYypHuKuwA6G1UI1zrjNuV6Eo5gVqF7BbURPb6fk/ 1iVvtjqMX+V8N0HbV2aFIDvYOxg3vV2X4t8FTDls3i9EnI7Z9TlPbeSgSwnKtLQbfygNNbA8XiMI 08IAKeoIlZCbb4nSzJWHiS3BcC2VPnWHWnN/KRYU2Eib8AGsPeATNaE2FCXOTFi/8ipsaDnEwXLy cLsycYyLRwzAO29UuYrY2fn7KPBgi2uB2Gxra7GJ0phsDcf3sCRgzh0hiPao60Xzw/vCJcY/AO3u mTFuWQVabG2Lizp+1VMFdfHVR5Jx4LQxR06Vibce3o4PTK9Uq7iqmAVoTulI97M9ow0eA/mrX35n VB6eRLiNTKupkXH5zIssm7JnI2AzdCF4xUn/oQ1/CGhJFnraaxFxrH6XpRffpSYeED+LjlMgiige JXamYEUoG2DMkLK8bB+8QdKX9ub3ERVhTPc2CvvON5AFyPXUHdWzh1rKXXzEGU+pOQyPpJ9OEhzR aZHSWtRwfXhXt7SPRt6pbhOZqASglrhOGxT09GxV4Qbnl3nz3VWuiLRcnhgibIIbnnbBjalxpiVI +JoVFOCyjnKPwC2YYiexakLAm8nCyR6UK3M2btJDnWLJiEcPRZjK1xsZAUe21ctYTLqmp1gt1KGQ HjuZRvCeK7ZzXM/VXf4ehy5WlaPFY+mCoY9j9BVUs0HS/lMi3FfL1xU08k4vtaJBe9RwFPQmdUk1 /ioi0LnFcNtYrmpCzCxgRkXJd98k3G/uobR8iUAKByLNLvLqAH9oEjKiBu2cJHTR9k50r4/W17BT 41mIpcRRZDAe5qYfrBdEccdcHybw9tAU0a44lKpGg1pPPAC+2qIexTxDSESGdfNkBNOY609PUHJb VP9pK54mNNQUo+Y5lS5xGMlzFJoYwk2RB9PMXwjJEAPv9iifKtJhPtTEhhwTfHZWEkv8ZN+hKtZ9 IUF6V3YBlIeoO/kfb9rt6bxG5XyAbwuKI6vPFJP1kXwAYy0zveDkmdM2dCvrIwm+caAfCZ2hjEtU 2WH6npS/ZspVFDwEzwaJmIGKaKi0scsvWKr2y1l+cIE7ZJEwVJPEAviXaPN/SBQ01V//5KNq92B+ c14hXpvHgvtTmt7LNUvH1DnDobP/EASHoT9drbNcUdAlIewXx7o4SCPFoKHdiHWMUQlFsPVoTcH3 BxGQQKKI+rADhL5/IxpQizrJMHd+B0yWhoa5lflwkvTKsrrdADzxpWoBueNJ9xqVJN3+kWqDdHQv DHEd+GdKyiNeuzmmidtzJtfL3DrwU7A59f9fkQIvBr0Y9PyalJgPJ/LtZgg0Tb4/4qmjOnZ0YFlH V2XEK4o7z6cT4PzwfeZ2JYusl3ZwwvOHVCnhAp5QcYZm9KDcEVOAYcpra+lIrgfoUNksxkI8yDmY 4uapk3xvqil+rnmlP3/TVxGUPHBdXPJBQlqLC65Yr+8Inu/gmbbbmfR17Lqh/5Slzwy64MO2dBwd W6QSrpJPsu3LtgQFlJEuOJLmRJ7u9sYCu3uDjxpFj7KHiBg/q+C91wasYmQ9uwlhohY0GgHzDkbo c448BmgEf5J+mCfJYVIiFP9l1MUXDgtxGZVUq/ltVNIia2oTXuj8B3zrjgc60uEq/O84O0Uk6NdH pVi82UAn7TLgSUR6MK2azQsECj9lh3BEUWk3t5SRkbJPIP0mLGtQrn35/PmmHNJVUelW7lp4YXwJ I3nNEQ/8CEWEUYUWTYUHuAeY3nytg3V8cIFM6g6L/rq1cNFOBWpxvTD7qsFQwpXIOtnsyed2Howx agcjNqGE+quDWHQlah31KAX7svSulrFZ9nPPLFK49LoYYx0DLCot4utCV9QCShkyl7CJ/97xh1EJ bhO3izahGQlmn5T3XThfPR2Qu+ixLQPjS0vXhSWB8BAqV6cMZ/18MDE2/XCWpdr0Qoc3TojvOdz5 jPY6C+YP83DzjerrieTi8EERokMLjRqJPdlJADwn3vIWzi1WUfdZvjOO8b5WE5t/Jzifm5vx2+/X XB7fKou7qSmJAgGjcFBCwVB09JvOR+JwPCHfMnzhcw0WoBgh0PlBAKKjoWDKEc2PrdO9cHrvQAE6 BIIwCA0eUiqc6dHIyA1o2hoThd/OQ9tFWBgbdBkvchpMUSgTuHFW9/zQlKnjh7dzK06lHj3WepUu 3UAZHIUBDi0OLRiZFIluh1svw16iGLDhX0sOVBuat7SMsJn3F1u1AHv0TINRc6b0cvBziLrdOe+P CU51GMX96vGGm4Qf5mUVQ/+oP7fayaYx2Le8xF1+7FsYrzTvBazBQ+TkEe5XUrDJQV6cMMCmJD85 HOY2PaaQ9HN3U879o09Y4vb2sScz5VAVamg/RprjW5nML6CQSGIpxKBBt1TYTwcW4tsmIu37NDs/ 6tUMA+rqo5De/YNg0warSVVZWcIhO42hwpI01RM+0ki07fWKp6p/wasicLfS5MnPM9TGu6VgBkW1 Qgr9kl7MKOVuQUIWWCjqevAMID9y0BKMAEkyrZ/t4d4d/CwWLvUtV4bv+73EdhaPdx4sNaydCV8a oe1pBskAh5nebaAzFPulRGn1u3OEdpiAQMNgkUgix2/yCaSMHclfJMZg2YSGhxmONuYtnedZk8fM kq1jH2o5WvQgF5nEIpEI8mXab32vX7uyCcm8xUk3Aier/pjgb1UQQ3PLuXKvwSWq6inBOTBt4mcX /ahAf4SvXxBkBT8n6cOiFMerJoK4HbZZSBXQ0dwHIYIYwQEgSaJBZUdtCoYY2kzRnz1FSv7u1DFK 637/+nuwkRBuAUEl4Yj/w3jmSFP10RHDccBYphFyyxX0DgNmQuEwzrXx4INms6ciyUt6kcVPW/CT v5OQKuZHNeOZ6kVtB74H6gwFYdLfC604LA3Dc/DxvxAi58ZXrBj4hEW/Ef6YvOfOmKvpYllqszhd ZAPXbgDjrUZrS/GohuFo8rE96D90Chx+y48rbhZoiKVTU1wdTmO+7un0kszbYl1WVFdY6HfDwzLl vE1M6hVttVX1GlCZW3mYVCiherHIvP9RVYqT0wF1NWOfGDKyRrsqKe1Kk0U09dobf42b3yEndlyy z3OJcBA0R/Cs+ryrsY7FjrptrmR9d3E9JuwHJIl75dox5AOqwcj6VJy0BNMgOAIG2xQj5jX/ohdy 3bl3PAwrfrLYeEB4SkpIIaXSwoZmbV1WMdL5Is7N6NHRxn9NurBiC0t8dn9fQ2XynhLH9j7y0XEr vHMlvbMAcLx3PDatx+7kWwVjk+xIu+ay4rvuM5ENFR/pbpkSSinOHOpCe04jUOjhx5OmnVwmVmZw SBFmvH0YfgMBOvjuXqm6w7b9MHX7l4fwOcb2lxMPev85i5i9BNx0jtjY83WXKzcRbzOuTlhW/d7y ia4lKe1OEfWSrwAoyuuauu4tIccu+/arEER2btkwe9LmevRhWTCa28yocrCNkcZLWc70Qbb//DQb I55qARmcyn/nPdXxN/QDsf8MzW7983O1XGEYKnK3hR0G2pT3xveLUejiJxOTnUnyTRgH2t6DMqNs lyDZ60ZXPYE/brqtXqRQz+ceo95pcKUyJ4QirZrd2CVMHm79nMoKNntw89KsaRD1y2jkqJX4zKkL zU7Kl1LdwhhEZTMNejdGPIE0xXaddf1mk6Nzo4oy+BpsRn97Tac4W898mjSp7EhYGYCv3or5e6vm n5xy2lQJt3n9l/9I1ITUyufJOV+RJm5/VpIZSU6WLfTvneay/Dzl4+8wudYkvv85YVDX2zMBWU2h teP2nq8NXblppIOWyAbaRv/MGOioueaTHeGxa8PZPfjpbvacn1afrcWjh2FcZ9tj76I74My0wNaJ CAZAI3jQLm/g4QOQBgSYv44z+BNJKmH57u55//oDmHiURMt+ULFQot8wO0wLPOkxfAHo/U/g0NlQ 8HzD6yOxF2jqKjNvxLEQizcy9Fqr5ZMmy4Q2eFAD7zzcx3XFR468XQ+pqggTjZxT+mSBhRqEv7pi /E/Jj+Rk94tdnNbHii5gE1Gviutk7RHxwelxk4MjIpZQU9PbZCV6V3A5Sx4hdC6I/k2sqihLhaUQ Sahf0F1OLEs8UN9t70a89pDkJ2qotfZCdp1A1r0dLSpv22/i2rg1n5vH5wIvI56D+9+5k/M7ya/t AGiT6eXG6Mwv2lwpFVx+fDWfmZOj061JeUWcdiMuVQ5uGWyB3f8XAJKmSsD87YBENiWYXSxASTkh qg4igmFlO8hDrFTs3qioXTHwBoYGe6dmgYDPfLbZHw+dQni0vHgaIbbC2ACASqcBice4Uq58ZzSK Q0X/lNKf1zLh0vLASE446wjdhRc4hN1Xroip9TPpXtrXYOkrEjrmLYme6muh1PnN10mmVNK67b6l s39TNesKaWk5Av2RAejrXJRPKFfqcsUJXEFD6VeyX3uv1n2R/bSGNzONkyKtE6kLRjdzjpvEk3Fe HuDamTVXX28Rho3r8Jn2p4Ez7WwDi0qnHqZXCXZcAobjaflMkAAaKGXT7GuiaLHHtx1BgO8YBQDz 6ATfc+VzZl+7JWBFq7Sy2HNsfZQFZJ3ZL5Wa+Kf4ia7cq9Fbl26E71y5RVX9IFbuf2tMyFs+7kUZ f9+oUj56fGD6E+EproNsoVVwAyQVavzIJrrTvwPSRjZmSglcNav4B/YxNm6YrXGRlob1M7CdvIWC X8gw015iLHbH1sCIwG58kpEbytvhpNuJNUstjyvwSR6f9krc++KXitDiSaKv0VpU9SIeeWdlDvBf KMu/43pYghxwNIvgRyGIn1Zf6nnPBB72cRmgraJkMzVHDKsmQIFXN8pyiu3X7ppBdYb8FVXp3g1r CKxpC82YL5oXAJM9xMYM6swkpxuyFeFuPSsE05gX7Bo/0ycNzvv7FmyUWle3FrQc1S3YDmkyT92a S4APEshUq/aDxkJr1J6hPzNrNAVOcZ5FN0C3//fpzjSi9eiKi9xRi4lIQym6+/3trHp71kqEkgTD aiWsrm04nD6xW3m8bJfeG4I/5fX3RUfjtJUyJzsjWiuS/3b+rK1rt1igqpiX+57tkHheW524gZIH YZnHOQMdEsvtGwbq7v7EkWuRMb84eItXvcibTJMTX7nHJxSjZ7xuL4Zw5Cl0lPmy5E7immA/3pxu teLkWhPtifpnCrmM7eRCSW3I34RFXmVfgHB3jd4Tb4umIzXy1yI4rlkVGPwx8UxiY/tpB/2GjTJS BLUh1q+joYP6EMRrX6g6tPImFEWUnnmo21MJKnxcs5mOQGJECN8Drl0WtYq2LGLzGJvP29iuMo8z x8rAwyCtMKLwimc1yJnHEcHUiSICv3Kuy3txNC6dOoItZRvZX37/CAPmOoy0GUHiVl13iFHWKYot FnrjBnmxCz7ZPpvVqeBuOeTyhtkOI6hoA/72Q7jCHS/IHaYkPV7bbWD6hjirEiF6AnYsPjtzL+pJ BRAJdgPKsSKznKZSzBBT/b7xN5Kqa1LeK4vf1/c7q8OxTIckvKdNhaMiiuBAh3TYoAYLzx6/r5Wa 9osOfg4fR77S4abszsLrEaJ63cASu6VrtrHqcYsxgIG9XEEGL9qKYjwmfWSDiVswIwjvj7UgfycG FWFNxUD1ldOgtKEwV7VwtEW5VN9fLAdSvKqhTkVOMCL1WjUdguYPvsAyAhpT2agY+bFhkmupUcGf 1D/jraWDjvWpRU4ZwlhfCKFTtpBNcI3NStOzHr9UUPHYmpbSGJg6LyJN5YKTKU/tPIwO/Z3Mfy7v YL4vWgrCVH7+g/cQwqK4EdBTRtHQFQT3VhQQ0w0lSFpFwrsoNtgkMcFqO23ZycLpE9nRzmGMUKCT JKudVkI7vbf8N3xA6d8T9qFfo1F7qVFYuFId7149bRkXSmZpVWgg6m/2gSC9n1mbv509REm1Wyez I33Tlu1cC3NG3NyW1KgCsS0KgMXCYSkTw7qkwlkAAQhquezeSdZOCkZCUEXhrIbS5zmfvR9m4ViJ T5TSAvD5/+0u2r5vgkdPglHJJP0KmHeaPf48Ns3GxK634B+5gHzw23XfFq86UQL97Cf6UbytrHqa jcntj62OOpJ66mQNVQjSNaU6AYI8s+Onqrsnwy7YiDNBiTWj5ZzTk+HuVe+Jm+hrQ5xvrj3K2O2v MZda8AMscro+S15BvJDo+6FBn88nI7FxQL4dNJ6f1rMADSukBjQWez4NXZkGzhBF+GeAcDqZOOKN /V6LdeU9rbLAhss0XiBWZsZ3CsIqRF33KJQxly3PkjKvgH8UbxltrDU9eMan541n2n3BE89bUp7Q QGKBrvqj4ZZw7hctV9aTxWTPI1C337Afh6pN0pWbCWeRalR1yB1E3jsfAxks8uakModRjaa4ulyg OhDYMbpyGJRudIsyblMQrHmC10nK8kvlYB2blD6hhePpi8hcAUyW3j3bF0KdBm2DL5KjNPhRn/+L ARjFJjLBJ5X8gz/9io325EcvNGMMplvoTZ4zab7KEwZrBkZbgUMJAukClw4taBKorot6k6ibbbp2 WaxNcj3DZ/bbMNlxSg/VTeNB3L4j64MOwfdKsE0KMS2+WAKuYQFusR4NgFBn1VbpCFcb8o48IsA3 Hv6FlpE9+eFMoouCJXfXjDpVYqjcqAcmeM/bEENlc9MFfij1qZEcboRFTueuHBYbLqa2KJqycuNl A8tM7t6tsH4g4lsB0ZOvFGOyLun0tZinb/c6PbRaf/yuesnOaEftZeNNLfPepSjlFVgeF8BpVDAA 45mxRbpu7iD4uVmHm2pkw/JMKgxNB4PoAQASytIgQG/tcC83iRy7oypk8TSz0xz0EZynin2rCBsi 46fXnBV46/L9r/jjYWMNl7sBns6OYAluCJLs6Wi6gWPFBJFhDUck21wa4vths0RBpdDGO0+sLQdH /T3howbaZGVPa12JUzV/fkAPyNSg0w1sgp2awS9ckGo15RJOl1fKEK4mD82gS8ZYvF7xpiSxmca9 2M+mJaFh4lTmtA9t/nT1MvpBrBd1KwCPLnU9ggfBvia94o06wqF2Z9nXVfkGCTAbYPzGTzILxYrb 2M3F39KWGNa/lRrDYVyHnZQ/INLAIzTQjkad7C36AiMAAMUK/xxZYw81Ibou4veiUn2YxLJWGhQh dakihrVFXlp87pP861lYS0y/IxROzXr62//da6QZWP7u0G0oF+tkdPipio5nA9mrcitmmwknV/RL rS87qvzbHUZ9OCQrNYBoPN8p6aibclpMtnDPExqCBp2MLO5br7AajiNqLqINFRDcZ02tQP3fojYI W5EeXKs6dFNedlUQzyOoJAfbMIkQNQpUm33EDr+wjl1rav2TCx91zCRpx/JbZvc5VcTW//OZPmX6 piDZRC57NNhoqcWmfVbr4XNfNnfHtnbGHEx5qyAXfucvnbDKAQ8ssG81ZHkDt8AGGjVgMujPQqfw th+jz4py9DGsXnOMhxNb9icOItlBp1wTWv/mk0WDkmIEMUcKPyI3BHa8ayzEsnORl4b2u2ZvwWP6 TRNcngkpj6TUSwCkVeyKPgSR24WA2YzppvFcXy8kuW1FENEykkjXyvlyw+nnywo+u1xREyUX+7Ma HgSy3HJwrksMfE57bwPsL+3UFMqOvRTBBDikyhQ6MMsolqRnaPQOhozj2W5S2MASxJwBHrGS6jmr dk7/UElknwACNh2/6h20prwLLWKb6Wu32baDIqQVbxv61fe4/p+Sdp8C88fKnaeFi/ja5feLnhbw COxbUvlAEQ4i6JYO5fJ6P1TlEgih0UGMqAnO6o4xl//lepjU6bPZe9s2PqwjQZ9/n/sg/z3o7i7n ltRTAn6CAKj0YL0e8dEUdHw3XXGx5VkUFHQ8yKwuwRPu+w7PRJGP82CIZJVR//j9WC6UdbQmiyc5 c75AQ7rhdCAr60V0FfHMQ+UT7L9MKK1AkVltmdVLU9xE5k4bBHcp1zFgiJv1jeQH1PkorOPNrKCS iePyqpnyToOdcysm1Djxq/N34DygnGx6nY49OdstZP0mtM/SIpUJPj6smsP5M84OA5XNNcwyLUYX xwLJl23vzWIPrk/TuxMm3b31gCQzibBcYwfjctzafzPtzP36LNrvM40JvctGFFtAHhEP2DwYAglh aVCofZUSV7bhXWQGipgXfbwVr+rKiq4QI9yOn9E26kMxXANSdjYlBv93nDSuhTTzSuLja3ispCma AU92cq1r8qhXvIXb/KDt9yNjyIBT1IAaS4lmRXUqyAR+PnqiLHo4uQvfV5Itc/Z88YxzbLKkr9fW x5PYgPBRbz5jhFVpeqyCUy864c/B9xixQ7jI5e8w7fp6XIE21VH+JoXeXMeF5cIwCbDsQ6ivAya+ 3+0NNca81+YipJY2F/7/zOqgO27S0eAu0NhuSagdXiskmK9ExrMCU8QtXcuBvvTLK9OdwJtQQYow E0Jxfofj5wWGrg3/gJqGdlPUVYjv+FwAucjYng4gUVGBW80IpURrk8Rb1LbSIqvdiA+f3suOb7Hw R+lhS9S+oHwMptzQcLHlEVljAn/Y2qPfyF+bSd1Zp/3D5/5VtxEalEJuboLPg9yUmtI7jMVTv3WJ RekvsAusHxSZHyEot2nxOEIDpb+dNTAuUTbo1B2fD2ttgOrkKZdFGri5vut9hgsKjHZEvt68Av6P rFmZ36Tf1ggu6hxeK2edgkoYzBTxDBISukzYzrMvpFGOwJc9ur4tW1PciNkmUlzHuzJ+fPds5OsJ s/2FhGKHS+Q60ay2k6xDCwPHAAw+v1w1SjYPcSoKI37X7vGR6nlGMKR74Tt9VFnOpbVK5rUtPbvS yezd2OXiUi3BqZktYKQjB3lIlgq5Ktwha7kBiksvbXMmoMgKoNaQiwHWkM75LjYB5TDi1/pBiqn8 VG+3TeEoo5EWy6B+gldc38D8mcPqxQoXJ8omSGI40DrSsZXYJ3SJIZVlQ4rb+AMZ/IbmKBe/8w4n jXN4oeW+8vC1YPNst2EwRWC9u2HuaZneHuyyn55vvE7Y1LSJFob+yoYMsnV13YGjmQgiBewe6OSR L1CsWlFJlBF91Mp/s2clK0XtiJVwvCwfRaczprs55VfsAmSoqRlQdbjXIfipMFgRCnTZzrW6Je4/ G9Rnyyyucqe1iOC6bPk8+eMdnSaVAdWQpGZiDOEWS+8HC/fgvQN+TMJODHAp909pLJEH8nWW+t97 X42r3TcfrReJrRSjaJafPkEjp6OS8ox4hEfS3kkjhTqN8dyvWIFcHUt7tNQwntbh1OkKKLEMibAN xuRyIfmP0JJIG8P6B5TtBMHZkvOlwcRYgLxYbOwQjuxhWVmGFxpCuxIrAgsq6mFM6Zx7fNS10VZF 9251rdKLjl0wc4+XuEFCpP7p7QawxGJ6q4Q2XoWStrtGRM1/zGM3HsOfGe7VGnvK2QT8pa0CQEEP 27lHxTMoSBb0uLeYmEvUXYsdkPuxc0TpGL430da+ov0FkAsAjptzaW9R4XG4KNQTUcnxOjE8ulT9 Cp9CFB0HtQDsWUWA5tvbuV1Seqxd2FbkcevOwMJAJHjs3SSr5EBj43G4Mp070OPPPnchlRLGrLdg lQhjqbfZZSr4FbMtNd1gII4G259nPDQoYNk3UAKx8BbNVMUZ+jsj/HRs9tqedhExK0qeDCw45VVE r1z/Y/UtGN0SZN9bWp5kiWYHBlaizXNy0U39sHS3tBIW95feDQ+dkLzJN7+FP8ZVnDiNwrFYYVCF 4UQa+3rInO1V/Upi257b51BkIckIzrP4hWxngKRSXci/xx0nF0NeDaXZftiEd9DkZD1cQgmWrzGy 1aeXZ8EDL1UU6UueDi7AfkEK2d8h/SEArjo2xxVKOXtdu1GKkRbWuPkHV/tdHzZAUP0frQu327tF qKjJQUYOYBHjmbfGEXypgYz/WSQNdza02dGbLsFkZtDIWGmF2wPqYnBdlXPk49FSqQDvp6GI5CTN MIveNVas3Gv3d4yaeKbYYMu4J0YCMEJKLVlFV9P51Va0FL4l5ZSPodS1hpLHJafOHKAWj99zcQQ4 6tdg8l/m2Rwe84IF7ZkYy5MPCY9k9c+WGGpRzC+nz+EtsOHkH6f3t5jGt+agVOxiNxhl6MOlpp3M esps88dtrXa+dGn7qr3MouSHxrRGwCyts7g/wZdl/Z/73PeEN8ZmBLIU2nLe/xx8hwAxAuYm3+lM HQLiB0l4waXFOkbQFbjjHR3HKPcwzOa+40GsS2Ey1p4ABEpIHRN5xI2+p4p/bYY24KBTv9cnjwI3 QwgDIhUwVba+ulD0bAjyhZctkUP5r4zJ+NzPxouT7q6xlEkTxXbP/v2Yv19zuM32O/M7IDZsCUh7 BtPP04XQ1JHpPLVX9pu7Zw1k6TwNyF9JqUuhuaB6PnPuXvB5Rf1DMMRi/PtCFMcrn6iC3RPShUvM oFkRT/i2rTF8tvxax3eTPbnDPgUgBKfZisWZb/cla4KUt3OPbTdstZZ1yLGxuYJXKGbOC6X5Tygd dFyVrDPjsA9eWqKoY2Pr1BhBJty9T/4UJLIrlPCA0JcXt3Fn00nMGfS9usxbgygOnt3El9UAsTha jtoXdEdPeowp1xcoDnfg+lRgQRs1QxlJpouRAlwYM7CgbVjKUHT722kAfG4N+iOuQoGMhLT9uIdS rFsmo1TGX63LMEUp/5NNC3LkIifIv/LkIUwxRvfitPC5IYnCntwSgpmMVEOu8+hYGbMTJWakmsCK aDQ6LqrldLhVAHnTMaksNpx4ukrX1duW1xVmAXi3Zz1ScnASzxRNLok7cp1omOdKg8Rs9LfxEoYF bPXOhn94M43Mmair4BVVJag1MGM4FG0U37yI/MU6h2Nc0fddvjsjD2slZhRlfTd29NsQbQo+BWBV QHr2f2Qzi9l9KQefrgre7lECkfBOXJcFg8VLrdlJnIBI4fRRunE9caFMgJz7u9y8kCXpt9O6Wjy3 RVlCO1FvmcWGk8gfnbV3ENsPlj/XwPLzl1HZsTda/TrKGkzOIpJH37a/5HKQvekk28QfeW9XvGCf xJfNfXg227y1LcjEVWfJr0hw62iMzFFO+Q8i51bbJyzP6qG9DA+MVkIA+jGtuSkaHHfAuXubyTBg sLBK/R/MdcD137CHFyeVJ6ZVprVETMKIa1SMCa+3yHGFouzxuCCPEEkiNvAFeeio1cc6ZWIfTZne pQvXRquJXtUC0Y9E2M9h/u9ItWGgacYKRk8NLCwpufVMGC0UPiJuF8iS1llTz+aFDEwdFH4jiRpo jKAPM8l/KJuMZF0PcNLIT5b/FT+3cq5QAa/F+uEJ+8vN9NXVwB34GQo4LQHlxuuTlC5VgwK2xKVK LI8YtZfe23IsRvwAH3tCuf1rg8S5wBpEnB1/6XfWOSK/GQ/zyItcTTb0EflKghrMZZP3b6+dd9tM 4Nq+x8tLEk12Xo5npLMkenby0y3wRgMb3SPih6E5DT3U0neqOPD94v8TkTd9L8q+pH48MYdjw7HQ ndWqqkIyUzrI2IZtCI9i0AWRLqeXM956WfkI3QMgFsslS6q6IGHJBwlgmmuIacTtkKVTU5dnBnpE D1QJlikxZ3nd7CdkWfY42jPVXK5goAW97HiQkridnOEha+AEZ0Z9FmPEzshiC8ksz5uFuou3bDHe CzkSH2+2jfVYLtZjBI6jJkrWtYOT4OvUyy5KfzKMHpzzq17YAJRTOjuSruHVverQ1vRm9b07RhKO SKsPxGAe53QT8EzIstQ+Pgn4MQdJQWZ/fTOzcCvGZyjJLrMxYlmVYyiSanoLzEuiq0H7PhAmzS6I pCQVpufvOxKYYnWcnTGqspsvFgkq4ckpdZaY7hxz88GWPSFuLkk0H7VkjIE94B9RndNybAHNCHDW v+wMF3rV89Jr9IUZJA3xNppn94InwLSq8+LZpLpRQ+4WX9xG9S/K/4f6r13S7TbyoFLqNzC1aCFO M7dpL/qnGArTIODX6SLGoPOKljlYNLWnmdGGaE7ekRAI47gbyATjLCMS5hfzmcDlD7qPYxlXlX1u K20EoqU9KwARznoxMOW3GwbSTrnBYip63S5xzijIyix97bxF5KolpVXqh341k8XRg0hAnCZEf9CJ VXcYPWXa1RLyFOVBdVzCMlgNLF1ZyG+44WfT75Fqeb+YeyUN3tEPNd1oPc7R/VyxmQwqGSNMwcTs QjdA18iqlxp0LCPzwHwxjMnf+GmvXxkkx5KXlLAF2UzaRhQik1mgOlsPE133cDyA4sELenuvn7yr XLluf7fADFVg7wpSpkM3z23Si8nXNWXstxaJ109+p+JwDWVqKLoWtP771IyHw+O5P1u1jq017mtZ cF0w9FZz4ClwUZOKEtjaL6QTXIpeKqE275U6pU+/j+i3hXgFuQ6Vh3rSLrO5dqDhe1MDqodHGppu oRdCzPx1I6JQDgeiGYyStVitsXSVym4iessj5kvX1ln6LD+Zhik3/me+GtDgnUDAvLwlqeC0Rth6 9eVTc1kezXQsYKLQ+BtPbWERil1Wo+wfb0SP8Z03Zlo/t6gVclLEB9vSw2ts9pcHGMWi1JMC6/uy B+3zQxG8S+ZWLPtoj8R0eQBi1oxdOfHPnqE+QPz9VSUESb+fSZkD7RLIX0rGHUTMfY71S4VinU8H avoCcO0Ol9+qQVv3OfFx9VpuWKUwAonOIwVp59su5tM8LqgIsW1JbNtx4f2s2g69qQHL3max+bi3 ut8DyXyuAiuqTexyV+johs0Mk26U+Tr89JQqHlBMXu+rRoLptt/gUIZqXQ3eKmcgahawJeIG0FrH pgsO7ZbIfOysOVsyDZ4fCwyeRj+tEA95gdROnXQP92LwnaDICZG2Pqouimg40UHVZlWIJHv/Tr7j 4H3lRKQjV912HdisJ7eQ8cXHwRdpmvTlchKhLMY5zvQ7VFjQOHSRNqpVzZngtJTRDurrFJ5bSNGG qDiCnj3Ez8IVl2DqK1FFtKMhzB1U275QE/8KH4Rki1WVTSxUkvey3pkN1+93QWor9ZTzoew3oPsg 0XuOxlkhRPKx50a3N6dx3gYlMUCS0sP+vGEIFaXGipDjjKF9YUVjFvFalXo/jYUu1equvwzztE2P 7PmtGgnkbgE+Zpo69rdmdy6wGsob0e9ISVD7BWKaTobVcAANCEvIoG1s79I4US7dctz5OLViRNqn qXxuHTseJx1fzdmqL/jYgxUEqSxG2WaTR8T7/eCrmX/uhdvIhqQU6PesbhTSoUg0iJf3KL7afdVE mtQ8zd7iJ1gn1fcKomvB8JAAI3PmERF7asOLkG4Xe1nONPukWAouoPPEa/yAiNoiXsdlZLsSEhLV Nq1Brx34NSeU0/gyq+WqkYQ1dSMuDaHN3vJUSEpVMdKYJXT5YOoEkRCkSVAyrMpAow4NklEiv1M2 XdatxG9gI5VslNZ0NdXMRIJC9sMCe9UTHB74gza1JWr2zEG0VRt9ahPw90mQ39vLtoZcumCbAE1W gOUeZarKhiwkUePjtazyaXJC+tN1fjKcpMy+w44wqT0ofn0KdwIBeezma6O+K5ReMatW20oJcDUt WGnVBfxze2H94KrI76XuRorjGUuDNHlDtLC2vKZaZhz1c/ri/vsJoLuSOjqDdEUv8GQ76VbfCP3m gwBBn60DKpzg6jAM07MmG69cGlx2+QSoDYfH5piVBBMIWSV+Y19e8ZhiZJi2rq1CirniLPVE78ft MUq6An/GT5yowC1stGKVSWoxvzYlZWWXmNv8h0sUVYkZUslJVfKio2cJomqWCaaIS+pJ/g9+qkzB d1bQSMY7RBDXYEwXNdfcfGoBCcmUHmMWJYNjLCKP+vtiNYS/UkgT25rcTG40KAO2QCQmmpufeztX ebkTUxFsJ0lkLQ0sO9WBKbFnG6hUnfOI402CqZdnOHW/GRIFP3cXTxN6vQKJKv0WqW+Y+n9D1d43 A6JOPQjnkeNZvvxiTjItgJT+NNtFyUzphuxl7F725Se3exP3RPCCw0WdOKIbdige9K89K4pvYraY oztUgkfcwJpfayZpdCwTId69rPFfCG+oCHng5EBeTreBaWkhJX1a6w2SpwbGQ2zLU9vOUPq9YvD4 COZvZ0Y3WG3Y1U0Wo8VZLcPPIjkdfKoOPVpcQ1wO7etq20WHgCIshDb7jX6qLr53tz5VZF/ST6i9 XR0ra7UrJEwoh/6L7QCBKVWZuMbB9hCAzy/YNWxmhFxpDs3QahsoLPyVo4ds/iwcG6zwJdCdGzv+ QvNKdYbu+CPFZyRL6mh6SHDJl2If/MYpoiTBYXc/fGgqpMUSzxT1RMu/069n2+AcnrNOYf5nDvtc gspM2seHSK/qGlMvokLRZw54XpgC8PvSqjDpXXhlMB0KjP3FLypIFmp2ctBj7O87PZcW6wqpp/Sc MnoooVoXjuojc38G9yxfX+Fmae5zotiJ0XB60C8dj/mXi8DY/bP/rpoK83g+XgCkSvQloDfp+vs5 v2SOOLt7NRo78eQBLd2sK8aPKJ4d8dHI+VXMoRmTcQ6xZ/pTjekK9Jb1cCTthcM+lUfzGdEloIab 9HQ7hGFn+U48GJ4gsxHcY1zc1t+s0ZC+1bhRnjJHIoKKSILDwhxY/TFvp02oTfhDFy4E9KNqMKEg 9O6QUr6Ssk43S4ULx4rAcJ72rKDbuDVzSmQ3l1j6TgfyM2G9tfPe0dMW0iucRR+mo/lQUooZeMnN cJidPX3iifSIID7tW4zz2fnLvKfC5VJinNMFU0l2noXJlgdLYwiguH50Cxu6UbpgwGWuZJWOeLcq 8l2XHbGYXY5W+PtuZa8o6gPaOXDUd5ARTgxhEmvLxbR/9MyNq5SR/yOo/xJ8/g6EOF8M4sPrCFTz M6u2HVtaLY1jltnGjBkX1AOvrNg1nXa+Vb5FePpDMd4wU9ItHi7+81+ujZeaxp1TFV0LbQxpD16/ YdEPwKFkYXlprMetO3i5niAEHC2ut1uEbLYTTh67I989UrazKvdLVdETgJtXdZOQSWuZx2LVRQ2N 8t1IWqKtWDbLw9lv2dxg3DZmmWeIAmn2zbKoJzUOvk9JaPWK7RpIzgvZ2I7+oY3HJlfenM79YKAZ O9Pp5vSXh72/LK4ZHniicHo0ssGVOFd7vioKqKzbH2v9Y4TTekmhnxRKP2if5aLLvuykcj9qvHjI PEPVK+JyNbyfODSfolEoQFaWNgEykcWu5ymOZ3g0buJ9KtsNrrnK+GSupa0h/sk4ciBwcQ/vXxnc iomUBsPfee5j9J7oYb998ZEpz2nUey0amIvgMH6Ap5Eh0O0anlwFRvOK217P1ZGq9UtQbVSFNnVC E6vtjT1dWCLN/fX+UJPqc0sfVMu9WE4tLTFhMrNE432oNJOtWzdd9KS8x5qYai5H2ti65yTNV3Hu 7nNWLnr0l4ffgWCTR2JaU1t489pY/hLg6njynKf25u/zmqtKD8w5vAZLe7Z8oYN6zh+7ZsRz073/ 6YVSq1AcF/5WVyuus/yp1Mg6duI3fRwsVK3Nms5fIlxL1g9Zh2i0qywg6CR+3x71CmnMtKQUTP9N FoXoF5ahZL4dYdJcpCtZCGB+dpUOt6fs4oqYrwmkWJ6rfTJIZH9vUixzu3HdtzYPpYHCaEKuJGHz 4tYuW5Qsj0ydcQi8jzpjdVydOxVn3BsJ9Y/qijJyPU4k6MslY3IWW3Jp8h/oF1AlyBD3Cq8BQR6H OCTTYzhmEJVfIatgjP4me66x0uI+bRGAs0s7v1Psipg7xLbFitBtXtDSb1vdNQWmRckxqACBL1W+ CXV9LcmIsBWsJODvXPP+HdNWi1OYWyiKpT72XiMPiB+XytGBNf1NB9+9ab+X4PkQte39vredOPbT 3suWYtp3TG1i3/0gNaySlznlsgTYVvq3aVWC+xbWcGcKQOVJqXuovZtZ18Y8GK0vAq/TuD4I0V5Z WJ9ahQ87rRSfRvKeQ9ByTetrEPTUSjcROVqsTtEEWQOCjpQ7tB5Pj8VkFF2dRTmVnX04vP7utNlL FZ0J9NXdKsPHCqLJJE3hLMPbJ9zOwTlrzfyQN6J57H0wk/UxKkajrJ5JG+6kDTv8qTPc5gJTG7Ix Bf7HGt0BgX2aSP+PZD/HbxkT/r+M1ggTmZ5mP6Aq21H53IR5xQ78kDxHOcBRzFFwMYbbWteutv5d I6FkF0VI14Evl+DnrofR/eNZgjGh7mAPyGdC46EWhoYMoWOghlHKZlzy7ZFjGnhc7VZzBRSbOZRx fiiuYz/ribVxVia69cY6tA2YKE4ptjLaTmcZWon6OvD3kKSHWWFze9uaeJkgbBPL6invmm2ZBjI3 sW4ilMwidH807koHlUEpnJAHntsIUXaXjowGD/LjuothCmvPNLayGMnHlIQMnpDlSEqxWhsXgezb FmcoPfm+WU2lOl+KR/8wBAkQvwAcYaOmmhhxsUGzcNikgDlTP+XzmvaM3PUhoUjuG4zTEqUoXrRa jeg2cwlDCc+JT51YWln6O4910+McvZPmDN0hHLjm6diut8oyp3rm9MNXOKDFB+JIESMDTsNz+E+U TJp8zwRDZzVvhgObtNQ2zsqRdY+lnVA6g5gpt0FAO58sTO46XesTWrQox3A/55GZybINldtiP6lN Bb44xcqCrFgLcnHrFHYi5VByk8TWQj3YVTV63+EJTASPQ53gcCp7wPGwiArA4Z9QZYiMMIQPdYi8 MIaguWIla7wfNUvtXfVrEoIdVZxMg9tn8WgCdb+h6IwpsRboV0pZogcPr/Rvcp+suIPfWdCmjmaE asC2/TXofOGT507ovxS7IRmQAPozUmeICiuMh4vaso5oW0Mb2IADKgmWJbw+cjIuuYEQPOV288Cu Lbh7+ASWKZ8WE4GZG7kRqprQrkAwPLgksUSFuASZtisivZS/GBpOlpL5QQKi05CzgRtO86fyt2qs Go9PSOZkj1fwBViUoYTEjZuL/C3SvU95XI1ECGR0eAEjGMPuGDywDuFrEazVayrPh/BynZDbXOPc xMnqzEqwyW3Hk42O2lpFUinqPO3ajW11M1hQycXV0qgxgzu0AeN1mgotvTk1t7rKzGfXie4jA7xv XNMFNoX56rEBFnoJ0U6E+5D/sNRODiIfKgVTxPah7WF//jrab9g7u4ScAuLzbIGrHBQNKM5z5nOx iHatS8tQwojqK66QGIz0smoDmuBUeJKO6YCcN0jCeIWx3wnrfuBJthNqA78vPik6XP6Sf2vKLUgA kncj90fesvATHCw2kYU4DEQZBBQCL5BV98tKm1aE2L1zzwI/8lylk8wGF3nEi9JMfzN4DWoej0A9 ZX9J+LxT0xHZa9rLU/LJFjA1wYZHd/yOmBeu5amKNttois8wM4gP2UntQ0J/hcE3oyA5EWxiryRX zwobnA0wKC4DQStf8NT1VJ5+sRhr3//u3c+4MCG3a8pkPA2jyWbWoBIEoxMt6R2ldQfo+awAoXGZ CFOiEBTtALDws2958Cwt2bCangVHJuFZyuEyR954i8FEfCwL7H1O7170If+HBaUY3SJ/DW+Vxsb8 ua0cpn86XNY1JMSNuxgyKLGzaTbxFwf+Neq+EhaDZoMAL6HLM3U0R7uzZwz1Qdgj/OhHwhIp+bCX qDec9M1nEKfGwMr8dLhoZztPDKacZMK+m+n6qGOj0pjKs03hHT5iYXB5l4jLSFJZZW8R/L7H4cwh UijtPN7hKhJge3V9QCPiJC5Y3teXL10ilfS9YLyjrNzGi6lxJnrHOQgRrrKI1Rrx02+cXx1U0X+0 R16y/O/cCff/g7J16vySLNBGK1zS2EuCFzS2tiXvN8z/sQQ6RUGmXapPcPp9Ny+j7T144+DIECTR Qa7jsrZCHg3IOoH/JH7aNX2VKtrWEhWbsg8HgMBXSP8LcAmUOZlT79kbGe28PcVG4j0AqI9fawln j1M5lFHOIBKZEIk+B1nhuYYXrqRFu3pRtvnfaxPdS1PgYHMeOV9DyJFCd70iLuThYs8zpJTe5ZQS KbEvstZTj2JgqU9CR+5gbfW7W9RgRVX4B4a2xEiKApJQLlryMjy1Iq/Le6aidhZoOhnmEVCyyMTu RQkxUYrzJn+JhNtJBtrmNT8UhuwoAaLBmjC760jZYcH+c0EH7cBTeknHi6BAf1r8N7iZe9no5Y4w 2c3i+2vWox6ZB/qMPcqUnTfTLqrKT6scn3XbFMAkz6C/K8DvUb0oWJJyZWQINOn9EtvigL+MZB8X 34hz4OQad7QWP61QizmbHJmfmYAtq0YjUCqMhRNwDmEAnTiadxhib9g0MpKDUSgv3lPg5lIoYy2g ZosRhU/O3a5FLoH16DkdTaT9M7DiAXELupIFfhXC5zai+1Numdd98dgXsuO9W+mnkm6nKhj4Qxd/ jxJ3CQoYosFyAn2v982pOwc9IoBXNU+nkGToJ/YDPTIH//jpzEft850C/JqLjjlPOpucSHEjwhk+ MgbqwThWprZwJx0eCLNspSecxziGTq6jfQS38N8LhnLjvEDhhu2WUTKpABf91kVLn1ZJ+km1sg70 3OfZysFtTZ3UjdVz+mLhgYZjcFT9VZ1U5QHoMm2NSVxZPnM5UyH3vaERp/lvIAYNZOGvM8+ZFtdC 8KreojVxsso5Qgr8zWSD6jUwF53OvrvFEEBohCq4n5uNfqB5itm/2s8rFsEXlJmGCiD+1WKlwxRS HDwli1jrOvuBr32++2rAFfEhvD34Zpb4wcoenYovFdnDxWoAz1pWJY9mT1NnWclU36cWftWBXZ2Q O8yghiLa3T3CwVUBaz9M8c85Uke5oH++hHeEe6tSg4GnknRyKp7qvn7E9vDi7X4GBbPIBZvFm4kk wxwjNTKmeWFSFz25vBc8kE+R0+2Io4l2U6Gls39YiRTkb1wgo/H4N4xRWIpIlAXeUA9BJVQYE4bW +/II83Wtbrpd9CT2bzwDC+CoRF2jdYKZFIOavMZEEbfA5Pow/JiUVcXvSYr5kVHz9QQOdy1JeFWj gi0QwdKHBHtQ+0U9vc+TRB7ZE7tOWuRrbXqeOjtqo5ag0MFdKufPnerGi+pR2yc1ohnnxdoXEU1S mGVoXYx7u66xCNz2c4Lkv+z8QMvOc3/iAm9EqR6Ffd5XxfUrqwnFlU/D/rmWYmynI/tkkoRUTfwD 3kCoRZaIwh7Rx2MnyN3iwgdPHBl+zr2pL2+SdaPTSP0KnnEXzng0N3WLrD5MHp2IgtaFmhBLaQp+ oHW88+ZoOdAKBh/DmVKWm6rGcZmB736+OCglczSgIvIdrmN+5o2rmqJI1+mrVNDnoJ6dxj+A1FcI iiwedcyKm4bRjHwbZfiicO0PVSj3VjIUPMgxAZU7mN/pM1gB6vVgo4zV03Zjg7fEz7I+mKvERrCr M3yJYf4RbcC9MMeUOx/Xs4foNS9ZLBQ17gY3eByXsgSpSHkNIMsi3H+G7TCPjPQ4ZpXZTdUdpiMa BfyYhtTo4AejHk3OWROGFFMxPcJIk6oXjB1V3OFLkzfFd6wShFFJ2nPCxmhg5Gm+NkL/ecyqgFns 4p+H3CNK3WlCHi4jPsx/RaghkMzT6i/HkltygODP5fnor8TmxgxP9wxMu6SDnePGXfLCn4oJrZHs KdR1YNwFO5meeaeENrqb8RbSUwAXdgAQA2oL4JI5kWHxzRdQxtJjdRrNdGD7+0ND0cobZ2/ZqfYw PLawqgLvUipXS7YCymD9O4RB9mQiLEv2LDB/cHNoKPAvb37nd665wgVaGiI8Z5Fm8cCwvJXobVhh bF3LeK9kUFddROTUGZmLUpChAwoa1ztCOjS8fPU1/7FgNDHzaNhBBEQrzYWLW9eKXn1SPKyII+BP 56lH2n1LL1yLl+hNWjthNFGphGPCju/aK/UWwL6bMB7AOqvqB+7/rQamuPUpy3/xoIBD/vpOfJhG hxIZojo2fqMx/77N0mNfSdss9xlAjGUsyRAcyh2cZH2Wfa8WjCbg9Yzkhz31iY4LDm0J0RPHdUJ8 C66ipEaoE+wkGZz7zz3Tvccd+LFnVq5xjjlLH3VKotqJk9hPNx4fk3EZxcjspmEd0FAOfCrhHArV 9/SYvQ+w8XVbRlzVqR8aotVMr1cnEE54tVVTnmCY/xQi5rSxVSKphKteIhAAW7yZpm1kwHBbXXHW b0pF64PMuSOYJJ5PoKLd/iKN1v/GGcbw5e6C1BDj1r9klpqXORbQACBjZEkowipRKBC6t3zjNNuo U0+uB3PatJwZwmlWXihoE2cbmqW/ydiSmBAu0YiY2DPejJiT5gKJkgz1grFkokTM0LRhVpN9tj3g HK89vjNUI29PKmwhdfFxRckX8TbJwkaJGraqStMY18q+WJ8rbvovFLxqPRvftqISNdrCjo1HpgM3 93ARnd4VZdrtlC54n8W0lxTcGpqffQwJsWi//FmseByJai8bGgmoGmZrT0Apc/RnmaieRbqbLoyK BnsYXTYUtPMWMfzhkyqoCJZg6Oo5vKQ+Z7sbOJ5bhv5TiaHVh49tPui55cFzsBiqWBa0YODkL5CU Lk2tuCSNFXok2v9VHg16F+TupiEMLqRRSTZ6SNjpV/4nyZ19uYkxHIWPjnmD2CCAf+/rCdTJ9BDD A26AfTO4eu/oaYe7Ltc/3dszoyZ/pRDRulwNm9FvR0wFRqvYLHrLbi3XQZceVd/BTiZFSoHNNbW4 Rhl2wQ6cPDiW45QKmE1THRKLi1YhX5pS2J1EvF7FCIlWW6aKozevcOytOFSSXDzJ4t7oNdl8W+Mb LnqW2MlSva4WwwhQQHEnhRdy5YuTMxmLwLyncuIyOi6GsU2fz2xLypVZWwUrBXdB/GNl+AuDYhbV rN58rmOUi4NntXNr/+ESoceiFBLL5oI9DAVRo8DZzi0n8Xlv0+RJNEUxQCW34vyRjdJbK/mLoH5u yEE8cdceUUaIlFz0tJajewzx2eUu/rvUEYNoOIBK/LUXvRkLKTn/I0GT99ibgH/E9Xjk0p7xfvgl bToYpYdzw60JAd/pUxgOboQpHbGrpYG+PyCelj66ks0Q92M45f9AEaMpzPiwXcWTV+uBf3IVgcaC 8X0gVy3M8dsxQEbzodTMxu1lq4jKrWsnQN4aC/WkdAZo41FRWmN8R0ijL9btPohZFaxYAe5Xat9E siNxHdJwCwZAEep/c1JyUgWN2A7wkaRbaUtN3SSdbGOXMJqOHeaiK7bjXF7WerSI2xR6TWTz9Axa oiXZzkVAblKikfak91R9jqQcmwoEgb49Edxwjl/0KZPdmeFNGXRpL43SOL/c3ZC2yGXaI5POYCrf ogRi/30zs2j8r2GW837KKjCAKePYb38R+fHtnKHAssaPN6JEonsPpDFLgzfPpSwt5iHW8o34SOBM ZpvRPoDa+UmSwr30V6nf2Y86bPn1TJ2T+xCNSSRIbIgQDCEBaoPsT7ty5zrAnisCrcnw2ZZVImic 2rN1XEb+8mnneX3c9Z5JjJKoJEUkCnXvVcGIkORkS7ehFFJ6nG3v48xGGKEG03a4Fkj7fTOYpjbD YnBiWx8kyM+gDJ5kyTvzR5PFOXIpjF8C3dhV+UvW9Sdtww2QbXmb7pjDFn9IiM8kfhdw2//Xe90A X7+xWqK0DQR/iAJGrs/V+SOxx60qiwXqUirzQOBjyo4Pi2EFnPsXZC+LCh2HtG+kx0sEzIQgJo8+ lmF/tE23O5hEDjvgPK+7WJcxCHG8Oo8/45ZoAcwkbm4CyjUmNaXopxjmroYX6vJrCdZ1bPFVg/mf Ps1oA3i3y+xtxDQpF4L33ukWFKlEJZeWJtT+aNdUDxZEPfdwOYUgiCEDsTOPhfpaulZn0VlI1FT7 I9E6VVN/StoQ8rLpWLyxaG87qTcRNoLwHlQNRVN4V4vmQYu5griAM2q89uy0mhDEO+/NfCoQpjvX +kyvAckvJq44cSdECHV8f1xV93htnBnPQt5AzdjLedNJxHXwaS54yta3l3fwS4EmFiDYqXc+XAXu aaItamxNQguMMcoU8LhbcbWVaISVkoRSC5By5/a7RG4Bz9YHGV2/kuJYnF3KarKMI2+Cl4qWjsbp 9vcMweitCNZHqdZImnF+nMIEXii5pqRNlYEzPGGEdPK6xcIOGstshwEoJuGvHcbT8OVCFH+a1gx/ xaxQ0xTccY3JLoj0l2Dx0RJXBZRZXMv5tEWoaIN9+c1pQfu090yH7wGbEsrDPt3+6hJX3pYqufzM 8WTqdoOVUxfvGJS9jFK18V8Z51Nc4WUsCJwYQwFYQQOMHB8HvidbWtzCVnyfthUJXnLacBEDO+qm VwbcwvE7ohFvCmfZO/qTiZHacDBm8s/PwnbZnz9SlM1PzPVPwOoClCQyZIePiTtrk/nEFWw2Xj+I ZRDBpV6Qx5bDKd+dk4F1peNdTTHOSNJmAg52Zy/2qg6D5Ni9EVyMfyqOvN7NnxNbPG+5rX8rwGuK XWdoKofuslmafPGiMKWCkv0WrRnViw9L/9/BIFhDPwI8sF+JddE/FH8yN8WNIRFm/M0IN/rVMXgW W4BBOdjdEDkpeJpx55tnjmCccp7obmXyWelAw5BvntJqqjR4LaIvyAbcCposs99TfZebbvCAMQnf EBzc+Xsa339dCfQ9W7xO6c8Hs+op+hRI/14hbgqVFF1zlgNMWD6232HFItKy5a+Nt00MBL4deKsr 7U4Lfgo3AjmZEw9wndobjniAL4VSlzHRWynKJZjj42vhEN6h/nQKE8FnDtENJ90Sqz0p09AH/GSE 9opr8qDKvx63ZipWs/OEPOBl7QDbCS3Xu8tghaSVwEawhJm0FJ7DuLmPadrdgcxUbuhateixHuu9 L/cJmrv0nOHy9lmYgJ8I/hIOXIFZ9OLt6yacjsa005VlnlIgMGSBDD9+pfDA1ZKBJsCTDURsL8p1 b81q1+x8U5rIXnEFHTRWfjlQV6ym/n9ckIqihLWxjiMt4qWloOqGuJIBEzKD8XpgTHBXFcRiBH7F XdcvOd4+qjfmutfMpa0snhQRza8nBdj/8txzVjp8c1Q5KdMUWoA+pEMlu0pTwBLBeCjNrn2Yd5iH vIoFKofLDi+r6dBUtFsMpCVTgzNPRNcvL9edjxZSo5lCqWUK1jhLN1FH5AP/PYVDxsFdma243IXD f3bVBkxb22lxBaCTf41ObhxFOMejlXg15ieE1A6gRBYhKaJmJcwySxz1G17pLLhDH+966+dWyGv3 hJfMuK0uccpdQbZN0yMMqhY/lJexul34Avk1htpHmVOrf0f6OTwvS7If8FrPt5geKZ7tTB0Mn2Jk bbNzSotz0auLO7h0qix9KUfnunRCoC36tlHmtXoy6kB9Um3yHdObkku0N1r2HEMPyht9oh11QigP +4utFhPblqjpMqMMk53djpfnQXOSC92QvALz3grrGOPlQn4z6ha4uBYMONuwYScOqBS1QRCCxtRt Jwu/vQ/dgmJjKPUZcZFk4Oty8rkhKI20U4aN7NfmzzKdKS7XHr0nfpx7mDrbmcpr0/5O+LSMYN95 5DBpOQ+gqhJmwNu5inkITktYtlGx4fxKTA2fTOB/cuDr6Xfu1qx+ZGaJ7PorwdZqs5Q3bIsBWdCE waVjYei75WpTuVmUTdmvk1OF2GJwE5GjhqgQ0AM5n20eHZw8dbUbaLMrTpwbSOj+z08efEn1Qtup 34zWsFsduzNB5QgGjyh6/ZmpdUX7sEAxNPHnyfzus3xD0lBS8ZMyKNatezkRYhAAhMxOVdqhpgUR 42DHK1RMqWPtZUtntqCXii1tz/8hky1NIy9pn4FUP20t/aTDjNZ1pVw/3xxu8yzkuGbHVaa71MFN Ex9OuKSSohsdEhyOxUrGaUS8EBuyDvAZRA4vxQzI2Lv+2F8/cVuhEtzWeP011KlXj9rUFM0EfPgM sNjYFlDflisKX93mV7mKZO+WzqjWJ1TJSydBv50O7TZU+udWkEAcDF0odwXiNM1Ndc1XpAEB/KNA Hree4SxwQMpBUMK/mQCy+KTGkWwez0KQy/COdd3gXZZ3mbNuOBSZ9HorPvKAmTTQS50YHo0/BsgK cUYVoBF6FGKc98DNrfCNTMKVmQCigxaZ1SUOyeqCl/RDRHR979o0NRjUCT1VycDE9krNSOJIbjc3 bXjdWv87To5CSZGcmYJp4UAPw4Z2VFP1ACiYNUauwL4ustWSV7anP5mR5fTuTrZ7d+th70jYRwdr /JNpmJaXa+zNwzZX92DI70X+VGNP7jVXKiEBS6Hj2AKJ8w+N/gmYkdLaXALNdhTqieVC0y5fX5Jb VRkUl2D1YQ6QHfRVbba/T4Sny+xQMMyNuhqCGK6XANjYkuRVlbYDNJpZQd8L87CTItQLLAQPNqVu QhfSWf+Dyek+XgL33vbRZo53vuBpiBUso3Mzw0TctQL6PkA/wBS+ucEOUGqCpi3yvTKfyOwYR/oj d+0rfA0V6egZ1M49lGa8SIbnEmNPRoPGM9P2duCrvNuetg7DKK2UYy03MjEwCdd6EWs2WPCNPyt6 VBdGmCED//xIbe0VeFp5qoWMORFb+vkb9HyzcItwI+zr5dm/pAmnuMyIX+59UsUCcxgM5us3QO53 P6KVWsiULZTQsQysnd/QXfnzcu71ByiN8NfHVLGejYCQDzc+RUXf/gs23i1wgbgV6yL8WE3c5Zjn 9areAtXiIv+1lho0w8lnezGVcQGKJgKMh4yJ1tn6vQQSLPRRh3YQ20D3o/9aKBgLfheL0CDKz5Zw j6uXyQkqunqJmah22YQeJ70Ecj0CnVoJVvntGqv0Y64/eOpzb19K2mEnTQOwyxuEjolCQAFIU6JJ M+lDRatphqG2EVDR/hsStQ+ggD/x2qgUAj1Ue+0HMUXT9odA8Zttskg4e/8IdQLlZ8fRSq6M+unq 1MeD1w42uBj5e4uvbPUFkjV/+wJSxvSutaNTUigtSEJk1KNAqYJOqehWWwh8T41viIoYhg4M1pVI CwFNKqCxFOcqWOKrdcMRETruF9DIlqwTByE3cWyo4ZZiyVtOWuEU13Q8rNOr5ZnxQTu03nNDHwTl ANzn7bEiOZVysG5Jb7s5YH1+G+0IdudGXxoC6ZgZjgwOmVm71u2fOh/JhpPVr4TCnRD4fl6bEOnT friKp1AMC+1LmXOyIcSwRUUWA7c8ZmLdP83Mp/8tvJMefXL5wXyx2aN1fKzqDChsRVW7vElZoN/Q NzZtQbTX1DBMp1qVXsK3IwVzmx7KCqJ+x0jI/qHBCyb/9bNX/YAeioagV9g/lp7wiSXounawq3Hh HZFxvaXNvTOPXNYT1mcdbhHyNH8tC9CwCu+jNa39OZRBvoogX6+VliS8Ar/R3bQA9xUkn5GFtapj cZZ1u7ChUms2Bzkmpoxx1tw0xfmroJ6hYkaqHINbGXcfFZ9xJKv9hmsyNrkfSHaIVnrbePRlizQk NYIUb+9YVdBI3Fg5PR2/lREs6O0Zzef2Ap4W89xuyXpEOb7jZuX205kMUQSER3O12r4fjTtHjRG+ ny77WKIqlTxvfZy1BenRPFCMUtJpILljLDOCgWYnQVTmsvrG79cehjFYCdKthLWTx3kcrJfb5oN/ u2jy4k6NhG3Cw9aSfIw1ZHxLjjJcgQJ2lHFQmLrue0cNmQ4aD6j30eybinOMAjycxpfciIWPU9Hd qTtvkTgIvlnmqq+EkkBtd4htx/ty6hjt8SKPQ2hK5QRVCmR5hm2kCbPhXy4PQXAr/QsRwMD4CpfI sZd4CJEhIkRn8Yis1cVBy8KBbP0CE9V+zURe36XSONs+iQ9EEV/chxnCNL481ofthQ0y2Em9UlTT pNFMWX8Kn0BuVXVUGzl3ZM+GJ8At4MakM9nSw9CDGrqV36kdBQ9WcNS00PYV4kcdEWp604I8pBPe K83TwLG/9tBxeGAOVvqK0BsiNtKbjf1dvM9C/flD25IbwjtoPsUjsrcw5bwlLOjpyUhPXkUuGBGS +U1srn93bGNRXZTswVUhMkrTbIxzMuJEx7HfOjrfY8zzEHUDVI9mh2vhkPxGhyflFCLGLYIIGfz4 dFlW5oGmvVG1yS+pOp2f1O9fzzalfQTp1eJW04zm7NR2zqV2I2rE7e/xNFuDa8MAw5KTHGhBHbX8 0GrluUvvxoyUZpVP27eVngWG4oRndAt5qqm8lU/wGJZYEzNhGbGygi0RFUxTXpu5N6Vlp28YbSVi GLSBNyhEQT9wC9gZfKLtRmE2elVxpVNZkCttt1rXlqGPc3yMXwsZA8BEOZ2ylPpZPcZgIfcr6AnG MRLGlk8N1P3/xy1PwlxfXYeR0nRhPJS3HHao2FngIyR7VnxRJMcQClWyE+q0B+T4BP0EF8U64F4u pdLLVRbUWKaPWZDooVJ4QG0auHGyafmNRwpzwB+Fe/UvSmpzphKx/oTkatVxTtT8LSXsygWdOhAK sQRkTkSlI31dalvfVgmHKuvUP8Affz9AXGkogdqZdjuslkvz3Lebc50fylwMdM1BHYtjwWyPHpWy qSBSm59Je3ThqEOiK6w5U9MN9J8HY6FhlGB/zA4uW6PY3F9Jn3yIpxXHswi8RDnzoZr8xhPrLXF8 kblFO9RIuA0DpOigLSEda7IZ8EbA79apk/Agsusi0bOFS+Xzl3y+8y3/AtjUHaO/uUldWxtJfvuS Cmy4OCk2eW+S7z5NSJ8e5PR7zp2Czj6Y3WJhB7/cgWQJE2uRG4wESf3GUT53sYY3ybClbNaYYHMu 0EIkDzaXDQKEyqqc6FLx6lgfjbt4CpmFITRtsfTJoaw9uq7qn6zPGPo/kHPWjaR/3SAdAOA/8j/9 eDLxF2eR4jZqCuOo8oZ3Z3/w3hz+bB1BawG3Wk7Q4CRNzl7PmTJDi06vxn59bH+THe2wc7vVVfGT tiOeOJta6EkOEPP/ILZaRDqcQ9BJh+1edLgv2G1X4ixFSKpwvwaldiaV4/RkcoQNrOK7dDLaoZDf 2sRJF6uamWeKzLlHV1GnvteFI1YdLyJWPFSn4/edswz2Rqc9OpwVskhaurwOK79puI47AbAtDDSh +Y1FnS9FQ/SBNtWzBwi6Mfcup0uBaUqZxgOQozZQtxlaiP7JqCM7GyBv40DfRyR/E8oC8MojS6Mi XvECPttbKGR00AttHnENGMm/SGTPVx2yDDb10I1QYKZxIHSCZZmZxHDLPwKDgoQszuoaTmqnrNfy S4yesMt8P5ZT1n3qPkKVDtogJVmiK/j7/vIBM2lZk9Nl41YXmFOqkOWoHBJmXhnfO7MzO9ke99hU XtihPbnLDHO+5iOs+hQiFsQckjWSVayp189Krhs74uoM7EQCdNoXJWSWCNB8Daj2nLoUgynvSeUd PpNgjutSqHuMDQ7W1WLmtzK8m5FIjO7xQMMw9PGS9wiErbpuAyQLYBr2bRCokJZDJGcA2u14VmxW soHnvbNI/HynvGLRkl9QFQRQzZgvl3EVKxk+/tEXHOsPsUhsl8/TjZwB48AcjNcJoY26njdOJ488 qUdsMvRcy+NRhyfK4h2yqqg8QUfroamzY3sHOfL6eJlfCqgZERIU/SeMMLoG01vbb23IRiZgNgKV vUJs0lXhxs3D6s+tZcqPfBM/pzNy0TwBkyBoWZbAFiq+bAVBqCKz8Z9Z3q6kimutErNODx2Denpw YIl48lMubqk/eyZBHdBOAuocx9Ikfo/usfzrYEDQgE9+dYGogrlXSRcCzbgua/Rru+6zC/g4CKus 7ACFkFWv40Y5gumyOHcawDBYl7mXZr+r5NTYWCxBDPqzUTS/T15eUxWhRVRL9znEBTdbFA6pYWKw wpMJwNsDJ+t9dmcKsOVJ4eyMyhAo4M2c4jJd+pXv/JVLUm6yPJ/s+lQB7qpvVDKM2GE+KzX/RKnd knzjckaUe0X54e/7ejth4NZaXfAnZh1mawPCRacGeeVysLXRDYMEDiLdWUckZNP/CLAaHrjITjXj qlWgY7QjudLoiaWJJBcT7XxYbJNHG1EYHrqUF7aqm5UvPGAmlseqQGpppqVWx2a9GY29ic1bqskc CcdzvGjW19IGAAcFxdN2UHxXNYqhIEsnpH/9Y//vvB5t9MQBFsdhI6la+AsSmLDQjysTQWGEaVpS Wb4ZHiOC1Y0bhTj/kEksCCCtopN2BUHX2G8F4lzaQNqChl1L6dU72wNt5SIOMg783jUG035muZtg DczRZAy1ayhgPQJ1pEE+wtcEbRhUu7kiXTP0CXLsj1TUTimX27LtsD+pnLCCF7XN/TLUiepht2PD gjmjz3yvC7S99nI77KYg08lhIzrN3TGSbZaZBrTrHHAv4LRgueoLptG+R+i0RMQXxTqFAycAMCZp 9B2lGi0kd8NZAB7eZqnIiYSyGT/P4LgmFvrleP5JMBh9op1oJgxhV2thBVOPgchzgS4Tl3I5oXiV wGW+gFWH+1r6fOVCQQz4QK+uLKFXoPTGLQ1HRbB+YjPzb3GoCDrSA/4Ah6fN3D2yhGTP4OpwGkrV 7gNSjKUUFgI9+CMs+rhaQ+zhNG2Fgcz31YvMJCawYBjyVXmEo6E1/IU2XIHHwDIha5Vjyo2k+FUk ygPefWUULfH/dystXD+49kx10Isw8JedOk77FhMQqq5EQBQuFtkRBtUk2lClUVRfxmEbEkORRRkB 4j91hNFz0gcd6d6GoKMnXK1DpIuiUoETmk9XwlgE9e8hY8W02V7EGUAS9AM8bn/Hf2n3V+LSKaVX KGnQOuUYkFBLccLbaUU+s/NT92PUHbf+QhFXt5zApvR15PsGnf74liDe1xS0Wp/h0pO85jxauQj5 f45Z7o6xinO5Ky9f7SiAHtZjulB28vmLOQ5be7/yqjUFUSauDaH30RwX59a7zgN2IMh91/t2k+zv T77ns4pFnZJX2pGg14EQjXxKNur6BYuTQvCGvtCONlYgzHDzjf/ZjChm7meaqgFNbUUdY08cZGZS XrvOc+0CS84ygMFzT8zvSW7DpE7+51nym3YwTvKGNrIJj10Vf7F4g6BhzTX1ojrj5RvdlqicXDGp EPvTqOEFacjp/rJP/RAch0bqADM5ZM+Gxgyhxqt9d75dD8//MKeu+GyBvCFqu7hn++W7NUQo4b81 HCOJ+Gweh7du9wmxT30hfz3/gl8xAQbSO7gIKImWGdty63TH8EM4HkGC0tv4WhoBqsEC/KYsyQJZ uDcL+5R1bOP2r5XaQzFLEm2YRQp+whA+PH5DpSs2nSn6TEsOMQ2d/bCeBrCYl/B2Bl31cUtDlaTv IAH27WtgcppNe9b92JTVwzotsBt52lYZY83GnbrXqfSxIZMGdkaJLuUyJn96JRNBSHejES4aH44v CklgOE+pHaFiz+mi/pyXEaXMCq2U1C0sSAmLUteqVjniL0wPr7zhLPDwtXBJeQeM4cQX/4wA4Z5q AfQnWMgfg3Xrinork2qx4J4FOUqPWEgCDIWm5Dce6lrZdLZAg+brn3TZqmrbGYvvWmXxJqJXhPsm AvhkJmldfCoUjGO2H6x1VkOF7yuSaaF+1P2zF/0ReH7ulra6BF5EvfVz+jWOqpqQLdK5gXYqMLRg YaXDuyDsLRpjJMzfpzK3dTGcEF/hcdXwEzH3ab2VLPHsMgXj8UoyQGKdLmR1qPUGSlLN/ZHd/sf9 qBxzBSWtOJxBiY5oc/EgUU2KCMCOceYI2y7wJhoECKuxH4rpyNi8Oyg155mbo5ZOxSQhLoKYwtzG zMGVx6LI8/lB1QH4IgtFIm7iFsT0h/gmzY2YE8rmRCx5sZi8DTLW+UzQVyIxX4KGtph5ftE+LHc3 baOR5JjydrTWqONKH+BiMb6JpLJYc3LVPBMnCLGjb/gQuw3RwMqH6hA/8cET1Wsj3EECK/ZnKP2W NyeDqJxR2JQ+k90dPqa1yzeVygV5LQH2fN/bW6IwIfDg5AK7Kh4UfzX4b8cubeBi7iRpmgVd+Wq2 4nNHLmNJf5n0iRd/bE0T0JLChTDkDAHoe7RUfs/3i26RgOIOjMyGf6ya6jwBOrHhmUbach6SoLvd N0DMFr0DxlNK5XV2FnaQleejxN9bOAQbISn7PutGT9MBjqQZ2zInNHWfhL5tK4keAS1GHKWg9NvL D/Am5KeDnBTpa+IjhFxHSyJ6PUqCyiSEcwgCQQ7ItW5O9YbLg2vkFCSSSmGt/6hLSGeXiaJma6lX Hn+tsRDcM0o5PJLcVFx9W94CFM+M+xaOTEtT/2k7+7ssIZXjVJBzcGbrMBBrh4nXNzCrVEcPrSkW V2XgFk1erS2sAmJMUHW3SuNC/eLZ5RDUDoyAU2snlzlUsy+Jup1nBE+BaarHLBatQng7i6xX6Kix o/h8KZPU3VkfaJGs8VIvc190WShGqbg2T9og8elD3JDwVyYDa+yYMISDOcn8rhv2hyog380jwvCp IfXzK7yj5r2n5Ui9XTj7EPBwhiE7sejCLYeJPgdtlbAe926ztvOEV0E+CbAcytnqBGeplQd0ygJR SySO5g2stXa3uJLFlgRV1RvUnm83yEHPOeQrr2I1SZfoVg/B1PrX5BPVSPz6hDzgLDMIbMbvcE3Q z1OtLLAMl5wiIsmbbFYMQhAYLUEg9Hoslv+IcZZgzhjOPDBRP+0jWFwdxUP/l1HY5a182EDEGNbJ C5LnjfUYunTM/SEL+TQanAFHy12LSQAREI3oVL9aXWOI3jpTF0ZYthq//VCwQPLyPnfRGcLJoQt8 AFvPwVauw5FheHZhxzvbP7pJtrzSSwPCPovj5HtEmeQ+8rLtaJDWE61dqyeQ3C3+yfS6MlrWsX+o T5ODHXP4BoEnkRhq4VULsFl+e6Ax0httbjujpUqPzNJOKX+9oKE/VBJdrpkxsK3f8R0GhuvjLIBc e0xhv5j719ZGyY+7oh5CIsPL4VgdASauqY8JOfY0FYl7j8WD1ji62+xeKQGtAnW6y3MIvjCuf25W c3ampoXhyjHL28Sryy2a+mqgEQ23V9wOG7KjhepBx1ebtnWnSQSHmD0MT3dvY2JlQvVbkSY6mtua KLOmE8Lc0FspvsOvY8NlDKEDCjviBQ5XTTikHJcLYvmK82Hea2l8ci/Z/nnElTRLQjpddIB2gPIZ lJVwFrg6c9A9gESrog86/B/1Bags0O70/2s/rKH2pQ8E+dilVv+JAD1vW68Bchj1ddz+FQmT3VA3 WRsOhVi124bm+rKZsa5x3y+iBVFbnS/fwyvzaW4rb1xd2Ldch9qHTpVREXKiFdwKUotjQNigwnZE 3Zu9Kf0jRJ2fKuFrxTGqf5kasAU2fcnLMbHVtIk+z1nH3VcmKBhJ9ymcDeVlbkAQwOcTPdXuxHLa +/YR4DfIk75tHsAKXvJXm8tFKRjfAqybAwE074tok+z0KWlYsSMr++vh1vmAM3Vj2tDdzSDib5Ly 0nDKL8mItXRs/Gk0ek6msZhUmBXZaRRIMjs0nO7WJVRcm9xwGYtMcr1gI79PjFV440vi1qlvexOP wRpf0Onv76DP9v2tyUHoyslR8lAVJe8c6RXKoLL2kfMrHmyG8WR4FrS1/VOFiRgZ5Xs3Mpm8HcgH vUU42EEXwI1L5iK8JSFgrOVQ+owzPNoTdLrVM9kaEBpEzzkOUBnK8VJbSKQTtaIm5tI1OtUFx3JL RvmkA6fZrH58tzCuat+AeTzm/8PDyTqyt2F4HmIO4Kjz777/hvHpiJIHL9Ned1lLNI4krtByrWh4 WShVkQZTZggKLccLZvztzwQEis/jRPpVW5al8PxdOqroj04Fd7nrhrvGqY4Ngp53DiGPkFQRbwSc eus0ZBigwQqMzoNb2450js93sSPR47NosCw8U4zuZZ3lGFpAJ87f77xPz493AW2KJclXiIigzPQD lZNWFuEXi2shj7uJXd0iZvJr+nQWeygbzKXXYkRgSwWVzy6chZGNIZ/V5U+UTrJvyOlJSx/k+z6b x9sks5Htyq1zV63uYdYwHpQRbpj7chbPr94jmynb/Wm2EuJanM6Jc6p6QPZ41nYfCprGavtJWIUl lU1DAjqs907vXt78owcLBMMBuD9PV1Lp+uKxvDI9o8qn5BGAHDaWoCsAyADEKgmdKdc0llvr8SCp caATCGFH1HfB3Hd88jcfHZZw6Owmw6MRg4wPcaPUgvwqZCZPai/Hq/AjzYAzX7J5msxvlTJLn6Cn BbfN9n+/mP8h9mtt3MAPUDOCduHJ9CXi+lzscBNd/p7LtWDBKbpfvNVKzJLHNKYv8e6y8faEcse3 rIBvjDSzccZSPyy+QL6n3WPQtIo8DrjERwKDScv7PkZy8qgceDx8cv8biSLgH0m7rjLJRlCiqi/8 0InIocU1lXS6Qxtq3nHeQK9ivvgN7lrafyiBjuQQTaHIMDvo3vD4IfycKqB+7EsZ2YGnrDfymDKi KBSl5VSOlJ1pho9CG/4IjQMhWBEo2arm/PzOOOpHc2WHWl5fdB58ZpKeaVu7WkCt/Yd7UQ4JrwBP RS8kvYDB6fH/jGsmK7eLy7lj+QI2DfNTVeBJ1mrPv3bSXmoQ3ynXxB5Spw/9b8bY50F8jWlf10Rm zkl1f4KpAQP3NwjeiPskopAgI+CGhE8NJigp76s49qQegDUjYbPpkXN3uBUUEcm2BMkwfalqRgzV oZW6/andLVCLWkd2frPEP9K7nvwPLCddMTN6/y0RjmqGIukzzyvaJb20IWeYm5MP0hgAWX7w3034 EPLflCx7S4OERVCj9mJUtpE0jc4LjjSj0UlsZskjFwne4pETbPBtkVGRL5V4GXLdSNZfVWaTEcw1 y52kBPhWqLxjay+vTOvOKzI8E+OMcCYY6rGH3gx1W26tKVakOpDlpgm3MsmWVcCUwfyEY4SQNx5j A1gdjZPT4ufFhqnaELlSQ6Z86V+eKghUToHvTFMemnK0w4LQbI92UXR7L296hFUJdVMdPtWy/1o8 u5ElW8S0UgXoFhqxepvv594V4eRbaMD/b9PKJTdmSVk3V9tvKiELe2xxa9V23pykSR46EFX2tSnM l0RkrYfHeCkaJzPIXRlLwgQDikMrU0BFhC718BDf3BmVoWKgn08Qm/75UmPhMOUsDr5k/llml8Zr dVfx/cvhYpj21hjnIDsQlMSUKt5nLlKyYPjtBernHYRVFaKAFDwwWJ6oY2PD8f949uoHVpjnob+/ vMsvXqgXmqUfEBoYmwv38707wwCzAwFhfJZkpi6tEySikVBnSxmqqT2K+83spvPSP4wYvwFSJjL3 1r3pSz6uN2xlZDNl9B0IOWQ3e0h+lSdF2Bc1ak7NzIOrRiQOoz5s3Nf+ALeyknsaxYisGqIpHANd dx88/EEc/Ye/s8EVsvLzJAGR3quNR7xSgr0wlLthXVWNvwWFhU9uRPqRkgu0SFQdRsXeseWi2275 xl7r7na1gCF7KlPlou03j2YFiCRRkrjosXNPDXGB/sYJJV1sAsQrVeCtumjmr8qq52MfPaXwQ6M5 BO2B7LCzrk84CLM1ibizv5REvPCisA7ENee9pq1tbu4I1eZOuBVqjok1iNOGkn68F/JGNlrnzH74 P5pe2kBN4pQInqdflwIo4sOpzpMDqMJF2QoYkF7SnQevGVSw5wc0rQkUU0L62hOZEhVINcFO3W4+ RN1B7XSUdGz9iiBHstHfWS9XTR9rzhOYiz/ohiam0INK1p0uuPUbqfBReZr8XXGhm0LsP6PVJm8r mfvfvBHuHtxBLHzeZUKBN9zdlJZrs2jLwEN5Zxt1WQweosI3n3BZ/v77nIdxjlF6pLeiA9fmET5E ymfUTRhXPp2I6xwQYFxHDKsPX5ld/VPLfE7B9DvNiCFte2+/QYnm2lH4ETdlJHXW6U5mytG9Zimw zyzq2+buGPuZHsR2nCIWbiGVmJhi8IgNRY4UDBthP9QrkOvz3Qj6auns9aBEFuXhjW7PRcJ83KKD XeN1BV3Zk5g7migiJOamBBMZmq6+WZlmQnkk77I0D2xxZpPvpkHZGronXGdsqG/lvEmc3YjXctjB x77EPHtybiMMj03Sqyp4vhtktfVo+yM4C77i/6lINZP0HLF0oQ80mJyOPcXFNUsrxCKSWq/SKCqw z9ACbOBNuBsqel7LfgHNzdeVoa9+4FCK4AOgDp0NsW4R/nwOWMpF6GwdzAaGofz12qFqxqJ/8I1q vmriykjMQIGT5ByYQeRq8VkFcSi8TNBE9No7fXqQzMZbKmIrSiVQ6GasiAOplzWP9GuCxwWNN0gj YuzI3uM/4s/IY7hz2soFfyvBJmRttHvCWYxH/gSHxT/jibO+Ap4gj9PkLm4yhzkytgg3iuM60cmU Kybypg02DIwiYQtcQm6OL8kqFaHShneEodfimdfpKE8S5A+wyNjNr7Jdzsocka+4LE0crYrw0bL1 Fuq1x3KAXU0nXFpuZfdKJ5adlar1gAATjvn4kgLRQEWxEztqUu+mUC47C3Ko+QXPnPwjgGDXjXCw 66pUhJuP1TpQ/5iWv7nQm6W6qHBdpmJxm0sHh0J2UAerQcQepungB4afROg5Ikgj8yUJv7lDkNcq lfpHgnq22ndZZAgp+qenHOScDdBrreRtcReTUZHnOPYrvElA6ZzbtTjBKniBmBmwGDfpW35789WK xXQH6pDurqLZjCP1zy0Qm+TENL1dTHoyZ7mZm9cMoOn3p8sqeqgpP25hxXwB4OKaI/4oHO9fE7MM 2K6bN9j1mhFzD829RcMZYZIVkI5txUIlDXFqEdWnWPafIRUCMS/wS4osxt3uHmmEEEWZjAnujC2k zWQDN0G3TrVKRtQZNttvKaHDSSwv/bjUBb/oT4Fw/hfvigLB0H+jqeV0hXkrIzah3lm27bXTmiqI qJhfS2Mwc+Iyjby1di+Ze/qHh5DHgZdk417hley7CPLaTy4U86KtJyH+oiEJrw8zfk0CpnqYifkL 5X0xWH33j8hcWdN+gBlDJM+s5C/2zJO5PLBn5GT5SqZnaUHU8uUq1TJtSVpY639oCZO7gkVQvXKA IMLL/5nMAexHCS1MpGAnd196yJxNUYJ52qJV3+b3JjoCsC/zAnITuXzZpH+Z0e3pC5ACa3JYWREg cnrccmA9mWObOpncPaMI725LEqJSkfwNK1/hi/VZJKdWPns+Apy+16TuWuhvmj/A7dkosDFmwsG0 pMN2jEPvsDZwZXaU7QFbJppbO2LfjVZ5OmpPY+mi/HHR9Uzn07kGqobYBrZD8OyRR7Q3WrsK2zaG YoizudX0PQi/nCxrvH1SC9q8Q+klTgOsyfMcMPWarWbX9yww66pgz1UDwbiZyaf1bQ2U0RWdRMLW GIy3J69D1AcOD4HRMMsoACfGUb7O8QImZ10QGeLi9EyH7zU/cSzMHssMdaJDyPU+d3RYxukyZph+ qisNIjVHl36aRKmfLd1Akynmc07hG4U1U7uIIyZXXV/GbL2sl0Lhk3bwJ/kbSxM7o/dX3THlQZuH GQxN8OX9kuAxTgOGcSngKR1d8hm+7knUlJSXi5FXlFMV3uGpYZAF//OiL9vm6Hrroz5IXgI5i2F6 u8rBfdaWvbWaXq53RVu65NMstuGq0Eq7sNRD3QWCX11J8WP5zjAl2/powkjS3eBxmB1Qs2/QNVYN C3DlEyP6U2Ww0Z6twJk1KCl5oARpRcrqhe9yT9hoIqR8kpsheGLPds4AsczGfvMCdAKchbguTc/t 3LqwCQtOyw8lwacnpOJufzFPawfxGcw7a/D0WIpdre0AEEi8pXi5G9hXQ7aLHtTYVW1UpN7O7eP1 AmvtK6er/gUgCxUqoGIBMoLQWbdTvwsVgikIz4V73drqkK2t9m7vPeR3ulYnVb8dUrAzWNol3Z9j GB7hdYHje7jLYtwV26heuKeDvhsOLtjodQ6PQAtfMC3SlIvB8Av8M3UAPw19IiijI9572GOA/9ju PilzwOYG/WTfjaKt5skHslbwAxq4FuahQEoVzRUKKQrC0tMeoyDXTkoh/M3GrpYSKSU68oz4Xa3T GFc6cpWdCS2ih7HQ3rX0P4pu79O2pR8yZgCq0pGR5i5NsKiXjUuCuxxQTRfmlX5wgztfQMG63nvO 7FakK5UWBM7IehXXNrpH5vPv6M/enBKYTlMCoFQefHRTTwcTXs/a80cY9aWsG1iYTuIU31slbO0R yEPTxu6tCvZGYP5Z9kPUeB7s5+sgaisqYCadnIm/sBrYDkF6pKBYp/O0hAa0TMucY0VY7re6Tgy4 Jkm6/RWQ9sAohLwiB7WLqjzqHHS0g377eBfzFC3bfoWsvNRErid9KJIhT6emU8+ivCWsZeZ+ja7o uimvLZuIZ1DJPzEY1WDLBAm+VjVW4P2WueUMb3X9oqPPK2TJhTGRq+uhhLhrDfOHkJuddtoSowMN bcCpRbJJ0v1WyD/8WM+xUm7M86xxdIlfBzhL9jOnEVBJRLB/qAk3xXnJxQ3EA7z5EyVcCDTDUOOc TDvZ03GTnRBLzk369wOgGRXTzxmrsVLBHW/m+OrSFKDS7yhR9utzO5+BbcJ+1anwIq1HEV7zVAaf 1w9qXwQR6U/JlmDXrkHacM5/SbALc9PslU6jtLN+fsM+Ybjrr3eeD2OL0CGtIZioSO1pfHbTtEFt YvsqQhO0vewUxd19tn3efZUUv/yrM99VG3rxIiOy5SHiCPUkxmnA0fnXJIFeafMnFyI8QGvvc47i vY4OwbTzdP/Bt1poY+2l1bl5SHg7AVq5NJ9KBRh8BToxrDmsGK8qmU4BacWXSqoMd0fOjgnlVG6z nD50aUHfFeNEChClTh4Ib+dSgQxCGIdXcedbjED56xl7+nYVB9CudU5QkT3qWWyT2fqGM6pTOPjq 6mjMgQfprdljD+kakYEd5vjGMKw1m0ZagVv/sJv4peE70ShQH5BfgxDYtCuw1SHECDjrJvJuEcKV c9yg/4NgJHmkITUuWBWy0oHIvCW2dyqb8LsiPPmgJ0jcNrSL1TNfAjIVWUf1NY0ImL5PhmZvtuGE 9iyAdvDdc+qpXpeQQsGn+Fkqf3vufazGRN0iSEBePABj35CUJYA2jp5TPNQplxOrYeKqlRfywqh/ Hq8hhKpMcRV4bK6fhK48NigWJOkHyQa+k/UWTMxSfyHFuPEgXmGl2hFLOyJxE+sJPT1GPHZVdN1N Lh2Mj9W2SfgPntoefVBDG3BShrRr6qpW0LLWHFXzJOxXTyiJQO+Tkl7rcpDgCVAMYV8uh83t2OpD yUdlyru7/rx9K25OwI+R8IMroNIImRIe4pxy3W266oQkw8ILFdOqsGgqPJwuasDGWCJHTxu0EB0/ +MshAIMs9Qv6iIGKSbgKDQ1mYhWt6YVvmYFVVeVh7i38AZLa9H6XibUVXP3UQ7MUqGR8tviYxYby S+qqQRoaZSwXMUFz5sqixxfTu8Na5932/CllLutpAWBAJco7Z1agQPSnvaWwtHjo9qR+EAOsyhbx d6y7gYGtQSyHIBjbjK9ckeJUCO73eunheAeczPo6ffSIs9OWpT0vtiw/AvwHll5KT9N6ZtXF5t6K v5S0Slgxq60gCEE+lc5Dg0xZvhnwvcarbQQpBerxZa5/yvYhQ8srDCQ3Jq4bNZejuWKWl9dAvSdb qPhRYEUVBwv/y0ZkRZHQpST7bjEDToRTYfHv+S06BQW0NLWkk1BLbJIqYJDi5W7o932kAcgFdR8F uzn80cuCvG1LZmiyJoUtKzB3XEi3ZW7+ElyXebWcWVZVthvpaW5l9BILwhoy6eWnkESeNazoN6H8 GbOOt08pDYTe3zEdipxTLUPXUkpZmJ88rsNawr51W7EdBF55Ifgq3ZP3ml4kvCReLOJj14N9daj6 XgUCFjHM3aI33dWBypA9BS8m10Ax+bmh7va/ZfnUTvF7OF+Kode5ZNOT1a+hJ/2oidBZ8rmhlCKl wpid7ZT1cLxA0QtTfLVVt/Z99d2tNxKFkqrcnNXBrYDvlmDoW64G6VdUXbJAeiXpYrXAe837ESEK EqV2o4ZdGQAE+D7pJ5/rFCG+NG8DmxuFFzHCqveEoCnQ5Tvq9rMSiP8PmjF76/fM+YLiit0zPEro WV3lVf4JT4BbyeVyCLQzVVtGkQHXkvg4MDT8idWQNaaa2PyChQSF467jA0B7XX2JJ4rP6IWbBGtM 7NsCRYsiLn18jRXSjnz6jK5I8x8womlheeOmHIP5dl3jfF1GZBMBUYLZZh9fWUstKXX5vVfa/oaA MSjWBaAe9cNXJN4pPwdJhAuW5MM4z0o6zzfgp1GVRXE0lMXI5nPrGV4gqAk0wS4fxxrRI0aohQZW Lvck5CrsW36l61irsiasMId7yT1gpmEWCsRkpUVXdPONgCEVCLcP/5UV4DzvgbzA4/G+3wY2fgp9 YOXQVeGOonf4uqce1nyCDDJDgtIcut4iNOGdLWRldAUwyehR6qmHu2wMh0cNl/6boYUOSo5Sq4P2 8BAghS2HRnn/nXz2VeZ/1H2d2lOk4rftePS8ZDbjbk4w+zmr/yWkOhbBPdIVd4QROjbJVa2ki3oX yKCa7QplKfx4wh8rDY8Ojh+YBNsUlDYH/6gt5uSVuum1P3gB400llfCNSXbWB45cqcqwWwpscsLF zKYuJnOEiioEcNRWfCaPd9o4pw3RI17ftGtdimSQXhjjuXuvAKDbkKciaDkR9CfIuXEqHuFE6pC0 aRWlhMJvaEusseAEYbtZaEOerRe1f2wpjjTvPzivu8Qj82q6nqxFF1nwaMt0wfnrbjrmp6qwSJQK MQKOTg2iLkYQUav/1DYtKKSvy4eNC7gh91VrfMNEsTgtPk0KcgdzPLSSc4rTzzDAY/wcjpmFFoPz PiYxHY7Oc0ArKKyexFRnDXK3W50hJQ4BT3LM0NsovxMO2RNBVKTWVypX/F5a/k1h6BDaXuLZleQe NDWTTY8avNsY4dvgVNv3VqsuzSnzHsK7doQ6CTMJcpRCSjozAEHLspKCM/JxfE86ACVOGlQtvYMG 2e1A8zm2RY9Qa/NfIrUm7320dF3Sim2KucA0Yrbz4DjDCXpv7sEmYy7RFWbPDNjR2G/Chl3pcpAY s/oJ94wLF3Oc/drS5ltJoI0vUI4FedEUMKZ24G7j8KLNS7c7ChmuIRyJLGwiqa0meplKAyokCcVO B3LgX/ld79QXoaTrE8hvhAIF7p6ijP9jQ+Wlbqn1Vn/vExNiUNUv80Ha8hasRnyDOQyJIQZ4Q+Lg w1a/zqHEmdLW33hsCSacgaOVBUpM1EaZGsYqVMvJYDI9rn0ZYNYVHaJ0UaLSC7zZgX3qXVUyjJsz o8EmQnQpMTiLXxl4aqB+nJ4D8zWSZngJhHXZiP2X0p+qp/gREz6do4zI1j+WiaVtDg+w3SInTMdD lFS3AP5GJDg+vrIUk6HEVNGQlpWJz/x0Awk3rgVQYhN57Smsfaltoj1WonBxj/DPkSU3OEPcVzds p69E+yxbW5CWRQGXJEV58m0pzCER9NrPqxbIMHR2EaSkC3ZdPMwVC00hXmfJEf4sTHYHdmLFB7Zj 1H5PtCNj4ADWvTNTmKcfXmyNJ0OlweV1c7AYbjhbDsPa6owhbCwAZSbvhdgXWlTYx+Vnl60c8j1M UPWvpkTR4G2oNkzQ3ZrY6dQn2A9hHvQP9+nFnJtgT4p9FWiwAwuiPG9JZcQP7NTgo+lglJeDiHm4 GWqtC6HiIEapNUH9qwXW6ffnCL2BPwspMwfdHGXWRN+z9nC7RtBtUSJwpLlqJnbbMZKnKJd7X2x6 Oa3/2kkxBwNelv1lGBNAzDjQbQLtGmEkqJ5OAkmz+PDY3Xrgb8rjW18+x9DFi41jHXsQ+cZu1tgR E89tG4oUZ35xjeyYCSIWxU6kbAxSr4MCrFAzE+jona5f6NrTy3SAV+on0nzSKtnPOx6EH2e6YvVy sLXFw7tFuX2idOSxkev3o9I4n6IKz/Ek7iEYCORpQDkOA9Xliy8yV7nJg9RSPowShlFWjjUAiCOw ykWFCQ+mAWAIqIa5IDp1qXSJGR/KM8/zbwloDba1mbVs5On5nBcdmo/ezQNrBL18De/NAKz6gxJe ifJt5WPfptD0J/f/I+4wkEt0OhQ99n0llsHumTji2Hl5BE9AVRy3gyQd+v4bxFc0OEGbThWN65/T zzOhT1AqUwVViMergZTyiMRnulMONIOek+iQR+ZVhtWHHDudqJlqivFWT/J+kwoFx54dU6Uty7Cl S3BwqfRk4j6eQNMbzme56Qkywn5gHwhILpE+cQDXFiH34UjrCfHAHM8PUHktrBLcxdaBCjBClLFk veH2blp74BGloC67ARQTlPU9oF+Ob9wgkRzUU4glRhPzaSVZFVfy+xM2uNP9UWhOSdj3L1FtZEy4 9y+y2VsOjQrEHtXDKqn3He6+iT5XIQSGjwcDaj5u6xRLnKHoPRpiiOche5UiKEDmuKz4ozSGgcGn cpWrQg3Z407S1idbC2tC6aAEfLef/Hef4Mhgeb12is76I0E2b59CB4QtUmcY5MzEgG09glQ+iT+X ky7O0zsJTQ25ozL/rfRSKEfe5j5kUTSVBEZp7fhaE9xJvr7Z+0/3sjGyDHOtaKd1ucERdc+kqKab avIbNvcImpClKBCVOkTfP2DbF2husTPSIVzfsLH7BCSL3O8jmmZjCTbZibaiQBN0h+KPik6Rjtg1 HMfCAnKMc9CvxxQr78R3KEVn4kMA8AlSOzlBI3fSfQaGrw/5CVj1G4BrS02dYxU6z2JseQ4vQnah saSBXIoeYVPn0dH8Kf/rO/YYSw4yDXdJvUHkWi4LPT0G3kq5lchtii/bQmC1d8rMkzZ2LUbEJ1Uj SnisKIy7XpeIwkCLh1mAk7TCIDN94Y6CbaFx4EyCtB3jo2GKfww3hh+KX/ONrHHo7sBi1uFL+LXr PNds0jAo+RzmyPvnAzgHn4V9R03PTlfOLyLkE4jXDG7O/MLoeaGX4SAc//Y5K/mNB1tBwDXcAf6L uv+lKRxkyxAzZBlJN5M/tofeZA1TMGfcFVATlA/n7lvhtpfSpSrtyOF7Jz1PbIA9wFQ9UDqjLY1j /dpCNy5Ltral+t9twMD0bgizjc9D6a0vP4SoVEJB2zm57z/aesm2ovppdTQfAhO9gVKYTpYmu5VW 6IWxQYMSarIO0ODpwX94kR/gV86+TYUtPWaEApr8jTTrwMDta97m7KenUigVTJcFYZEELGB46UWm EnWzndYNb7siEb5PcIHNe6cdEMhSGvRlIzyVpm9WwEyz+BXpphOgsZTsqi6rQmbsd04eFT6Fw2mT MNn7QMOGOGafQCNrhgXidAn4uKs34HSCzgV64EaHvI+i/1Vzk/BE3w5ZS9/mntJ1RzvXPcqhrZbf BKLN84TAEbDDOzRldi+bNQEZRlOM27gkYyNiZCVJ7Q3cU22+Khr7qNNGxCCjvO/fkxpSGV/Wsg6C 4OtdMagOzCSw38HxB45hXaCn0CMi8yyY3GmV1OW/gMNooAgPP4bALzWkHUid6TaLw+rBuV1/TmTi V+J2fsET4/XVF02j/pAB4oqoy/EDCt/MiDxHDfEFlaTwOZqOQSpS6kfozRjmeZzju4lOzqKmVoGj gS5re9cTOPIEjw8I2EYbdzMdVwXsFiYUNKz46M8IiyWVO1DNVv8FH2+AwbVq5IyVXMI8LzX9Q/lz u36CcO2YlJtPheQrq+nkAdIipBiIFgmq+N74wCVUyfEvJ9shAQ0jZg6A+OzddDxzvugIrvnQdE5p b61oza6G21N7+xjphjrms+ZKnd1QJM1vIWwQhYtFwZ12v4NF6IIHtFRCQMeEj5St/txSFeC2MEZp f370H8yI0ESe0OK5pEgX4/olTuxDFvByRTtMLliIAkSfoWzOOBq10QkyHQuo9XNkJVoVHDg0Ho1y D76clUBMOAKYlSLWxK513kv+Hdl+PV85Xo1sAUxwpPY1uz0d5zFFGKPvGwyYcv5sen1obgm4TBjI QTIrdt/0TXgJx5wZMufNd1Jx7QMHHz1ACxvbL3dkyxrLGDZhjjfU9sQDTLvgUHp984GuNnFAL7yh KN8kvSUxwGb98E2p6gfI65KVFEzGOy2PNJ493LmT2PtpNKgx1mfbK21SWq0c5gD2D8Z4zeCps2UL v8uqgrnDFF+NDcQW/F0oG1Lyyu7kPCgqxV41MI4Ql1Mx1PkNmZPCKidAOji75fz0UnYXTBxeOM7V 8y/EK/BP38/lWQ4pV47p72gRLinX79EAI3Y3hyRxyi0A+1VPr5KBDskuX643EnyVHOLd2OmE2zKm TDasAFAXS48XzKTy3yuW2SOLjeRpd7X7KflQQlc2thrU0BULNvH28pxtMfTkib0GheSXjf/kc355 Vjvcj49ItWA90l4CtybzI+N578XfXGy3AIBG45k6jnBFPXBW5zuIQ+nyiSs5gj1tk7K7+cjX9l9t tqtbwnQgoBTHBDzHx1TQIsID+D1UHTkM0SfqKvK/jclJALdNbEIspoRyF3tzTtUV5hAnfidA5eGL jJORV7uwhMt6n8jhe1BRwtvJNbTDze/tB4cRvgBKMRR1XjBttjDoO1sQ58z76tBGYSqfbezuiL/i 4CJB6fhVVyJixBPjOStS2KFPI+6OoICf+9ldmEhPapvMbwFym9i4MthCbef8V6XB9xgaVUmJaYUd U0m9VZy8c01ZGhmSl/PhCG5YZDveSJviocVauDNjdxx2TXfdwtvGaPhRyPzFeGJpY7n1C4vDOyuF KUPxgMj24xSqfMNcD+V+HnlEt0T42gnmieEiwX41+rQafCk7PdxPEyAgxjCoFGUf4ZpmV0Pcm/E3 t9CHaZVYn6cKRTiU/CBWggZhECd9uYsQu5x0NevmOEnVr25fn8UENFwbUl4xR7mZA5xi7vQ6qVoD S+ClR4tFqyMFItg6PQNI5/LB7fdWXmquHL94YDam+U4xb6DzJOfdvCPVB2zFW1961GXvu8FuJcjP EzmS8z7ORDbqJpJ//C8gd5ckiXBgzXetHkqaen2u2XVgj4fXdZx5JtyI815vrcIOFeQffgyeOfOm icyrlr2zGaYSPT1DwKx7Vd0Nw8jlpdBAcg/wUUGhXxsEswGhNyvo8bTQNtnBqUOwLv7j96BKlsGb XLqvBLkNskChErWohJ2pucli4893qjgU9SkdGqkoIeqhLX5p3YfARsX6CK5SmSrDZJrquX47Ahe/ zsikX6IskUezoCDmij1Ypei6mmBYC0IZCM1s3KzcwdrcCZYsEwZQ95QcP5wK+1sIyXOtHZEahq/3 u+tznzNB6/suKZ8nD6pHj6ALbQZGdZwj+U5IDvBAbn8DbcJmSvuMenfB1j2oDCaQkoHxqTThEDXo 2iBpbAMgGi3EMnuCDCV+oaLgLJbwVYt9QyL2PT231sb2BqO1JXS8LmsrfNQ2bE9WIJAuEggxZy4c P2gs7cftOFu27DzdsJixMtDBnfCOY/qnqg52J52Q4QJfYMvz7sy04ZIvuhhNOqIwIjA81m3dHE4A MzJnymVI6eKEy437pJPJxWQQ1qtjMMvpW2p0guiNyQSBGF2+osQifYZrbdMhzkxZcSaQQAIecgc7 j/g+Of6Qv4vsZRau7BTvJZFUI0OuLr7iQZcuqragAuD7fcQHv0QbBQEJM8YfS7G9w4CbEr6DZrOg WUEgllbbzipdzFkQvfkZMG7DHLCU92hfON7lmnLsL+CYwF8piNr0bcdirm0vAVLW1dEi6rgadEbS 9fHiFNf+4i63hLMXeRBXa9G1oS8dpHkWtS6S2C9A1ml6Lk2V7N/ZbnmTNFce9oHeN4q7fzKBqhJG i2L6d4/1IMiINM1Y5KxMRvJTjHhm1eG74ZgagdXVirgZwlWGn9g2ooaSm8dIz31XAU6vQZSrrKg/ 7VEt5GsnR+hSUSwlZg/5h5f2L7+Q7WSIa0ElJTUeWEgyTRGz7pGcTxM0K6oqsIVPk5kbHz+rsO8f Gj/BAXzdKuzEQ/pa5o7Sz0zMc7IgJxQpSyezx+RXksgBBpCN+yAbGpMU0LBgv6Jx/KwReT19RaYe ap5+NuKvlLlCU1K0Y3B6MemnW9rLs0cr0fGOK87CvEiSgLnVZYdg7nzv8HCNefHwnXOS/im6T1Rj 1KlwZjdldYdvwjRtjjRnzyVJ/vfC7odueW5yBNWH+lEsP84PbFjxteeshzoOhlyB37UTr9HGnEv0 WkflEyQzQdQ29+hmFbXiafpvN0Yjmg/mBp3ksRW48pOU3Gv0Qb4yNIa9q4RWnpVUBSz8fic87VBM ovYtHU3zbOMD9TSRRXImszlibDQv+Gd6LX10uC5oKVSvakNjGb4SMEZzJaVwuAhwEcihd2w4v/ys HeqOWGO77iKirrR/RTK0XAbVejI2plIR5WV7hGLoqQ9IVkWsqQNKvOQvho+3+i2JLdmyWJJgwp9k et5DGFgrNJJtSQ/+CWJpYPX/Y3ZjITeglkpYlrjvE0lZZ/r40QOGsK9BlkGnAkFi1V94LRunwrw9 ozv9vgUGuMQsOTlbFA3ZsqGjEpiKk/oC1t/EkSwBuG6PgJIUf9Rz2npbE2PxPeA2MUoFklZNZn7Z FAQRDI7dK8VRlOSjMF4yIt0Vz1n7msyplUKHShESJRns6yPkem6XfyJT17tM1/ZBR5RaN7ASxSNL U+jJHuwdBpSEVai26Q56jsBdKwml7PtRVVi3ZhhK/kyjnWQCWoR3xVqdHi4M/Cr8QD+hsGYlWLtQ DD3F//EinlYVQWmdx74MENEGhmbkDHcxUSoQCSXhnekqom1wdv4PocmVmYmexcb7bUmUuik84kCV i7sPbj9e1gL90/yi6KMcFAY9bWETNPzpWaYSgv7tj0WN2e55S10TCsZuX+Uus/dZVEYB1dKr2ktH A4B5w6ofAQOqOklyAvWPHP92Bor9Mb8fzrpKgPtrePiC9+Puaw8QiC3YbRIuPJYsN3euV8dtACw2 HQf2ncK77uWt3u9886ZHkl9Rz+lOhSMwnbRBfk5Bu9qlgQ3w3u16q1ZFv0YupjOu7Sq0GGHtbXfl jdvOvEkfWWh9IvRoDvab/nhB02DxSuHbE38alJCkRET8qUVjrfzTwrBoZRCGifF7xYONuGaiQgvi YCFe+XpRB0Xd91+ht9DI3SnmGiqwp4L/JDOMKWJVmg0fP6udK/ndj8ROWKlNPUHOoyEslgSBIxje am8IvGfP39PVOvOOMmbHbZwEDNRy1Ba7037CVSBEEdjKoAtRRkP3NsUJut+Wb0h22paC8V8MHsjI lnDDTgjfnalTsO2ca6zhj7TMYZ9vax/jxUqwfYqt7cjV1i/w8VEv5ZlXh87wBwHDobaEn0xFu42n 2zc0tRpGqJS/6BwXm1MaiJuYsFHGe6DUygVN9N7wzVb7gnf2rpFVUPisveljBKzQb546J3lQl44b 9THOPqnxhvjbTfzR71GW+XcYJ4XoSka7kAlCjWS15smeNVtWOVoDi9kIhE3xRHQoW5NhMsnBKKjp mR/F4XllvW2zUmo9yG+Ozgy6xLJt2S9BCJPNUzufKEC6ndLay40ssTtRf/DiO6cN5PDDJn3jK3Js mE30RauGtGoH2Sb5/fW0RkPstlC4j7JqTUo4AVxCXXZ+SjGGh9fnktc1bpScVF2j9vavDVHHVvGr udtOtdX+GEt6/8BQZ7XWbpEacy7MIbvc8Uj8AaAK0VK35i5YNfNBASfwBDyxch0ZicxXnCrlMctF b6V8n1IFBlHf/wS/bplMkkjTOqK84gz1uxfM+FzJm+rAD2R9L2OaS9KBPgymBCFrhYQtqntVDnY9 J0GrjSUF72G+ZjYjVbiBpBegI/3v8Rm3Ri1pusAZWPDCpur/mkDVx6pdWVeWyEK0iETn6/jXUJXZ NvDTMxUbiyiJ1aqhAbvRFoPRW+WWHsJiD6Yx2DI/hZHijfqad5RFymbAd8+40Chz8cyH5jnx/jyT 1QfvZ0XpTSiYE9THTvjc5Hst3YZ/zLDFHczBMUpTD9XwQN1IW6MOLrn7K0zjK/ruRdD2NxSZsfB2 kudrmAdbWHdayiyX1E5obC41OimKKmxooHPM7TOva2FlRtaTeqxBMpU/ANe0NuKJXsvWQ6yaWsqS gdaM6xchIQ6f0a3Su4l4clJq+kpQuV10PtVHIo3PjhGBRxqJ1EU8OrBFrysZn3focuOrZmL+mRRi zFIzeZFi5ojsAOoWbydjUlxxL/plYeHQdr/+U2bg5CprH8mcXpNi1tqOiRXXkmlyl3biIrHYJmqO /6joCNCm3PvJqLrrOR5y3t3sKGSZSFUsMGvSUSTf5pf1xmby+EseGmqy8csqu7a1qVE5ukDYalSj HoAEuWFEzcO9sCage1TzmOVUHUpJ7Ujv1Qz4JCjclMHMviF2FMuG2qFSFMMA0I+gafp4olyy0Fcb QfEiBE9zD9mFpYB3ZrRgwbrsj+7coDQOS5Dz6muKOv+AFByNVQLJQc1Q3j/xRJkeMdx+VGgeAoIG JQdBHdCYMitJ7fc8Im8mH/nRtmNzE72EvEgjgj1kipspYHT+TGoz9waUgbFnZeIhsZ/DI3rzVR5V t1+izz1KlYwJGvi2t0QTZnMpesDSCfWHXBDfYvgCpfBAXfx4aQLhVHvXePZeIjlI/PhAdiYG2mEY 6NZvaLwriKoBJuq6DaKtxgiUIjKODKy68dxsWO19Xsi5/b9hFa6uH4GOgyqlI2mIXPdO6N06J/Lb yCqwwAbL5PPM0isnPSxXMqCgHekIMXBDAzlo3pP4dWTZC0Lmbn/VFIg4kNI9BysQAdPW4reynX2n oP1dShZ+3H4xWGniyfIJLMXaVNf2XBOzpwS8IHif7hn5+vJrYs7JG+2sjJ+VxmDYcWaiTIp3cU9r 3LSAtmlviRr9KCY1Buq+HljmCjy64RfVGYIjaBFkuOPHsxT4MVpSs70Z/WbTug9yogEEA5V3L11I oIU/ljaiNdKhP6lj/D/ss5V4dY99fardOUmMgRQYwN0r3eCDFQ6934B5NowfbBu8sgNilOJktr4u vxG5WdY56CRoe+JCiHtt+wc9Rll1LbwfT9i322RlGeYROq8Lip9Qw8HrtpPtsWIDAMbtcTouCfJ9 IdRYTnqbG56mqsmN7GeDbvr91bai1WbPgVjN9Zye0I+rE9wO9W5sO5Rw06rZ+qP6mXBhRBPe7/41 5o/I7hLXVluUOT8CA38RMj7ZcaXQMV2GHd9gnaKiypo/Wrg8c7Y+9FLoz3ETW32W5UiuEQYni1TH IXJ1BZ2aMDCcS589Lx0Sh03KEM5FP/UyknPYMrWjN2ZsMEomc1m64f9XkTmSnNpTPJNMuttIkZ3B o08gdTfyuJzxvqKzwggelVLC+Ts0IdwJPxS6+gnCGh34QtVsO/HjQy0imazQWwRfKPaYejKqyhVq pyYAlqrhTtbN14LTtsHcWkCscXCoscOuuPkIH3LPmEj0N91pQLHP4/84jtj8N7zZQYcmGMvcxsTZ VSwdOGWrcfdBPbfbirAs9mNGOL+n35f2pVuA4EHzmJsKMmD0Lrok+gwjSOb3gpCM5j0U6bV0uf8D 8wVzzLQ4zTXyDk25WHt4adAJnKLckl5ep8sAl+Bh6XUgDJUjVyVn1Me7VompprU9/0f1oR8lRPt6 KeIkRCI7mkhLkczPUMio43NU1o3D6UwsZoN2FhSyvFYhg+RQ6qYfJ7Sz1+ch59aIm5i4HSr3TeVw svlNG3EviN3+sKAow43lBqAccqccAEMFQiYaKLHX3x052c9AUcjnixZnO1RoxyFJnmqdtYb7O8zB P1ro7I/O+fdhGL5OujhNTUyB093+JtWEpmfQsA0kepohrDmoVYadfTZKXGE36GFEJ94lAINZzU23 5I0CuPGmVTQiORQ/I/YeXhrU+dO9WRdz/JUvvzqUq2C+AleNvMXdDkYiEZk3Dqf40fNwrx60nHYx qNz/5Zits5awc3IzNv49mCD+Tq/2I1/a78M/kPZCuJxyXQP+3Z0upVwzqOCpQZP/tFl3SivBbnUQ a3qojeFl+drv2/lVyNCykDi9taY+bzgCfZlyeumTk+CKavTJOp5TpGX7t/oUhKu7KUlVqBGxLyH/ xz5g3yWmh96YfjbFxxVy/dV3JLPBr7phyPRYA1VIFhBhOEQ2SMCaQ01DC6HkL20Hp3McOUOCw6oL m/bncua+Rzlj1lvneKgvpZavlQyZSS6xibz4+yFABMJHEaRpZLvPWYGhHR10usKEX5D7RdLS8GM4 g9ptv7gtFmPBeRBTb8PoOrT2i30UP+9sRuPzOMCQI3xwrWinU4C0UlsRLYXC6bDA0MBfBjamux0l 0mSU/3Fg7J+CXag7fCuH4PKX9D08BO6gTM5Gydh8976ods6pE2IrHYcZ/VoRwFKFecZLcDly/CL+ ZI8xI1cYBR/eKbVUy0brJWdIokPch3S/vxtel6mSWGSv9uO7U+0qoPlERcozMrtilxw7dlveCdVA ooH7MyE2zlNxEYAfqQmwwiEZA8DgQrHntrfFB1+/Ssnpd/CdlK/ghtZSmIl8cp9x7m8ieEw9b/fe 7a4nWjdJKghWdI0Dq5XXHwrkiFLxAYQWAcyvbKOipzxWskE0ZSQmwkylWNdhLsYhzh53jOXjI76m IP4XszCYien+rJelewnxtNBsjp/DawEkF5wvQP1tdi7d6EZM5ONi8uhoJX6fSpaJPTZ74Pz9JXF6 7+3Yndxy8u64fkBEHAARP11B1xZtgTX0BCArGfYwf9Mi6pt0GpkX06LtRfSiqOqS140Uh87lsyGP c9PtUGlgldTP3OPEfDgbKtqVEJ/k7iHtVAHJ2WMvsK4f1F49ggIWDxdcFFoQyj9OVHvDtI7ZmWGP ycsrUDpfu1SLCHYqhbAvy72vAPlpTwcxOzm7RgJyVY2CZ1UFNfSX3hfqWXaWM4kcM/hy4W8p9woH OHI1eZzRIAIYy8RVXXlVvr/iA+AlE9ui7n/FWRdIphpuptbX8ugasg/iOXRVJUJMbpUw5wpyJTLy aahMkAwnUt+SqDNCqKHCwBOm++8eIM5spRq//oqoz9RwumvNVCC7KydSG9N2lEFnDwALosAY40s9 MDrrVQRHBPq6tDHj5bdjjPHr6S5SEsVoRMf42c4yyYGeeOTSnCplIv8Gs+YRuvSB0jnZlNdcnvS4 FHf9PivkFl9ZH+uO+MjmAMIinfBiQH+he2L5c1qRuZNDIV/MK6U5ZKby3PZVotmvKlECSEEGhWGW Y72Ogm5CIZZZnUeawNA9e6X+Sts8QQPFQOgEk9C3OZHCgBnj9BgiSNYBiAXXjQvaaxyj0QX0DeQZ XmEAdMulR24JVtml2xEcwW7rDfr1EtpsMp+1WdyKbHh1kldAO3Izmn+ge+qfsg5nXTq+fT07EmPM 3jGqZr6emX6BqeR5fBQYK8LDEMzNUyB903d891mrsHebK/iQXua7hDI5/vTI4FybrwpQRKEmc/lY NfbB8IGngqnNJHD27K6mWX2sMfOZ4cyhsNXfZVrUb4vifUMgYSLLX2wub25EPvuM9rfLK2DMm0OQ 8O+XJMR5Nt1xAbOkCyo/kjihrD4NDAKlzDrP+fsDZ1xe3mYl603OXvsMh/XTHNShknmq8IFGkXVG hCwSweTrNVx0ohKs7b2ZiNZtJi8hU3P9We2cfbyzavAgiXnzq8FN5O0h82HKE2+TGLv9D8k8VUfi ImasRqABf1wMIoPMBkLvXwMVG/1TDHxaPsRlSQ3RX7DPJaZwOb+FGzpxjIJQP8eVrHn3Pfclzihy IwplfXXUBwmTalZsGDJNR2s+BdewDd9yDKL2wmKbhGir6+DLNZrvDpA5lmLv6vHJfplGmljuNifp 4HuSizfeWEdEcAPED/QNjkCP1DjUTXD2A3flY4fMshs4un1RSRjDE/cxiXWryz4B+SCe3LNbyGpL 2fCF3g2+pATrAcZSBwPFZysjvkNIzN8SQXxR9HHU1JUrUY1ociK0+WVkxMrlzKata0FiqJ7U11x0 Z3ykk6pfJD2x8h2MaFBSCdBEcDW3ksSIO4ijUM0lq1QTdbzlc6oh5g54aKGjy7bfSCFQ7G04LsWs dH9wkOvyNy7i0gaRvEWV6kiATdW8kRXTtrEiGQzg+jxz/2EhkA4Ms+IPwfMjYyd0bNS4DZS1dULj cDdPe4oyPG+c/KRaN/eyl4MnVV2JlGSuGqYfVgfQu+68dWOXgtcsndP11REt0+byoLwh0ASVMSp4 +AVJikkF4RLxmlo1CwfJf/yoDVyuYDM1Uf3T4ObaHX1TN7sPkRGFw1QRX2xN1+vtoulcOpWcDG6B vkthiq0dDX49+wjRQsOPecGO9sc0f/q2/ZEN4iywczcpNa6MG6JsFfqT9U/Ug+3eg2wueynd4a/D ExhFAuHw06DY6+tkAoy7dVOHaNd/B5xwqyL9DVaObZm2pLf24PtYqOT3/ACSPsKeXDN/b4C6bFgk pgnlGeHd0rQdu2km7vIHhUT0XC310SkpmAcPEWykWK/LkHMjQ6FYJC4HkGYOLeOXlsynFBGSzUx9 p8OFZMvFwtV+thaPip/FM/mHtvR8tt32PRa4miau/mSFiPNDlWUqK/HRqzMQfVpYWJ5GaP2hIFde NR6jSICTw7vHkKGtYeQ6vm2YB+NffBMkNkWkSa1yrT+noud9SrQga1TkeDEmRLYwS7E1GvMPofQH mmXDD1LcBBT/FXkIC+7eG8aezTIbW52bPFX+UoO+zZDAKh9wSeJ0VS6Djk8BsTuQ4vvgWfeXleHS 3jdR3kwOA3hInlg1VdM/iHw2bfs8ztBsTzEzGBL7zqvkywUT0X5/tRSS6Wai6+XVXGGyBrOnSn8l POb7IqTHRO6R6z0Sp1/NiF2aumLxkUr7m2fPsNNoxz9RbeyZ3aqu1hjxkDfT+FIJpe1bU9tHT6KU Btw9j3qqYcCGkVzrGjsmbMh1SZckG2h29KWGrlt9D/h8P37I8yj3y5lVluoICa9eXTkQ1O/ofFqv aBqIjHHAWqzBvdbuIYqzOwSPEiCKBj2bHPdSdBuh3R8NYefTJFu8HEQCbPT1xhK0jym1yxiz5O6P DgjBe/byUBRL6q3uThLMlAG20eGpRG0X6H4btpLm303jlS91xXa5ChZ/KnAJ3lWCO6nmnRUkV6Wu 84UsbAKFveh54FS+6Ak/x0KRfMOXEeekDzu29ZOIi0nY/Z+sOEcr0qQ8ij1+O7UT1um7IDz9IMrd 0mM0x1InaNrVAUL0gKD0gzsBimgGNSl+IF8Ru71mTh0mrFTjp+HycxrwnLhc4UUTsHKCfubqY0ew iaJ/KzXGrge+cCax4Esj/lpqlLk23bvjS+AT8+qAxMKZl3nOjKmImWSeCNzYe2hm8TvylmbItTOh AtxswPmSQrYB/wnme2tBe+QNm9ztpF3yStibKzDrQ83nCdsdQWnbkxmS4/VyKOFQph9ruZkDxF/6 oCJKPbkAocTJkmu6aFc8VKGS0IQJ94LlIvP07Bm2CVFkL6LhyIekmmbnYNEPrwQS36/3qolAX6+e kU09+VniQNEwXcGsn/wgIRdGx9JwDYbhfkqDnbTf4lCKanUp3lLWSECHk6DHOxFrviML7vCsvj5d 7BveCZfQTSsBPPotEoT5wHG1mzQZHpvnv2+ei6bjZq+T7cLxJ6/WwSXYzDXF7wuOsDyJzB9gkUaM 0uvNSbkv7Krafbx179VsCTWGR8iiP1Uv1FJSZKHbvWcwCQhxBPIYhfX//NceQNzUtu5zwDJX2339 12qZ2q5Ccbo6tU/Qwj78jibIEUEoPsLHl+z3lBb5FsD6yhZCrD8mkn1Kax5nZhocdRrgI073FTFr edDeEQodIVYAWerqOlQd91WossFjbYLCn0a0v72ZnikhfnpOFYqikSM7RVlEQI10CKCLQGB98WpV lryVl1VOlJ+4NjUzTgJoeYEloJ2DW3ssKvfS9iGcQn21OB95TWAVOmpKP2Q2giy0Za2Ge26+n1/q bjv/1l5QbTt7ao+C0lpVqdW0ILth42MFtuLx0biJAeeW1TTTC4RuS3C45TAvK8VYnDo1xMLjR14W UNn3IZy5YEigym76iedNwQ+wI3Lxf3vGvoZipp852wCkjIcvKDyujPDCzw3eQfgLkpYJgr3Z88Wj f3E+noVlu34LDKutOLIGIjDxJQWVA0kAm5Hg5D9PO+Bpre8s+pZ4/mSi3GQigDsgM3KUzuDfDJrs s75vLrdtvwSKXBhhW7vbKmkjVITy/1y/C9WzyhBuJCmJnMXyT74dLxYjN3zkldXn7R3TknPG/+iB 4X4YDxEolekykADW/xjybyaQ8VCd0RezHGURrr1YRWPOmUBPKqXEPoEw9WzDC7h0Sk9lJ+H4m5V3 8RRb3Fgl38Qp8LreG2CmfI5CcluIZbkiy2dnzEB8FOVvkUWsg26D6K3HaYDQKedABqQJTdUljdTI ph+syULsanwOVzwYKnEvREji4N2YEvAkHZyzB2CFUH8Byn7u6/ea3JTPDONDnEhVh46aJtT7aMWj DPv5auwafTQpOX7y/SSxO9A94goii0WvPbO5KMK5OG0P2m8o+WO3bI93TKZOVfwDgXSLww8hoQy+ erHwPjsFcPYhCwKSHb5FKnqu1Np7vnTzkk/LALtmHrPbY+mZdRmfGLQpiO+C2oUneL7Kssi9Iuhc j9ZlobHdVeBTP4dlOD4bJk3QmGmYX9SkfFdTXV2xGlM4Df77/l+bIa9PDk/uDsx5F/XjSSzkKUSG MSoC8VtlanIPldB3IeMOQE2G73DQD5RN9kYWfbu0T0o5W1RxdHyvneUShv35u+vSHTbK6QMzj5gA VLMARwMAdgXF7/JJRwoRhWE0kz36beCkRR6Zl3Vaos36ZR/UQsqfssBsTBuul571eMmRrhtHSW3T F9u4fD6eajwHcLvIHk8KcTSCbRkkyFpPiVhV1ctBqNl1q4LeczIoEiL0Ila2j4uRhS2h72IMBEqk 2XNEWqvccAUDRPEVQjvp8rRgNawvZTCLK92RAObDZMJHYRJHBjck/L9w3gngsKdtWkcehlApaEPn LTbr9Eh7hC+mzBH84xusqLyevPhYoM6hFZcf5O4RYQxH+3jaa8XQ3dD88iYAy/bcQGlLhbS9gvro NTVlpFnYflHArZo4qy9/I2DUwsE2aBBq/3ZlmOS2krpUioZLfv3jrLNYAtyh9udM3pikpFJRN4kb /y4ZGu+rdPw98CPGQXDpKfE3hCV6gVFoqnpIyPxmIwtxJEdMNe/lkyeUgENDwLtUuauVxIqJSvJF yy2h7GpTxQPBLgo0ADe3/DNE6QQ7sGFmHIadtRhRMDoo0OBn7EudrPXBVFbvRjudErOJi7C7sXAb r3AendOjC9XK1hdmxtfNdCFG2qmct22raQTvwleMjTi2pnnyHzur8BQY3i8vXUhHFawJDX5mIYgg X7mE+G+DQzTOgyFMKKf6MIivf5mZyEZQRcyJQvyj0jMP9zIQviVTgzkBNS1BGia+cLnv1f/YcOZz q3TkiLXX0e8QbV5b6ShWn5FfgHcArQ/oAV6hJtYg3Q49vFk+CW1nsl3PdoEuV8hxly45QIj8wCja D54uKont2iNats54FMKqMzoWgHDzNwGo1B+5f9H6/7w+vCAKf2wQt+Xp2yS+alHznIYlnL7TcilL Ji8jMQ/9gFcFVG+iNDQYp+I3jU7krMFnA5/dJVhUvxFV1LfXo985M/6wLH5sNXs5tZ8oUu2FvCjZ ahfTVKqvzQ9wR1c94KkB1NrI82vveP3/g4/qb4uk6W51v3zGlNt3i91T76fYw76dDQrHxthhs6cK JvQI1DadmgcZnrLwIvuEPjoBNWgFt5kgI+K4dmuKGvHgBXs1bwXCtdwqTiYXXW1fggFg2MlX71+G l4UVfs6VoNaoHnfWvAgBHH8G3ddVKijm+ip46rBgmiJEQktia7MmEoEboargczZZ5LAfBpf7036k ErMBciNkDdFl29xLGnLb6DuVFZO5uImFFmrLulFwKK4RRQB1rsI85J89ipOuOvyii8t010TU0PoK l5ErFpQczm79mBgev1iCbAA1j4C3Z+aiWZ5bG2SZcVacegMkqUr/VwBfhaMFqetur2S9B9a/HgQU ndxMHwED4GXTpXhQlUWd+YHj/V+xFL7ERXHz2/SX3SuqnXxMKmlXQUmRJUc2vEWLU8qH23ttV+l7 ARD13n7nPsALBdIgr6QwWfLQPWxBBtzvGeGIwncdMFewhNBzm/lYGW9eVsk1l9cqsvNOS5xNwpda pWRMy0dU7gHpasXoVt+fffZWxI6d5OBNF0pB9puG99cyP1hi/iN0wA4rY1+Y3fdkXaKd/rAuwMwM Oy6aEwMk9Md27PvuiYjuwdkbHeOZOS/w4yEpc8ihpd9HQn1Yzl8dJ2hqpxsVaH/mR6G32PKJ2SLo 6EQISbVlT6v0QOh+YugFZ6YQAjL9EtmlVH3YTLhOvOZK5yFLF8bUsrl5Skje/GvPjq5ni5C2rQb0 /1uzFEtpQWwbt0x0pIBdGXOo2tZtRseH/qZf59G4+w5IKU+99BiCIXrZXohrcdvsZXDyO8PQbIyN aq2ppQ+KF9YSC7yON1vLIPWmMyIWHL0jPukbstvOnXeAMv74X8dXQcKFfTT+ZJALqSVTdtTizYGD tFi6EtojHUJAtMFP6iEpdgUooTlkOaK5VubpOGIL3jibQu/B/dC+gZEGiHKg6gWe6Y7vTAkOfl7V 1C/3XK1woLtlOcElrN5+cpKxqLersbvT5CHLzX4uayUt8/e/TbFQFH/xUVCmaPOkGUkI31ftpeT6 eVe96FJNsKY7SGTb7T4JIalNWPFDYBcWc/oxH9pc0jijEG1BFZZUUpRNlrpkE8VofUiLdHGzANvs vbkCgWFIb5F6C86UyCzQBXclHaqUI9Q8l10zzG6iKlZIY0OaZGMPGLyLBr7DCvVXpeu+g67kcciY hbAeFC6ags1YMQz9SuPqV5oKoE8L6z4PFErYDMlb+6at6xxSqNUAjjeMlbSlWNdqXOqphz2TSImf r9elAZmzKibXmVKnzT1yRnI/+GjchVU6St7BasFxmB4nAMQLDZdyvwbIDe3LNpAgb9CtcMfm/ahx 3gWC+YCXGTeESqcQYfylCAphARxG8h4aLItEehTSVIA6JKz1UXf4Odrc6KZRNtoH1ZNKr0RS7WmZ VcYbZbXXyrutzUl6JFXjw47UZ+wJ/BrPVHOIbW7jKz6mqeL7vaQiVMSjMqD3ZPVgOafBhuCN0yGE Sa2Xswe3yfleqsdlkx4QQv8sJA3QjlBqhd6hMthX2RB8HPOUt0GnXQJoRu7IjMdowm2e3reTGvdT 3iqcXKFQGF7YOQQBIA7s6Kf9nkztojqzSmCUZoYQW149LtJ3n1c6McQ3bv5YjQbLpOpGNQysvZ6n HwfoJisXA17TN/KZ06VfZcW4A1oLwKrNQ0RKMYVLfZxwdw/YJdQPzKmepFZ0dWQqSBXM/QugD8NL HZh15KGyV0oP63mEbalCzkl6S59s8yNGDhQ2HLF4HUlZ5MsQ1DaU2CXf5tN8AAmwvT9uYAfIrpZZ 6hBpRk/a/TRw9rDvsGGNC2vSzaYhrogW4UbMY6KR7GpGv8e6toKeR6oV9NAq4eAQavlBH4x+VBRc ig/ejMOqAOViiuBqVXvjmDWcg08zeF6rGrbcmwS6RLAykPJlvyaliAnti04uvAYjTeW8qZAZEjL6 K1XRNbek4Nc/WyL/BiSUgC3QR/sLni64tTM9e445GOuXctZFSFfbxLcZbOPJn/Dy8KiE6ezOOR9U K3sXLbtI/eaxw//bcQI4TAeQ3Esxy1LeJMpUrzF4dqHLn/hUuoUw7CRBf9Xrp+EraM2mb+1+/sOQ GkQ6L/OIbXuTXm4xOGy6b6aVmSnSO+zl8nOe+OZ6YGJ/wh68TPos5IyCjM4tb+onCbgNwnvm+qDp cEuuMxtXrldtMrqu8NR/422Vyd9WtRWQUsHUw+ryNc23fTYX5Woq9g4svZyOf+arNRqjCfpTC2YY ZhqqRZyBSvurLPiGAt2HkHXse2PITXBW4synP736yWEJ8jGHhVG7Gk7v6rlkAufk1nUG8f4FwQa8 VgUmtU/8wVBTOwfguJ2fTzf/iKKtg/uIsSFY3Czqmd94WVc7IPBDJkBJtLWnNDYM+U67dUKeTfz0 CN35aj3CUy7TLSeDiXdas+UFow5JTv52dBnu30bclx6vSzLa8a3EMBlXpplRo4RiVRjoBFO9ErCR nNOKKexUviY+FZhwb20NY5cM+Jaf6bywF8t3Yt2t7KnTqqHDB+RN05e+bf8H73nznEUsn3d+tSA7 LTZdhAEDuZBXMl4LH8AsQnFLLGLlXT1+Vkl65A0ZuofbFFd98g2YiWwknu+oxxufn0BPsK2BUPb6 OWzOAUdTIerQNU/UGwCNxx312rh8QyA7DuZQydJwCgunFsPAsTbfBQScXA3BE1gKpGyr+8XKgXjJ CMv3u4iM1OCxPQAnT92gO7hHN6CgMoafTvtO+tPLrTp0NJuVvHowx5eTGo0CGzlIX7164zqogOAn YDNev4oHM4XuOXVaulalYqFcgzJRVpm9g6maRhn1wPXwO9kW3aheXzKaCoW3eUUIdSDvWPCmy0CA syel4nvkY3AyffZgB2DUKFU6ED31a883BMPADx14B7pbWHv42xopv7zWXSxk8R0ecN8kivuFUyBi VErpi+O6HFE5WvUtfQ0cA32MfR2EhgEAmscE/e/IWX1jl5vpfPIvvKRx3DQ27jsplb8CeUshm24C IKS13FjXHQv5PfMXmFgCCJYwnCKh/JrABu6nvUuEM3iCuco2F5/hAoGLvBI/YQa18CB2ct1rPF4M 2KW1Qe8qGjciBQF3ttBX/xdFTAio4NzVOn1Yfmrlei20mw9vCS2lwf21hmPvSRSr1Lde4ahAh4Ro fYo6PVHmoRfkMT74Gh1TiSQ7Qs1MsLDXCe6JB77lERHAGT5P8Vj8XiDrukpwLqOCTkeGjp+Eq7AO 5kHMJafauz9iLCm/KVbt9TSmd+5hg96bbDhwvGbvuoUqaPj2/IqafbkRo1hPwT/G/2UNIWbJVN8u E4hp6TeLbTqyBeWOM1tDOTjoLUxO1iwm2rKAdQx9eRTr3zcJef9WHOL1a5t+iGg6znDxV52fefmm p0C5da862jtjYeejiseXj+xH9sRc6nCQkj9Zfhv1cv/7c4cee+ft8O8W8NZCLbI/J7hVjs8bHaZg MxTpaI0EcCu2f8+652sR0Z5arDzhmNqeXsx3FeWtBJCms8GKlvyZYhH6NsABrRU8yRd1A/2utF18 1ERyeCe8MfdLWz1CLAuargeykUtvfa1rvBMsc60LJHrm54F0mO0Ymidp+zaJQdKNGndhWUp0AU/j 2pBh+VUlf7rpj1BHnBbitWZGqFQB90sYBtU8p18CRbR1s/ZHbPfsWFTKa5cjxBHfMjouEmi4YtiW I7mpfKmXrmdwqEgnThsCmBeKmKmtd2BvtL3iYaS4zm2qrqEHdNTMGqqVvKjVDoyckCcmhYIZF8oe 4PFYN3wo0QBOWXbXkj6hiGuS5KGIHXqbq94Ks2/uarJ0ZvrJ3AZx1+hBEfF9l5/yxgbQ6JmbIDHL nAZZ1eXg54LXdueCYT29Kjy0OpDCOiMg3jmAIzUVWlGOoGXIgtc19KEUQyAb5Upa3alF7oYwnTF5 Tb5uLAO4oqEx6kWezPOPNqpeQtSNl6HSSRE0bY05cU/+FXnIt7ekWTi2j1ZzgOE38Mg62KR7VAXM 4lmt71o/bFlUE/tOHLDlkd782TGLTQ4dI/xLz0QocFZX1ZHTPlJW2ZJznJzBmnoHqYCGJautt2RC oWhSzzQNVv7anNpRbUtVPNFYjfrUZ6kDV/eG3uhomk5zZHiwqOwO99lgIGBE4KBY9lsZaUjOOjUf 5NtKNRcaat1B75+GO4borfaQLpw+B+eS48qjrxIQLn96MTYVGvK2o4CcnN7WpQ/+vX23+4MODhen hdeyLKv96CayX8n0w/ef0CaVzICPJ7h+nZHSI5D5DQKHjZ6/t5qHcj3AWe75J3W7lgjaSmJ5o4gk 4VDkN58QDqGkdKPBYzusc2UlyHOUjdWCEC7ivTp5iEwRkQ6GmCdHM4BWq7G64Pl+uD3IRTzJoGvp Lra4s1UvO2LpxZwKBP6SFzneG4oEFmL4Pzlk3PBVeJPn248vnq3/u6AIiyrJGumgZbh3nLYCrl+H idqHdlGCGyI/Ex1kNuuqGNy/nqm08UJRWW870tWgZnMlzSMKClT7G2DxLiVVK24bZoPH/kF6clUO oBzZM5KiZMKQZ9bhvF7SpmMlexC1TUVUIkvsgr6zi2d0A9vsMCwIpTBHuKz1OoKzfVJwE1BCFkOd +EpxaVmTBijdSjOEPv2oETmaw17rKaceFdKmYZgTZCcZctdu06sEei6wvuz8u0qhUHosml/ibhqn LrNReSJCLkF8dyBf5tZC3hDqQfGvTdLfF3VHThC4pjPd4WxHsKwAjkmF1ZH/mb1SVBtX6Upvwgn7 Sj49GvW7QTeHdCN6pr3UsMea3AAoy3S4DGh4Q31OyP5nVmr1aYwZ3amXacbj7KckDDJSdUtWqCl2 9EEL7xabZJRBAj8UVeuXDq0RYII+OkcnV8rMddltAHXnO9p79n0rHLbR/hPPCbHAPHtxo8x6lkYN ZXN7PL+jyenGLtUA4vlCBT/OSX5CB24ndz+Uh+ZQTm2XI/fClrrviz7EH5qnALLnFK+7UX4hDOLY zKDOE5PcywHgiijEGQODef9ZQ5HgkyRbNVTfTHqP37cX1cEpQLKD27XLfgjaW9aTwkUuzfv7qHc5 gi5R+xnsfUxk208uzVMzA0wpF3ccx1LJDMTWIvfyIqnF9H0wj+HNxHh8C4V5WoqEtZRptyXeR9QI dCS0AyCUHWLnX64/JbqtyolCeAolvqG036YA5l6UbNfdSDm+CUdl8Xl7LquAwCTbKJJ8dfisG0no lodNnS6yZhH17Iwvv0+4b/gVNqXbKQ4W6ZMMKljrjPWKq6DfgCUcZ7fY6Cnnjatq6F2PzJrLsMsJ Tan9/ZeODLUYjccHiGDQ9cmu97Lyi6y4QDWTTTmn/EqX+7mORw/QpkOoiKhBWg0yXXIKYPFeQG2S Zyb0jVq2+PDvrsroqjyGrawLSM40O9GnVPNQKiB4RrHiAIlsM30D10IuQ67THmXU0g+mGfz0apXp F7VFRZUQBO2ZKHsHhV5+qdIkA18acXnbS8ggQdk5X4hBsne311yOy9y1CpA8pc5PGbBdQFBSwkZ3 WmxBOjIH5Ehazlqc/omZo30tMN4sZGx+WXw0F9NeA9i8pdYwDFoDzpOYHnyr18u5jNgiANaeG7fO Qyxk8KWS9KJCsdGborHY/gkZQlE8mxqUYYADgA5wBFVblX9MtSfGC2eOy7Uza6vdp2A3bvzhSaYL Umiqfe/U/XGyhBbuvWZY0AJMnN7RIxZPK+js8oI992zIYxnHam4aaeEMpW1C9GR85lbdl6aMxI6W gZrObGu1c/snELljGoKhNy6HjYtvcq1oImegfvtznUusX2J41xWXdsGOmX04KX3zLnEEv6xipx1T hIWbuJ9R/A/K8+bpPkp6/xmndHCMMGrfgtlHLgojqkWjkxVfSKBOhybdddLqHcl/Ve5t0FR0Ozpr sed1znpNwHkwhwsCADABbCS6HBBapcTffzS0rk8yjdMfTfzeBSkW98v6G+jAr6HoXAtpBrILUT6v bCYiK3mIyz1W5IvF+QhfVhUSfUsxuSWNJMnzmwiSQlKjkx9Tq68TADocYQGmVpMD50n33WK76QtA XoUL3tOB9biHn52WEICV3YW/SsN0gK9KR4Q2IV8AA7ApuTFZEAK7DVqpyLhpSwBvRC/1oezNpJfR d7U4VtdB1tbNQNFCn7CPs1ASEihj+EqQwQQbqdDLPKNXU3ULoA+ZHWuAgXNtEpQr5rKb24P/rltO Oc3qnLjOXBEetwWFYGbS7KrhjhWDbZK1dXaDISOnFocj2QaXA9hoTQRcEoD6Ek1xP8aVB7b/mUoN z3obw27fk9iA/wYvhuTiNF1Tc7rh/97M+bZ6184LkZMYseeSwDKMbYkYCFWZPWX8SWAcCLJS/IpY 2B+FgjX5nrw0d3eILK/bevQMEGD+CXXnD2pzsU8BevDNh4WLh2P3MfOrBLRt+37VLS6EfzrQNzvp wvyhbeme8MXumW89U+vejWkxPQr3/hSqQVm0mU6iFVXetfy3XBIShiQH82FtAy3Liguepm8WjYX2 MZseK09MYsSOk0ZZMq7v8ORsRkpm9Zbd9zXT1LRR76OxwXJiprOaXFCSwSPaU78JyBko/qq3uQAT BWEApVVhTwmqVYyc24masBHMgV/HwJfBReyHpKcOLLSJ/8MWj2C/WISb0k6zEysmx6jUEu3C1dCV 6l0/LlSwzQ4jqlfV2kx1FuGUzAMtaVhPcD3Nhkav/XumXvw5ZL7GQQV5LIv+WfD7T+9TUhXCg5FM 5I1mf4HahW3RdMqaIL+N/xgXfOnzSrpOjQjj0aHhnLIrK8xVmYFYSw2AA0noUqSDYJ1uH7P7Nhy4 hgxneRvdn+/oP919Bj8XJ8ligh9lFH1CSWkKjUTqL39nMGzmGJq4PHeTvmzw3MOKD+ynHgx6agjv 3pjEBC2HN2hG5UEHq97hQbLVk4aqT7mNYz9m4E1M/Y36wwsgH76TEhryMG2uOfyjWD+plmCeVuOT +ScuFGAjlkg+twkeRP6gRR0bzLMhrPN68VhOPChhKgAVefSRsxImwNH+Z1FC2dSZdolrj36ENf0C juGWpNTgZ9qkyH1iKU1hjX8F7cjXvmYGddMFxpOF7wwJjhZT36Y7+liB1Hz8p2JH1oznFVF21I77 PHhLkOJpR1BPLKeUA+y0F8FKyh1CAolBoQjzIfXhZXrVty3zcaS5s0khAsX70hg+iJIdXBnB2Phk 8t6k5jgqBFqjWZlfwBv+thVbOKByO/1ryiLshOceufE3WQHCzg4uP4i0htumlybqBD1Dv8S5ITRL TEJQq3D7LrQTiQJ9kYMkofJ8OTzb+vvH3SNC1j5AmWpXMap1nu6kktVLQH45mbtsBgn0qZoC4ciW TzCa/80A47IDdRt4m/k4eORyXvvs4QFkYAVOYh6WCOnznIGsqbty7u4QkhhgvPnG4EN+s1xixInC pWWXSlX7cPT7o2OFFhQkc4ZOA3SZFejvM4S8bm08G0k4n1usq87+jK8ZhVlICoJv+MCs8pmmCnEi ogEyUlTUwdlBMxm/Nau+LwpCsZjmPoAlSPa+/WTpC7T2ShPtSpWNCt3aTpKiAvRzFydJaubkrhTb LnSY0xp9MGKZUAS7jQKybop3IqbTxFcJbXSZgbEAQzGAnNRhZgFF4+w5HANb3fsBGmDQV2q4bpNQ 5Zo0UwbqjX4OCepLHHKG+S2R3yghkzn1ecvDX+7YWDUmIJ+ThqZzMqlsdEbHWphEiux24fM6L+d2 GRpymm8Oc5AooPVfUo3/NBwkqzoarmZJbTypMzy/69YarG4qe9+cZNbVShqoeR2axID1TyJUk/o6 Kz5JAJpcPNWmlzdE62nVYZhlzwy++i7qRtMwM44XIm0/bBC5rRye9NYUUPXX5uk2IsyJ2VO2xs6X TF1vp0cPzRw5EVRHQFhHwUaDq8pKyZTssrhfHyJzAAAeT7hj6ArhqfjabfjqSmOKwHAOL6inmTwt UovHkPhbWChOlrJlRrziYEqcxNMxFL1XQoT2VoCFRaSCHgn5TgG3zmEOcP3Y5ktTqxw+Jq6rps0+ GTL8I5bjxI1x2g28lzpn8UGItsk68OG3BUbEX1NrSkb0NEi6MFQQvrcdWijxsCg6dDzmZo3XxK9C STASq76l6DMtjlY2Xn+cLMofMl181AS6RXbodBhSqU8Gw5kMD2LOoJUnU5z8fQov3/J/hmWjoi1w WlIKFtLDpM2lZ8cKFrDxyaBW8jmEaKxczsSf5lUqNt3rFZkc1g7E2O0brJVZfVPtV4pUx588KBfu JyVuPlDNh2Dhbxss4Av44vebmU4tAcZYP6S3OsOsTlzeJdjNOpVhF50Zg72rA7EXw/bh7qRWi4mY qTmxjJQB+mnTFyELcYG0nMjGy/G2gAJYr3/AwicLz/l866/fXXjfBT96sAJ8V4F53itvjHjOiG0o kCqdcOfwLGHYndoPGu6tjKDow1XDnUBbAHy4AtURwzgvgQObl7ed/Fmk5S0KMzbtzT1KnQ4YzWjD trS+GNR3MeR3HjXEQRnPAf5Z/XsnAlk3K5QRjTEOhH0SsvJsP6/75B6R5VPZABHv+3m5hhHjddao 8tDk2PO2I/uVlTksXn7kk2gwEDRi2GnKycVYPJgeVEqg2eGswa6rVRE3nVWzhWiHF/VZF4RMSFFt DTMysPRlYr8RWnXTddQs5e3CI0kdOifHL+YSwRK3is2YsRBI9Mn1Ok7Vzjc0b/ve1Mdb+s7Z0A34 sIT1+x7Rc+kWn36TufCdVprC8dM3rn3eN11Y2T52zUul07vzp390Gr3lWpoK38QrCEUlIy0zOx+W dcDKVebj8AMniv6MyWeXH2x5sata1JSajWjsT9YRY08Svk/uzn4WmO5VCP1jfLIk3q9Psid8iLCz XZyskXeNFzvQyoCrlMBfOILJx9t/xGIoXOFHTMdAdpzIaFwGXGCIBRzZqgVjNaxgnZBpOsLbNaJX zxBm3tyH8Tx8A2A5NR1MbUTSS13Gt1cO0oPWGPT2AyJ7zStSYwOJGHBrNF5RrOMNrt2IzjbMFIgq YViITgYV7Op8MmVrbDDWzXncBZx/YvUVODt+evDZhvzAgxugxPR0hET9J5s7XLxKkZV4d6ycvyTw 9WpBeJdMucptLBXLPTm7zfvVWYPx+9PBtrqFkUUQbiey54WJCo3AN9RfutHX3IFiWbjXXZvFvDHh 0jGSFCuzdduQH6dh54IO75a5zc9b2NmyKjzhRQH2nviQTFEk46aJs2WZpw2eFVftcfvibzoP6mha O1j1Rs6FMJzmmAkGf+lpk1fVdBS4n9DMGKd6YapCLpQCihC3ozPOMf8YF54YuD9lc3eDFEofFWjZ w/mf0yWg8X1QSgVwbKjpdqk2EnHx4gb/Gh/JVOW1BirzV76PTWm07RWr71snJZcZh3yZkKO1BpQd wIlsGH7clniNqsLMnO6vc5Yyzqbg+42n9nzYLtsuxJUKA2wQUZGQsf+ofGQjLmlAhuwhsBsPvQ3/ rQNOffFl1tQkUzWyxHWKi3QDwMe0KeEJH8NqPFmJOUyZqquFuopjl0nwojpjejeTCgc1z3xTmRnB +IXG4RJZiRQZoIyK0ckRdOTZ9j/8TO6de9rrBSFui0SbmZod9MZ2wvQpwrQqXGy+EE7XwvXSZIFl vQdGBXQ75EY5h/LScag4CHI38dJ5nNYPdHNmUCAv6cG/uKswkHP3CzpfwJ4LR7/pc/ZCFjL92+38 oFgqyQDKQpyoaySJyCfN8BmL9TRokPtYbDbuVEui83spHywsIXMjydvqxPwkseXZUkeqf0bRlMjI lmyKKPPd11riv7/a3W7ewVgeMWJ5srDA2ndRVfcOMo1McQnzSRXhIPV0uXLtuq1LbCzLR1taIWgZ SNR4ArXpY+mM3AvmjaAT+QqNwoKZ5IFob+5yEw8zHFMKkxKhMu40vsHyJW8qpAlUAy35/T6UCpgU Q0pfi/gEZwTw6verdEcd2WjT3BC4pHqx/rw+7eO8Rj2rbx3D+AEYudoulFZXz7ggavA7PFPZ/V+2 ke1oRcUj0grYtE8T9c60Afs5buHMTZryKwspdEuTvfggN6a/JAgdbbjkWM38Wzsp6vxzkmwlMqSo SdGfSGUMgIgX6vM9dGVm1rqOD8C/UZjYRdpExNrbymUoCmvJPs/DszwQoTkzRtiLseqp6pp/qPvD 7CCZjP1qMgrqJqDE1DkufOBv27n90x0SpTa1PlB1C4ChP1KWsAuWM8OneG5/c7O+Uidsc2c7N6DA Nxjecog4DR8RZkvcXcZpegBDZQY+3ec9fDIUFnMBWPK8zK/c0yJH9pQ6aayoig/akZtkz7PM20vq g4o9U0DaUbQKud4T1VXKT3omsSy3Wo3hMHrhGGs2eAhU+M1QYbiyKPMB5197Jwqgf8EpAMapGzBl xnE4vwzyIbtJ613HNwJgIVmEyN+v0K+4EW5kY08fQAxd2KHApJBulfC7JUtbczlku1B9A3Rqi0OE gZZtsDWayw9u4HvSa3rGqLDHRJndLVlzP3XY7P0DHv/qS+E8yN/hbZk2XYFX0cpV6icJoNfX6CwL 1oAuj0GWQ9h5Gn9jhBLS0bc3IkBgqDOAh4TCj5ZRePT//5sMpvcrnfNPVr8iiHNIKvzvJcO/eUNu UGp7nv8axeL4+EATCHvSz4cQYW6YHBCbaeXNO4gY2gp6BGKFANGcJyTqPRSQKYixkV+vbUEtiNtT PR4wj0HlcIOQx2MnHnAogO0/CViSv01vBVN4qzVQUtRAhsZbtU0Eb2hGLYMrC62S5sexYEZA2Zs8 JdLhducmWoKrxcbuDD9ZuNI7Jr1tRvG4qYxBJ/MPouQTpqAoo5Dl2DlWl2XJ6bIzGtFjf6fS8Med HlVDJk7bMh2oJTXWsCXTu+wJFewr/vIPjxorlZrcuqR4Q0T48939oLJUpvEuLv4DkXvKb2S+7T7O +2JDKI2o0oCG9WvF0P8M6QK61suUdupSctDvLoZlRpx7noEojfInkRn+oYNqfYEa6Huj4wHTqoIi 02DO2L6OfhURj/afI/xFz/fKE9vln4uDQ+pL16Kuu7HWdUj5HtmPOHJCx22RW1U6gcAz0hjeDRqA RovabFeV+gQ3+baFEZANpn9FeR/zz/tQr/PRsuT5Lh0j0O49fJ4GADlYsY7iYbLZwQT/wfW2Fmnt ssgk2JLm8F8PLd1bf3aWaO/qaHXqPmaZreBv7Op9FuqSDQ3VKOVKuoLKNEet2ZHkC3GevOv4yu5F JgxPF9gCEfzlafFG8yNUDfeNIjaqugCBwi1buQJnXQ4XlrzzujDuCJrx7Q53lQiwbn+hJ1vksBYh l1EnTaPVQI7hFmQrekBU/5NITo8P8oKkoQi++/imryo8ORWVVUNhWXv/1WLl7OAUBrSnXfp/eME5 kOXfMF0yKRX66opKIoyblzYypSA8RgHr9g7sZ2vVlNBxs6PEjJTDWE0XaZaTWYVl8jM352FuGGWT CR5mDV6sNoE3EY1EKmEpCfe524QQQkfO2XBdgm75K0cZ2E3s3eBHEFJ2LjvuKX80nzKZW2vkirVD CmlstLrM3KVsKSfbCq3S7ZNZJA1vzYa3a6v3F0i+7H7Sa/qbQwmp3PqgKdHo4W+9FDjsSx6ChVuS dXBtXl4pBbIMB1rx2Fn/5FZIS6Fq3eswKFFoKfR6WaozMe5Rvgn4HaX7uQeqqkN5834NI4IqjMPh 30fEmzDsW1FqxUhEWuw0XDNbzrZ0fH7aMENRNjoCXg853DEMwVz/74bOWe0/29VTJmJOufqWg7IC WnmbIGW7CuBr1KG2CpDgvNfzQ2mrn9zqEMi1Oqb2fFlh5DIeUqf+ZDKPKhQl7yoBt+eBLB4PbXF4 nq+ctJ1rbLhhR3T0Np0XOGiwTPT89Ku9ieISbb8egKOSKkcvuUvac4M1IxU4RCfYIyWauuQbINjn JT4R1/fivH8t6d9LSaTQ0bn9Kw1Tyk09xfUvgvGZTcK+Tr7vqWC+d/WFqSO5vC3FadQssUnio7Z5 JWJof8CEDdoLoUCm4E1KTl7Ojz8QiPq/oND3G0y1vQ6DubA8mpLT672KW1enficdsC5qrktc/gMK Xoo6MXBvQA6N8hxup+Kk9c483CNQ8hS4/CBmML6FKlZDOujXc7SXLb66YEMYy+iWWSdU31w53dur 0btFlhE84+mqcrcPvr59j7wT0WpOfhnu1ZdWjY6vgM78GoGPek5eXuotHrniIqSb1D5xNAZ9NK0n 5rNZFWzIkMgDm27igZ9OJn4wUM0VDySsr0f2lCqtj2imTyeoSmhdLjl6uWKZwM4nUAEbDRZd5DHq n2J0JZ+iwNcVMJAXRqquoES5v5DQ+ylrbFDcCaIXzXTh13/lelq/xGaApWu6DtbxGtpzcTf2+UA6 4yM9rS03SVhfV39lW9svspbaOfHIWnNQY5erX3snrZRzb94mQK9fAjRq0d4uc6QIB97ecMfGx3/a V02XcKyRyExEQFMOFqgSRQtBvht/SDS84DfnldgoUFLPC74Mp8uDmFQK19I0qdDRNQFP5B9tQG2U sU3rw37fvYkhni9DzPXvaNAwfnfocdheBFeYz3btUxQeFo3XfbNJQcnzkFUo9TMD2ebDrjUofEf+ XvGn7WigDphdp35Q91LTnTXjXIyfknfuB6js9WG437uZNYbE1GKtjRAdvb/PAOELhUPrSzcalELh ubVP7czPJN/rv3HRZKyB2NvyjgSV8qPqgTBRPWNd9padWCoo6NI1hklEVdEkvsvQDIbNaNJ0/Y/e 3WxWqvO+XX+juXmukncsQObur8kIudxSQanPmCHwSjDtgJnfGfgistYixHqrBKBqNZc/1mOdlTzQ fkAAYFI/XwIP3j+bskql7SpKJOunEuMoRaJR/TlmweXLK9MeTt5jy0KWPtTYrYqmphc5dHYrKEB1 V5FUY6JgmBR7nSLBAkoL8Gmm4rloAkfcn7uDjTHz138rCpM7gVv3jbrjdHV1N/0Z6CGtuWV+6j6t t07s0NbkPpZbm0Rtwyh7JKkTdpl6+gOE3yK4oFHLNoDHKIUtzDbtgPFLAtaXbC5mccaSGkRnBvSL SbOGehqfwCg9UWEt4WDXMqH57/QSl/oZvpQxRT/z4f1ZnrY2JH2/7v2NoBpHay0NYAGuA30ucdLB o1lW/TUqYq3sdhk8tRp1BLBM6iuoc44NAKGvJGe25qPVcY80ONM3gsJxa4OabUEFbU8hc4igObrC QhAIO2xE9ZDF3Pq/RGExlWrMlL7LHV3o31xkl5AOPb0fZ9YfPb2vz7u3VAXe4vm56pm4pE/EkVq5 NXVOH08ugZ/mqP+VdrtW2LIdjmJoDrImuqW7n4sakHeG8KnB/mkS1tQqt45T7G+0wAHAu3coHM47 jWypPRdLv+6o/ka5+U1/hc2VVWaIyHNq7wqqKUoN0llHo6EzE5pBO2saznPhCNz7eVa1aLBBvbgb fiP8edTS60yuqLj0LYZpaK7c96mIrcMgN4MDYIRIYjIAaTPoR753UNaR7SdFt1CG6K8N5p9ZA8bq Py/2PO0gRrXgo3+cKDAWbU+w4gN+8lD3lizzsZ/duxL069MOL+JNCQxZhdt1uatXo89u+Kjc7Nio 3N0+1oxQrfsUwo/Ps6j7cYI7EmC+TipYjxK/eyCMG0WFbqsyAzLXVyWaaYt70jy0gobdd9dsqaBF 1/PantPczTThsde0eWz+NMvqNapLtKUXYtXsNJSdr8MT3WYTQhbRKH9SIakzrrxWTpa4FZTZeb9d gJGhiWKVnUxhQCRahrpvmsXoZ/XDYrBM+cgd3lAQ8YCm2OGmSHC7OR7hK3PJub3tG/HzaPNQjlvR DrFJ8rnLwDu5h6P4rdUv8dplHFC6B6XZNOMZ9XGPgr3Pw1L8guKJyL4uh8oKUW2JFk3FfO9VVrL8 NJrDGAadbqohgWjoW+aBKpRiDasG7JbDVZ32I+7WE1/myfm5n+0AFpiANe9iPdqnWzSTWsFbkKL0 UxOeVt92gOgVvTfsZTOIzpkk6NIav2o7uKvliVJsyHTwQ4sS5uMcTjllXgvKOtJ68zjG7ZjvFgma eF1tT5CdVt/nv8KxuWNvH2etp9qH6jXsG/Re8M8eA8oVfirsEqHUwPOymLNmiF4As7zEHzexHhzL N7abyMcJjusLqMYF8i54TblLcCbSLnJRPfrr/ASiEFc9QCNgNFQd7MUQQec6JjPj8BZlEDTz9Mq6 capY4iDForISFTbjxX0SU7lOeGmk/efcux5OGDygmghhiJpbEQ+5FKlnPI1bvfPCJY9osRMozeyb e5xijwkTZeMygL1bVHQfMKGD6fikytNVTQR1vkAbpiSMVQMgQ3pLkafADDx/h6GvGfuI27/HcSo2 KcKczuRuEMCAnCf7HS1bDwpJ0ujahDTCrAn2S7RUdeAifK7VklN9/rdDKP9mpJgwiPqq07gq4cYx NLA8gSHgAdrB577y/1Kl0uaFhFAgPMhP5ISMKIykvNlYuWF4+XJpCibYs7aKuqo+hgiFncHwHx3t +YvYu4TuMk0vDSX2k0XGUdMKPoB0uNIAh3ddkW1V/eatHRUg3ehQmXNmTMkSzAY3jvvVFFrF1QT3 L3n5bKXtdSEO+qzXNBAXM7UEeASRXsDYeWt1Yu4LJAI/eY5rBNMNU2xSYEuLefKanYeFZrox/EkP 4uxdvBoHC5w5SX0T9zdZ8xMExnwHkpyNL1TTw3FMap/84NiNpl5pnnJjtiMz/uvIGkAX9FOj+J+b 9o1UHVFyyXNFt5RarFs3q5qjFFJ9nIKr4nZKvwEPgbZTUx5f77HfjgSEJ5jsrQelv7mwvw1KOgcm 2etxldoP0JLGnZWF6L1SUWrfLtkH1z9OmciBYyB07AhCeI0J8NQ11HEUrqR8DrTCEUOmHmnAaC+T 3PXX2WWoP0N14W9Zbpc7dCkc1pVrhf70JDiJZRHu7t6RCtQEUGCjwYRuozaEmb1wSIs9cI5j4KHD lylDtmMByZ/rX0TsMEaqYSVISl24X/ZAw6CKJEBj8N/QYwnXCpX18ovobyECtW+4y/YnDx3Wf/TL wDclHM3TCCEaHdSrJ0QFhbP4Pvq/TBibeW2A3thb796v9gfIbho6xFbFjJrFyvJJlYD/VNS5guhy HWpM76zHMlyJ7sqAysDGWlCGwgiy3zPXgKrKfCAznwCdFQ2IRrNuPGOBGMFpTXKwZf7r8WeMakF/ 1fB39wb/xDjGAol4fQWtDyna2u+U9g2uWq2uSxNIQVN3lnW+Njcb9AVGD1M3DObmhgiviP//7CAg FGmgwvzuji3JaId/t1rtU72xHeqbd4e0Ov62D1yVQvXKp1VeNWCYr6osrMCmLSsuskAZMA12h7JH mXDsjV25wlkcDUpzs5W15ocaAL1y2Xg3ytw7OSl91TdLTA/mSLJjC6P9tzGRcjF0F3tH9vgvqeD/ rgKwwoxkprL2BKoMSkLwouJp6wAqC4UV3NvUQCgtKrvQm20B8dBJh2X2lLtjWLi1LPyQqD+S4srk ImSwsgGUZbb0YJBQfJL/cHLJ5EBg4g7Y8G5feh/k81p9Bs8o5QDP7wbB1LiB91yxE90oKu55UOId IqG/alGl6NqWdXBmWGFD+u9k5hh8bRZbVP6HLAfxtJ7Ka10y3P4MNLQqwK4JAMcaENa3q1sq72IL frtwYfGGiQ3fUG50vw81ub3UhLOx74SrvfOKaViRX0m043xWTWEYwsv2Xdbc8q7KGUDilnL5RkaG JraxaRtCQfjuOpGBcJD6xDgGHYPWeqG1L+d1SCi90B61SgIZTJk7Y4DReXS8bNj59GI9RJr4+F+y 2tC6YaHx66L8GEv2annhFyjhArxYfJF1lZoh6X1nPFvUYfD40+F7cnHfOM/LhVfEydca9QBclkr5 U/akMqdevqnXTRC0cs3qnXaFl2kO8eb0kxtwZOD8iZgN0dCW959J5xRvSV55Llq1GwEpQxElpybN 1uJ8D6hJE4xZXoDWBNiOjlNOemg3IUBCh0XIQuFc0Cyf8c4RwoJT+KGivQqrhhuq1bGDB/y0mHGh kAjbJWutayfJB1mv/O5ar1P92CIx2QxjLj6jbdG3iwWlg9BTHFNsnE7Jl08YzhgID4A51XqYRYix FABYp5x0m9HvzdAfqNV6TeGzvHC8JCEr0AkPNLm6YG9UV4GN16eAtOo8g+PBreuprystiM3EYHfJ E0LpnKMaViWHgJ/YoK5rWJWL3ifCgiwjolsSpBQGAEcYViKj8Vo170x0saYednQ5Wj9ijbDWGR3l rsvHcujGSwOv5tan+eE/djEV3DFrAYf2Mxd7ovIIabXlDGQfk19MW88q31amRR12NP3/oFLRu3+R 6xqg11IOpzFguGx8LNsu70/UeAeo9pm9qAEi4EukBLvIP+m1nKa1DsuN56PlPACTAs8ao+UDUYp2 vEk+kKlbt3cP0euDY4m3zzJv5YGzWF8kNf+8F6usw7fgkVlPJ6qK+kCBsP1sjE6eByTLWK3fwcD0 qLsSkeNjvL5zDd+20JVooyhUmvR6s374zXYOOWYw0JVMCyay/INZcuXavPB09v7EnOS/0jCW575i kJL1wzGVVG6S8GwMRjU8hFA8C2N1P3j0S9MwGwyX1tPLziitTGNHRAWbFlyQVkKNxQQwazPa3XEZ tUVB8xlsP6Un7nV5yi1lAj8owBHeWBUtV0lR59m0bFt8An0O2uKueVPkD5k2yw6IQ6m5nF0AHeeh xhCDrXZL8wqFdLM4urH+yez7r9eH6jYpY35+R5KI0LE35VqrLfOrf58f/fe3f8Q2voKquxffMdeZ r8CjAulvOdTJ27x3jsOBMm5hhjrbXzOLZhr8CTrXOK5P5cEPfo7CEfrX+4RUX0CpC7j+k35bdikn eIKFsp1fqPSy5M+YdQaEaYmVYQbfVVps47rsg84uTyM5bYrLIbVK7ybbCeW06wb8eGHgxqY+UQQg EyiqN6EZAJxDrl+FsrrSPUxiU9UuD1C+ylwdhAPcK8wkWs2JvO7qzRtCoh4czhMV2vRmTb9kGIM2 uZeFy4AdPp0ycZQ5hPzbGjqcAyXpcwteKwP8I7FYfe5uRkUJg3J8UFYzEEgaFwt5EBKbxKYW76+P WfIEwaJ3vVhJgkJAW7+huac+qFtu//oSDlcIx11988E527KlssOPu1sTWULOOSxPzD7/x9rCt3HX VT7uUjDpm0T+55AR9SxFT2IkudNgZpcO/TwvMd2U8cXTQCrlODtuciBq0/jrpdXCBjW8EWY+uZ3B 1R6Pml4uLYKr69KuspJ3iWjufAuR9FkS2rtpaR3h3k917tghQQjfx8h5wme0lOIVo9HFm8r1TPml uglwFPkVNKy74711AAAEH6eCm1xxBBxU9JiqxWKEkQiZ5Nd32rF/yqUc1AQSsGx9pZWdmvRaEDrE K3/GOqtRVoGM36hyGqkGhkhOpC+R7hlDYxcG/AJ5ba4bghO8emb5bBdoWeCvOurhmaObOgRjQuLC LalvtOrbGKfxcqHZSRWA6F3xOMKKaKo2AYxYO8ZQZPsbV9VhW9ZqkSQ7+vHzvn2ebHTACqQdTSAh 5FPW2CMC3i/E7pTY3njUcUAjgOI9uuz3z+9jp3Q0xlz2aODbRkyLfpkaKRVKZT0u6tml2h1cr5+L MC6ScL32a6SVGAvQw/LSr8fvbRb6DpBT6tOgVot3snNJYO+CHvOWNIvrhc/5vVS7RIwbZPf9DkeV oAWeRq8QM4n1Ui7fiNRb7UWEexCyFkCXvLrzFAmuuVt4AnAYx5hWiM+oV1/6FfbWuQ6LKeOGeO6i Of7rNwUCQx8mVRM0Pc0HPriunHDmWoMS+zltp9VDfEjOq2VvbHjiQbY+qY8r0jQJGRC8rezui642 g27YgeH78Rs1LaDPXY6zxKwoud0W9789Kk/rE5zJlYQZ8XypzN4kUJfNaL5Bwk8ryLhXjuHfSuR5 p+rRItnqunSm+Z09FLBUVW3JsFl/Fnz2ZWdDNNrgBeowAogQHiy9At9a9TWyPfJunGUX2hdE1Gdt TV03miePAOo3ZoyjaLvYpbakdFfg9zm5G9xiaQ2i5sDtdqWGkUX8Pr08al3iXdJXqy+dNyrOtL02 uDIEcQCY/UJbhyDmZdDlEf+NeqEoBCPGuDvR21nqvFBspD8f89cQ35hCFZJ6L7ccbDJliWd+iffh A5pEJSTJuHpTCpEHvE8ZxfPrxfPGnlIGwr4kqt1KoInDpK5ESJB3boSPgSI8yGc8vsDgrh/o95nA DC8DFqwJJ4L4Uj2Q0PEC+FjvVva9qgSi5+7rq4ow+ofdojIYtRnNmkYupevgutgLeAHpMRxXEC5Q zgpEPH3TdLUt+peiKJhiBHvu/cKN4Z8pRsiTuQRm0jkxfJ5d7TseiVBYDgsqx1kMtPDhh416PfoD DyTBTT0fKo/6YYNRsF6NTzGWaNKcOhY1t8a3WTHplayF+PAPx1LObLdoID2Mlh2t0QXjWVAbFf68 HGy5EUmMEhLdDVRGtZzRIUx6cHBhbSYkjzxhYUzazUfelEkIbkq9PXZpMZthIL4vlS3wpXXb0gkT WQ83Y3LqRP6mzycT9wrOzn407C+an2SWcQgBHIDi2h0SNor3FBao/fnYN5r7jxXFA9rV5uvMQIpJ hiXc+2w6f48x5q4dguCz9sX8SHKDM88cJwxkgiXLHtDuSpocFhgwykHuy+zGK5SOVQWERaN+OYmD rYvU031Yjaby3L6wZVqeuCPjFpWZ6LHr0fRkMDGnYhLhYgqjA6zKbRfSLLMb2MkHRT3T9p7IK4Hz pBKKyJv05czI5TBsSGJLg1Jju+2cdMigFXkSghRtZHSbk2V6SVby/ZNoXSJI3VZxRLGRJxrBpYJq 0lxrludsmTkK+0nOAExRqE5P+8vG9SVdlCj3qu5S3S1p1WLDxjzuKmZXsqs3v1zfxCO4V2P4MPOX bWFG5G3trn2CQzQ+IXiRy3Y4BvabAHHc8e9kmBZ6hXKB1k7xcVmEHnjRRxKXen+37ZqK/OVxtdGb i6wYW9X4LSzVto3wIQIUxCLNWP3LboNlwlLdC5XF1Oi/QJJ8lj24nAPxqU8SzwM2AbxUk4skQw1c WCRALc3CDXTQi+ATW0aaU6RosUM+gqVQLZgQ1BdeomzJWRGYRw27Wp6tO6MgkKjLsTkvKDylwwZ2 W+F2dQMnY8VChgf0dKghcrPBIBIZv4bzvE/otWphHxehkRvdSHEkwIGEpdxCjPRgTb/vxEV/csQG Q1CPs5p8rtarSZ+7If7DZsvIww0V6A6fxbHN0qf/H2ggzZvk0o7Y1T9Zn4cpxgKA2ayz3UH+gn4O NAwi+kGh7zsqNhTSvBP8HOzQn9Dix7uOCEsWUc15rA9whgZwOUziEhbqBMczn5EKOhrOGaXkq/1I uoY030CwQbnX+iqOJgCNfaI3IBM83OuqZ5JYX70DdkLBwXT5dROMs72aQYhQmb2Ss4n6MLt7wYNZ odlxFn2rbG5EqKdja6S09wxji8WBpOw+VH3JDN9yv/HDHauVpuFhOVZw99dG/Kj/Na+zzwgpxRt6 kgoSuLIxgrfJ7g0GLMNjTA8mu1J53G9W1t1tv+Jsnad/NL5P5+qb9RLZmBcduLPxkCXZv2iir5Ia v93sBnlM2FdaKD2rPe83oveI8SW0K5GLcZG9obHBpK5zbD8rLU9qWb8iWOz21kmUqSzMVa2+Iljw fX+PTlTXHHptEkn5m8TEeSc2BOS3h+clIphPHTqJ2coH0L5rLhtiRdFqjhvPiLWG42BBR0CQaQsT Hye7G596xalfg2kb9thyTeEN44bKAzcv/yKbR+dFBIKPn9TQAS61PxdnQYxrMUEBDIPb7mJHUJ+L MDo0siYQKATlFzE59+bx2/RXG0vUC403DzTq0eD9aV2Bx50/JuVZzFDHoeqdmNtda+c22vJLqra7 xlqqoXMaxGjyt/fmPTiEtczyiDAExNOekCLmLPxTu3ZH51/Xq+9HBw4VaPNNt8770MCvfgHsplUE ht5VtPLwm5sp4huM60S4SFX1aPVp9k//HP/qUJSkD4Q+S7+J6xbb2v4uhBaURRaxcrtvTvL/cW/J 6/Pt+o91K8oe38X2yk6S/iLlPGK2+3Vdu48PKw9WFRPXpa6H/riO3h9ul7g2YIOIJHbWHno0uvUU YnDt6xtLbMjHjXwcNt5M0FmnOPJHSiPEBOS2xJ2E56Grthl2bskB56Mt63/wY40V7B5xNMic0Vyj XxgeKFfp6bWqWDy2MIjI4WiWwD+Dgg5fKTJYlW7+xFEZJiNcXhSuTfJmu/VySequO20LmOBbdowW BTe0JsoIYg8crtitEPb94D9x2o4FxLlSrTrALPOGqjgZT76FKVOVJNkOFm5odTeMiyJnKlY2Ziup 2G+2/nr3PDgrsJIkiOjU/WCLB3iTssTkuk4LcThoSY52ic8J5be4HAFdek62LFndVFvKGNa1ZwfP IrLJ1Y/2g81nJrvp57CbPlU+B1ffn5Dh7oNDSkXlsHZij+QdBwwafKMKDChS/841oQx3FomiAZ1A L6yZBxIRWb7iJK/SK2vqJjSt0O+ey6M8ICt0egQfx2sNXXDsZdPbikizFOw+qs83UF1hUMXGtHGn HoyPs68u9Wd0cdlJHNhzmFBDfwbxtxvIna7pIN2IFirQyQ9KrkQVj9dsn5HSeoZiuJzvLiNHhTRC Z2DzRSzwReRk9cfk3ldlFCvQfnoXoNBW/wvyv9hb7WIBJcwVHgfxtKNo+CJ09TtkrjBV+Rdp5nDD X+t1tzQvRGT+jmP8ltmBLSc3BzLlY8QI26X7O/JTbZw9+jdPpRB3eI1OH1KlFQrC2Z0UqQ2gvevo ORoCFPJHbG5K8KlfstjSD2/+O9WXAD+Kyu4Lj0XiMe2jaEJ65LxmrFrD8h47graHv4Y3aMLybgGy rvJLyh5tQ23ZuHQKyV2z9jL/LryVkyAyvSNprGjo+6Oud0vJMuK5+Oj29i3e2V18cRSRqp73AyYH H4e4pjRRfQIX27h7eqLtLelNRm3GoftO/dN2yJ7hf9p5eNQHQQhdASPYPnMcgNj4UwKS8ZHw8gSW anqXdiWeF+poELc/1lXos3TFplpYXNkYhDXcF3wIg1+UlQUGPgAhsXJZiKUDIl/gA4TiqH7ZggqL OT+XvM05HPday9gJC4IDo9JOh7yZlvXF42OuRWN6MRQFuqBGb7fMa4/lN/rAEPPACLdxudcBbNaT cN+FH5su0RVmAXRHtxXGIDFyV+8kBlpIjCWbuia8O4E6Aj7a5ccKN/WLGX9C1EuI585jgApUXUBn 5vwtcJN6lZX7G+Suxq5bK7hwO7BFLgMbsKggl9+p1VJgFmYhPxSmgnjgGRe4q0Y+4lwT2vAzfjsZ NmzrdqPG7xsvhIVizMLGffktZXQuKshS+RWaFp/JQhesINelHf2zx4GIo84hW47WFbRuqHeALGzX gM8V0DuBOPPuivUJMv856y33KPgA3nFmwwY3N5B3OeT8Ca2f/2GzXSmqfijGDQTvI/tkF6Ormxxi lJ6EYf2hTe3sN/6w8quBFD2DzNAejO0ySiUUrSQrl3skvemBszuXV5Rbu+k+9isd2c8tNpdCcuTO GaCPqI7DadI8hRC4sWFMUw/hggO41LHB7NvP4ypJ60BIO1WCoEWy2LGzPXYV83hicaa58zyUVhnG fgvq7BW9dI39Oc+PmAEhaGKTC9yIL91BHQIFvbFSZ/cbIXZP718HhsnOns4RpcZJvvb8uJIdlUOE aJNn87kMROxnivE/453Z+BjJr2h0XgKIpbULrNe8fDiqJ2SmB7eRt9Di3qIYyIwSkKi0oMJ4anaX o7xokyO6oUkev1KVQwkC7sb/MnjFGrLFla7+24mzivAFiuMXPT5bLGcBPLLGDonD4IOkhYKyQjcn 1ytIGkD2FejaHSQpx5GCn7iVGykMC6ndnOxtDFrCv5FHy9/NH2eYMKA5USlNmHeWyF7pDKSHTTi4 a6leWDDyRqk3G/i/ZtRl8tKLu55WUtxGZRCQl8gOLxOo6eJQr/Wgiw597bTTvLjLs0b43r26pon/ wJkNiUT9TPC08t6ZOK5reU5uDGr/pUksNmcAnjOTMkcInZxMatOw6vkg0ycO4QoR6G52Wgx7kQsg C9lK60hhCz9xzkEdM6fQdhxezIZG5+w930bFTLRAIxYgK2B6HbPN5DPq9maInJ6RyoWt0uK5XBwf UuP7eyI5H9bt0alo/7xDF9FRaso8zUxj2J01znX+PiZbvfEkl+ubAkb/WXSQ4dHJpZ0qo0RXHiBA Rc2r/H0Kndy2Vb24eAYvhNeWFWvByC3QM5KfEjjED+EDJBa6dxoHJUxpY/9Nfnq5ekjeippuGkMC tOIck3bfHi1jg0O8yE3nW5siCcB78ENIRWVsRNYFgmU9WEG7LGo1dTD+fs2Atz8Yuma0zbvjB8jr +hA+8aMIidvht1JP0/vUCklQ5EM12zScTLB+Eh2FUNmJFbVuDnrF3BAJVR1fbX0rJxHNBZqJ6+tm GIz/fvqaK96TjsJUjkw/OYrrLls9kp5qE/Ynmwt1HmEBZ2xocxuYP8C1QCxSwBjtXDZWeNI5tSvO Qm8eFQA38j3BVTAnKrFvbdB5ONgvLU4d8IdRdRHnpCOjiTitLyc8s33kpYwPDZYkN6LNMsb4eU1M 6A/ICTh/K7jNihrGBPLIp/4MKZKbiFcLdWyMa/lSsfCdHlh2xbDqf0YA5Z/b4DPJgwZuKSihzL/n vuOZ8OKoJjXe317iO5iIs90bSl09KlGCjt0/l6aFHLywUE771ypLi5TDT6sXcywJPV0mL61ApzXc DRsU39uY8h5sxXzmHUjneIjl8kEUOj7Y6VoSdDSeYzNrgfAqTO+uUWTbTodAS7eczq9WVLz0HKPa 3hfj/uIn9Mp5UNqXK/CvEkssP/KLS5a7ZqCTuFBNpvuQWBIYf3oMhdCngQLwnaM5CoVDSrRmjnx+ ZM4+M91s4G6XCHpTgjk94w92+CGyLSFf3oon6Np6oDOg9EVdQ6jrdLd4OSYZgl9+aS6SebRoT3+v WwYZzEh3zD3f+wvwlz/ZpWKiFRD1hmhoh/TajFx5RgseCyInBw1kYRHb+E6UlHbp7Tl9ohK/Tgzq xx1+NvZKshp6x5z38BBVfS92ojQ1RRxtjqKCaiGbDzLkn+99ZqN7YIJlj44EcAJshMbPbfsUQG+q Y3sy1qhGRUVUUDpqRDuf5n0WQXREoTALG8uLdeu7aYxsrmHiC3HJZWvM9jbjP66RCQGKpTEijhlj QRKhcVPDpkS2mjEjoGG3G6v898df2LMsbO/XLsIuuTdXMaMc9mlQ3m3Rx97h0uBCyksyItO5wJdD VKN5Efo+5n4rXGwV6y2S8rkTzybq7B2h7T7vJDhvRrbvgJ2a0Mhu5FU6wJvx+5kTOsZa22neaEqL b84aSZlQI4iWGgmGKlKW9fBigO9M0PrOFBPX56QCZJHXAASjTW3D8M13keCBeNqAjqN0D52RbIji p+YuBg/fD3MG6sI/GY+8PM8UM3d4hX0Q/8OMlC2Gi4wVXmhBBrcqUKihNSUNAAn7GpummFXXDlUK ikSMo6JCNyi9Ga7bK02D9mxUYcO69dLCo20FYSyC7LPnO2l0gt9OY1uY9Vj65gGE2QTTWQsJKhtY AQSj5XSC2qF3gGk1PcOa7mfNL26pPpbnpj/Qfw1svDZJtR93nOQlr66uYzIKtVZFAf0W2UyqnRet Y5bNKHSJ+Rw/46fey1wLQGmyKLNH9qMxFLjoJ3yjXQJgGEOGv8q0P5mEyhEvjqfQOxyHXA4asm45 Ak1Fo1QFGp4VToSlLNOQQWlZl8/bGisWk0tRMqt/CADJghKfoSwAGVc80dRd//YUyrylGJF+bf6T c46KG0DlVJSsQO/ikz13OUYDl5z0ICrGEYh21+gsocsGjmQn4c8rzCIZ0VISiqaciRh5FiSONwFV tpHojLMB5G32SkhK8hsdBnE8NxGlC7g+YBaGFxSHPsoFoAfcOIJIHwlfF4TEANd/MFDXU4O0hXVt 4cKNlGTeVl/G1aq5IKvPprm5fEQus9bPyA6zz6wVUOfCjRTRtp/CICipmKEjhju5eF1U9ur/LSCH gFGBu4pYMiV8BbSvmad/f2V2cyB+F1dj4K6bmwuNixVmdl1OVkFm+Pjqa1/HqV9zhRUbg3jT0hDW 0gFN23rwn57itXsRNRzV94rtuOfT/e+V/tBEjPJ/67tBNX6FZMQK2//diEfnpQD5B4J6ruLa+/cT VfkHJvWJrhonHEiWCjefSL8+gZHo4wazBb6OYE5j6sZuOkf6PcOFucdnzw24FzISI5E7jKs1dwTo xhydMBPOG1msu1S2ICBZKxgoBjw4FrFGMC65lnIOAPXHscGwgmn4vRHtsmOk4ttQCEo8Iej74GG/ L5RiFHausOe1xTL2vg/fmTLWqoMA2SAGiSnoUEVbIFkYTFDYxZfMkKCBMR3Ht2c+ygpOYaZs2uWs lDURijAejW9sQidSydKPo72iwdhKVOygPKQF+FemyeAqXEEcxPZStJuBlkEHxMD6IhLSHJVmVKk+ UuWVNWuHS/imjiCFKBKfcm/qi6IXpRS3GVswZsBjlIYZUK1ucfZk7qD2N8/atKR7ZXOLaV5RmAIM ywepRsEcLiFMZdZwCVjbWDhfslMZbbawxiGkY5gveus6iUKSS/bah8QSsw4Lj6uzPryk7UfnPRY8 WgOUzlwlJ9zkcPMbYFsANaJRquZ6YKYjRuqNyzbUd4fSkSTwsvMi4Oru2IdPJ+nWuzCvJmjYBDhy 4kV6f23ggf9wNRd5Q8XHd2mP561i4Zan9LaH5ztKsdnd6tfdX6oXEH9LKF0n0F0KtLAcM+CqXNuV 4DVwq03efLHaVWV325vSdX/JAoYKKfNDZd2bFsMiw0R1t9nwoMOael4RSfn8ZWMDdVH/F1U2m2g+ x6S0aS7vZ2Rnq5NiujwzdakxiVCV7Dd4mKP5FJiQvhBFIuNsUbx7w2JJcrzoO+wjVwUXlzUHi7FJ q2IdYN3phriKFOOwC5SejWavwQO95Xjijib62DrDkO4BOdMsbNkaLRP5z9Xoq6+LPEzOZFoL82DN LPRAP3zz2273Gh+62LJQdrCKomo2vGnk7HW7aGPle3UAOGzBg8YIM33MdSj4h0I/bXyaW5ZB9+t4 hURfPONcCSZM/AomhV7ZYFvXTG39ZOiT+y9DbpVmikX6lKw23xhlyFFD3cmH8LdCJRXJu6/qx6t2 WnhI6m9HAH29Ff51mN/lsMXT3WNjBHAFbdAe8MHLAA3D1gLNvAI3DjU5r086ZF8X9gFB66tn7Fo7 OnihLynFGYkT6x7EMe5v5CLmhfBTJsBH1RGV5q9UN9PWADpTKo1GmtuxIJUMaw0yzlfFFFZqjr9S lXi5gdnrfIYaIDcPHJY1KNuGWNRwJwUTmZCHukeyFXx4DsKo0DCaqFRsAH5bm6VwU7w0bmYEqGvB Q5TJcBhs5o2u2O+7gEdV6wGjMeMnHH25u/TCqEQkJjS7GcpJ/HbMQA2jD6wl6YJ9d/DX/HkharoC gGTWr2zWETaNTRD2KUh6OITRpeUzDPpoGShlQU+iVjjZ/dYguFVu/A4fFQAWAmzSStxSbIHPGszW 23IbzehvPm/c3PJxHWW6zx3RCrkfLuK5YhBybhHnOy3qiQhoVWSSCTVF5yreIsuf9bFGrorYenw5 qqXK0rQX8BYoZUddAIUvwgDAG16bR93R7RUZXAC5WyT3lov4jLpvBQF1sjDZOM1X1hv58u+AwZ52 KEH6f1ncHuPWvNomnhIh5fRPDgFHLlqNpmeWvZh9FOSsGtmFdVkuuumke+/s1LhZNa3J+hm9x0WG tfqbPV51ODzbJjr/wO8CfUQVvcVO4BPUVRaZ7x6pJVqpAk5aEX7W1mLRLo34Khluufyi6YhanfBN rQFWuPX5r+sREmOIA9j/eTIWQXE5Ol8Eza+GXO8ys0ygyOi1iE1l+Aekp9txGVCbNkHXONRAP5DK P+xS8cVgH+iVRBnd/7hHSJkN1iy0mqdL6qG6JwmceheSaGAK4P/teHit6JaACIR2F0Swf4cTB76C +sS2Ic3ZVocsrt9U5Mg3UQ47264m9RrVzwwvw+Kp2R6e3HZsJChF/6S5TbAgc92qL192pxnLGZk4 tOhgo/uAHndswvsVl7UhazTj/w9/2OzH/3MBo4SALJ9Z2auDI3IWPqhwv1j0mQRBdkcPLbJx7SuT AxZ4JrI/t4UvmUoXLda886FlFrrHJ5Am5Kx9TKjiyH3e3ulSis+gxzqI972Cygns3YpvQdBGbmGw 5zWsFLMp1p2RzvnHrW1LM240fLag6Ad9xIEOn6T+ydlqALPEEBzPlunYyWLAqyM44IO3uV40WWR7 oarrI+Z3mLxNnNYzY/exoJsMNGvE4BUvCiqbCdEky7fIez6tQpjGEhfQHqVCK9DcPnzDzjSSKpeB 18VfQ8HYbr1EgeZka8aILnoiAYqN43B4F/vEQIhMlTdiilxPgOo58LQ0UAdnT5eSYjoJ5ESox5Um FdqZlOx89GKpdMG/7ZlQbnXyGTj28+B2E7oICAJV1V7aIFBygtjby3/J/Fp14Q/ZUU3EmW6MVn+v nMse7e7clSqSAkgR5IgOyxeq/mQ8qrEl8XHlqO/TB5rzgZ35CTnIIO54BzM4mwe03pZHWZ01Ug5y zWUEynbRw/Ta+AGDyVQqK/7eJUX5sS9lV9hIXSkU5RxSZTrfhL3T2OS3VCCwkyjKq5kozP/asomx RGvML/6VnqcW2PYGpq20m1uazKucN77DWkHzZbrT+mUBo24HXtxlm+bQvI8JHOkrFxfoVEc+nuYO VjdqYxciOmiXnNEN8/pw8sbHVeotpJI9YnVMjq8Jsaud28JDOGA+5CwrjM2Zc2sT18RpGvwi8uz3 dwas4XXC3znHF+S8Ltp9Bx98hPhuQZZCtbjNdicikyl2iskTlDXL+FbsUBWKTwR6sT/JBqV0UzX1 9qti7mRjjifiQwV4NAQUebdM+70mWGEf8TAjdD4Yi2gyhP3JwkHbTuMS86UfK71S04zl4rJTorDM h1413vINogBWKxOl3q4y1EUcdSmWBYk5Qt3GoVrABDBL93TU/TYMVk/kL6f7oiOgfrl0pNy4sg+T n/Xnh1HnkueFyUTDu/QL1ndQzzNSn481s7NQF3JtlyMSZ7VDd/wao3VQ9q04pisB9Q3cFAdMqGT+ a9ki8cxgcVJd2Q4znFUM7jCTePk1oWctpu709laUJY7QVWTWvK5yqcJq3q3QQimlfd0hHEFcmmGm 6utkv/rfX2+WVdXg759gvRAbxVIlEnnrGWQMvK3wVVsB7sATRhwJyfqjVrnWWEA+CifII461QgYF E8lKxeIRgeyDyWgxNkOJlud33Pd/gl6EAkx6qz2zT63myHF6VZUQEs+ePf3Pv784vv0Zwuo75e/h UrdiamuQAHcppGjyMrZO2EQBvO/HxrnaQER+j4t4BOk9wtMw79Uc4s1huv5BI7bu6b1g9ZVxDvKZ IAdHam6tHH7vPwaqLQfC+805dWGIra3e7AgwUS6BSu2ny2bji1PDe93EVc7Dr16Vm0YAUHU7ZzaO GLRVxuZO0pHXVj1oI2yIVYXCEVepqIZGEt419kC+7xMYjBSEtwV6hE9dYtm24yObgBtme/r3Kjc+ o1YZ9SBCKWSqcBO/jLHvJFE7evvkBKQLjY+KaYW7VWuqxjzD8Nbab5RSevp/gIPENOdXKt10pVoG AXG7B4wQmdNa+uxKMrnT03dwrsBBBjCreZ+ogmqR7b+6EQYHYvCboWPKdTpCGBfZvbpxmWB4Xxzm 2Kl+KyP1p5C9nu39CX75eAyyID8tFvQzJzAKmfKIxnMlSJ12SQ2JzHZAU/CxNM8mJhEdLRpwPTpL diDthHCY+GXRgVltwZB2rPGqJpHPxVOEnsW3ptslbWNDcDXOr2SQsIzHuU95ld5a+RuZEgHgpt/0 qmkUyFOfHPZ9+gPTuO7MI7cT5nMplaz4HBUaKWL6GoyqH0ux2FGugQcJ29WrSvLnQREGX6lDHK8J tW85/dRWM6KesWIxue5MlkC96flQanedq7d5/ibdll+bMJ4dBUMjvXBqSARlvv9vpZI+N0T83YrC xRYE4txxqOPtjOLbQO4xVfFkeuL5v6W2dago1jGOLHustvN9WVVMUvw8DebyXrytxkYYLu03dbLh NoyNBvzVRvQAPoU/BHdyyKwtl7HAeHLs8hPCJAnicp/tLntufefvMciOAoTIEkAIGJ5mIsE3j9YI y20lAFxL6XWCNlp8QrK9ckPFpzseQCklrhLDe7tDglkGMOicdA1ZHaUGLpWQZAezJ477ZwwuMqRY 9GgCPuhUHrUWLYwnMJaDnROQU9SzHbZnKxPzzaUxaZI/qHCv3cPhoUaZrrtDphuSOrYywCZDqYe3 af/Kdf7UGCaJtWk8SEAmIaX5eLTnnUa8WPUwyQtwxPpuThkuBusRan63R8BC1W6kmU/kMLqd725N dRE/s61urszqyMsx7sq9jWWevFpP+fifqGg6Z/SoV3jFMn8rtcMROD2CjFwyw1k5hN0Bzi0aM5vC ZDTreZyO9vxXg+5FdvSkQlcBbu0cl3HtedjdZ6fXEnwQ5ab/OPcRotSUA6DyiWFORWo6Za77a+fh MtuwkAV+FwCJHQ6yFqpsmeMmbgX6DoF0qhlvCTggMxbhwx1qEnblVwuyTZr1Uur1l8XOISQDfPtS mZaZOTqPnL509z83sPFRxrTHiMyeLzKMgzrIQi3Dh5Jf8sdtfaX9SQUfl4TFK4weYpu0UHcuG60l nPij7tlcLHyFeNllahhTOk9F68JGIYuTrwEE63ehBn25oA7SFP8fuaOgeL0aro98iGhPnCUPLpRT iECgzS755oJ3Ar4LzVp2fgXFix1zgOgHJtMnAiagYs9YQeJZjXu61Cs5jTAKAMZeufInSKUNqv8n PUWlyH8cZC6zoTZ7XHHDCa8dQRxl/0AzfPCXGerrv/d+u3oEYw0/L+l/FaNVKb9b+ATlxDm1MjTv Ri6e6P8MPKqw5MmfAsKLidt7IJY9M1nAx9SYB1ehnf97bevICynYCYhlk5SXAMqBH8Uen2wlXMOQ qr73fqi8ivI7QjE5UHZOJwNRoAxRgotmwLtSH1+5MP3cUJxnXOHKChlPfPFMR8ohyeSEIKj/UYWz +M/1eg73BoQMJXXY3uNYlzjGxBawbCuILwgVL0zWvZ5kTFntf1Z1I1X5O7DZXYQFrMrRXDtlcX3E 87F0VcCWg9TufdfjjkZdhmWV4xfJ3W5EKags2bob9sjqVINvDkoBe3fHO6/UMOS5X6Cw+FJCn/YN y0iMGcdAzXZHyeJhpWCcm7wipf7m+tF59YVy3+hp9R12kZSzt9qFudPpmyLK00lshAqFxUmJZ3Cp Wq0gs2sHDBehyZsl4cJQnb3DKnWNRCIz8cI81gpZInVKwIzVPF/caVL+P2FRkccM+aebZRTAUrAt SygbxwtJvFZuHTERbFE2qm9UdrEYVWQnmp8V+DRtioQMrqOeSvro/dy431Futok280YWKCLJDb8+ 4Wp5PouPZYXLWuNJhhQ2dN+LWCuWLikvRQydbKgDBL+Fx90gRPtcrLFiKTLg1OGt1+NB5/Mze2QU 9YfzG+jCZqqE6oqhhPwXlZRMB3ioBIJKcM9nFRk6G1b2nEx+6MdXykA0sP+wTY4X1mc4RRfcp0xX Wv6Ga6DApT8fpbfLS/R/9ecqNuzBlQSPa1MXQreOtrcgwQgPSsOLpHTOKh0odXQwzkbdyvHQbkoa ysXF+jo5JC558WBF0cqG++I3asEj4iz3j33yAMjKUcGGz+ct6gSPYh5u4W4I5TF8RCsbuFKieByB PiVQv70/KtbEhB2txc7+uhcQISH5iQ04w3gfYnCnBnXy4InfkPZ/vO32jBzU399OO+HOZ+bjpNjp Byaj9NBU08mjp3GFU8NNNGb9QOM7cxnrEZElkrMKLrM9yTyeSDq8zcGMtPPsQ/pnym3RfLd+xLOo I1Nfpsn/ixb4PNUKvC4frt02efgIiokpgrF4edhbwzSpDv5RLGkHo7oCw5vxrTn0OS5bBxxKQzfp MLypHejQ+7vTQdmb/jI17/9QeRU5OlXjdRXUfuKqpQZ4QciFqRP1iFI2WBrOCqTPAucwlssDkot+ rPc51mjC9QWhKcT29cTI4adg+JV26XYDzx9QSMuPMu6yIKWcVo2ZrNui8Nwk3/gGZAMHtKOceaM4 1BmCWBHIPhiBZIDVvpCelW89lQeXgUzu95CSAWyikcuomK/UKfmHIsIVKYMWiu/LtWRRwWIHS0ss 5lfNWGTNpGvPogeplETMbr2ds43/Nf75N9zZ79IL9+1pPAE6Eqk8Bz5MRad/5fVS6nSKTL0KxNTu irHnjln2Zow7DCkoKjhEkvr1t1zdKzSI2ndAYoWegRAIae4eN1SFHp6vcCN3GY4lU/I7/NYeOPwl zJjP2w+qW+o7Bh7gO/81VyeaNGNdkOixUCAmxI4GxraSCJjyKn2jS8dqcqsVxvRFs7EpTymdbaBH YNV4ExiHu+OoS7TkHbwiYoJFN0I9xQ4QutI8taMIT5FYrTykeOVA8aDBVNonjxBnb+AzsQPJwZ6r 1uuHD/mdpTMDFoy8FHOChDxtGy5M+TBALdhJsz4EftQj2YuQUZ+d4F4mblVNGRpydc0LertMCsU5 iUyCr0VdAOSJAsO+YiMJgwXFLqOq8ml/HFi+QnA6nzOOb1XYMsmfZPmKPCGhuobbJNU2gFhjvHXt 8dRYj1TuTfaICpImEgc1WVxryife1AKIuKm79IxoGgOGhI+yWOoEdUxCBrsYcCo8D5Ycf12zwzFc xGkKC9/ZbjRv64KukPzfryrSJ7CyTJSeQSC/jm9Ob8SkSRtqJ7mRRh/7XwV5t/B970cjurWDmwui QwB4QiLec9o4TkxgHrM6m8lWa1ML839DRg4SR9zhj7oyZHqGJ7lWrlRI4t5/lBqJg6lIpnYxrtFv itUTEDD8GjdOKQgIU1ncYv7d+nzcs42AbzI0aU66w8+apNof+CnQumM0Wojqy96zOlNp6FtgmeU9 3trv4dMHvUuz2Qk3WtEg/3o5DiQodgUwt3PIR0vwCnOqwAe+vbde8LfwC4EywGGOO0p+qh90nAYB mOaTvGyL5E2REHbAnHaNXWuGSYbGli2dhPjgEBatIjRlqU2R7N+0DwXdNnNkkwXXaW1vLpwXvbIG HKRc9bVPK64c92auGPwTVQTyPBJahHAj5owG5jGBcov0jPu3jQqs363YT/dTZSzoBZlpMmSb0mol pCQvSgXPEL5cxhDW66eFm8JlUHtHZUfddZN7FpHgHE2bhyouNJvsU2peqjFgLPrmIvgbnXkVjtEy /8PWi1luQbPpkxMCPyHdgVI3UIuHdFGHqWOf/NNuS9cxTYIkO2zXQj7lhSFXd8u7hvNEVFyXq/kC Cx0Q4U3S2ZCdKqZNZTg9kC0rds+P7f+nqTAkf3eeDqJLeXVeOzGYGB2Xhv/nb+mXo2oicFL6sUut 2r+Bxly+ietgDgWgisyMjKGvBqNR+npds4kNhDG3zyMoSzVUzJq6Gt8aAhT54ogU75R/zzPKK1oq y9XR72j9DmKUGNfaoxer37W8k3PX41ravc9TJ1nrN2GfQa+oG5ostcIKidPBZ11ZO9yFlsGfGpIi 8b2tzdupKmeIojTPHg9OsGiIK04dM72l56eLfOVF4zCAk5n0zNXeE87jQLwweNhSvBn6qouoN2J6 Zt9qSvC6Mm7X+qRXjyRwNUmK6mwaoNDqFePTNaezPjJsGo1yv0Qk6XVzP4TC88axn+GLQk2sVhpF XJ9tcS3laZcAH/GMaOJAzMWVdQ+Aftvfu+hZd5qxhiaHGctmY0CqrdBbBJh7hrf2mxw6joyDqOZw S6QJXIRQRpZtHnwvOQUI092a/QGdPByoattXyQjFSF37XTEnGoirWAXqvK+H81maFLSDa3H7+eIa io/A1E6tECaYw6rvZrU5gPM4YoWRKCU9A69acMVufL9hTvcj/mdA392H9i/A/esB8mdhMXS40UpV ziVgcwPO8Rn41pht8XQ9FP2sKsGxQZvCkrO/83yfndxxIR6GXz2/+7B+PIEPCXmrjspcosRvIi2M qvY2smPuW+CoM2DvP8wtvWRxNtosf1senbTP3lpQPrTeCCNPi0T+zHBIPZ09w0LOj97J3h7wAwxE S/LjIpVmulc+ydv48oPUPjZ46U3cWyHKdnakMtCRjTySaCOaVi6aPAoZT6q1W+nSAMK32Bwskrhn bVHBnoo9yVrPaaCMYFTeXq2ykASz81s1/wvIwZhz+YqvLVyHnUsEmLltrr1alwTIZi8alXqqXTYf kmKLZeUTbjOK51faT2Pa0mEH/hft+4QfuXlpmU6FXXP1sPVPp4Psk1By0HsciyTwMIq9fZgFN3TF YSy3clfKkKanQowlhBmSWfYpSFQL4o451so9wCB5LwtqXezM4tb0RMakPVO+1Nl/Cp4+FlM8zHWQ 3z/0W79koXKP3W6jLUnbkTaANeGjHbaDTADnOyE6iuEL3RNlKMW7RSymAcKyrLwEzIxwp9Xj8cdB XzHKmLUxydRDfuI+dC7gXZBfXHmV4j5fC5RYJy2PbUR5APVHk5I2gAiP5v1ANmMwJUpF7Ph30EdN 8P0GeH/zZTjz5uirqWSYXWD42PPfLq0jxtXgLtzW32KX3fqOqbs1gFmWnWy0vsK1X80BtP5dwSx0 VIkShWhIuDGxbOM+q96GrzCNkPVghEaGWclgvF2Zma4oMrILNnqKfQmGdZsUdD2uWuaFhDOozU2n T2o33Jd90L1byzhBfIVRCJsW5oBIl3BLCo6UgCB5SmVNVaaslqBAg7ZXe+i2mbpwf801bZNtv7Y9 WwKNibi4NCDgu5Hna6Bdc9Wo07coxiRunwToOQ07kWJj89mt8ln8CeU5b8Di/7QNVSIhuBO+ag9e aomHHd0gy53rzd7Xb6Ngy2xslwQwagfCkaHJjHtHaxXhsS4iNVoBXCnqDChRmIohJvsIqT9K0y8o q4jfG9hglo4a/Go1VluIMqSTp6G0D8CGp67V9Ff5B38cWorN81KWLbOG7L2vb98H3iPL2HDK+gtH iv/UHT8F/UbxH1/RRddW0rZv3x2XVAksD5yVk3CbunybpebO19UVMkXgeMvACLFd9f8uKZIQf3Ss 2wt67+mqO905RP/gKMzJpJRp5Eve4MLeRve2mumH3DwwjlKm6etGR3l9LXqC/2HR/OoGIAlimUA8 4NjQXCNQyjeYYGbKbyjJMf1hBYp6omvrLq2+RaYL6gkLgWwHOStzXjmmrE3OVTyDQKmaQT+JnwoX 4Q5/b4uLd9k/NEHX9vrKn+Oe/bDehm0ks1z84tCgoljPX0tn77USn8+PLU2mb0Xn72Ge1/HoWoIS nakS78sY6mMPA8jJSy8GBRtoOt/5ctji5rbt1wH+e7s47UMHN8WpUxJzNBtp64C+3gxDU+ah2oqe lX26eDDt1LXtza9sIbk6nzI9PDC5XrMPHV8zmoJuknvCKnOu4rpmkqK8Dj5lzbPx+KZaJ0iFzuyL 5BWdg1tuq+l1OsKRSnNmsv2DTDjnq6ezQFe55UYM34iWjFcSE+Gun2bcc00Oar8crrubMvS9Tyd3 hO481BgcehDY/hlc7hyLjRs+glxQK2Znaj7Zat4eiPYMAZpzg+X9RdHbvivxByGEV1gjy5/8M2dZ CP764JIMv5hNoq8oCXgGdk18q1UxJGYHWj8QhvMXZ2aEZP+rLUZSzWq31GyJTMU1Rkmu3BWivzBo Xzp+BqmUEzR6gBYoUVXOPZ8O5MFKBuphwMg7alkZcMgSQs6Xt7z3s4ogsYJE5HHh1hNfLtzxtTS8 CsDI1Uj1EH9hQhnhDTzGPcUquhW1Y4fiskvbDFJTNj3IvksozH9H4xr+CJUvi3CP7LeA6AOUoFmM KoUjAn//AcgTiQoJTgY2ws+z6LU5XZ+MyGFbm91n6gEnVSLSe1Izrblz6A4EzsKJAs+tO5yKOAQ9 d0VcaoKLjpL13vO4t3SAWK4eNgSl5lN/W/75SFg5nt0wExq6DUSjMdGpTjfXsKaqIB9eYd/f1AYR n4JsbdbTnbviBZEiVfX4bUb2S3QEXqN1JvQr58S9nmd8vbVzImZjs+yEXnhjg5LeO/Nfqk0hqzOb JvWmHng/IwmAptXt9CXumLsM8lpxzRv1+S3upUKs4AS5+LjF92Dy8Lhysl1ayfgWI28aI7Mor7iI 9Za+db8GQ7hW2zUVb0xcCjLBSzlPRKK80PiUgavhmv3k9ChG+9BBzKJWuRhD4lNXQsRCh12A6GBF thjHkqmoYMpOsC3O47j3Y+Z0XDpwt/CxaD/Jo922oWAH7xY0M9PU4nBPxm5TiGsUadNwAPnuMuXR 7MWpmAck6GUuWElZ0NPpFoMqVxfnxOzwl41u1qtmaU+xabrTerx0Uam8RICyzhBR7pvN3dCnLGIV R8Fa4CVpMXBYZL9VdCGr7ibQI0rrRiY4PRgljyRbLuw+1sUse62jzDUOMMb7VmI0pi5fp1bkwvCP QO1ed91Lksmhou49G82YtlaT9XbHrPf99xpHOick+0VHwxwmemF7yyzigzKAwCOZH5bfE4SqRl0A 0ksFi4bscN5mfoTJHXqveOPr05efYSs2x9E7nZAVBjYTQ3c+iN3PxO91oqB9B9CYk0a0kq8KoWEl B7jEhV6qQjCy7H6OKZexrsrjco7flBN7kA10Z13B1Utwh0F/4BavFHllwCDO1sj1tEAlUQt6ljN/ F7FoQop7qGN0LxbKT43fMPPJROaiJZxJHKC55RpYJTEPWp3Cc1zJ1gwg7vrfbtfD7BBs5bDCEGi7 Icw+Kq2IVPx3R3W5vN/KybeWdfJovogVQixTlBbPbrsEWATlOzoDOT3Iem6sfFquRGSeG6+rzg7C DH9dbT9liw/2HIUgVsaYFioBXfR7ad/1qwff+MsITsybnMaKd+NxT94VqJ07En44vr0fOkWH4GRa e9JVV5Pg9LlsGw1XYE6AO2Y/1KF9OaCMRmtiLNlFClAdtIJKBs2Bmr7D/LuJvJFxcZ7XNSMbbgyV tXgczXixZLpDA/SL+2YaYPupGacSO2EoGqbA7OidyuwP+tFPNAQeOu/cwzFEKaTpyZQG5NQMDro2 CkVHu9nWE7tJsoeCxMAos0F//pHfjbc5XlMrW8wMeqzNbPDr95waDpr6vsnVc8CDvATvjX+3zqVh nFmRUG41gTTVsyn6oLuch8JWcYL8Ct/AlFhtEl8QarCek02NVlcXS9JrAq8jODn4XnwYJJZOcD+2 YLHZ1X2czRegvcQhhvP2PrYuTJjxUso1Ww77+EMl3EXaNXgymYbQcKNSVdkjcDuFBQY/BMGy+IsQ Iao20ZNsgQedmpFkGz60KGV9vwrtmjJ6wWF8X5bAFs/tyt5pZdfhEc/m2arquW7IAhIZPIYnGJNW FHRJXdT1nIKSlqil7GPz9HyVZTbkAHO7merd0QDkTzpguQW97EbRSdJwdjndvwo0JPIyvGqOTuDO JRGhDNwMo9a/AGdQB0fg4lDmq/15gY8Y5FdswoB4Wdrf4rSNZMtuXGVmaliA3B+2dDXiwv6soy9b Yt5HqfMMbNn7GhMd66drA51b0Zdpy9bVGl/EWVEhcIXZ0pAyKtxmI6BTHSOY26RZTnsAtiZ5aMoQ R5WpPeZhthTfEPJLXsnQY4j2q0BUtuhri1ct/klVSoC/D2TxmG4Yn7QMBrWi8zBRYiEjSeBXvKo0 dVtcpjv+xV9PrCUm3jSRTAbtdrkhzj9P+S5TsIHINj29SdRTzMfSt4VhAEA8N00p4mjbBGher76Q 5Rho5QeHdmDD9AJFuAO+TVBxeT2ExEOPgrBPmF+Q1W2OHahIn5noqkAuqNGswQYBiz0sz+T6Oamu rV26Gi3fC/dbQ06fJZDbHI1aNiOw2YYuSCMbfaR2amrOwPnlj0oz4o+mgFjm5WgbA4KqU1JF/Qkw jADE9A+iGmgIOny8EhU3Pjk8wbsdfEFNdbgdPrX/OR0SUtAK3ouNthLgwf+XypG2xd2McYpxMQnl RVGSMFh7THA55hEmKEnnncQQEPnjom8BvAsnmO3F3gMxPfwf4bGAC3ZPi19oLCHA75Re0QtiZ3cB dugOXK3ItyHJmS9ZauMaQuWHezcAWd3DYehIBsKsEINBpVTn57RFItQU459tya6zsTiEeFg34Yyw oyPW7aMMy46WnPX5/vdt9ZWfmsT6ya6EyKyndQf3jZPluVQ/gnSy5dh20LHN3YjsPbJuBTrx/J2n b2Vs0hstzLK73AS1GT6Yu4CiRP+GnpJGLtqJpk9UuLsTiNzOCHcG9jbbUX1uBkq3IOPYQuta5mgG RQSaf8oeSBt7mFo25girw+leoSdF1CupJL5YBVl6LfY97b6ClkECmqatL3IZzOM0x951z9JTC/i6 mjSPJer60dn+nKnyQ1wSx1pRA4HbEjnNkcKtrP9hpyXW3MHZkj9U3s+B78sq7p+4pRuEcBpaewyK pQIwqd99/fqQxAsopf41xyCY2EXtxceWFLt9jI10X9UuiT8Pr+rX63MX2gBAgnBPS0WPeS3qAmiD YOx+ZwuxLVf3ImmJHMtVPrcSqOMfpf6YGGyAiBhWomTQlc73CO9CSRh7GNFyS/XKQx/nkmtO/P1a ygA+5H94ihC2NQq+Qy5rzzQXEFnACeyYjy93DA7NGamVr3DmbJEnPQPHnXAa/0i12Yhi9x4B9ryY pWa/yQK4rR0UDJHdkngapJLz8G3s2LhI0PJiBF3jpGH6zXB9iestN9KvcXK0cHssRUA2avJmvTrd drLWTOnskGlrR3vs0zkoyaeEo5mBD8xclgWMIgSm6ytKFYPHrqJTzZcydvIQiBvBi3rIXDpwNja0 u4sqV6qsePF2icqF/G6JHo4Vbv9J/ypo/dp0lm/2ojmjSB14fDBbKP7Modv3bV8t7tlumGKj8nPs wAbBtWRUdy/jZzjEpu/panHgWElEiwqhKVAIe6m1CUsyFU/In9MskLXfv02F9AP/2MtqPPRfQoah CwWPQih6xn6n4qoin1is9wMhUT14UuVfLYWSH2T3MgoM540m2q5bqs5n3Eq6G1EMYHJXfk9300+J EOc28OnvAeUYZnto/mSrS62PfmerefstnspFM5BAFU9aDMOpb6gyqtL/O2X+YDwzVkLLFCfGwN2u bN9VXYy8II/OHz1VSggOQn9rPDkCpPqw8uoT6chaF8tPsSFnbXE0MR96EzPOl2DPxs/mbFW0ONV1 Ya4C+Ak5CoVzP+H6TGCbAv0o3Z/3dLrm9leHwnaT8mkgtQEwyUeBLsvhi07f1gufeHukuJUGVW0C yYnOYeON87+FaSUoq4BHdyQYYC+rQcJ0bs/C9aOAKHmDueCZ2O7fcADi+8ki4+EuSUhy6P4n3Ote nGIQqmR8YaJhC0EHZV7g6BZMKgo0P1fiOfyPPeUzkTZqMBDeVIwSCWLliI4+OJ2aCJTIyc/GAF3s YDIRMN26O6BGcYFRlbxdbhg7f7HBk+LpuuoO6v+YZly83Pf1MYV4a0DXmdOfNvci/mLdV1+HfN8+ QFvoUrzg7w/myrtK7EGN760BWiajmwjx+7XhZqkq7nfegaFIZ56QeM6X3hPPRZUmBBLGEO3VpUxz 2nYLHS5a+O6jBPvyuceEIv9AgeYgGwXXssWA6w1jYS1e6yo03wKmEvTxb72RPwlYbdTgMu0snPeY caXV/iaCsL09Jjss35XVxgXgP/r68VZr/wMNejJPy2tgENeHu0y0G7p1DwQ8G3E9grLV6/6fp88u OcD44o4L+N7h319BYoRauPtRpmX1ZDn2ap9LD6PYrGZqylKPGAjRA8IXzcHn4m8S4CMIPO0UOj69 kk09O/EHtjC0DXGcPaadZCT/AUjr+nye0ndrDFwMWq5MteFfmELcKpNK6E5HnVwoYgj9AbnA00s8 1CseECnFwPRwUn10HyD3hkxv8k8khtJBcEInIKNZpND9YFDpSd/rzRivRCPWPtMemLaSrly+4Zct 5341Ink1BN8Jo5A9+K/0v6qCnkiwjLI3IJ7xupJNe6DoXhxaRsxmIQSBVfTh4CQoKz2jbCC+zzTM KKCx5KpMV8TZVxoALzWp9V+WQyYYBmfJgEjKl7pwSzVIvXBqtShyO36zbbhrCLX8t9TYlgmSvBsQ bXGhCrw1vlEpRkFMPHS9wTzHXrJniPlZTlbnDipSUf7I3NJEQ4pi2TGipWmI+5Hl7Vm6Vp3yyO6e EEimiPYHcFov2CqoG5W9Yl0VJRQXxJkmD5majtJQljU1LPrlkY1Nc+dWGAXw/Uddd6tG73eaiAQg qSFHlOGYf4RDDgMB/wof7bFUdcXHX72EQvOZKzKw07qQmxwXcDfkrwX1pct1GR5sUtf3Cqe5P2oD Gv14HX079PL24uyOnvzohNXK1+NLGeo7Zx683HN8DhHfAzA3mdz8iSWCzE0z4U3PME3lAIrev542 t+EGzCj+TNTAvpTJxTFlyiXdL3alC9WtgxKCt7Y2AyNrsXGhZom3jYuC4lhBXT9vD5RFY53tSfT9 6aQVPrjEEaXr99bk9SmP9hWqNH3bUyEQ7z2UizsLOjS9kovyi4wnKx2vfZ2ft8VA1GDDwk0/ScoX 0NiwvGyt/dh3TGRitUhWl9MYbURypvYCRUMA2IJhE0M+MPbwUCYY4+DjilgVwoVqOc4py3syWa/e k+bSJpXBuqBC3G6fa+nlerCL1+DLIoGzkWm/G9ZzytALxilR3aNHC0q3TBjd2gjmKrRWOGgC73/7 ioD4VY+NrVe8YP6WMSssZ2QLnAu18IdvueIm3uKr95xqhvp5K8VoryMgkMx4caoiXIe1rSVwRnaV H3lWL/pVjt1h0VmLb5cSiSTHSjvQeR1dZ1J62m7BAcBdGJSKAWR6bPUHqCqFAAw4Lfub6RsYHgRj m+Ffhib3VnnRyWjlXwBPB1gJtq/ExT8UFgDVB/qgOXb7DhL6Ktqr19g9WEvBDP+ezC/GngeRLTD1 WEtaZi9HVoB9OfMLrN2hweyG39Q1clMCGodCdl3w0qXGetRnP/D4aCtgM9umnqn7u4KUwB9Wxw6S ffAXb/P4z92jT/5XOZtQRLrV1PvB2C0dO+RP+P6PJ/LJAEsKVjlLspX4xgZiz2NTWOouWch8lHEl wapmk8HMiv7YiB39JlOrI70McJE6OUh3PjHUJll3WHdc2iCZYEh5WhHbnwAmkSOIJ73Mt+e/EYws rRPNCN7DFjDV+CN0LQ3AhXvqE16fPL7FCMAcnWRRDFZwVG6Yz4JEKmbxrG83uYgJ4bepzpAhNR2a 7+738qsbXwB/nQy1JXgq5z9yiOyVxhOrGahyNkLAVxcB9z0v6m/zLixpjSdR/TM1J79tRprnBxPy nT+3gDcE3/I2aBmD2gEbPtzHZNCV9k7fTIO/dIWp2bK03iEADJi9eeGtNV/ziMiPyZanYRXyWzWG I/tsmzhRA37icPoS14iZoI49Bg/nQiTHZzTM72IiFzCjx09M3nBIxVTV8DHckcQXnWaw2GrNEwio XYly7/FlM/xxN5vfgIJBhSXA16bhqtozvSwlOGeZn93Ku57dBvLDbiGN3036Op7Q/VgZYLjuk+rd CK0AAzAAGXqmt2c/QUZHfoqlkr/UMZnM5uTcfs2dhgIaIdt1w01fdUXUYTyWxNHfvt6GbtYDj4LP pliEovc8MJkIzf8jWyZnqBaiYZ1FNotO25/AblgsiVPpNnEQ/Ua4lOKneH0pjCd8sf4QgplbSPCW E3IgODguJ2BBEx85XevNrM35Wrq2vgOFMRipRlk47kng1SyPDYwlp6r+YjJPwbpZKITllAhPCEcs Cmi0+NKUH0J7BgV2PqwGLka6AGGIP3OQtfn3HX2FNPM3ZsJC5/IBEz88k/nEqijns6tB80XflUGC gUyZcQg2P58RHnjfNV8wvadtrmQCFMDHvDQIB7Sg6mgHv56equPFTWfHypzf0i0f5h+Y1V19CBph 1WAFlBjJLe8ojhP7/7oLSATEkdgn0KA2hHUFpfafM7KrZVwLKChd7cI1Bp9p2r3WM9HS6g5DcB+A U3GH8sRqMe7PCMRI1p/w/mYHk6kiLXkosCHC6u8wg6Ld9iPWUozG2DduYBZnznAsFfBuFDvX85BH HfZetSmd8Wkbgq+ZU6Kem88jlRDN9D2Dib7gL6Ey9zhdGKHkcUrHIxFEagLbx3V2E/PTtzOzDSkX iDbGztAsvdwr1PPQwMB5NlPQCs51mpBbs4cctrHu8kzWuua+g+AndARixRfejNuFkdwyjxm/KsQ3 QZEmo9FPgpsxpHAFeNt14b0NsTOfgNd/ax61fID3tJkt2wzLOQfo4Fmq/TeEgOz51f0ygme3diYs LnJSYF22dyB4v/lFHO9LpxVDMhgWQ+hMexWhzRO1hkPMEF8HWUtvO3ablSp5wyvdygA2E2H4NqNM nqq/c4l2av6KWK6LhcPRDogB/Obu0lucODGEXjoZI0Oiab4T/xK8QDjCvkF8sjLcIDQp9i87ss2r YTTpYovZkE/hsQFXpv4BDs1/TKBLMBcKrFMuiqzZcS/9jcYgFHRHnZ3zbpDPpNVJcSjllvlHIyuv GaIjWQkFXHhorEKHBWnvUgtq3MtwdYPpqI8qWZk7+FzUCu3TL4ntMp/cdsQTcxw0/ZLUHQhJaS9t lxPeUYIyN5Ta3UtKOtOnRY4FFkD7f1GtllsuX8w6s2qP15luA56RVesF9JQ/AYAMVEKh3zAy4mwU 121YPTjZ2ymw6y9ZEpagQEw1FQQ1PvYSv6v8h01yvMMl+VcXbGKkirxtfLUQ3PZTTfrGZBa+ShdE rGNLx8Hl1BN/tkIzyJXRGUlMzokF6ik/RwKs4/uBMNaFvWy1w1a9J++xBGeftqpvCrrMGB++whRz DYLuBc8PTumBn+stHUtkmo24qT0LaS1X7hIdb9QOkkmq0IvaYre310TjT8/0Ch0dLBhymYFJxlay qPlAAQSa66Ixfr0PiNCYmeV95yN9nuTINw8NAAokjr0Nh/GJdG3f6RCgvNDog/zE6YNXSTRYgO9D sCSK4+ZuWA7nnEdfQpnaF2TJdQj7IfvbJ3UB2WyK/22TuyT7U9Wq501rHpon+DGXrEBC7vPZpKL7 OkBuYyZk8a4aA+9E7HS+VUBGJvdU6wP93aLpyAO90mvtEJvQFHsXYAnIQ7U4AmlFdrK4AJ2uIFKF RWZSIiJwKaaPR1Pr+LEFcqCz3F0FeS5aiFdjbY840iZFbtnME5bzWQP3e/Ne9+clCLjEJeBXrK+j 5EJF0SFRtX32ZOPm9rlCkxbzlMh6wcLrxY6bSq8JXpcRbfYfdBXGyU4Xq5T880OuRVkN4g23uZsD ILWE95LsASmkzYIhJlV3SKxggaqdEp036a7JdTcnNyFVqIu7FHh2fTXYOZvgQLhchhaxOd6pkw+6 EwZU8KuGcYv2nK91xGLReTnV5RRAtjULUAxoD7nQLbIGCWSePZz6d3f8tchq1ZuS5CryVL7ODJuZ WlS7oZ0XN5lYlaifflpTqNdVH+T9g8f8edHrWDf+V7EUMbVRvhS0yBSoILoi/C24JDQsVNJANvUg TMb/nGrHnN5+EebYPdK11sW0qWpJem6tYkjdcdMl+HEtL4Si5JXfpRcfmJgEDWUEbrx1drj2i+a7 qUHfkZwvNZd8CYTnGA0k70IkDb5ltaQoN1E2gt2GgHPxLQOQBcuRsSABkTX9qEWdEvQEnLWMObQe jKRUpQ4HAvYszxFXl1bzgDIco5m5V3rIkAjajuTjEs3bkNHzHK5YjQ/8pIMZe03VYz8v9N2OHYTU Dh9Z0jTA4CNRWn+sE0WVAXU38THnGs/GNInNUHBMpqKIS41dYHqjmMcj//lglTc2aCpFAlaGgA3V noeALzAdvq/S7SqxBis5pz+bA+oxJSNzFd+D6Y0+xjVedsrrtT/POTV/Ehl6Pi8jzD5TZ1U5q4fp JqIuCxQpJ5r1IgRb8XqKadFlXnJ5G6xDM/7OXsD98Jq67kGvHeuXUraaK3t3if/0R/wJIW4IMdzL /0DzfOy1GPY+fTqEE4fzI+whwJL7BgyoNLcsC3biQNQdVdOt/wAXO+LCNAsMQKWsUB/4VrelIm8+ fXrMTjvVqu6m5YzS5OtjHFfmcdI4Yj3Bf3Di4YjypNN7EB8J1zk34qQBYNSuUz35MfRV8Os+Pc5t P107/uK6w57O1+I2aZf+SZz0T1AbrvsoVXJrpEAbsNLftitxHnqom1xXx/9AAjWvlFBpupQojDWw kg2+PrMo5LTDwxQ9LIOATtD0sjbjVyq1++06Mkx7irgrH9DTFf+JdJDM9UyxMGmBO9MqiOlqXhA5 HRYgJ7M5MrEIQ1EkYOEi3LH7dMb5dH3SLSeuNTMhJkL4MIccLOf5ykA0yA3YkH1/16unRb2/rNmb rs/X6oOGKsOpuLYL4U/JBgsugVJu08a/WCHMKiqOpoX7TZbjf/EY4DBr/+QjMNS/ERCm3c1uBk2o 5gogRCQb/hhC33NMaqE5NqIB9DTby2iP7iAgtbaiUZtOujFWd6FQM/CSLdieaCEZ7BhdCECPioUn 25hG4exjAvBPfyz5fNs82Hai6khbyOm1Z6fU03Omf3Ba1jeHcf1L/y7/8T13ZnIBAyFC3C0DYPsJ uIbVQy8Sv+iJXlpIU1vPNkdAFYw9Uc2l78PggRUoIT5IALG24jKjYwaLVHUtPnZyx867rprmP8+s sJRBRbis1t/izY70m8pBxvgSxr8GWM9VY8gvBhbFHxLEJKxNgopsK9sfF8UJmlzmBMm/UMsdHmSS mG2o/eLVnIK5Tp5F60l/uRJWpb+xDinguUBwvTMyFXn3Cl6w/o+Qs60xexBe6Zrr3A2VaIRZQZe2 ur2gcY89JvJrd7J3Eztj1nnDL9MezhnFXXoDE73XXRfQ5zJHKEw0sQr2gpLrDr3iauqtZMPpj+Ta JfqP87jeVmiYQ82QUm0QSpEYNUYxQqJWcjjVrEwObBv4kJjq+BM1Chy3tVx6Byf0S3kfvXX5yap9 JXWrVFxWVshy6cwXggUMPc0cFu6qgMbckTNuBBPpTpvcgQcGzM++6Z88Z4INpGORzeJ2GGtCOsTy rgu30vrZ3SJ4shw44Qq/0z/RYtl4zT60KiT9RFa9cfnEKHJajF2u97eG2aJFnF+i/pvWGlBusZsI baqAm6WgQ1V2PSt1DGGFgHxl4is+sNywPLieRFEB4wqb+cGekPqoNctcsXNE5GNRGikfDRc24uQy l5Upc4GQVmGvfFBwiunUt3V6jDv26mGyGTmNIx8yXe2z2/dHI9xeXI5phqWdsxmwnNP3dhmy2SZj laPWT2Fe1JzjPFjfTprCa/qxqglgNUTPo+qHJKKkdxoL9u8O0W01OHbYh+t8HfCfTOZdEW5p2QQQ CoUtTSUrTydlzWsjZMmHwpEhme4+2KT21Qc5cLnqd2TPKlGkZJ3MhxRPFUqbS1krXp3OQFp9T8ur Yxgl/FZw6Qm+48mROxX2pylQ2wOcst6F8pHVHQP4l8Zzj/lBdH5NKSvO2iS14giYxxSPo3R3CHsu y7WszWGm5Yc1dFLE+tlvZiLrgBdieeUkm78X4nQVrc86osOx1TqbHiDHPWlfqNh4ISAovmlhgm9z NkRScvNgOfRwwI3d/O4du16xzsxRPrTjNdVGGpFWdOUujD+rNajaZTzAb2W9S2t3bH/yDYNv164V 9+6m49/wj7WU681bPXFV9PPtWlf8cHjswRYsT5kQwTE7H1YaBIzT8N7020qQJxwsbGG093T9JL9j xds6SmWxQtLlohrm6MqsrJpV+eimpV+BfWEfOllkTR5RF6xwONl7fteKiuP6F1lFBv95PvX2YPfr e1GG3INUjkpwH1BIoZhSssuSkPt3FFXWnNsVR5nQ2d1MajcGDmPZ4O1oFOXiz0bN3Bs/dmRuZhlj ILJ5/DO1BzV750zYD+vdVDFJjmi5DJW8s3pC1SqAJ9yG/iE4eEzLSvDrJo/L6JgGEckS0dWRrxbR +Nrdjskirg9zlW6jU6i4iinr0rILw+kQeB6rRM/qUvZ5L+zflrkTHpA9b1b+R6j5XyT168JRgFLt FZxcw4O3s0hcqf7AN8P7jItIPhOTTkCFpaS6/UZg+1cugGdvll0L6dOD0g4wF7lYCzFcZl03Cvvu bW9EUiGV46wuMB+xPg24A2KkRU2anINMTz+i9mwSc/aJOBE9M5QUywDOV/BW4f5FW+HKDLSWnVFm tFeLQkAJ9/DyQzDYbJVz06iv9vG2rrAZVm8WB7pSRenUxCeJ9OxRvdzc5u3sU/zvvy+xfmc7Vmw4 kWc6BNVx2nsmXqwryBk6iLOzhQhsLXhG8KvwE0fTkY//S9Aw98ltGjSbOwE/sU/0WMeMXWQRdYQz n0g/mgrb1+WkwuyXvmMWzvF//R4oz8g1f5JCUkydarXLQlOjuYpt+4/1T74Sz1IGUQSV6PgJ23p7 jCbFJtj7zwNESuYjeyo/51VE6gDFJGc9U4H4/La6NJAEpWTRJv5MpzxTf5OBbLTLiTr5gv+pBgaz 9Qc3ZEPxR6OUC886l4SCjxE31NAM6z6t7VbdOGDh+/5Q+PPc6aYHhuGwYrymR+XQQmNF2Y5ON9FH GTeW9af//OKMBCfmP4Jhehid9pEReWP85fRnSA5SiMi8uEEYqxi3hbSyvc5QEB7MZlgNow7/rdK+ OvHSBpErr3bMCiKfbABCl1h8UM1QhXA09adAhWr9XUSKylw3DOYmVD7PjZJQfPbaXwXcQgIEzQ0+ lLSpxYqr4dZvfXOacDCjA+rSw2KAY6EZdJuioKvNehaw8PrAfPyG63b8fuNKJIFIQ0aFWnt0Efly Mh9MNHQmPi4QRcrKIf7hdiMyIoEHqfiTAXnAQnhquuTiumBa7zoCK0qoTiDim1PSeEsMmBvck3kj va7RHGkxNIfY0AvA4wGM2j50RwnO/Aphge0roNnVBeUHOSrRCOvHhMA2wAnLFwEfmtCadhVeDGXn WkWt+tT9aEc4amfMf3L9AfTGs8Ivn1/neDF8GAQxlJDFspKIO/mGqdeBytGVwtpO8bYaBercUsZZ g3udPGXzzxOTXHMPDxgcG3ldVHdntoW/6OJPO7C/WCtlabQgT9QlsbPFn1AFDtDIRx6fB4SkBc4D iLznqjyzT3oB7Au+gJJcevbyiBJ2QvSQlk0eYkBN4NTbNAYfuNVvt/BrfyVhEGly3VYrk+fTStZv O3BhuVZE04DINhz2S0FGWyx/tnCWH5J7zElrMoBJleD2jaasMIpPPb8rvAdoBAwgdq5TDMD1ZZOC GHJAM2KgEyZQrsT69ZjkaUwu+5ZVFkPJ9PX/kolP9Mk8dRRJSSlDOl3B6wXIEZ+4jB0mb3VFL+03 C3Zl9nmQWLcVc685O3CjOj6o01HkBa5e713bx7d0k6Y0KezavNNMZMhixXnfzPlXppaJr7eV/Z3R 8VjrcxMDV3qIytFZgFYjYKhR5WekpTju9FVPRDt5cH8r+Hgm8RlS5cSLp0J93mFo5/EmWrftkt/d XfvXdMKn4fwoMfajo5kX8+DjuPYzFJLoi42ucxHkB/RmQQdKT8Os0X8zzDNFmkKaCCaW27KKxC6/ WzNd/q5t1mT94pJOJjtcSH1VQoR6mJ1f4DImWl1l+PI5qZS4Qk06iUkblUzjD480q722hW1lNyco /6kimt189cwc234EcOObLCe6O3O5aTv19z/5ABesXuB9wqked9K+MMlyhiYYBRTJEh/pRHzDHlYY kF5y4Za3pf9M5prfRpoTxUu7z0eh91OHCwS6H7lkCrZSmuxiHpQ6Vev612gMSPNAWGVMpe8xzgnm RYo5Fog32I8mXcyT1L8gKk88voTshBHjCk4j/OknniPfXYJyLTtZl9g3aOfHS7KwM2kWG3/SqI8C S+Gn3PxuBr8DumbDuSdoD2kJNG9kQ8kNLuB7w9AnDFRK3yRr2wIdkiBo1W+X6AwPjVUs6LLjcNJO KTgkbp0ORYQi4rytDRuvJKBt0AeLfI7xi3O0jhYpkm23TyWkwg8AGgyZPsSmn7b+1wAQDhQMEZ69 N3n9xWpyV8IDftzv7M3w/kSWh+BgAP8PRGruhDBXDivrBH0XPAi0y/3MYvCYd16VYEfqi3F100Sq dPW7S1agQZ2ymo64E1akXb/IHOyJAGrJ9RtEsZli1TljNf6i3STVYQNWYVszJSqZ2CW/a1qv6f9X SLSpMoEQKJe2WiL9C+wxTjaZe4EX3qEXCZR2hiSRN/gz8Jke/gfuJsw1Pr4UO1qMA4kBRtiLb+2s HNvmStMk9quTbRVz3vYGJWwMCiGmgnpqzE0Mox86jRUw8S0boYWcSQtOhgum+5EsorV66Hgecte+ N1MDqeEXQI5G4xaPwcS8vbLLa8ftZyHj1ytiNwYHNpEuLv4+7OJ/eKVbPQ64Pr5LM2F3xE0gvsFZ kWGvbe0uWG7Yha9lXn8hdwP+yTJYi3+4oQKLVJOey4OrUs/YG+PYd+WvcOha4ojQYm+hG6y2sTK2 640fyFv2JFz0Tm0Ol5Cggf/4qCsWk5QGj0QaLAxKRvobqxKd6HOLwTkliFL04c550dqsQSd/PFTs VcX7pU/kiOPOS7lzVPp/0WuTx2BCJiRemhnwhwZYp7dwFUgFUX2zZTQGQfU28fknPmPtxankhKIe UPYBUenmcnhzCa9vaxd0Tqky9tssMO6f8wabVF+4nOl34JePZwZOtYNmp8Hvy/4/zxge3qn6nF4Z uXrrbHGUVpFSkRy2Vhhj6mpuggHmdfTfa9Oo5P+S9kXjiSOqJEI0eLr/Q49OZJevWiKkN2u6OWAb 6gxluV2QzQCYf154rwL4Bm6D8n7I5Eb8+/ONowfJrxp2s/M+Q4tK/aGDpBX9Mq7z0ZezfN+s5kUI NzuwInmD8iapbbHnHSpwRFKaOwK4DNGg0v3bLdvPHT631ztbExPCuOMuGfFkCR1OKZudTAG1uMsc m2u5ADWskhcmB58InRvGSWVq8b8ehaKzKm3BZHdFWAClTIAtfSrCm73aDztcHnwXgqBHcWPPq61I R2lex0KNEuIO/PBiQkOWjRGEOr4gSY94eMex2EtWYaxIPoc8adjY+XpyU7AlDCjVq6ZIIvRnACfL 7ZZCVnv/NNpdJpgo8LlG1LJlmRrXCtEx4+Hz7s1XMcJeBHEctZCMhOfg5OHizZdHdDgvdVxpH3G7 88KHNUc/LXvMU//KT6eHIMRYgA+Lr7EeNL+SAfTodiH3aZ0u8i0Bljnge4BfnVlMlKDULBiQ0E1q tqS+86CX6O4lI1nlTla6laXRJTy6ZoseChb7NaKZkaCVsRodsP2W/YLmLz+cFXdFia3jxbp3IgAw E9T87i14zMnhE9srSFeznnUaqdmFD727ve4VQZjEg5PqDQTiqzrzhm14BIpUO+8/Ep/iNiBPsmSK qROicHCtwyZ3eoO06q2wQyRpbihqRSJqkwtm7er7hLaZZf5CygpMe6LRnCURU6mlA+JhXQe+fvQy p7XITzfhxc8kEWUhL3v4ARYv0HBhpY37w6sozLg2gA/bo7pxXkyFj40SulB6mxvxk9ZaFhLqOPoe 7Vif1TzXTXngYUBFAaEaxJScglsqBTXgIuz7dVfxDd7EJ2Vkzkw19HO/0jEPIy9NX3zKtYzWMSyN oPC2f51rpgBjSWOE6GVrpmN37c9dNFZz7aHLi1kJbj4BG8j3rTIQOnm4GZ6SnMJordzHGfEyhuyD M7XYl1N/tbDycRpj14TI91iUB7MIvGTtknBaxeJrBR7JxjNxCPgNJVWZgfcyNOotMxQKqJQxsWP0 1NS/fnCp5P4dRc15HfUiozvNO0ZPXajc0e6xcc/P75d/wiVmD0REfhUal99gEQDPc8nkt8YyykpZ tfxw4xxWDcL0EQ6nIWed0jmRUZHEr0a2wkR6RJUx1Do/p8oSO4TBojj6UkOiJymphxslcmhB7CXe KUet4tRL7L391nLiROasiqnheav1kjsaaekii6HsmL4ctN/480GSKF6NMPJ0FgUw5c31EjX7ckyD 3V/Sm/XftoiGhRLCdrGqR4lL1CisDElAYyunz1+V1+0LnDIRhHqBcSmVI/BjBdYlIv9ViHOm/3Mt 3XghgGkHvAxu5dBmbEvZY2tqw3kL7SMTAAlxzuAuer53eZfh2afa2WAtPg3YsjVUzOs0KjneCdSv 1Y2/0IYnDA7hbCfhZtPgD4A3iXKs1vZW6vAi0prQUTikRKfwhpRFg97XdXjPlJD915nWnchPrGCD NbK8Moy3ROR1QLqwKHCZyEBxhr1o4ZZwzfZYbBab2ymc7x/+9mq08rZlW0v+EOEsFG8mxfR9Vg2+ V0XVychYjBLCOMrQT2wRDpfCpQ5dtlC7mP2KGPGhn9nvN0PMrsyjTP4fWABin1njufTHNnG0/Hi6 AbNLKxSiAlki0XV8J2mrb3mufLdmR8D47DoLtlyoZ+IH2CjAZf1BvFj5nE3BHWHf5NvUAve6rEjX Br+z6ou8JPXr5r7CMRDkoF68FsaYemNUGUiOpP+2QHE5zwS7s+hlvnmfEoJvIeia2tD9oNfX/hSq C+O08OfwCrvZ0wyJjvoNpcGGJ8FWrTOAprER6yq3qfNwyrO9uez7h+HoWxxiOLO9cnlfWucqwwab JRNUHYzMCROWnRDUMesQp/J5LjsbtlFDuCA89gb+TrS8eM3MtXmswct3+11D1wCYxApajDKYcRLu Tvg5IRrJaUcd6NirbQybjUMNdygHVcpLG+Cvh8bK8t8wScVbziKPx937JedINPZDXTEm3ZXjPJSN ydtduq0dD9EQpQ+C05+dlOqiRTSQ1hgZwMrO8MDogsvG+43FYyo0qgUs4VyPNlJ5Z1BtH6rC8Zvv WBU9PtPu1GRT1KAoSgpAncq18w2EQf6STxXwZc4o9+qqeKOpWfJApM6SGi4Sv7d8vYO2HBPSB3ai ZbWr37kUM4bFxWT6McUC2d3JXng0Xo4wDXvZu8tbjA7uHK1PeENrJaAeDbxC2jAf7iooDx+3Oh+C hKTN+HAmk46lvdDzrazpeDsTmgeadRgYUjXvot6nTi5PJbX+uLsPhSOmM89AqMO7N3rdsa02sE8T uiia8KzbC6yPL1A7ItNdXA+pKwbNkrSzo3zRcJ1UhM6tL/R2NesHd/sH8KqLGgjisK9R7TTb7lSq 6CzGB9ekIMS49/ZyMzTOhWcDz7soDzdmWTWlv0l0kDkPxur70EUsqmkL5/fNct6QWHnSITlePYRr FzKc1S5RGbYYwnFvXggBkoPztIjKoNTU/1hOlCbENEX3blLxHB25N9hGWTaVU1YduP3ZACSM+Zsb VaCcrPzgDXesBah1nQJXvEuK2tGEnX9blqxs7ts913liyBD/cq357bK030o0oX5/A19VcKNuGbw3 r9hjDwdFjYQb/LvzwW8SgdfYgvPAFcLI6tEGzsXnbYk+7KCSDmM+hK51Ty5GDxsrsStpm9WTrr8q 8/I9+HE7zUkEvLjWEsyRdALXZFLfldjO7u8c25AVRSBTli5EGbmpWoiEF99YLkDwu0lzgd4trFMR WwII8N/NaMGRlBl05EVzHW1AWmkTOY4+7vdBBwpaxzpEh6Zoiv0fdvDZ2V9K02gFtkqr9s6Og2mb aK3QJZwqc4n483m2ZQL/9TVFkRIwhpLXHy5Fcx2o+IZXMusFjXY9dGUr0nqpYqhwvkxsltBefFxT R9OtT1I7YljPvAD7EWAZ+huPLyhVfTUiD3pOi/JrhzIhwpaDwSGozm/cIPrFyC+DTag7jHatfmH3 Dtn+YCE0JQI6BxgvXKzn/YYc4KagTf+sZ19uhq9Dv7/5m0bbr2bkrfXUwe5WMkPeykBl8g68zYnZ Qj5jXLQ+Yryxx8MVWWaVh5sSDX91LsUd8qSYL/vM93W4cRoquVW6h6BVpVMeT33n1wQAeE+1s2VP ZukygKUswkDJDHhPH0SzA51Q6aAuvF8LD8F5HhP8phPR0iOYhr5YhbGih2bX6nCEPeMscHBTb0Jj jhvZa5bMc03KD+96MbdDFLfmJToa4AH7l5bPEqr9nl0IzGwAZaBB8epMnrfCvz9KxSUWuXlBSKay x4sDfvqizpM0YOLL2RDQS0aZkZukqq6aSKh5K6Qr3NmzoCurzHnWMQIFIV7ohcyTmcYQ+nnF3xy1 FoeiZtvoIaw9n/hfjbO4klaVLkFpkoIn0vC5F0YzJqaHPtaPBWMmsnWx8VMxnTp0AUcHJiD6wjeq RGin8Dq8pcx1wK66sslBjnHcdkGjqO2dZesHDn6+AAP/T3J1Xl6povkDwC2UOV+d9zElA4P28QRF FRt2PaYsVfuLnXCxh0SwZPbWQMX2AkORqTJHmJe0RkdCgHPVBx8tfz3H4/OBkMGj80pZf+jAXFhL uIhjq1rNcodxJiSZsURxCPN7wIEzgB9JP2AVpbjPsWmlbu84jIVZR7wZumKLz4fqedhJXE3OLsIA JjYKlqN0qpCWlIGmmt0pZJFs5JItpIlvRAzSHIrSwwlm1QRa0GAMXQA2E90gvz6c30DtyO1wdpY8 zJJf1um21jTK+fqXkkkFFKs3tM9Xksgcasy4WmswtyyJvISlo4DnBY53ZJq8yUyjiJCOu854IWCS rmre/cMXphbqUpv3mWzREHo3Rfamln9dLefx+LQW1Sp8k4+koM9tHAHyKP+WNcOcdsPd3sWjPdk8 KbefmgPzRza4FzPixOxbrZzIqtIZg12W2DY0YlFLbWC82py/YRhZi91/J9jMlP5gBmKr6ClUT3Qs uz3EWQc89lDUsdZA/pjhvMaH2gW1DwuF0RVvDiOzfvuIe0w//lkn5RApu+kEySWglO6H5/tF3CA9 yDfThnCK/KKsR9F4hwlvGiEUWllvr2GBsgw8vhip6XbSApsicDJ4nYxsl4rJfwH7/ajMT1pAR524 HdMyGxZwxE9rzvzmlf9GPqWlcnacutozmSZpnJNsli8R5Su3hYk/pu3C8QqujIVTv9B/pPvDzIcr Ods99u47CRotDwWVR26lMwTaBDg8BEkSA1IQKFyxHwnjr87E2nuuEE9artNEaaAAcqIGmNOMpRWm vX/XCRz7nlCLpAHrZC0/82P8cLH+VvEJXshkoTUwxHap5fwjHkPZyOwYjSrdJE8JXsmVni0S/M4W NmV+ms5gAR0YXxaTl6VORKn/4jt+f84HWjUBoMFKe0uT83Jf7Ys9JfoCY/f0hj4vLJGgdKsf/8wo zkgbajKcXUb6oaqWWao/YtlarPiymcRuKGvqCNP7ln3mMCtidHp8YyQR0L4Y8ARtvUc5zwHxAv5C tMMYoSwSKyUiqrfWuRGPVLzCEdX526QJy1q2zq8BAtCNrbo6dmzhc4089aFGGG8BXSPkpP53Pu0m a7O6zUIb6wHq2lQ3cuk69nKBE/a4f0PJ3J7fByXa6t5yTi8mKd8Gk/KbKd2FCs3eRkcG4QeO1xVv 5u4GXe75GcbjHRtnVHn6qIW3pdH0H/fDXRAYxbuAtDayqlIUyh6NTHsu2p9/Q6TamnVJZs4iln3q jTcVVzmjyxnpdthrQ4pYkVcJb8VR+3m5duof8eORhDwGJ5xciMS58yM7DTDV6yvTDN7j/V8ciXNP bRKchl/hSed/9g/UminkGvCNqPYFc1fc3SVewoR/TA7yne/np60IdQIq0+EODFlsWAExowffph1f BVVcKcgzyydGHPNea3Y3tiphVc4pDauFatq0BRW6f+XiRIkBFBz6aK6Kz62c8Ai+e6aHEESRbPiM rzXmJuuBSfQ75nTySMvbDvlAh88664GOS/AcBaru+MLMHtivRyiuJcuD/DJAgeKNliHDe0xSlEsA wM/hyKH/6TtdlfVrwPdSJYPnlD3t5EaBPNnO1YdJnUxtBLcpycVqGdC2l2xpkoMAUdYr3GrZKXEN Wv+KgEKmXtct7oZR3CcruN2Vd+X76yV8CRji4Zqp+c9pynG2TqJL8igKkGzBdpWVhHy7ODSFLIqs dYD+dQ30EwkOGexVU0A6ofoxRQ+DuI9UMdU65wk9RjZ/pnPVRq0F+tpHZDUpFFm41QnQj645LZ/B Er5k5nEe4pYh1ZwvXZe0BMwKF7GuWDw+Iy71Mdy4WT1tq6FX8fv6UBfX/+Zfl7pi3DapEekGADkq nCETwTqS3TMPQ9gypQF3Px8k0vjl6nBEiohFROCdH0RqKJJLl/Pd4MVnE/sSCkoetZ1xi7T9vmQM gtCbt/o5HmL8yHsT0/rwxpy3aWcihVeapoSGGsG+kVPWvMgO1dUha02BrXazf00wQdF2M/qsaBmF mwO01P+AoRD92EUlvKwl+P+BkUbWQTgxLbkT9vTdtYpplzqeZ/IAlvRHxeffu8ZMZvJ6MzfWKeof G2QJqj9cfG9Hogfy6kWqVuiqTjy0OhCkdy0q99UqUQsfu4h9xpejCuXqMNi54ZWdYutSMndk+SnC 0VyujsF0Y+hxBGvkkyC/TwLVq5AFjn7ZPYJ4KzQzW/8xKgscl1k3BzGuRLreG00oI1lOE7mSQvtO 5i8NCYEVOb/IABdKw1dU1FZszLUjJykGvBUmX5xjEcUtIi19ESMgI6BI9hk9rA2IvGpHEMxlTk9N LyzMhkszJxng8tYY17llBSUlFTpoHAV93GIvX/kbpY7szyOWFpw+ul2y3K2h/F1V7Gs8Nhe4g37g wcGpt4c+fnXs1OpkAnLrWK1odbWokkv0Yiiuh92wWEAUEXNdfqOWDL/2dvfpuNkomwVvMy4qt7Bk GX3k1C52Wx2KNmDEiO6Zn/N+xUZnz43LGa7859FYrKdZIFoZECaPqQb+llD8KxGHISqIbPmRk9ps BFeKkreUtVRpJ2qzjsriMjn1viFcIqFl1CJT/z6/ECcBhxLl2Bk1S4eNcYwYmWhoo3I7FjZTsxZU P0/fZo0hetToCPFRyESEVZhiBImpXf9DgT2lo6MQRqbCfnetGhbZ+02mTpWC3EPsGhXG6CwAWzb0 kIOzRcQRWfTsIhumX/5mwqJ1wh2tIpWRG0eyL7HdQXMFzS5dHgMxo9bun7BrslKKwro6N+//Nqa2 hUIRIfwZD6qQ9l7fJ6sHz2+O2ffkDMvYHvfDbytZI7AQIVXemPP8EC/JnO6utxNCjEszM7IQISyo YH50HdBcUcMFUL7ZAk/JVyTxBrMLtBu+7mmCEwM8FpEtxaa82eO+qqNgysFCIdkgYsfiJXZezjlb WsVf8hDic7ZhJRn8gHV8V89c3UwxS+gHNxVV1hWffs0MbJA/GDTJLsygWFf7VUcIA/Wn5Uo/Gj3f I1LGo9O5y63ZFVggfWzel0SjKWn9IQRIhBWj1xTL0FB2OK57/M9ygbVw0t7ynjM19AEiRzRSa0hN +fM7gg6ugKIQrB8L4h7AjOewQP9JvHo5sp4deZjJiwenV0vE/ZUt0ws9PJ5MBv6kGZE+bGPysjWk dWa/lU9wv/qQkEYAhe487fQw7PdYbKQ75RImS275/dvdGF+L4/B5fomoB+cxx/p23yeLYQen8L/7 bgvXx4wFnGoETZH7yKnIY7ZQIgkm+9TXKd51HAGTdEq32XUt0dQYjXNE/QGTrwYMf3euLMnZ+m9O cE0DnQ9K1BV3IWSEAltaae9r8hdwmCXAWrqFvhiBjE4J/4GDNbBR8y9Dk46t02kpwjK5KLmMiSM4 VWn1o3fiuXGk/POre2ASJgtYASZi9ieiaDQz0owCe6Wnrix0KSDTG5sYXj6QzWpghnBZ3sVWuOuh b3T9qZT6GqSIZMURzjBWl4MWjHLsJ4YDfLjc35S74rFM8g6BnOFOh0JLYkR8+g9/u7CgDcXQycay gDQtZoHupcgeLDAr3SRSq82GNX5mb+15eplYKFZbP4a9iUdbOxC1D5YJO1QEMsy15YoMKE+0nFUJ SpwL+kOaFqbOAG3j9R5kGiZICUBNfdIlPPrxAro6SfB8VIeeIvK0gjaN6rxGc5dPbx0aLgxzjTfK QHF7vw7KWf2DtsSdFh/lLPVdh8CxkitIV9lJHnzj/edH851ckkTFU6Ndq37/LrXer/3V6lBhxdeR ZIF2UOVn6DD3KgC+JT7rrjhxfK7ICplQpaPFJRYYb+/uNcKuPKhMW6jE5hV8i6Az69UYLfjk8rvi 99xYd0ko7+GKYUaC0sMdaNtQVs+b6vVMB+7IXU4JaZHyJWrd6mwUDYyxqSw8k1qWfAFbcv7fdP9A IU+lS+YI1xxLn8iaVgYw5+htvl4u4axsM4DxDBf/uxUP9Er6GH0KZv2x0EFJwHzRUJxlEhwUdAkz c3/m2X8OKpgtEQKHAs8+d9xgNU7AbbPZg7e1Gitl3HV4hCuE/sOO4DrBNxBaXrKn9Qpawkr30k9C urEcGZ4ppfs2cpjcERJa4fGpbK7ni5LCdG64Kt7rM6/br2ypjB6/7xXkuqu6agSsNePqESt5xC33 3+lh5hU6hY58vDdnW2289N332rccLMIdyJl6ITTScg6/gWV9rFMKlqISztDCh2wkVSGdjdFNgJm8 p5VkgvrhNngeYi1b+OEpttDDUjJx6SIuJ+6AUbcAzGlHkiuvjbGVtEtY9npJ7Q/lOQXZYjudsAtR aZEsrpsNxsJWtttq3yXfCt8rgmqWVmPzgBmT/qQd8YkXx1EHN53QiAPpbIsYqb0iuMR65KYoe60s epizijSVZaHr6IGe/Ltc49aca8O4SJiqhX6sCnhxmzwJaS1GovZVEDQNnQCQgHjBCHoz0P8UPKF+ tYbZgjvDQQeijroZRLxXDfNUvQjxsv3orAkFsinszPN2a2U9jIGAIhwYGZqnviAxUeZun7PpMhL1 SMFalu5rybfJdRN97jFf/Imtr1gDZJB58LDCgSdmEqObR1mkqibmJ5U+G/UvMQZLnXHjskUsDo58 /HgjKKiTlmkvpBC5fYbendiiO31yEv8mV4SHhvGVi06/obUrun1RJ4B/jvpafbXkxxmbGtFawcKs 3HpEMruD1bLmJgZjop4ja+5CmyW4jXZGeEkVb8vVlEOCPrKoeXBSxJ7fZZ/W3EHsNDd13IOByyJl 0wSdweQv7zcXTbVQzp95JjyO9uOiI+olLQOISpwYxm2m/MpdZz17vnIef+p18SFEWhz9oeyHUMzn 6Rk4UlxWurcYhu8uy9PV/UO5vAtGuA0csp1ZgviBNca+eyfaQO/W/4i6XMmnZ0oDlkzmrBBjSUjb CPazQPyHuPfvFZz4jK+ZaPQoNyj06F4SzJ7qR+ROz+2GUUXlzA7hEZ2JeDmqFHsG7RkmUgTuxvdP jIAuF+/grIzUIVmDWDVTkayaM4Wc8KfLC1a530SKZ6TI27bptOcGhLcr8LAOYF/mcRPj0kccPkPW dpQjdkMBl+taS7CW9jwZo0u054KOK5mn1uMcqNDjU0+tzdymBbMZbYFIq3bfKJV3355vZC6mDe/a Rmi+DKg1D0kibMuAJlmjJMM+WAJ4741WTKWMObXQjU4jivokV3N92m0x+xesF/iMX4oFytiFc1/U ldiHZt4EKHguCLcTa3k2v7AGbzuNdIgEDVWWOuyzYpI2ZqaKkdgrFJnMnvQewMlJRngwvTFjVXYL FqX2p+MS74pn5rJJBMpQMy1JnjMXhk8slb5dDE54BtKfS1ZlQBsTnvXq8agz75ABnZMKMQEvHijV FMFp6rtmD4IhrheGbltkNs6Dy1n5453rC30++QVOdIYeAsNfgAiIh0PGXPkXJNpDlGWI33mMWHzB lggnFaFbmBDHy6y3ZX6s+igoWIWfLmB1sEpEx6KzD8xvFVYKcJfkbA2a24Her9z1blfK5hL0QWFt uQTH1JZ3kgmyntDsQ7h5nFMhdMWeWRWFKVbIbsinb+w+xWy5Pw59zBntP0F3IvwtO7e42hbiqboi kQ3X9X1UyQ7tvR3b9ha+L9SvFxH8OW7sQ2/PP5nUlLV1oXHEVaOgY8U5hnEp+Rq39rjxtVXwlprx p/FAeiu7mVleCqAjrPjpodjn2G1CookHL02WnToxwar13fHZCvFc8TzW7d7xUtdpCv4nPrRGr3o7 CN5M0NbYbF4ee+p/BDxihlekmel4MRNCArA3qz2t2uO0pn0ukZdoW8dbnXW3/VNRtNzDeNDzE946 SmfJEyROy7JNJsG/SAoQZ2m4+6uekguaU3/qc/1fkjcOxOvOkwao1PlsV6wQP4mWuluX0pxX7Bcp 1gtHb3rnrIh7UKnmLLR4UoUMGaZkhNMSXktiDffy1Ik6tXC4G9EAHNZSFc0UrBatpVTKVpp7Z7FF D7OS/7BegRQenb/HJleyMt9Uo7GHVRtbe82s62fc1Dmt195v6ocfkQFOKDCPBpcSDr8/Qt4gqJJZ 2BTvM632teL0UZ96+NFuJ3ncKOMWzrx1FPGdHIVUd8vjB8pL99ura7FeVIFiN2V4D4nQOZdfLgyP toVgSyhQVlzPflImUAtoCyJzclHl9EWuQ7ebRTSYO/cx0nSVapBzRIrP9b86mzWhppVE7D6qJwRp S8tNj+M6AHgVb54t9seVGuD4HBrn9ahORQxk8BDTpGiT44Jsvd0lBH6y24iFWIzOB930RbsRsSVj xr5FByJJUsGJIzms1XompkDpjQLKv/lJp86qpdnsfofHQdqUcByexd4qyRfdZhY2MfDL2hlaYTWg uE1ZgevO1owcNsDdjqqwbI7j9ackyKPNYLfiNY3FjOEclfewIovfb9DR+kxBJTJwZzXAYEE80MHG 6KqVT2rPd9H16wSLyKwWDJKwekiHD98UftnTld07I+V6hQZT5DZ8a2tOoyRE/jSXqP1nM8Z4hbdS ZL+L+r8yMyuIBIaR8P/I618FTkelESc6we99hP/S7hwQT7u6KWFA2+wbWZhnb9Ygy8SpZBoyAzE/ 8FWqVqOny2jngyjEgoxFtVCQJhPr4PT7nqnsHBOJadAcgVRbp7YerMDkDh1iX5G52yxqBPhRLkCk Imsp3Sj+ivJTo1bDBgqVdYnj22PJq0cs4IiTsPC5jvhbDxEwBtuTzdJgPDmWYWRKNiDDj4t9lkux /4ou5aC3tpZVse8agRbfToa/6j36a9zzaSgVwfltNqIJ8ZrDrFeR0SwC8VdMOas5WEKRkfZKePm/ YEwwSae652dGhrjxyBFtJDYgHXUBmmrW5jZVAvFvXYWre/dSuFa1lr+qjmWypsm1C64pyuP2CNUX ejXQ1DZWVD5PpMWEdloLZWtjxyWqwP+FJwAqqtDkTx3UzeqTVGYRAQsGX519aCY5CIw9mM/aSASe mGCBDcOVw+aLeZC//D1Dxw/jE+b9bWxQqFVnPThcRPDlstCaD7j5pgocV2tjA0r0fBbRyuSa+Yyn 8LpS0EhZxlRSc1QcEPREl90j53MVMdZDyfHvWnItibo5NmsM6LnHUE4TzsdoX1xSCV0be4UcSSFl m0QwYjBMdVthvUsbiHBeC55VPMoGvaPwoPYLjI95PsLILs1NTQiWcUiNwOK1ewB+gvYGRi+TjA/R gbnnoK+cKAS+Wh8aTJ70Zae6bTkhV+T2GgW/67L45O1F8NViUAEYzOQCGyq5AtOERgwBwmnLPzcX 6QvoUK1RywAVfTAmngvdf1YZ2U8h5KQnLawqr3G4kWuXx5Qh93PY70YbSP2K255Mh1e/PaHmMxVR rLVfT+wiF17HiGyHVZqPZWVzAIGtAx971IujlvIid8nLAEa/9zGYR2oFFPL6KKlLh/T8A25kFl8j ZEqIuwJPQd5FqJ288iDY97p5gVUn7DCYjukB5DbdsI90JX2NtwjdPI8wabXPlkTCH2kV57yOdxKa aLRJsnHVlhsj6PxCJVdKnIDCuHcHdL3d4lcpjMjVmWAJTf0GvVjCbrRRLxj+PS1c4tiY2aJEkx1R pUEJRQqyzl+Z6PZO4eoJW1ew8EgVdKlzCikd/Lzh6/lXodK2qNFx05f8/wiOMkLsoLD/jo6R/2zM LVWJjzAYFuHOOOjIlw+HPNooPRWW67K39NOjSnNTlnVY9ivZL2/XrMV54whw8NpGp5LL8XhhvNaQ Dzt20P61wdDl0Bcl1Zz5KRsCePdjnF7SXt79Rbtw+Z4GT0EeOiKlHsA44gSt7zl9NkaTqZzy10ZT ljLi61CGCtGAJoVZSpGCDmVqq9FgSB3Ckt0WU6UknKK1+bdnWz5UgVghhlHsJeKpmUX9CouUbxRV FracXZrSiMWVvUEcH3Iibql/whWRTsmysysCXqR0ZDrB34p/EDljcNToMHZbVf/NvEzLCl/OY7WK 0wUw+BMCRkHSbkhl9psEfSf6wtEIorfUqdkBRfnh/Z99q7nK7wtKNUykdBhuysoLuy0ctvJAuRKe hFlaIj+RlMrg+8wSgcq1pgZt0QNh2biv7FBAcxIczRMzH3tlXXd1lkSkomt5erqxLRZQLzfxCaEE pkgqDyPAmWQxNnXLgyB5PvkQ4a1S5AlfAkFGGtt+OhPAonuKBsKQITmm4691IpgDwLtBHNVtOcy3 P0lLNVhTDII18dE689jjIHhDUikVsv67nwp9SQdajMsK8uTZksV/YlrYbcqN+akg0uLhck47QKtg kpWRY6Hdv14IN0LExUljsB7zKxDf3cP3+IEdy0IJwluLPFvz22gBdSU9q5RANXa0hKM51QB5Fxer sEjmoVP5CCg1SCXVqlTu/kFFOshf+IT4vO7qXBebb/06Q1qx8fcnl0SDnvIk5ZQXU5I0R92vsOfo rrgNpUnpUX2f36+3RoCHKnosnxI9vp57t+1WJuxIDZnpdAU4wT/MxbA5O6HXO1onvUJVcY8kr37V WYiqNKswGx4ZhjUiqTdo6DF+ZaDQHkLStsTTmyHHEFqAKhBGtFCrp0CJy8fEflvQ8b0ocK7jSOEA qkFmYvK3nyNWCyAD/s2IGoMN7/zrb7ekxiOTahP3tRmlzb9fvsUDiLXqVAlmlumVZc7dpo/cC2tp +7oLeTuBA2zzzbxoWkk21mXFdv8yg/Bdo9GuMD0TEHGl1N22CPgnVpcV2Z5jKSeQwHuCarCnoN25 M+rBG1g/OlzwhCuf9adQuubiurfo16gM5If+dO9ES9r1Nfg0wImS9EpUF6+/n47Rk2j5LqustAcq hsfMLu2ILW1N+N8xuPTibWUvcNBVy/rRAj5PgACdubmYUNb08+xCgyR2vAMBmJNaJVqgABCfhLj1 j6+GHOPYSGbvbbVofDRagfSpYQIS1YNS3P9cM9T22lnqKbFQ0saBlyIHn39FcqHt+wWvmqSbIZS4 ERVkJcqEfmL3l0y66txyyPaeDGwkvcDXzEGw4ULhFA3FXfo+LoaLYgS1zdggdlTh0M4tK6ZqOPMH EIc18CIN+bas3YlXxO4UkKkTWpUSVyxq0pNZ8sqYcbWlqB5HoAVAZo6EzgFayPmfDkGdrP1G8DnH zIpq8cBaxuIPXoGfhfqOgTuE+fmzMsgM77jPfT5dE17LSFDfBg7Ga+H6+Va2iVwsnscORjzOP3Jz l0Wt8lVf6Kh+vTn2ML/nHfXQBMI6uMnA/4k/7T/HxqBZ8SC5A3dGW9XVM4k8TTlXjaRY1DBMJ+bx KQiw4RHqPdNvXgsnOjZL1TjZ180Eg43Z4qtmgAAtjRGjw1/FXS8hOGDWTGpG+GT8jJz875oBgl2x VrzPMM4VTX628GGYhgi8OgfOYaz2/v194GZoFVsfu79J3lKp6mW+B5Azxdv1KVpvkQf3Eodhgx9x awCm2bzuPxZjWxHzhCtatGjg1baYUZkDyGeeKm3zX/UjP9dZlr5rBDRLBg4+9d/CVgPkmQKA9mbg mRUQl/aAJNLNYHQcoFtQNXE7E7KWyfslp0SLAGeZbaosFcbQ6lpuO5vjG8XuGVgjpvBMmx65jk2Q Z0Pzrh2h6MgdukX8B0bvN16AoyqvafLTJZVOudd5DNYSctoXjHtYIPwUCfAkMkXnd+hbJSrRog5o mnDbSCm3T+JjnmSOGKoVSsjnqy3Wg8/4SCCiClnAzxM6qM3qmA71ofiZ5TAuvBumyLUWWzn+T3tp q1LPZcWfMj52vgR3RHW5zj8KVkV9vMhVbm01X7PrJRahqY8+LyNPaOKYx6Jf5fzVyCubk6rBSO4T UBirHb/7jPRQ+lg3TI32yoUL2r9DmApFwFkOOy6ID2cgwn1WsVTIi9S+4msIAZBs3rPJNqcS/1HT j51m9z2zbary5i8DjYDHza7sAuEOl1d/XT1194X8gYdu2tJRZxGJ70heJ9ogXDnkcIWgjqymXPHU rphbZ7oRGhVKZw9v3yX+xIrzMX1IKL6+YB/NyN8hF9YgYnD58dYTI2n3vqQWQ8qOpsFO6fDllgwe k8HrggcWNA9W6YPrHnsHGF5IyvCHuSEmi6aCIWUH9M1aEUi7c94WJ2swtpJYj1D+OIXW5HvNa/Tj uPUJPBwiV0ctG4exB6ZBkDfbapRN4IOOOSpB3odiFyG0wxRnCCXvi5qcjGx57cZ64lRbEkw7n2+9 uwHzwZqmEvdEw1Wv7c9i/c2oZo71mN6WS0hPlZSpEjrwETySzDi1LnIBW5mNiPU6M3xdSzgKrKkq XE+fakTyrWZf1rX66N+6wRJJAjJYK5u+q+QLVIdksybBvbhAAshXiNPW/uRO/3/hLxhPJQLge7Ki Bjupay0Gt8gMiUEIW6Eoa+8BZH6DSusylnnqnml7JDAUcqxv/LKgOGuRPkEIHjXp384hzr8lVvTo MqFu8HPFo0AbFKDsc12cI/Z2vvIKW/TatwEKrQXmjJvFjCck5P63MpOVfW+4o5CYu3Hkhogen1DW nHt2SFKOJqLXJu4YvwVnpZqqIF6yWTXMh85X/G62IcklPvJP3dFLTQxkUgRgphAk5z6FC4jFLZtQ lzkwirx+lTm2S4ybcS7cqCKVCJ6gVfNmssLiwh25716JReza1VDXtlG6leb+4SvzGJ+xynegZ8s6 nwwbLo8Si8x120a5YEXZBvopYrdbN57hBTzLv2JLE/Pp7mqD51Euu0xNrAQtyf903mXMnmNCcGvZ SMxLTKZqtUnKf9//as6FEdH1/Tr7UAt3UuxjGCkbLlHRm+XEJGqD3huzbpB78tCJ7w182PWGd4pm fnGjEMXpg6AVnKOTIi8F/qu/k0W2eN/WAN9/LG+khXNG061Exm5V2XtKj4S8S3jFm3aGT6cd4c6u gnAJXslL0/KzBk9YbKc1wt8Y8ObXWaVaAebNsOmB/ENyCn+6atKotOYv+VGNqPjvPEfY+FQyHCcs txJnujBc8Qvb3oisQNI2o+8IVjhMdi1FpRZoOPHnB8zp6ZkjPpNv4xcywkb8632lW25ZQi1KQ3L4 4GjlxI1Eznz4/FfmjLF0H2u52ynF/Eli2vM/mXTE8XqeMgocMZ+ZEFtIknRzBLVVTZewlGLgJR6h mh7p71G0zS7ML+IrBCv5BFxZVbmU84DY8YLIT6h7ZyRuXlwThlGtZauN5DxCXDMUwGGESB5yYsU+ 69FsLwXCpOiPe6UvJJ0Eeo1kt1G2tMwQ5JmNSIfzA8OqgTbwZu9Xhp4y08sJD3Wv/L8LZPMdaxV1 KKJ7QF82IUNtujLJapxzWe/FjXccEGIFUNs+o4aOXGK02U+K0Rpz1opsSFmOdphkSYk+HmanXtD+ GqIWy7RwfOM0Zoi5HX92zn+BZx6SRn6KebXixMLzs4FavLvwg45OG8OmpXLDYY/ct8EvvOtM7t2u DCIqW6RQ7bkasYHO90Go54tuYqUirpcXGO9npXgzahbDBvRvVYvTEphMEjQks9ezGjcddBRCRFCm 66Da/fKG6Qqgi6wNXnG15zmPAUWY0497vCDbImkdjEuZbbodiWQUYLNSzEnHDOOrAZrOSGDHxlQ9 z1SSmmzBInKDAXzwPdj8yEBWAIeTnPskJDsWZBzkJO7ZPXrMooQfxz/RNFkji/INzsU+ro8Qw6UW USaHQlY/H/yWkmtGmtn3musN9ttOR9mLLUn+iBgJtFMw5Uo1pDjWksRcFyjdVv1Aj0Ps7ufjaoDz Gci30mZnZV+VqQWJ2/RTIhsx6aThdYjUGCa5e428XtBwHbC+IFHnh+B+1Q9qwDvbz1gtU3OPxbNd rYRibH/IDLWrW/euqvYSlcNqd9uXutn8E5vFWUXTuADqIneL3UnpYZMJ73Sv8gPkuFc/9QFVlhjI KzgWVe0ZHxpJ+nQnW5PxeAVX1/K4smiuMYKLr8vxayFCoyVGZRka0/BocAKJSD2TK5HoKvQr4GzZ c6852Z0Pe0udDhI7sbnGczrsVVZrCYsLlbLs1qw40h7wQbzNqQ1YSovOt+TwkyKMrgk6VWOZgzuJ ODgmuyEs/cV71ZCgRI968+qX9DaCWU0Oi1sGb/6gTZpRDRGKB3JrBA5RCqeRhBRxru6+4vXwlXse hT0CeswS8+yh7njnD7RLXsOQh1v1WrItrd5VEeNY8ODC8VGN9V0cfMVJgkJW0Yp7BZUK1H5DZ9dj GNW6WUutUJ7PurAhtId5hAiEFsmeG7H1BxbfWm9xFtwZ/jV/XNARX+Wy5Q/5HeyBW/BfvMr1Vygf 6UuyDzirS0KS60LWt2gF2VhKLcb0ha4LWrHRjdRwYy7676NxHlEGtAOd6nUoW06P0z2zCb1wAjQO oG9Iv3Jm+MUv6BQa32OLsWrH027xKc9qn1bKS69ne1XWt49j6hz8Kw3eJ/RiKFGm1dg+hcdattaX jPTaZ2njMl464CPIucbJf3fludthH89/WW13wZeV6+D6a0wzYe0a4h1ghUqV5uMd7NcatRoZdzTP sJmHj+2ZJj4Bhh6hztiWIhacTBSn8OAYKQpGTF/sEB9zs7KXtW09oQSZJGlja0C3+gmXAZel+onB 5AkWWdBYCvc+FbWrR2XWnJVKBs/zpt20ubzQLXB1fR+w4cxPiqq3cO5Q7JQchelY1EVst3MymjQn KfW1k57En9/sf/hyPXdMy+svCF/4h4/MmZf8GY3LMVieWe1/Fpc80+Rn/tMCikEhnHgtiH2a2oA1 UycUZze1V+LddNlCjMLDc52O3wA10N+rKtMYvKn2Uhs+Ckf8FaRqfngBDjaISfrVqzUUwwGaf4LT mB2czs5Fe+5/8CJy25+XmeMtML4yF6Zbsfynmor8z0x4Z7ISVfZDaG87EECf+v9D0tR9HXmhFEcw H5oVWv1BEt1rdcVfHFxcLMIWEr88FASqAt16t76ieVMkmPQuCWhgh9gHqhg1LfEhp+oa6K/g9s+e HvLuj2s15yC54W0gauWs1XEFlQotph1ydjoNq1ZCp5Dp6afAe9cFn8Fa7VCeBOv4HCSJBKo1pm2I 4na3831wbOka01i6Ecst8l5N7CEXMmQXNEjDIZYyOmWNxR0fjkQjNY7nGX4/K3v0wCuTzJ+1oe2T Cgq0BFPbwZkKKRYFlXPfY0Cnl1SdAGINCCYwq/e8nSQ17yf5XamlmxYCupo4SAgKFhgr48qyf4WH 7C+nu6j7m0HQi3de+5MUuoCb43Zdqlg9YV3mxXLditToyxjyJOTcRo0L5OWwJFoGmWgVUIA/V850 /9V7ssBI9qys+ERwWBJX6BPh999EZ+iZVASGVwC0mHMhrUYTcxYUbkBDNAQ2HHuRJInG95s8i2gH 5Vq/oKkhI8Qg4ngiYiTxvhmLudzDrYd1mYa9dT3O40j6KYFYLlFuDubr2NZFoqyd1z5GPv9R68PH NXZURdLcD324cdE+R214uW4FaXjW2reTTxZ0LxD7hG9WUxNSFvweh5bslD+L5+AMc8pPXggkVNLt St54TDloZIpy9Iospox7TCYWSw+n8w29B0OzDQs3RmteUNs4dE7qsHg2TmQanDSLtdCq+1eOLtyO +JPpYfpik64fRykr2srF4hfP5Vf5fvQNjU4rlMcSCW7N1HrSWLuICVmcmkZkvvjXt3tf3SMIh91K q7v8zFecy+Ko36bncAbRlGOtVZwDOhLRQSJXmnbMA2SSZkdV9fy7DD/TIZLdxmWqGZtmKbGh2bni lhViSfQTAeuRGgM8WCnFNpFu23Rdeu1lW65IKlTG5D+g0v9gA4K79GYmawNHEcxRuZZMnJiWpsEY mFc9nkNQKpsCg9KGIJyymNnMQMSxOlpAwEcmd7kSQ2qreypSijDEIe9vUGypAw5J65omKhpip/Dn TIVrV9fnYYV3Tx4dacDNiEm3RpZa2iiJ5dgDHh4ISiC8gqhyyl+OE3oX0GNZOsZBUpic8dtwWYzh i6JPasGqeB7nkm1ytG0ODaWFOW8yQxd05oyHIn6WWwkpUP81UNb2+y04Jl95LiaiE5j0mRT9HErc VD/czFoElgDV2z0Dp/pbeIT43iK1iILOZZumG4Usl/BVBWWuldsIsKfhq2GWqLeXx187Q/DroHrU cqc9kqjcb8P2kbWT7jH66lfmMisZLpb5h2PycFYBnwEv2AkVoIKVcFqJ781VBOkSIRcqNs4gNEMr owJkzxNVsCUY5/1euEl6SakY3Im63Ua/GQKjSK95hGWgnzCTwHfjC0t15YfT9jtcPuq7wiomzby/ 5eZ+k5AOa0gSSDak0iCVrs0xxDYn3I7D0KACl/xrjs/TYgJj82BSDXbXZzeO7T/RXn5va8MvcHL9 j/ogtWwxt2AmluwSciTBrYrNqq7aHr3u2Tl4HFMrgesSnoHH+X5hgRL5+IEUPrnf5gEMy1SYIkjg gjKyeSfTyoi4sYXcy1kwIkgesnap3IIqzW1JEOKs8tSUsCHTng3aYXxR2IkJw51DdVw1HC5hUOxw wx3l8qZMt/xSizgf4y32PixbnJLtGw6Od4hlCABGd5zItlptITV0nMX62ghTbjCc9zVXSE4ZjpRN oDuUyOfBHENqIfH5u91hC1a4EZj/k4IDj6XJYyttxQ+faYLPK2QHiWlXVeUB7n0DgPtWzZgcW/ge HGPvC5JmWuTlginvdthksS2A3R61bdKrgIf/Hocu1nzNF1vu7jXFOIqyb8bGANbxilLODXfTwfhj MeOIk+c/ZLD3IiGvkRZFGzDFSUoBMoDpy5tGBWV4VNCYxr5xgndWw0XWTfHXbv3Ix2JnGC2mK2bn wgoRGDJt/9BtBXeCz6rDTomwzDGouh41T3KzTQiY4Ti4ezZMcLw/kirZU5Z97MOYBshD40PAztz0 HyhYz7hQqOZiYNyli0gedlWH1Au9CBibLzfnLIUWIToETfbqma6b4YVjZGOfbIxVfwDFVPETzBe8 ePqwB9CqXXxGi6FZFJzISsXmMNycBu5o5XVPv65WdPQU3GvV98lZzuyggvSmWbgs28WGQCKRJ4lG pCeoHyGHVXiG4G4gFGb57Spl+owB8+O+1JQACJ+8HYCO4W+fOvYu/Wzew9F2xUqHBroV0Oan2ANV oO1jKk8LiWnJN78v9+M7lKQhAEJ3J8b5NFMD36G+iKrSlBOkvQY6I6bBPXh40tCdOzlsEALuZyAn a6aOLD5Q5y7q3JXgzz88fyG62vtEABmq86Go1U4uq8vpYHgzpmXbSAVeDm4X03NUDkF39C4IaiO4 MofwxXgd5PW82rMxMglI0B+A0FIsvJSUWM/Sk+sTaBgzHCFTN4s2aM4mB2frEizZMNI4zk2SaW+I WxHl6MYv3v5QFK5z3qhrTPO/cNeyaJoavso/qhVGPBzhyDHv07sBawSnSs7dy6JeplAP16p/mQFb goN6+A3VdJKIveeuG/UbJTfN+kZ2RKVQ8uDOag5OOAVeWVKTYM8eVO7S4r+CoYUfV/wyOe32c9Yl U380CxHCgpqRoKHe38RPGZEFCpQJsYp2qh7Qj61bpb6HF17OfWHjCC+RsNMYXlnSBD5SiGjtv8gU Kzip27AhiXwJmBJXExX6H87QAFTNmfuwBCrg3Uq5A5ycIFgKFXroQpJyWJTfd2YrU9hsGpvgIo9M 99qBhY8ngckMm0Y+xNwpnZeKAWUt2d4atoGGzM7iDQrx/OddB8GElkNR3WO+JeARZFArCpKkVDCx MsIAjlv5NeYijYAmNfaFfNGbiCl3IhSUj4aADbfWAnFna9UjOPklkbkBOLdRMs9bkocyUQeObMIj ubWZFh1ACvcFiFYp8/ct9I46+1+S1idFMRmOVOUOShBdzaQ5VFmaE6b/KEMSVzwyPFi2vKHj58UZ fI8Kj9eCgkG9Rtrqi7aoZo0IaJ06rq2+ZKbhcw4v6zf8o8U2y+DVj14PUMV3UytFHDd7ixhDORb3 IBDU9yBDWjSqhKas/I1akW8QekCmAk+/VdxMDyy9B+Zv9hPwF+iwFHGo+nFpAYOE1u02/qP7hDur qHPty6iNwnY6hRWiBLJQBBkI0TCr1CtALIcbH2a3eYZGFvfU97ro/t29LS6GaOwbJ74CsqHAtPXv JbfrUF3PAfppowbvt4GeOnM7rWPkMrk95W4on5NIL4v3qOYGf+plc9OzPtEFlxnpr4T++vQVXoiR k3VRTBrG9HD43N0QfwlZD+6C2oBWKR1K+s2GScQs31dcZJauMgy0Pubz9K63fG8NwzodZcFx/A2I v1l8S9j7Tkc9sy46n7FTrNrTmvIdhUW9dRb3vrZgryjbOE/kBUsl5ZIoXgoxqrSpv4iwIBXM/ubk PvmTAHhXMrWlrbd7bad14bS6ln7n9CkH9irdMSPvLc8ovKS7lpgv+v/LtM8atJKY2xgNCTTS3Ooe XQVd7G3mpHSPLvzcj+w+JfglxKk9s5FLzIyMMBq4DIX+toBQTLWIorD74dqW7FYO8dbsdoqIwdni w2Q9c20iVjsLzqKKslCQB6u4ujboWJ5ZtsLpM8gsAm7ZgMIPJkMy1BH4NZ3cVIuGdk5vI6PkVqUU Bz7BuXLAvjQDnEWJvH92BKbU8SBrvE609v720FGaaW9YXBWty9kq42LZQ1Oq89d8B5D4W4Tx8xlX 1/pUEw5u0MH2PMDZw/xN49ApT/tLozYnupvoA9UVf1OAkTtKXXX2iB5nKvJKrAcT5+uhDZzgqfSg gmq4R9DAQuldus4hMZz8IQbbcJyBFJQx+77K9bydNwsLurgx7cNNpDQ4KTTqdyl+nfVI3Mkbuvhd AW4QykeCR8b6HGT4Km9pgoI9vyCeJ1mrv6R4dSb6k1R78sV3FV/2oKiQrkQ8CtmRGCgmbRcpK2QI Bixcl0o2CN7INUquoNVxQJR/bgKkofNm/H2ORt75nWhN4f8k3eTEJIHMQT5UmSj/yuWtNG4fikZZ UhaFddFn/ft4jmmRJLPHOBZ2JkshkVyCu98KXhPWtWy1RsLUhver7+hJEbB0/J1cdvopmqmiRwQW BedtCpNqENV75oHxfD7eO0SdBQnFOxJDmpaclvbHSMF2qiNuhxdxNiiJmPm4ehIqvI08RJtEajW2 AT4sUOeQnCTudE/niCSC6NoFZEsjcq8ke4JBJwZa8u983lgjCpP+KOPGbT8HUWV+KxE/LaBaqFHO Pbhcjv0Zc8AyNEluy9LxzGmBgrnHBWwPle6HnctFl8SjajXieDljXUQTuSZS/rnFlcOW5scqK+Me MV4Rtp6+GlKSSjQW4JtgRAyFxBTMiT1a40t1OOBRlene6pTYgqXBPLmG9b6fPk27/W0ib0kF4ySz 18ZZ2byo/sgoLXEvhRB6ANMn6zdE+HtzKKyyhFrZpd++YqMYr1Aakd937g3kejPbWAcELa9pwR5D dpDOWr8c6nPgGqSSl3yyWfDrsjdMJTmT7Sct+R9WeNc6hIB80wMveePMuCKSMzrz/IzE7ASAikI5 oBq5PRpbNe6KsJcxtL9Xj70151wQry1D662PI//fJ83CH/GF4NfWX423/nbpQDcFveZRU4lKbLdO OJZQGA/E2jSo2cZ7j4sl6Q5UbAVeKs09GpYXygSfY3pW4sk/SZWNAQrum7RWtJRU/e6bPpqQ2gMW U3skpmJLCqlbmPjoVqZqgccqVlOKi1vHjfnc0isA4rHPBULCOVW/TkwlX0aH7mECnAWo0pxwhT2N ywul2Qll3n2/oXO23QHG9I9U0o6rc9DmrZGf6BthQ5HUND8f5PtSkFCp3EMof8GrWw11dFRuG6aq aGN6I5PA3cHCuLrJtUM2cUnPYL+WzhvSDOPlkBscjnUIepM5gjBjAkunmA42Fw9UUGVSq+xFp/2s estQW8YvYbwtSt2dbwD6A2fLjr3VVmhWCV9HIj6csBFgLaew0k6FyCss+TyNXcBpM02ideUF0pvY 198fBYrwVOnGAsGdUtmlemV4LycBxlEpL0BEHDwwSGs/QcJCU+3OXwaTWozbgW9zT0Ic18XXJiR7 KPUD7OdP+1eu/kyCgWgfA6/TD/zFvLewqOXrWnm0cUrcPSgY+pqcX0uxU/vjW3TIV8W8KOujcVyt y8FhFrLI82h2AUS3k8rcvKh9ggfbQg7l+XdGlrlq64fuikChPub5Z1vWyqK6pCzIjxq0AhIczv6V Ha1ynal0ZL1u5m0YLpxEt1hXEz50itLRgBrjlDEuQO4BNNMPmrxiMUdsWeB4kuDAXYlgTnu8KTHJ +ktsxK+NT0FdIW+pgQB6mebgvjDglhUWDf33MWLax2Lpu8pYobeHQRjoZiBaEVgODF2421rP5822 2RpP8nJQeuWgxyUY7/t9xZp5uwMAQKIqFday3Nkfftvd7l6y8abiUNcEiBfy6b8q7noCrBuNJvsu lZNGpApF8XT/1GIY4829ikx0cKNt2UamrfpTD/diCdoWkdFngoOuyntFGHAtZHVYJkPFBdF6PmCw 1QKdhJVXcuSzRa+LFhb8PGfeRiAshFIloDD4aIuaptj2WzwpXdPeaGTdlduadSGrDVNiZGa/E1aN Kgr+W5udPIwdrwzRkMIOB5qKDv7kBpFoX9hgfvC7KVrthV/TyP9K1SceAo9HigYqzmo2AZfB24Y8 FgngCSELfDYGFdlPFId7trYfc8+bSLw2PchOI4bPG9hGdY2/yKKTJId2H8kIu7abGWfLdw8W0IdI r5esKcrRgGN3doO9W6QASO3zfPRmbj7NkTXGzgMEbVVZOa+bCsTDhhZWeIWV7NMSkGggfClWl88z Gk9/oq60cGqhYul9nk5NiCZaLs/4i85KUwF3EohqReTZ2Hwl7iomuPkDYBzM7Kjy9W42OY5xHH8C pFvijxQMptQ5eHAKeyEgjY3kaw44BUOxj6px4esrC4In9kto02J3EM1KQJF3gGoKVFcqMUiSiY7g jnsuK5FEWIiXfhumB1I/olrPLz2CH22jbupnCFaJ6xIdMr2yRI7TG8zKV7BQuRdaT2nUKWTkjaYQ 2dOpT8MECooq8E43PPZ3kNE9b2dEpHiEgd37SeizInUF7KGBUb2tczfXdjyJuQ4AwYNPLcz0LwlR R1vMHTrUsyB32rQjPq4vSKSkpYzqjc49WYtehNa+dB+QUlMRCoYcS1+CsFLHLMGo3n3XU87V6Pht pg7Ai3PTuzKgIMzdvvEpw3pU6MJ8jxw5Y1hzD0PPOnO/jjisaDx87+n7sPBXjdU530V+SU9esVqr mcune8H06kEESU3/6UtZKXDROskiyZe0tr6ZrKXjkjl+RutLbRxpFw+nqGGLrWUWh2MODITFIKb5 urIK9kEN9ntcC/l2XASG5p/MX2jBh31slGYe/rrakbC4eTZxkgANmB516I31YXQ1HqD0ofjCxafm HDIC0Kj9l4c7fiLm+Tik8NWQJyrJbo3rYMfzw0NfuxEZijaf676/TqeGXk6x/V3p75B4l+BT5HAl Pt7K1B7pFTsRxCWpsq5dctjSGkWTGXdCu6A5hRqVaczkEXIfeTlQI47HUjd8yf5YVcQ3nt0mVRw2 jbDtOPyRdXuO4O9A0vqVlTGIszkwCgPNMokXMXCo6ZNiVBopUrBWVCWvDG1RufDMQN6yAC3P7xS6 gdv9/bI3YHE3SC0H/3MG1rbUH8Hd9p2eOv+/knDUE89xpmU1V1IBqnQiCLxaNgeZyPC4TANzsn0R qanGt6usDUll1OFGJQUZpOD3LD8hw2BDZ5iMXV/2cGvvVUd74TvU7xUpPZIreMq6X8mMKWXhfdOv /BLel7Z6Sl2W8JiIcqvh8wbi4nEPyZtCDCPRQXzdgipbNYMTCPMQy9MJf1ZkZ42e2RBc2wOzk0ei 41IMAFLtfECtOR3EKaulIV6dY2UtO8e17dFgztkf+sgON4f83ODQr5fZWKe8iFIo2ezsrucfM6+K geIdn4Y+t/9QLR9E6rgInlHDDy0wcui5bYAmFRAc8IDS1pzou9060tPkJaFZAniADJjE+ZkE7FHB rdyXEgooKsuTvuyfEaS/gFrt01doyjznaoU9pgKRfghZIYAoaGaCVilI/JSgJQgjnVO2nA0pdHXY oEv0OtOOzLjSfLGeNLzk8sUjUy1wjs0AWdurd7XFcJjeS5xCni9paqS5FwWIh+M7f9qy7J3aA+dM XktKd887wFeYtCjHM2NtPwWycgLRFDU6B+jtdbR2h2fI4aqtytCSNRedMlB4aqM4NuY5LIMTh3rn UKVru3Ke6AtyAQ+Q1Z+w4gbJiGOxuDUwJkxFqrlrDjFl99A6Sy0wHYsukxtZo8eF80X3mAeQYkMU kCms2x8yLAjKOv8gtp4wGEOcq2c41pp4JB2tG8X6pUizfpQ63AdBWwQFRDC5ti5ZINhNEqGTyerJ VI2cHIMtz/nXFXBUjxY4mvu89wTpDXS/s0tMI8bRyBQLdjDbdWRHWTulR9T1O7f9RYsKisnQfMJ4 uvxXmJL8XEclA6rduQDwCVrlmxmi70lxvTgbObiu8zeLsPUXrD2MAxaq+yd4F+0gDyQ0zZi5hKKq lmouWof1qzRF6GwZeVA3/mJqcCU7FzE5aZENRsYFsblEpwDFFiUhHCh2Dkdzer3S1Vip/rI9WsfB QA9czfMPacr3LXVRqsXkvaLy6Uu9IuIpZLgC8HVHB5gRxrcBjvNflMg+diguKHCTgy7jA8L8cJWO eOSFYXwknqYyfX21FNF5XydToRYAWNBW4Et+DGOHt+mxyG8rGuFPQVu3+7XJw4VQ9zOQhkeu8fXU akWqoO63q0ViyyZBVM/KbJuC1YvQTwCAp1gMdbMwRpDSmx93iaAaGK9Hn21l4LLmyAoQK9+A/y2m nkhssLze4Tf11jh5jt0zkb5Nk1Ayfb0ufy0AlCno5KUT4a6QOMSytZYtRJoFYC/k5bHCb37HwVJH nixpXMx/GHbmNXhiOXHNy1k5MiQdnUvPAPsEmJjihvCOEfC4T85Ww5l+Yl5Zxb93zfeMs+oiSSfy pnNSL0vGyxD3uRaqFFM8LBPjtV9r2VyVyfc9TE3lIKhKixC/LqBO/WoJa6iU2GOVlwZa6wbABnpl DQf+rVpBLgVw9RaC/bxQ1WpX0j/htCihvI9AVn8Flchhkg4yrSyCt4w3Vokf3neQ1KYTGsU87hbO g6sH35PMhmfPPt4cE7VNRPjHjFGFqieyE1vCsgszXfaETxPU6vMiWT6t5cMKLUB2ry2cFcgFxw6S ahwtrodITvzPeb2Wo0LA4r8qeja1AezlOfldW9nBk5U0IwOLFi7qMxHw+n6oZ+P0g1fBpfepC5Jj m86V5UX6OLwzEzWlVq6AuqUk1mr2Hl/KLTOooYces/zQsv2zhQV8Vl+okrW3mSH410BNMD3JlDcS PFxVfhMdjdDl+CsAPxgo57oOk9AmU3V25s0Qg4cPih0cwSbUFSyU+WwSwMrX7vOEpuNHioIA2115 bhWcZ7Sw7eFK+QrxnRmumNHPrzPF+j2+UoTPynKb2LntvqfmRcNFAEx5FqI4F/raj6td7nOSFGXf OJq4LiTDLmY17S3SWtPzJhTF1LVgvJnDo4xjuOKe2ctWfo6ENrO2jHHG4ifFXfMSKBL1TPD4Q+j1 w1SN6DVMmvBuucIpeoxUYcc5fyd1PrYGvoERl+TfR7SruBDAlDZ0dc+ekS7ApMp3mv6s9uVyfllI goUdGDYabrbtEMmHl2rTWnF/++dinf/OtVtLfJ7V6rlgsTAhqSar9T1Q1sU8nn1g+mcPJnobnQoZ ttMKAdSVnwY0oMnQ1pG8VxZlg82n1v7iRjaAfbORfiPOVAbp5vkUZCHFamom7Um8hbYPyd2gHaPW tVxERYKd9sQH8bC8wV2ZqAkyr5TFRJoZgg39+KhuzOMTY/nqXkH6RKHinDoKHoXQa3VfCqQ417i+ 2US6zIbex+btYdSoEGYdXULPukS75pX0UHjbPE08z9eyGaf53bYl+IzKE4BW8DdrTS1CI4e64ut6 EYOeAISuyu8Ehd1l094+ONsUKUG8v8BzgzFT0iagrueQnIrJmq7x+l7ZeFvFWhjDAJX5OiW2JJBd mqMMdVDByjoQgRqMUYt8pCkAGhbK0t6tKrRtssSATZQIT6FQ0cp+ViK2j/fegvumOL5esCy7Q0bE 6US7Oo3NTa+e8sZxPnbgP7eNNKltxAylTV7alGsBv+fusWG2Yjz7ckOWKjxy4nn3aPoLYgDjWjNZ luh3A0aHmGnK5uFzJJtG4mu+FUjnsq7pqT6w+05agwZX7eZhjCcGxdCVOZL8nhoPswPRGCeY5Hvw AIQMKy30wKYk/aO2Mx4GwwBjITnixALE1P1KtTRlNJv1JJpIp6nLT5CLw0//bdyF6mit/1IcBGU4 jtsKhvUQieiIYnh4gDR8hkUVpKUg7ipKktYIy78U/khLZW247P5Sdlo06uo5iV3gwutrT1o/Nfrk xE+ayXQTSwmUuy7YUKMWrW0ZW+oIx6Fwg4LWWENYZiBBbHIZ7I9p1ViA8NQjHKKCQy8sTULg/kdb arxLUHnMTullrQ8UObtvHjlXjCvTYVFzmbJxFXdjLvENM5QdJp4JzfVkKeRjSPhowh6SnRR3sI++ jk8/lHScbTCNepbxpGN3wHLcyihAiNJX3oP+8jffF5+eHpRVZMW6HtsU7vuZN3hZs1tfLxozG8o2 XVdIbjG1nqv5vh6WhMkPYdkRaBEqHHfOkYwIfjaHmFcDrW/QeYztiHYISBXDyi0ZiH1N0PXK1AI9 gUWl+lWjSAmbIYh/CCr5/H7xIvaWaPDeGLCImnWzhb+f7f4MzbF64OWRYPQPESIvmWwdyruVpAG9 0z6X67IVm+C+bjS/XoOQXftGXyT7hWTGOYFHfic/f/JTFQEKZcOVBSrSzn5K+A6kTikBxv9Yl5uA eKKJPxgMbPmFMnN5mOWk0UEvcibbR2dxttWiU8eDRFDMyOa9DL2buEPaZy2CP3975TtRa/bjAyos yx0LVMvFHgWjnE1bBCVNmjPgA5vtPkuHLuU0FehKh1zTDnFnaQ1j4R07eK2HtTS5R74CmtS8nLeL I1rxODy+2Etb5Ea0EGxQgWk0XZUq740Mz0EneIKJBjcVCHFTiFfx/ba6uVmIxf/XN4kKJ5+rxvfF PzojzofLrw0ehc4giTliEtkGAFVJVNML0FqZaaN/Apvn6JN+t1VT/j0gWdorVi8MDpCkujxtB8n+ Y+Mgcyu2en8mtG34aE9W7LDmYtURgyxaH7Ek/jJjWHYqiG9Xou7x1+SQcB6Hyag0mMIevfJ+vJ/z 2JaANYRrnXPJzC4C28/9w+0EibzE2YVjDIzcV3MLBMCiTKY7W2kD2qn3pybJckRgmQAyr9xmJd/+ EeWWlXMb7RnAcZQ9pt0olo7FfYjhWkyrJI81BCzlFZTKVJAo0vM458KJj+cGvHRzYzIkPejdeBhM lt1Pzp1wyPhyAqDNkbFMRJbFPU+S2s6T0VnjL/gbxI75msF3bPW+NCf+t1d0KxeFcMB1vB2yjSrU Gn0gqaFKGpORmd1FPphwZTYumN8fG56s5CZ2bavFwixRbWvDX2MBvgFfSvAB6qxeyPoOVZ1r0J+i a9uh3f1rSCQH6Io9QPB3kYFjRjjwVb4LF4SteSCGGiR0UhIzQXgDy5pXepmvL9DM92l7FYPR4+Wm IP3qEM+w2aE380hZlvH1I4Pa/I8lDO7UiQ5P4PkMX4TQmrDH1KwXW8S9Ec2fEVlBQOZe8I1+Toxj QIDDQPKocZxe8FzNqz/75te+vdljMA83o6FpIs6bLX54F7tLH7EIJwOpc7Tt3Fb18F/aUN8vurJb unQA3tjVtNaLUT+j0ikLTQ+gfv4BayZbrSmHqmJdOxPiR8Hupqi5qLDJIhjNB5sz9YEvB1fzDp1Z /Jm3w2N79gEBCTPlVmi9S2pzinnHZQ/ecATphyn95rLBqUDx4c60KGRGDvSDVjiIoRTOd6zeQY+H fjPjY58Jiqek+LkUEeZ3KZCY+yWiloY6G/8qpBwKxma3vUCKVWdDdK4C9tnZg3pPxMCRtEwLBHo9 USoHUa+L2FngwSh1+eV3uFti2Yjj/JzYC8J+0I5o35Wn5XA9TvOQt1zS+0HCbE32CfZKyFKNncil GSK6ZLltEPaQWI59kbWdduo9l2eUEsUDWLALTPrkpgpPjxANINvQqBE53WXu7vG1rxf3mJQOOrRS r2d1gyheRBGRLlWc5yIK78c7MlWx4rX4rG9oCr9xAodcYiHC9I+p0qoFLDrteq17KwAJiVEBGvBy xQAabt9XNTx2OPYPjMSjeX2vousR0jJG/vNND/94S/0ZC1zq0tsnK7TBKgFKFLDCkB68YLl1cqLx 34/Ch2rj/V8ljFOiamSMutwQtzq7afCopcobZ40BCybGhEe2XvYghJxCp0eMg2QJ1Zod1cwKrl1u bs94hwQC9l3dEsg0320zQ6Ba3a/gmG/MaQeHLf8d3KBdeJMZygK8LFqa6Fa58PhKa7WxEe1cxjDj r/l3ViyVCr2rg1Cpcm5+34Hkkd67zObXU3vr5e/XVvKRMMxSxoduQt8eLrEoJ5TavRkafxPeDuxc G1FRiZsGGoN2/G2ZtdjRW5TUFawdtfa9MkrauToDkN3IPmR4uJ7w4gsUT5d6WYhnKp8nlab+yrcV 628c5hkT4mCH6XyLrWUfOHHlgFyEMP15543DqaiVRaW3i0PYiOWaynoXe/UbodVjKLAcimADfWWa 89/uvg4ZVXvIvL75soj1D73Lsfb8b0THlccCun4MnpYOSR9enuTqvkCv4uXR+Ltk/s0l6+CX54uk YctPkfvXGR5+YeenbKZNoOG0/cEQ+5fJKt9cO/HTZhZAYrNqjfaAsNzBowXdfETUNbgCTdyT2tiO 6bnVbJ5hDEWtbnGMi9iiOipsdQFzgKZSUBO7hTle1lLiok2wo31xf6dfMh4iaNuMafDxtzxfKgZp W+2rpvr8BHiwoCJpVRw/Z9dGFF9OZiXsPDQTiGTKGfQPQmwRTQCXJGLKCm8P0Cv5bnKwDezbRzlM 9KmPA9JhpxLPCKvL5MLqLv4QpmxXV+8jv0B2p8xbrHaHF/eRSwmN9b7bHeM6x5O0LWXbkRw3gc8l t5zMhRlQPfpiviev8NnkY75A5LstUfMU+sjVgeeJFbEnx/7QcemaYwVn6jtqGVaB/FUxCix8IMjC qldOLRc0MQGcKpIMX9lKJaaw4V2x+BSQPRS9oA7TrttVlM9BBP5Hmf0Cdj5sY9Faf/wD3xqCj0RH 9izSLyuLZYvgbTliOE1hwKBtqsPXaADglx5Sy8AWsAaN2/t7Fdn77NZzK4+6+HA0hA4J3r7/l6bv xZDOfqANJXdtc873+ShXU1wg78p5uKuVU0Kn3JqK87F9Dwv4Xa/X23oCRWFpvXiCubqb853iVMjr hK9PMXXai/Ph/T4M6VU2dj9ktRKLx7Y37KxY/OL4P4uBjHjwrgetPezZtU//S/OCXUd0ZVD3TxxR XYlLPMN5BNPNWpmHrlmRyacK/dc+sF/RzNCMdoAiZyUoSbquDOckwBK0dXnh8j6mGLdFGzIKbRKF Lw+0rsISAhpdu6xkaUnJLuidCTEchPxYs/3/fZXeurzOpIBeUzkDXOSuUI4Rqf8+Y7D7OF8UHxZr 7b83nWSt9LYYFFit4QklxZZdpyIcverjjZq8GLO3/WSZt051nwdFy+h4IhNscMKdz+mH4zsYIBpE SQaj1QPwxyPirruEI3wOO+Ve1pAkPe9v8ai4h38L1SuGwq1fmldOhp2cY3OvHIJOpcItvXxjIjmc i5ZwREWbbrDHu164Dt1T0ls78e18TuPL+aTG6urQ7V9UJ6K+pLG3mEMfSdOQ3Ji6ic2EtB7P3VlK Wq4x0CsBm28TAN+s3xua+QyIWO5Y1fA3HzzsqMl0uC5b4xQZ448OHzHGcT/cjaZVIdZ1Ab3GRxA0 KMq30XgQg9IWyxWkTCOdrctuwRpA4LOQaaZDelT1QEyjTqEL1jMcNdeBf4I9a/HZ5ijjl3Fys3VI ZXxYElt6RoJk3MxREiZ8bUCyhQh6AfQMYC5xKR0hm8SPsCF3YcQr3eM+PHwirbpaOKl2OAgtAWF+ ATtflvihWDwIe0PKksqXg93puXpX8dSDEMWREni1BgUw6UPww7nOApGrJDIoQtfxc36m/l+Wt+Qm /4HPjGAhFCMzjJgKtYOfm3v4TTrvBYT8W5WyEv3bGiWL82bqFSxaKPJqZ9IIeV9ica1MWVIpOI/S a8kEwICf76j9BrSR1R+8EJE/aYdsklmZd0arTfev6DcjirnSt7ZaqfcCLq84EqgbPmZu6Wo0brol jA4dxNDcgNVKxJXTsoNIrzoOoknYnBeoie/IwnqGxOU1ks/8Ga5oLIi7uExGOUBZ26IXXtoihAWo EAosAc30UufUTMAQKzoHEJkSkrpTZrK2e7YjjCPMfj2xTjfbayf0/gOZgruxvT881IvNMk2vzAyU FYPY7OTYjRzdp8Wq54ImTcgOc0R8ZIQamfV3HDy58kTItLG+MLTrz62MczxOYkYQ2rlt233dCyId /wpRQE1muxgFei52dgkb8Cm5aUDoGSmkBzmWzCCtOQBX3mGphE7FBzCBpZ4NUdKUWjsaJv0equAW /hEEhS3sQmkY/JZ70cJ4GspRqF3yNdPmyBUuCqN7LiIQS0TQcoU+zsEOQuFfYnSS1C8TK4cc4U4i hYrfyxNgF9676Z93a5QW+DRp1GnY8wm1gk7KUNhaPXECbWYMXmpA3hL/2N6W+pMXEZA8gm4JfW0m atpJzsJk+6ssAa8+VC/6/dgRbT2ZC0Oh83cQIg9oBgmXh15hudfxSruypn2UbXzGdNB1/r4OEu3z ySEss+Kk7A1bo1ujTzsD4BeKjWwfTNFCS4Pi/wqoHyxLAQFBXj2g/usMe2CQQlWzS1eRS9wM9ybc 8FkyQ8CAuDrNUgyQeUzAvXSCbzfsUVu8Mn80/rsSTR0Mmha89JXcxPFgLGuDGAgO8jGyF9kl4v2P i8dWBXYKVDRGxBkRE5Pukjh1cVvfz4xrS+D1XBPgWuurL6NaNAdI8p2Jw29MQMj9oU2hHCSXJmrt QtCRWHFSPcRdMn7c50mcbStUj17TPEUxz1ipyyKZGuWPEW5CHJa64sEXdXcnsPIlxACY5MXgnGrW 0tWhPttkCwuqgbaAhvtKEel7uZ5BgpH5H+3kS9/1devhOr9/oh/bnB1UxgfFkwDixX7qZEV8/T8P dD1cNrZfRVdh4sYp6KfdDNciAHWgEAZtf3JkOsbTUvhJLUKHKFRnnxwM2yjNhxLUZZb55e5ad/fS 9KZnIU0V+AlYvfgkTxx0+Beqr5+94nv0+VObgmnDK3x/K81BQwNiEKRhVOdzw3Lfc8DGin5OytEY Y4mv9kbX6xXt1bz5OjgmqxSHZB0RLz5Q1EdkgpndV5r94A8fqgyeHxpimKpghxeWrG+Cn5cU1I8B W69UUZXgkc1yve4agyFZWigPgCi++GUcF2R4UGxKDTKxeYKJeip+CfMEm4QabmarKNQ21/S5Ixpw 9/YoEtcr+65/0s2EHOfASh8bmpvzbT2aL4YYpP0fqmDXy565JyCGnng9cqaBGU9VyIWmkdvPDhV7 +ti1mvVDCVyzaQOheHlIhR+3VOgmcHmNrYZgyqiBLGvfBp1S5jPL0S3ZLEwTZWLdHL6pZCqEL/je eco1ms2FAPctfH4K0WmIKdcddxLuZGOMzboFPok7btkEfwG4Ik/K364qlSalHPgubnzeqdSQV4Py z4U0iMjaawHBHZqwuHEYNZorrhkLNdL8Xl1qUHc4/UELf64tmT1mQz+cxDJrdLltxfQhAzNXd1/B EpOQSRFHYEhfAsFw/mDN2PfM3gDfd1nUUbTyqJ5YDEH3190CfYcI3bJW67bXK0j24kk4fi/OMgqC 3W/QbABbFUyMm5uuOMHcN/f6p1fX4Z1uSVpZCjJHz/3w++wYQrKmpfVyFeqNLjRg3TUtEVreEdP5 9EXsFYPeOhYNbj7ERDXPe9V5Wx996VQH+ys5oCkYk4W8THp9VDoSuaHxqi1PJCVpG0l0sCLjELNH ivMi7Mj6Ct3bMLJ2E04AftXClzhXsMy0l/wTYhLyY6LexT6hcQLIvEIlzGi6xB+ZKWzlISKSbrn5 BYNoNfzuM1+yj4nFQ/qU5q7J6hyD3ZoGnDpdpVzFbtKOoePYAlGQ1P0OfELW9cAzFOw08bpq3f/T +2C/PQgzmvak7Pb8dG0zZdIhLBbKn9OWW2x9bMcCLfLC4/rBYlcKiQhY65xFFkhIdEU14jFp5BV5 1usKT84PsgcmA+EXIhUQk4vlX+FKWKoZOnxQ9WARAVWFpWrz2SFKCKVfA8HUaZ6PAbCsJEarZ74M rymEJTjiypH4Y7ZnuWw5YNLhVMtjmMJista2YPdGr6NBodJXhrFgzJ45qpVsd5oFvc552ZJ2QJE9 WA0/Vhdgb0hNRJja595QyNKvngJbfu+eJVZPrvb/WcL6Sl6XGN5FhC1deE/GDUmRU8BtzNhdUwa9 nHL1U4QagJV1w5+I8mKC6Wjmu/+7DHyew73Tl8Q1lkSZ/M4H6ZNlhFx2IN2ebWGJ8uhtQC8Abi1A /5JgpVHr3pACdP+y+8Qpr/PVWbApZU6l7uU7F5WsIv3H+ttEF29oQho2jFqHjn5tS8wB4ROmSJu2 PbirxWvTv7ZUrL13MQsI+2bz3TY15Jh/BT5h+9dEkr+4wHshq0HmtNh07qJ7py8AsVQW1a04rhzj 1U1wrKQC7g2nHvymMUK9QyRlKomfvo2irHFVEb40kGeDBOnx2vJupG+6UYSeAz9HBiMLU+os8dNF GtJlT2jlUsjVr0OznOrsPZXECoJI2AvCME+43vfpcPKjqC4awP+zBi/ugtDuDyeg3o3f/RDjTX4n FT3ISs7BQ9LNIcIhBd10ucK9YMNyYpfA7Mm3mN2+a4f+rSrqAlwb3e6j7RFG0VpclUQaGzCeIE+l W+kmP9en6AxSIZs/tic+bK5hHrfPdglp3TUgIJlZixDVZlSnNIpB8dYTtcqJM7/5IUXOZ8Cnx59t jG/qNHuoQ4dNmUjLMHuTqtXUqFliWSvDYHe5im3ml7U/FKEFqkjBN7Cag2xBkU+XjXQWAz+xPdE/ XA2/91bJ6ykf81xCtBZc0KkcbkyhLoaa8GvPLJKWyTYH6c2LszmG1QlipBD9UvVPcJMdW/AU5gfp PlLE7ieblh2G6TfquMIO9cJ1EVJ4DyEyQxnFYFAq9zP5gaVGK58sureSrtjDtlMssbOT9kdLh3ZA jcvVmOL2DlPm2iU4S3CNatSGW02jS/vIqr8ziuw/mluEMu5k2A+mx/DMiEJo2Uoq3+F02sGN3hjQ 25A+61cI5fqEyy8hxt1fq4fop6MB8ZPSdggt4LXNBw8B22V4Qqtq1Z4LFRv+oG5KQTh5T9ZF0zmZ /H0H+pUqerO13QZa08SqebrkIXP7TeVqOmBeY3TqapiH/pn3n+f+HAwXLxPOMQyU/oY3xCFYypV/ dYMOt3ZdlCs9xRTnvRMWCGkcN2xKhC33qbOq29WfUBb91tOMfvnUnKn0hNTghc9R9/P9P2Ny+6Ft 6LZDWrbbZ8RdEZ9nlt09msSYjdwAaQTWogp6KjEdAfYuBV1Aoewny8koaz6Rko+s1CAdOxnhQqDi m3FYKdrDC6GsCI5g1n5QDD32JeEU9e+H3SUeBmf9p0gBgMZRwf1I6FieEh0YmwnOA08hoirPtGS7 SRn9QU9zbZ2NyknLBsM5HIr2F8/l/hW3PWdqGyIo2JEhBp2HsJhyDpYC8r+X4B4qKLF6A1+mH5gv UmsYn8ceTGYO9hO0c/Q1ItzGbjunE170QMPGz891O1/lofgSa4UBRSDLv7Bg44nQphnPaXM+4zYK QvXDhwZ3KphAeoeNpHLj4v6ZU5444CtIPkbBAzVC/tw1MekY1ZpUuZ8UlNNKz0eaH3VXagmE/wxn IWM5y4jG9LFoE5frT7qqPcbU2dp811D3ghytWzveLV9u9idWrikKxhEFbPHwLvNp/uzBG0RUSlzR 3z46P7ldng/eg4NTCgce+NPiIAnymGpkYeuDYesA9fTbfNSvThRhCAEHIj/k5zK1VimJzNApAb/n ByeYn6RJw7uiUtF/tqltXcPLKICykt33+wOyHtuVGMtE125Iu5S+4bdR/uq7ceGyqcluMpmAYfrB mD3uvSMjM46eNms5ttSMkUnyu+UTUucxU6nSTR0uXN0pcmYOcNxaq6pBQn5yO5bs2aqkFv95CGLG IXmrHySa/K1eAlnaolpqq8yztaZYRZtl/cnHF3WudnuULSRWUGw1A/WDU9Vcc0NaNGD4j3aIZxvn c6s4CP3caqID8MtO4xzvmGWGL6BP8MoXPXUCFNhsOkuKY5rvheaxbfGalS2eImoxdHvJjxqgFFw0 1CAXNCV6UMviTs2VvB9MIMpXxptDRSPXW9nqWL1pQhHjSNRGL6+1d+IBJ8SgUWsVmU0bESjLZ1Vm KHiACllvsMDWY4o1vom8mz4p/gQvqKHZjBWMjUlv3rxKYwEBnSK4CH/pgdYOhqWz6+YXP8yeZb31 OuRInCGixorsDPamYB9I5GHcQ0SiNwhA73B3Dm4DQAz8iJTcUgEW6b130HRXfPJWFSbhRQHCBngK Yj47KQ4BMXtNzH04phJ2DGAb4PaLUKy5S2tINLXQdNvKLXnqKS1zfvdx9/8GcTgZvdLZLnM6rEf+ L2LibVeYg5mY5qVvbJecdbyAc4enW8at7gqXpzo4jjSVF5Vl08QKu6yepzblYQjgrFxPIXDvPsIh X4y5il2UMQZskDQwezPWcGGH1F+GQ6/Ssa2Bk59bBoWHNweCigcOa2c7R+vf6aSfI3IqW99Hf7R7 0K6kv5ffP2+xK3ziXDL0TUQkkibz2/M+/zkMJv7T8WyQiXBKk/qyM/mMJ4X/vXND7MdJv1KzM8zz 2M6cRwbB+xRDtBYlnyOv392cpvvbUk7MsBF5KRLbzLVguLymp+fXlBbDirw/6t2fQfBmzs9ZxHNc Buer9OW/bghYwdARugpUuKXVQWpvGMbbB93lHw0V1lhcXYjgVx+vsigNrJqkuxyrktx6AMpVs3K6 CdS4CWLeZzqt9CsnD4S25XjOc/y6XE+7I/CtbIlL58wvJq5/z94jamKstvdzyN/i2KHfXAzu06x1 R1GeCJpKkzb85z6TbSlN+Aaf1SKKFQnNIA/w0kP1v37jbqorkmIGUjfPEUCObMEeULZBXSKV0zWX vGhWSNL4+Zw+ncyFVmZqVazOGJ5zBylc0tx2mpAQsu4QRbXlv/6Wc2hs9vkKJQg35myJg9tqLFGx NTaFXGtN8G+OjddC/yvVYpn6ePa/nqt0Oqrly6jJOgnqfEdDtxSgU+AwnaSHsFHCylDzCzRjzeE2 knXYOpej0l3R4I+5ekJV3PDbUS/R5JI2rP6i9HtKNdWFKQKFZv4MQJ8sb5YEKlZ2AAEc5BgJK0Pq Ylws5E+/dkI0ntcwjm5Y/UoEyrzFIjc0Gxfs8decvf45o9gzYIW+UqssWV96Riy7AMSMCj0cRVdv nI97KQOx5PKMRpP41mlTBRVSATl1Iu+PLCRi1lVqcZXXcRffQ/WuZo+pJZ6UzwD8Ewe+KQwSuyJd JZaM45pvsmN0Ozu6n8Ok1E+zM26LJL5RoNuW9Y9xqvMvyOCyLNK2Y2pTBqCK/g63jBx7/iMIhw76 uWf2k3d0EdRBUorkxVXR6WHMwQ7+K5e38er/ODrFDvbMVGruakxJzf3aTIBdb1rVMRogngAK4yKk gyGdIzesCe46HdokuaBnPZcVXS3jenpsJ1GjaoKNH8+d5/WN77d4NFbfIVMZZREfKvTGalW4vi5u A8n+q10WzfFZK1QQZEvBjQvr+ogw6sL6A2CjPsv+80mmPozoP3um4t52vJcP1ZzzrHkx7PDv43F7 BeTguZrRbi2Gb9fanYK/7JWtFk9gFRPGhj4L53w4CnrmK+jvVmHHAF7/+2d5kAqwJ5r4bqGiUxwV sHTIIAOolOOOc7iNpfb4YTP6q1jEPS7MCyvkIJ67EAB5V++LVRZ9Rlw4Ev/3G8O7hGyNvC2uji5G pznpvhOLkwXnB4lA7JtFVXe498s/i7eEzxwR03ocKkuZ2hMmFJhH8VBzzYfIjORlrkf5451L7kWa G9DqtgQWXWSEdJ11yDE0V9wkbnh7gYfS41ONVhBMkrxSi2ghzVYg58dOEUrOi3pMZJFnXZStYz09 5Jg9X54gp6EGFqgGsifhqzCkBrh1E1WRjCmiakdsx8hqGN5ML+TVoSYKWM/UVrD83GaFquHAglxk SslTmAg/oEBgD3Yc5vYdwCcjgo52bBUzml+GglaTiza7GI2JTItFxn9P7k2oKlecx2IpvMgdOuze S35XpmFuLDQSqLrs+pA5Svk/hTPw4LByQfl9mel3CO+oa+hz8/D7yLzWd0v8uDJadQRwz9gFeRVz 8JbACQ4ov68OGNiqPtmjqoAtGRzI3CzJNft/E1+ky3/hT6j1yQ++7PitcGvhxTFerOr5RGZWxWKO nIF7C/fACAPREgfch0rhKpnHbMNSqxmkcpTnwGGcIi3mc5+3bIR8LGLMIBGowZEnuaa4rEfvZ2Ri 7rXjvqq3hutUmf7Xlh+KCXdHwAdMQc+9WDQ4gAFc2xi1edQb/ccMnQvNybJkjBbmlVdV8gK66hNg DWbxP3p5gTGSfbPnmurlLm2tU0LpoqIu9AOOuHfVWFlqjLLy3bOc+rvySgUFrjNcXRILIoa76NoB 55sllXQSrjrhomamuK0zLHVZGhVr2hHXHqMc1EG9SeUOLEdtEu3TOAPgL3vaSa7g/mBBkygtF1Up IdrD3LogFGKfdZd5+rfYyX4tT9Lnakcv/x6WUPBoFdCjhUOxYxpMBs4ApU9UgHHzUTltejKqsxyz wuxXZRiCak5rJs0eG32qAquq/pUpQ1QX10GjWG3ie4ioKxTK3kt9ei0pnOmylE+LDg95xYNYUN9j kD+3raJBkE7WlpvSbI59g2XUqe7+VaW3gRhDwJAfZ7QwMAAZfJR6e+WhyHQ00pL+2seGE+eqtCPD +lxd0NtknBMwUHn0q3I607CS0BOJOO1ZQxPicWCQe3UkmseIdndtwQ4QbbiuuZqi/FtoV67taa7c PsyE0kDIu57y0UWE7CfqEE0LXD0/j7TWCgsJpJIXg3iGjKbpC2JWmsrZObxq3cIql4o+nat04Y+C AXjW8109CJCVgM0BZH/+V59Sm55RvS4kgzYgxUFZE9PhOuhlnpTdlJVpvBKqSvN7MEiIXVvl18Ab x43feJb8dzoyo6sqGA6UXkprJYIgIiQUm5oNxjdqMiq8jTr3cRIFOzYsSKKWltWf5SwByqSlMV5Y Lx9kRN/l7237kfch0PmkqSGm/PKOVvcRFvb/NBt4ipu3ssxEQQNOPXydmOC7yHDwOQAQw1mRF0wE v2EkJ9X9wWPldJwnX977riT+Bf6H7++GyjdVd1aHZL89agT09c6J6ezaes4LTI/OYhTg+HuLuonW EEyWlWZkGZrvkDwbA4cGt0RWnLgJCbTKygew9mZtd6VpgoZ0LFvPzUWKQ1HzNrOIhZT3jUsLAESj O3cUORNPeF/9KJalEDlpRnZvr2AUX9lIrye36E/B5jYEZim0o55WvPlWooRs2u5ulT0CaCdChdZD l90K8XNCLOTUHX7zCzM/itYqcmt4sV3jIV9Ree/U90ORvnGkM0pYBsqGEFVqDKE4lo8IL9TBPH8K R6EG/yyfg7YPAtLRjFSXP5vgY7m+XACpa6sxbnwvReZaMqR0OXfs/lRXm/7i31UQ1bZxCa00d9uU /lso6kzl+57Nov9azLEQ1EjhK6StUDyWjnzc/5AfHwPR30s146WaraDmi6kdLU5jzTftx88pSnEG u5QODOUggHHIF+k6hO5FYJ9gNzfqwtWzcbOSQS7nLVnxxaw2mH30ArTz2+iJZ9a12L9Fw4kG6Uni OKVCyOSEVSioVAEfMYmqZxh1KppyO/PpsMqueyr6aE11AvOhlNMN+gVfqHi4XfWGuVrEcqJeB1bR bgXOMepI18htN/FhL7/+EhJqZceuulY+WiFtebM27Z3VvjIelFrmTRuUFv6APC/XihY6VCQuG+// qBdfXGtfLMz0AyGm3WOLoJRid4cpsyX3WE5wEU4knJzmBJQreZxKHAHyYlWRqpKjRYbV96yfPYJ+ tKM+HA8a1qY+9recF5TULIMD0C/XwqLuRPBD6YkoyCnQF1p7h0YvOuFOt0Gn7HmPaV2QvMnucmLF 18jm8R6q/SookGTh4tHMJ8BaYLUnVynLSJ+/NICVxSE3Vshp5sr/Lq9WY4kF64/uYxN3IzmiW079 95tYITUnNLwxJXdNxFAI5NEtyCGHOpiDECprvwx1RJJ1JW87ij6FQmRU1b/V4QmhcPSf7ZgKlDsG K9aTkG5ULb/wtMMF+72LpwQ2IPlFLRsNUQp6Syg7ULbhs3iT9l7ZBCtWwXdkmxBBsjmOUYU4glG9 UDXTnq0Zfd4ZQzEbM1PqpIGTlgqDJYMSBFiwC2r1WSbwSIIh18R5/mNmtHWlQj0RY41ANMUhSLFG D7Ay5RL0y2Bmk5FXlqNfi2V5moE0dNMO+A5n0JUNKkJLAXBP9oY/X8WSNRV0Q1gPhw5BlHQsNLgq 9jkh7AKaTDp6ZooBAkkUDY0bVGei2t/iCDKNL6ulmDatT2DVi2nRLTRiaymUoRPXNWETzVPOON91 5bufTbRyk+nUBCA0JAGanWOO5I1MiO5CxdARpyfRDvWGTTwRmMZFOIa4ScmHVFB339NtEcVuk8wn T3ReH7cy5TvhTHLq5mOB7pVK2PEtznbg9GMgleHsyhimYlzKUlhShwgOEQ3ad9VK7OlwtKGXZZuK gvF9C6wG2To/jjFuCM6D+y2WP7+4JDafGnUR3wgMRDCa6nNiH4tu5spVIWZRgX68cD6dsHX4vrsC xF5NejeQ5M2Wi2+7AGEdIM6rQx2NL/KMTbmLv1k/2Ue0BdPqka3iKrC3LSZvof49MhSfN3iCsLz3 0PlIfed9fHt1L0K2/L3ZncQAv5xVm/Y9FXDnGsm0qQOsOVTZ4NwhXidUl2W8S+OGk2HoBfp9cHlR UpKcTW/q98wEl64fZ06HOkPXbqLcz5G/f91ALtiSTmo3DnVTibDicCXwxjIserLDsCJuB0SzZR67 Dl3igiB5RQcdQ3hwBWTZI1j4D98EnwcZTa3b1vWXn9EDAz31LPjMCtXXTvrm+cu96XPST9JbxivX 7fJz4RRS9WCoZalQEJj2vgVSyKUqvPjUs3kHiHDN7NwZxGdfptHkFgkavYoaHyLJuVDiJOCmuDGE RG6rzhfRlA4LEd7+OT4vN2smUHYSpb4kFSIry584vADqy1LPtH5AJvoWXHOyxLAIPcYnG8kqOYCu /5E1HX++gAcytJ36rxm5pC6BqspeBAz1rXff5XbdiPnFOlOiha8xHYHd9e47zE8tZ1bFHlrHOzMb qSPXv/tUJvKSLed2WvamChB7meH9SrYt94M41vEhffVTkV6txVV0RfcEMkrTYpUWdxU3TkuRwLEc 0TcCgCgcvOBLB8XCUxEQA2tRYI/5KmSi6M3lxTB+kgaonCBYE8zmGgDwPU7kvARquMeRJso7RI0X 4sYsmbhhVyYu3lnL/+3u0EsyP3YItUIYz3VpNt6JdH1aLpEBFVCzWYlylc4IVj0TOnqzHUFHSmvz +lSYHOlPZDI58MMgfPXdumwzsHhZUxQbLeYQ3AeHidlUROWRMURUqfk1gAXkrNwUBlmGu0W4wxCO 8ksenf5/2jNhWJE1jPcPDjL7Yckna8mECqeffO6D00rGIkEaCj986l2JOspy8L99YOaxB6pTGS+y zvQwgVnckEzPsg1qll/Mm+Ms04JK+sN/lkp6lFgfV4Mb/aUhM7dMHybE4C8YJOD5Q92+0vh8h2zC yoyI1rwnJOe0NE/tkgL0HzQrhPcrJd0QIvDGW+JeXkimdGHtNPOc8bzyHKe4MPCV2xwa1nKToDQK 8dROD/SUsbqWAfojMxbpNJ4uwRZ4r3qyrTwdMbYxqHtW7Z3v61SXcAd3OpuoFegcJAZ1F4uovHIW VoXJIznMenbHST4YHQm1eLBveMs/iPfFQwGZsMgTsu+rCGGnVaKRkXWODH6R9B/UQfwL6Pfuwgo6 xofDJHOwP0BaHzKphn+Bb1ZrdjmCjB0hdnlV+Pql2hUHarUc5lDvGjSEzNmW2Rh0h3Xso604O3TQ OMQlfbWbN1wEYBpIXM7nPKLhe/SdAUbiD7r6KYwp0KCapy9dvMGHYWPjRoJIymEITxZ4UErSEHzO i8V1XSImUbOqnkW6aeCYNbgEazmrhY7j5SIOpU5OmsB4MMAfbGli4wMja1GnzZQqbhx68C+OeSYo ffpUxe61g4BsCvAqdqpXpmAVVbs1wWh6YpCwiFZvbpSLxtrU8vGiUtTwyVWSrjIhxhHI73DvzZMa 4y2MnZ8U21bYwN7OHuWZlwgk3Iy3/ekCrdjB+TagYwpjZkIfRZbTUTUUeqKEZ5Y+r9tuHOwqntFe jcnLfwFJvTW1Dq8lwv1St8Mgaej3n0Ldq2x3Qkd2ZSl/pDsrudg1ve06xu//RnDKKj2IrXpBjqqu u5Z/dGmkcqL8oyohoFPCKKN4fnJCDSH3xUZzyAa5uyV9S0PSWrzp4qaUtJH+pwo87VWuWArTwS1+ YR5KIHX6MHEnmb/Yt+sp5tTT/R2Ge1E0BIJZ2bVinILcBhkuSYJDpwYLlJCJgWBNCzw9Id0MoY2r V3DV3pO4rctYjZIw+Tx+H1kP4q/B+nT37Jm78KKckg9RZyNTlySNWnpAN0daUAw5yXplVpkG20kK PazzMNW9VzRLhgDXkLluzpu/VFHtgJ/poo/YN5GwIPBt0LBYdAZz3r0E854A8OWu6urgss5BkqAO CiN0ZPh9Jgnx6q7kcihybJA4kkS9uZpErgRfuetx6CJw7W6zi/d/GUUOsoxpVv+QvNM9kXwzKyW0 heI+P6yr4X8iw/DQxO4au2ZmINT/0Ux7jLjJLlFlscOExdhOuz/+VmX/m4MynoXEpNGapw9VCR5I UICyfMnyfL0BBA2izcylcWSNTTNOcC+vy2tnHUE0RaJpjBXrFdzpaoKYJBvdsuO9H7vrskxkhKPv oh5wuwFq+fnIHU4hIaDnXSdJeHJDQvmRwA1L1xcqnH0nZNqw1MKrwkM71GX10HO9T6P+7Epf4rMe r4U40QvrRixnQiphygUVBK0ioKGfxvO28WOPmipTFr4yfthAXpO7LM2JvfA/H49BPIQi1DmCTk2G e8DQLGUfRHj42oTJdzGhi2hzZN/6t1DdEUbSxm+w0SroV1wJv/6VwfzRX2f6dg4SpMZPKsfo87/R KN3kzdmM2Y2vo6383dful3nntc5mUhUzVEGM5mk7B00/tRneDat4I4KSqSS1GFV2yctWhagsumaf xMR/xdkTW2jGbHMLF2IzzOX38MvtDdhiwyVO3t4/Ch7FDn2BlbQH/tfsXmt82bJ1642loPgaNnvs +4nrIMPd8nrYuVOdeaTQr28eWKkdpNK6dssI7rY2cz+pvP79aUqftUoTfS03r3MYDTOdYPi5Sj2G 0gvgbpedOnr+YyTzoJSETDIw706PZjlkqtHqzoxbXh0K7RlP/1YiHifScyzLjAMuXAAXPtfjrBuz ulrS0iodOklCcCMtMII/uQrHkz16g98hXWGM4JGsOfU3osbA8ARq04zEtfaF0N2pUChnexMsRO5q edYxkkHY1B6XK81X14TVDdwBOuGm6DQ2Bn5/pxfBRWdMOQjT1unDL9LwvP+o0lvpCyXuIll5+GmZ lo13ujnCSf3+BR8K4P5L1Q5m/HREIb6aCD4P83InXZA9oX7k8DvtHafnqmaHlqwIY17/JQOY5fbr RGBMZ6DRfDyXZ/XcCPw2wh8KTxyhAo69hV86vCRdSmothP5FahbWA2zCUFAo4R7R83VSmaYyFYla Wmcqpefu1qwYiFgg72/rYhlqBb39Lq0OJbXjcG226UvWSfx59g1/+HagQpE7ltngwqWzVbKuDMZi Wt+qqgDke9dVUtxOMKSOCplA7h3f4VwBXRcvPzigBxsqaNQows1K4EQAuuWxpSXWU6UJSUdIaHiD 0YQp2+OR0Noi6oCEiXu3/KqeAPrgcSCMymUO+0rxDQc+NmNCBQsHbtg5LVlWjMq5nfdwQNF/iIt6 q5i7ALACgfqkKBw+xetPrmNi+o+wysrWOEUyAyuesk+jB94IJmO1fYAGOVzE/lEbvsScwW/Tffj5 US3CLij9Qw+2qlgOSPMo4GH0JlDuHUQ49NlLN7qjAeMO2DnDLaBugrWKxu2ELl6TPHidK0uxuaEw pArEJpM9h07pE7URAhDqd/hevPJQDr8+u2uMD8CfMndTFXiq4YuDg40qVA7RcUsx54ZUvX+Dqwbd X+RI+Njq07KTDD0NFVq7DXiqhDVaa3aHSw7G+G5ggslK4l4s0CBdwuR6U1fM/Kll8zCO0F3Sat3j ndIYMTEAfo8zXsV7/KdLoKPT8KK0YJS4DG5Xx5mlXUgjoAdNDzwm8poCGezeEn439parpbL6M+x2 IrsyqqbqOHA5/wIIgfM5pnk60xlpYWUL/tOGS0AOpOxQ4AB4va4q4Va/p3fGCY/pq0LPBHhEWNVS w6hx38FCIEbocq+Pc92Mru0G9Zd6XFe15FVXPj37d52TztMvrblLfANKfYtB3Siupz4b06Yj1EG4 jMR4/Pg68CTaq+eTDWwky1aOkfYIB3wmXi5ESlpJovJHAHhbYJfGk8PrnBQMq7KfaxqoFLcda5rf e6jgTtP9MQn3KfFhHrvwablEslUMgsWIGmRRfGeVjJmGkOrOeCUiKdaXNHhOcC/4dicUx4J+E8S0 V7CLpa6v28zPvEBOujKkASPS2O9LobAzl4QKtPreGQCHfBJA/qw7lBuZ+hsyfEmstozxlLWIYNQE mcmJFzsXZuOehu3rrDhiyoMAmphgU3mf2tjrj2i25YF7U9mqVLmUwlcQFgXvHRAVRZUYkKKJ/rNN GVxVSM1NaqYWI72xyQFRXhJcxPL08rdKO/6VYBbO8o6wZP0LdojTDbqM+gQW2UloZ1UtSgsxxFMp 9fyJryT43JoPENZSeclLeinfuLCP65TA2gqMEVjnq9vyqhv0+EcE9jeECrMuozyNGa1PKt5p6FJB a+cD5m9s7XGtqDgN13SwkQyBS2UP9BfL0C8XqaRHKey8okHpzIBA/SqpUIhLgC3nhAqIu7hKKpUp C/qzwAHAWP11BQQpFPZRYWH1LC/yLS6ydS7A17ELbL8bS5R1CZQHG0b9J8V62edghzhZij0AvrPC +DiVRD0IthJDW2cZ3mHT0Bkf0Kz4c9XWhWy3xwKUx01xfaU7LjAWlCN6rtj3u0G+FZJcOM6EQPXj 7ACC4BWcAu4wWZ5Py3nWOynQQLie/1QzXRxn6QLlGWMo3hhjlq4M/bZ0Qen1IK8Hx+1QOl1syB+S UZk99LQIZRbV7cMIOV5r5bTKzkAqj6gIGlBjcqs3TapMH9FhhGF90IaA2eWp8ntijSbyE9eHSsW4 wFqUC+9UpnoP/SlqbinMoKf5pE2tC9xJ6gS0LgMNVI1p4jB0AO67MgLzCNrJXQ5SazAptL7NrjXX MlQlXtVggU+v2OHXEPSS5sRzTOPKj1LUaMbXfrM8xepxCaHcI3q9aUrvzRbfZOsJFoA3bDf1XTs1 sHNu1W4q/T++phlepO5c8zAxSXVtAM8hRZj0NorgiT+p21LRdoPBxuj0j1ClvAmbygbLVQT9nDqX Veqy0VBXEwjHzQOfpPbdBvJO54vR1cac+xucdHfHNzT/eR6sDIlc5LPOVWG5L+eW4hysikSuF1Al +5mnvMwuTjMclsKx17Zm5KLsu3cL4sg74+1yKjcrvm1xsPvP3+4gYdnbZ0T8zeWAV1xkgF4AJiIP ihDFXtr+jxU+ZwJLZG2kvCMHWDBrW6UUUGwpWDd1SWKr+JYr38RSW0F+Z5bI0NA2MfraVI6pCACi +HjEFoy7WPH95oO5gDHPA13U/z70cgq9gW5nZqtMv+wyhmnI5eNGggtzxNGdC5hHZjWAVt8hW3m0 /q8NBog7L9vgIgaNt+XkpQQH3krTiPEa/dR7A0q9U6UjJNd5hzVty7OUwjTLR61npXOrcKm8NGFL c/a7+m8DGGChFB7AsAVcefW+QbHM0+n7Sw1wcRflupNJpiizGv7vC8s14wvD+NJyN/p7Z5Ed1QGo ebueJFyDxEM7fxSRNsJ5s2iM7HQJ43PT352Yp5VwysiVpMs44fwWwHGCkJ9XAcnvF2UVdpC9KACw lS79q82UHSCXWH/AdU3Ru7IgE9mSdyokZfPOjJdDy8WeakKRkam4g3O4RwR6fM+NVnMgeI8g1FPn ruFlWAjzsWf3dn/f7bYaa34S0nTbMYSktaOObN1rqt3NhoNmKWOJzyuiGpLIlRS62+PQodcjclRG GFahVvkO+dVEHOm75vOESqeVGtSMZuSK0gMteXhL5e+i4tn2Ym4JwXpwYl6wikJs9snfGGmEFgKl z0ZUOzpYso/kioLXJ97P9X6OmUgj0Ylstka/ftsQKnokDXzibODPbA4WNerbZZC/LPgC5l6BI9jL Tdf/VXDVPMmVCU4l0I/8R9wBQAyJ+r+QScGPxOabtMO0/uEUueotAAK2zmQGGGOA80jxHFusclgD G5KZ6HfxbQEW8hrGeU8MT1hyCpkamcRoYsLt9suoE/dXb4Tu77tRKTNQutloyZhDaTNmmPWpWoZg JvTRy7CJhPB7Ici9bTqBDGmWuzCDiW/l7zhlBpJbgiw9XVQCYSzHsVlahw67R0YEHV2+pX3QYII0 rOGEIbWp3c/uVdFZPcRDhw06ahKm+bbluLJz+AgC9x+7FEVqli2qifAwh9QJi1n0mxL1aKWXKLYG T4a/Wp8fcl91/G4jHi0Jko4+/gdLFYJtmznJfAqQxuGWQJ07qSCc8Jl8I2toy2CHhvhtv7TXGNa1 g7Zgqj5bxkw/ZjKrOHxoPjTsWWBsZxfXgIy6T+H2efsVINzkzM41YsHIiny7xbkOyHyFbuDVWgkI IHf8f7/xZ7YDGk79+36uF/boNU7PrBdhEr01rtCIBZnOfl89S+ATDI7NJj7nQ75krzAT22+2ZCXc N31HRgzu17X2xE/CIl+TVn+AAFz4cCydI0nkx1OQD+a54sZXhyYh6sxUzZ+CfDmM6bvo7JT1QbT5 4mWVSnJOd3teUnO6BgvfEnRX+8i6Bf6KPwRMKfAn/LhgmfLhnWh/gB/ByiacglnUmLkW9/gmfpzd nsUeb2vKnVtzsa8dJQ6+awoYHLv0moiac14b3jEIopbw2upNaQyIzWXCE13RFajn++fYZ2evl3MA xl8yKIze7KViKlqWz0s+FgUasQ6AzQTg0oKkfhil+fOLKsRmQK3FFyuI9Cb18Xq81oHPR4C5YTZq GMxWQjg4e1cuAoTvjV+UstcwKev4wFZZsg531RxH5GnR1D0W8jXVfU+NYsDDzL62Wc2p60lv2Hew DWcFcHdx+fs7sb0cANufsnPgECIm3+H9uxKPMX9pzZwApWV1Ua2W6ArofczWvFEJr2Xn1OhadTav GnILjimFphXtyT7rWZJSHL5mJHam/UHj/Zowh7XicHO90FnFW/3Ztxc1nJilRKsx2gIOluGwU/7M r70JLySe9KWbJB+2InzgraLjm9oZNqS0NO5Gg9MMOi72S8dCuR+iZPRKmUHZyIdsTmqYoeu63LdV dPxqOq9Y0ZBlo5RUvGGhndtHseH0NosNKwrPohygXV1UyveoUl3M2sZRLfmD9bAHvsEHGXKdIcTf Oa8rSS5Gv65elauKLtd4uz+aSGYb2ZRs/2aN1iRLe6+nSlvcS4v6nAryCPxfuxbtLR9FPJIcvZkr /ZzANQrvouWOVqnQFxEygm5R8lO8HeZA/XPvPGRWqPdF7pTvtqad+OAbAaIHizBRiECgnC34bgkx XzIVpaPHHfIvMlZyNrqo8rM8LoctGF0thg0pEuYWNUmGLQuQp/2srmdQ9sYjdg9mGvckg5FFNPro 8LZ3eVSYmodT725j5sHs0KUL5wP3LL+D9QfT9iuu7MO7+xDXUfQo3GjqkIKZljKpu2GVmh+13vXr tWfq6xn54QwTBOGGYyARNGdzJLrfcQNdBsYBDxhUibypmkMEPVYQ+OMVU4G9G6vmOj6FjiEvhhcw M0pbhmAGNavUIOQT+NVD1+pUkOuQ6WAoL9e8HjKYF8LYFyX97m3LtFQ9UuYNZxO5n+yyGXoCugK3 231tZDd6GrQoITfM45w9cJYKvKXZeU7q+Ylb6Jacy9gV+Zy1/bMJFI/Msl2SMSJSas0eQjbvI7Dn JlPIaNVZIV2AYmpJNAQLqvPMGtgsrxnnHWH9/wMakx8vREhs0aC3tUphtf1CH8AV4inR1aAP8qtr bisXp4pFH3XVWi+HUJlSb3FxbhH0Mdq8DHZOk/geH5+Cop8C1RuC6GMiLFS2gb/wiZzUx/lYQ12U uKBEZrDCRxpJHKHd5OF3A54cd8zkpJOzLse7BEHJdPOhf+KAX4sfLXUXI9yJLxfIKbQgH3qMvyFX +rbESNCCBoh9e8jdOSefEWxh8F3bH2eUHHgtEKJh1N78nZcEeM9aKx4GtLtVwh2KcZh7nM8o/KdJ CyxfFWlLmMmEZS60p3Hdzmwd0OmaUf9HW6+fb4XAGfeGfkwgkJfJGCWVVQAQEihp9PrfvGFUE7KZ ny5SQsdKlOudodko7MKOa9sB4Q+ggEOQx2Z0YOpTfllLywZbLD55sPNM6eDHUKlfWg1pZNKSrLh2 qkJpPlCeBrQlk8Hr/6sEQKq3qw2hGpNJwFoWesjnhcikv+vjp9pCesgbn8HXKExmbEyMqpL1Pi/W 23XbvZmcTw8R4dzfnh42nfMD7HZiIsTY8Gt4C2Xf14ewxAKFlAnRiH5ACtZpciFankzQv9RLM0m1 IIZWegCb3KadcnRwoPvfk/VusHqP8r3j0LHQe01yW3JaK9Xxrwrp36STtUcPqRqb/Ov02Y21SkzJ 8M0moha1SkjwbzbrU88zu9SEfaNxmEI1vSeVQItsWamlInlRn+utdqQkjSXzO4GafIjShE6T7Zbr +/rojU7O4JqkbqYa8PPIalZSIVjOfmxWhTtZFhozUG1C+RnCpnshB4EKCVGFP0M2LGUagn64RUJH PduNwIT67gjnigULwW+ISxTX03oa2L4pVIXPBaQm2EKaEZRSnGmFmgqhW3SErfXUW8dVZ4S6QvFC S6gvwocsvpLFswgAo1sb2bAcFFnvOvCVgHCPcUVzh7ZIQgYsibYZVLSUM1axjJUKjfzzfPbgVGLe JRO16cmBOrtBWrTM0VTRkvtxDlTKj/zUKHkG6rKE1L8RQyUmAA+yFrfizHVBD8Qjf3cosdAsznOB ZbBjayZeCIl1bb/Xn9qhqj5VepEcyS1AIIpF1u1wmBwvyxXV4XatnDh7wFnTv8eEAVxonVchJqJn QefeTrgMiSV/CvpB3ZLIgoirV3SglXgdY6pyoCNxe21cYmlobWTFODUYnzUxVTOnvyJRMqi9n6pR 2MvxQ80IQJueCdGAkHCPFg1HbRcGhCpmRBMIeCFhHqZasZ9Q4b0LDijOzC73LtggpXblYz2sT8tD 97nNiUSZ6/a8Rn9d8W5ghatr/zkmm3Luf8q+D/JFuYT8lf2tEzElcBbR/O5ybYiiJC1QKXjv8Rwq MoRYYHrpUB6SLPhoCoEtOOPuu4DJq5QHXTvpGNJULq5WT1PFCBR4b2SqcjUSnCXqdGJ4lEGY5Gl+ 69t1AbtY+dT9809MTOaG/kYG/rGXM3pE1STZrrQVBcdoTM3dnjbr92eKoSeFNOv4FQfj6fAP4ukD L3XPxv1jfba4mc2EsTUdE5Tljo6Qx/Ofy2k3aw9K/bdm7mPU5Y5oEVuaYi3EXgblLf1SbcNgw0qh eqGqYu9I8z0jheSZZ9yoYAqKGsMXxDPf8URy6Ti7eDPorpHsRFShlXmJFoRUMpeO+2R22U98z6Qu 8p1CS7DWqvuqGw283KkfnIAML6q5nGBRHn48Lvu7dNjvSKt3r1Xv6FAn2aTOI7lrFAzoelrrh1J8 /g5cYvOgYUo6lbvmPYb0T0Rt4VEKeIqf34Y+GtQ2bzg2osqPbhsFCZekU6cqnfEll+evWno8m4Z3 JMyEOMNXiGajesTngTcc1/NizzKJecE51l5kA8GAxVNCmiLliJ8NPx2VSmAuZSsU694TR8SWn0/X 7yGuqjfL+QU7zdwPdxk/CLap3vgcXt+Fukhv0GvFTmB0NnMJ34cUv4cpSU3lMvywb3yu/vF09MXi neBM1/7MjaUpYkj5N54zKZ+zg1fOu5Xft4iN6UrH+Mgn9H4c0sdOU5EpVuAX60h3ON00BIX+/87K xqoVwBWRpNb4lch5dGbq8mJYSNuCuZ+2XB6PpWDfa0A5ENd7Y8+krN+nboNtSQgL4wOeS4XN+0GZ wHNC10euDGSlSDqOGDkkvNTlfbAy28yzrSuVDfTdjqTbKYV82iwmMfVcgqBkNfrjNA4WS11Hs1nT QEu6ll4qvB0DWjAmc8tKlQ0XMkxPNSKNFZHc0UkeQ38KRiUGQrT7Xq3PuN6s/EnVmWw5R6QrRM+L rgm8Ql9iE1KtW2gfTc8IdjN5pRJUk200bfxB8XO0YK4uJy/NcXBEoVfAEercs4UNLl9ghkZmlLMY 6aWbdSs4G/PmtGCh3ltGch9wDP14n4eGzwwFsQhGDxDdzR0UTlFT4HUVJMM3ILA4KnaCBbEOOJTG LcpUmPu4aYVGQ6iewqS4zW5NSXl1soIWCxBqgsLktlS+UOKHRXKX6878u7W6/MbMY637W2V/D+ZH xv3GZNouRbAACnJIritH/FaICfb+21CAxj1DehBjdyD9jhZZLGVgPgS3VFThlcMJ69wOgcUdzo45 bSjp9w+bmH53KuxKPvCM1RUZJF0ARNSf6sHHus2KD1wTT2klsc+JjdeaUvAFyseLbX6ZrqVMfhxh qnD0jMxZyHB8z8YzSEC3KSFiRjRLM8eh7tV/IlBSy13+YmaA1UODB9gl5w3G0HU+1eHc0nnKG/7I 9agT6Jr4BfqP2ZU0ux8oqkP4WIRP8yOvgkNlP9Lh8sk5vrCFrbVBD3n0rEcVQ7dQuZ8sdg/HRDdd 8QnM4bT6k0zK5NlZSiFLxi6MSEtIRI8ehtSXFFCPKVEm3gkPR2fmAepHHfGBq3gmECaqUKxpodJU 76keXrtEhbPqb+aW6s0P/yJW/R4tNoHn5NKyR1/OAbZSMaGbW5ouTjOjcaHFLrC41SUhVKg1a9y4 ABMvCIc9hFlakwcRrK8igvrwpZ3pU6l4r6ekqpJmLOnUJmYb1cXWHKW14oncIsjmqLn6W7lO/qk/ w1o1ofs3FYV82KRfJzvnXJ715cOW/f0kJuuCfu8u+ptOlfDpxS1NyULqWrHybUMYZkbxBMUEMBQB 9w8An2Wg3sMp6VKqT9nq4khisvi3yLDqk5rQkkhOZAJnN6m2r6WP9i1CbmilAPHoxRmLLZRxdFVa VsW3RqVpJjBPUBVseAUDZ6pgAaxWTH2Ry7Lpw0CNHXWo/oKHxJ1yUQXs6dfUCrrtdr/YJUBvc8K4 I6SbNfkVf+feG4N7rW04fgx2Y86oAA1OXmEz4Z+sx5VSDdRzwLKX1iSuJAIPhVF9HyZENAc0fOh/ 5B1vr8frYCxvh/WMs8upb7Rb8A0J/IhrJkfHKfHdtZY9MBXgPCtRKGNdF5RBRCdaxWhFDKRu3VtC MYOBOT0e6pll/lgYZLQtvyZ7DleWUTSMXLXmrzJOnFBak8f21LDN45976le7d6Ec3tkcolM4pLPB KybLkJoOmsFbYeOLFXjQaqP14RMEDk8S/Rzpn+LnM7OsJJ5hDCJqiWGvZAFj9QjVqoSMyYccBFOw YknAdzscr78NMg/tJYOruaXWYgUgPDL/KHL/12cWyvBlpMTzTk4nY9DmxuzJA208FJHstYDn5XnV qndJAo1Fh8bUgNj9RXUEA192UCezPoy5cB/FsJwhQKkrAwcqDAdYehoi/nzh5je6jj4OPFbNGCWJ 37ZE8u70oKDf5fR+IsSwLgjvUHnqWbggkYgfTIS4PjQQBXuphBvCvwaSeM/pEAwwEJ/cjQ+rHxqz 4L8KBg7mmvF0TjYHz0CZQbRb65uFAWJyi+1C8FjgbRw9o3bihsv6Ic/0OPLEOGV3x7zdLQxuDyrG aW6/ZAGCK3iuyc+ad5cULV0R5E9KN+qXlQJ/oYpLY1PjncchTrrFSfUxiybt/mDkT0vrFVLWSxT0 ySvpPnYwPIM7PC4BD7NVboj2hDlywjDUrawKFLpojo/77UIll6UflureoZO5ii1ITIT5VqWmYPs8 L17l9dCr3lgoBeo/L5Qvx+jsAUU8R2eZEQ/SmShDnJh2BO+xXkbEOX3R3zMMANQV5zgujKUtY1kQ UHnYnFcmzdKIkT0PquKyxmdkn2DOzr8tLTHJz3SNodRg0DVSp/hTv2HBpU0pXgV6WgNWrFRZvZid TaGhxLLBuJowiX1b0my6RH3dC8DPcKyw+oZRmfDB5QowESJmEzqWK+f5ArKpd7o1OdGCHZw+cBAl 7Kv3EsA/P5q7GDZa9v8RcgkfSEbpkXpOHnqys0+LjtYTymb2q0mFVj++11AmjsHOBzcko8u4z24f SLYscA5qsqKU5PyZnRx3NwTl19VBsXJ8UOs5lm1ZQB1gyh+HU9a4Fb7S92lz1l+FVg+l8S3lC1SJ ho+53+Y5Hq0M4bcw4hn85W9YUtqkW5Q9mrtBV8jwlXO72I1gavS1gBA2LH4VEb+KZ3Ozuz5IKVMW rPIxtZxSN06X0cU1hP1nH/obmOOIKiEEIpCoFhWXQpzSazUz2Z1w7jJF2LW81MthuR/yHEiDKl8U a1+Y8TJR37W/RICXSBv5iwzndqjqEuW8ByhD4QVzfYZU19ug+GAvCrRERtlYukrA4rt2HvU62XVh l0zAo6GLvfitiOf3qGnxAXcbBdkTvNAYA2bm5EX7nwNuMgY0nYnkPNHjKn0LJSnpjmtZkrObTFgT /4+38hVX5kHoXwq3+a/IgSS3XV2hUvpC2uEylJAAZ588Psz1/TAKSMvS9RD6c/TjEDv82oyteG0i p8+eNJYTEMEGnQeUAAckkE1VqmA0Ksyzeu11xJJXrZs7iFKaGkg4m1G10YdXCxzLeJebaI1NNJtw 6qYaUe80P/mJlLDO65OsmG4lkkrzktqsFtC7EvSZQxFgeTrqPZY1Lc7gmg0ZJXU6IZDKPZ+yjog1 RiNw1lgMmbJ0x2Q8UHgNWVsNxC70mL5A1XtwpY1ZUdahWaeNn+n8z+a+Wl8gHTON5IOq6YrmnFaz pa2lncrGacBtI0NULkYTj3igLIWJRwTeaAp+97w9u4YAr6EUjuleqTN+wR2PSzDY+rlvEY3XyupL e0QbKUHvtoPZlE033x1UfooMrhnr0OFClIAeCtgdrcQpJ1D2cYUxQ+VGrtZNWaRLmjel5RpM7gOH /nmwZly5e49SBonaQYr1Bm61ykJIPPz2zXhNYmGoLtnxYB96/IfDyZPVrInt4DrPOPEXMSRbyigz Y6ui3usqPcFQDQx7fBXAmRAmCI93sK7AfrBzmlWKVnUtbzIlccxn9fsOMVE5ke6qt6dVGXA8V/7r m8I3koRszdd4X2gbvJjxzGCAFwvSYtnhutS2ZSjW+tcJVksQPM9AhgRsioBHzHCWoM9dnezTJU8E qTfNAXfDeEcyQqXMvGAHJIDZ0WvRK3uRhuWZhju/Oe9fjK01DBbh/ZIDICAWN633PHQ6KAxhwY7A 3hSkGA1znuseDBenHVkZ+BpvPCkuZ4bPJLNj+ju2lGSz3ZRA/NTgmoEHJYpc/NztauUohQvvSZU2 cXinRLsqSZ0cbhD99EMkGVTvUYpsbay6Lyb7fab8kKIKpiTCfPB9EA+YIZO5NWilwlJVjn9+MiCE rn4KSvufZvYkBaO8j51aA2/ZvgOCeCIOnBOF9GbT1f7qhWNBtbR+ZTFx5z+6n6tzZofQ443XYa4/ lwWly0pV1mhKIEnYYJdE1518r/4pLVh9xY+bwTlAOTDD8RGHF+91hoEPg/quKneYMLGv2riokAad oJLwOg2odBdAN0SBCm2lX7FR+ilEyB2Kr5QtjyfLSTUKfSIHj5l6p+cnM36px2uGvRn0jHd33BOO qyzsV8EKV7+9n8/fAGFRfdENPMFr2/2z6vJa1zpFxTm3LpPbCMbMOMxPngiHQl55/X0mQRGX1dz/ 8aW/nBNg4ddH5+gYakf7iHgoAa2HoOmA9PKSYRYr06EBrR/0hb6/2reWWyYQzL1Ql6cK0ffM8v+I jOpGRsJ8rNXpDOjBlD9YADDd1NGEDJUITIjiNeujYJJ21NRUIcF4lgumZ/qwvQNjOpFzgNbiZ9ai KB0CM3ZVgPlYgrPcWmcZ7AXrrS6LfxO72zOlX/WRUmADSeycDZn1rbch5UDl4rtUc7giWn01lzLE GRjkURGaaqGsWuW0U+lTI+98uWms/AU6CfPNIeDura4HxGz+IOa4DVs29YWtH+NkyRFlAIGomTUe IIhvwq2oqRnS4JFrJaA4uEEnDPxd8CYNi0e2GJ55DEZ0oA7jKWiXYf/upCDCGEmqWUqM2bpI+ykU 5Akee1X8LC25oFb4kG81HafbKd7xgRoBdDXwjXJAt/JsjwqyLyfHXAg+zpgK8EIIJdbQaXDRWUCt cdbuvmDMSjcmb049b0nA+/AUhU8piUqhXgQAyRcrQRBSeqcT6LhCkdDMiIl8y5HOP+foNjZhHo0b gQdutd+fQrIn1PYMCZwWBpZCR/BtING+pZlgeCLprTwi1oXwS1+e36whKOdjxhbAlYAacW9fY2B5 wUtBM+qid4QhAJZYnmcSOA9hTF6ZZqWiPEkdjiXpZMVVF0NJOLtShDbrFKv1glUxJTJX44zLfMqg 8C9mGs5388lzG4YNR1HUsykXcQO6QZudziKOxnw1qBZWDLmJAp+UU8w5+rk5Bqbzuii8SqiqPG9F rEPyYjSgZR+z681GRSaB+6havx2Jq8Lsc+T0498+0N2v0pMM/qLqvoTeH0JroigVM1USfJXcm3VL I1iOoxuY8fpLZOEZC7aIp5vz5dexIYFS1Cg59h3KxQ2CN/7Tig8WztEkLkTrgt27izUbLA0RjBcM 4b7ezvD7p7pu6t0wYIwIc+p90wAsGQUKlNF2rQrDUsYroHv0RgE2TgCghcK9zXDCu7of+FKQ6WYX NNlBKS1dRJo7zGXEgdjdWdzqT/YhtUuk3HNThDr1SqDNiq2lM5DVRkCXJU8pkWeg3ej0eLPepUi9 QCnOVOAd7wGMtYaKKRoC0ujn/yZJdBklkRbMV5YI3QKyqDyk379wg+0Kug8598b7frLnwQ98XMey +XE4ErxAJDsRAipDeCDeYzmpNF59+lNfyz6jg/NjEh7dQ1nJLzErEU4wVobjo5wcSTGnCviHR2jc rVPlzPwuG9s3p0SvNdrIXDjUDfXUKq4/j69RYMymtLAAp5izDFx34z1f9m6z9vd0AlEP1jZ06mcz 8ZNWHOZuY+F6g5tO5c4e0avUxUjlvAaIEE4TOw59EJ5/lPnBxA05Vrv/lu7NA6r/uEyQ6CL5sJbb N+i0AlZHfzL4H1r4zuKecagx9i00vejiP2V4NvSgScJfgApTKfd9lcQlvtT5ibW9wUglyfSQ5uKG mWeqw6Dw9wMb7evJP4BXXhbMIH9xbF331Vyr8PWwCWao3jVbXBfFgPKxWMuKW8QUUgXORjNjk9IB QomFkJp3HtLwa3VLrBzAWWuDLbPzm1qjIk08GsGfxuVGNOIg47Atf9WGqfDRg6vNuKrENg+ObydN hy0J12Yzn3289qGWg2hyNdUgcMQOMx3Apx7QgvxKJqcabxwkWo0aSk6cmWID6VHEBIuwVuAva/oW boPd/eyK2hu1k4SQ25yOyK7tLmQjPDknG/bDAbZL8UxuQNwayZ3aLmbQDYCCsT8B+bdkWJy5FVil SmKNMSEYL/dq/d81/AYL+p4pckjLbZW6V72tEiuM/EgLq7Mng8I6J0PkrFB9xZ5UxIMrjpwW+DQ/ CrXfShNF4oTu1wzldof8P/d+bM9gs2Z+FYsqoeqMgdhnArZDH8o8nbK2riyzDBDg9vCiQl3vU/dm i8JxV4Lx2mzR8Pz4NYhkJ9FYAZgzirCrhzXkQJBXJHCWjFv6VyyvPa9r/U4AjOZP1hDq6tufWjSx YNvc66Xd7MdgNIgxfKg4BHMCrs+kWAl5piaBM1BD3cceYTiDDR7W+pv+ewxO4nptpYeMCewDdkVv 2XsK+iNUX2vWFEPvR5QqwJ2KJufzahLmHHD3XpJ0bkY0MBt27NLgE6ZvVrhcrYI50hKhMOlqYMbv 9ygG9zHv102WcUL8939WsgVX5pQSL0ZfOopBlByaqgJakEF78paGUUIDGYwOoBm7EU4yc/9mzPM5 ioWhYgtCFgLvpWItPzQzZktA1cfgRDxy09lbtqQNV0VTMdanqGMQvax8Jt3paYcXddJjtPo4q9/T P7P1QNYGQeZcDZN1Fy0voUGnPlxe2e05Y7jAigH7pxV8v8Gt8sHNgPRq4pMXlyo7I+3YyFcXMMcD Kho114clzLaSzoctNBhMEpNL3ST9iRVyRr1LMxP7ggi0oWbkzwpNCiSPP3LWZntjcc+ITNorr+7M UlhsDKgFezNArrk19Q1Pcgs6iwSHg9xpks9ae92I05Uulemmf3Vim2mtAazfNXaMBWgNz8v7uu97 n0tyULzc6aDpNeTPoKt/Qk/Gjm24L+tWz/8lYDn5AZedB4eKvno4HlDUDbhmA2T/yrXkWaNPwMpx jcGK7ZWBJTjEdW1EMbKI5GBzkUqb1jhNP6GnoPWYq0JvVTmtQczkUMBxt8tzUWWVBRbTSmcmFMu4 NBNsjd3SjTg8YDrDcH+dUSrXe4nYsry0hENTUJcs6L6LSOOLw5dyOxQEinuN/2knJUPezGAbVxB3 tANKKfsucQvLz2ZMNVSl8MHAhwyCDPhWfUEAt/1Ktob/TVsjzl1yaPLcHyOX4fuyX0Yy6vACHH0n iZsg6EWswAXqCVb7q9hP+TWDgtgUpwNbp5MI1rOtyYyAouE5wFqcfwOf6QIPKD1ayRjevqEAR/q6 zVU2AizkV+DZS/zgsVFi9EyDts4oiHW9C3E5zSn52jnnBov7DK1dE2JzA8CAaZ3CccmP3Xb8qnwq 5jBKDvaB43RF5i/BBlARl5u1lQNGQiMS68C1cn9LNbsgBPWyuQfrBfwQRJK6BAheU37TW0bYbXis thuXahCz1lWqPbzlZdXCYJP72eRZ2sRFZjsbp1b8+FVCKsr13MrxwBed8ZTsyeJcy+eeK7+MyHvG nWM8rXDjXMSyXNjV+xJYbuLrbTAQilMsj6/hEWzQFPAJO8IitKdQN4bMlpqE0ixqYPYaZLDuvIYp O94NPp+G3JGpQL5BGADPtyMyECBh00W3iUagEL/UwPIxKZPUhoDDubQL3GLQJH6MN3Ss4gy8BqkJ rOCrlQ+cZH0oedO/BGjWO723eBPIwSekDLiiKfaU6MfEPwXJmvUt9NL55i59lTz64KIrSCpJGxvk ZFrVTEWltG60bUd1K1RUTtptkN95K9jhEfNcEu4gt9kdxsot6pZ8tvcHTMYo5hrd8sTeS90s+d3S 9yCJhjRva0AG3RVEoAwzHX6wg7MTGJtNT9jv2YwyrFFws8NT0t45QXYBmMNEkXlJ0a9h9/oldOLD yHLdgK0I+etk4klttOn0/945YCKzF8UT61B0Cy6obJSOAJ8+Q+Lcl9OA8boDav7stcXGQ/OACq4v lJjTk6i4Dykp9BB7LykYY3RPpQQV0ogB6aG+tZmnZmxJ8vKmNCEXFeJFt/XacesJdoDdjynYOTfE IDU/AMitZHiiTywyTX7s9UkN1nTWy93WxLH0Si34hbE9enshIZVnuJoK6ca+o0bjHyWAVqPC5oaS 0jHLxCJXhvr4Y6zcdQburgaobk1KitncHw0Eu96Nm5a7KqXWr1Ad/2N6MAf2wiYrTttAKjIEexdJ NtAfmJM+VG2MPBtUdEjbV6Q2NDrtQRuTQ6EOSrwe9WCOA6SrbBPKGICEWn3NWfz/7ePgWNgUYg4Y o+tq5r1r8nOvzNznnS8XwxphigIR6bDNVCnyvqpVgL/D8w5LFuzanXbPOBN8BYTV2YmZSYQnQKzm zXEF+5pfE6YoWaWPJC+Py56jCzso8kpkq/rswGJNySE96/uig6KCxsz0Kw5JARi+VX9N3vttAwGb pVzfCrTyfI0nZsXVdA1drX7E26fUWaF33G/IAvF4y4h6vL4VbqtOUwJYE64sWqGDCx7zcxJMtkpp dftJ1QWe73LVP7HbAJRC0xFhll4big5yYtRX218Ebpxq4lM//wFDZJ3OoE9Z+munAIbuX4pZyQe0 Iihur5rqMScA62N9ZcE7nmDStSO8VnoWWSdR+GliWc7K+TfBUKoroo+Ztx3eseN8gCTzATi5TWEZ M3mcsBCZoYzrzYKqHb9Xgf+RtMU1Qu8Va/61TdYcv/g+mvQAfxADNyS8FAWV2LYDsrEcBoVs41qZ l3qMzWu9pvbLBVQpv7Ubpv02Dx8Jm8ePAWaJ9wh0y0j8aVWTr41dKhW3UkBIauZS2hyMDEN3miMj Dva3kvFGQHBKZQ8gDpMwhxJHFmk4atE03TIt9Mb8Op3rfMHBkKTlA8M0/U01zvnhRdLv1iJi8t56 Z3bADsXKdXA2bF7uAP1Q+6kFIbA3IxHeCrCs9y1uhD+HN4DYFXK8KWdS/LP6WW028qxGRpHPGOPp a16cL9keckxPb6CPygdFOU1+8lUvZBYN9mwou+w6pvtqUHpijzK1/jySe3nrK6+1hzuS2R/ILZO2 +FQYkhPxxdlbNI3gl4rtf3oRMzlAq+YI/f/n94vENt2nVPdT8nQcAsQlKFdhKUkO9UXBQOO0aYls peFQARo5shc4SOoBUuqUtG7D13tJ2HE6Egl8VBbcFtCC3QtJdOkyd1OXo84Oz8eeVl3Wn0a9gxrx 8twIMYYi7qOffPcN+BxJQCPi9h6Cgppvu9yBctvRTeuH2ihiIFZ5FUh0Y54m99Adq/cbG93ru6JE d7cx0maYGc17YV+W0qc+XEaoHGyMAFSBGJWS/Ks43ShDtIvDO0Lz3eA52n21CsP8kPduzLuGekEF oKM9mfF3Yn7R/nlEejTYb9X2kdW633LXz2RWIniH/dhJvBLkFwEwVq4pSbmpeHPQCiKgJeISQlLU 333gmHjVKv/r/llZ62vlLX9zi8ghMoxo2B3J/t091xXGJ55VhuMSAXWsdyTg52xWGRuESKK1T6kG 2n3IjdMwyb1YUbnwwiGDeEZhH29iWTmI2+cHkZxrN96NHSsG9b+UTe+SR86jrzsRMtdPQCH0NiIk jkzDu+UrGY1YjfXWf/M87vtcBBf9m01rtfXMpsM2G5IgIOLr6QIqnNf7LyVvxUxJsmJJhS7UPqEX 5QdYOm6zhxZazFz5rbrbHUdGx4lHrFpicnb1hdRdtCXyMS6hrqDUIHGSwDJSiCNdGgWrE2inZ0O2 S0h7GNN/HvxSkPk2xQRcjRdrSp/66reuqxHJQEI7RYweAPTN+UwRntFnRhUxB1gZv2LnuNE9C1i0 hPPFdtM1lnbvozr4aI11RwsMI1YorM9OsabB82q6Al/fMMorBLx7tWIaikqzOXwTSQGFd0BuB/RI 7o7zmkShoSMZfqiQ61fUTnGw99JexUQp7DjDMC1iTZfmi+H2WJsmj/NfHNYuuPX5uDJSaT6ZXsUm VgSoTUsypJQZ5ZZzDluRAO19hCLaCg5F9QpTNIJYiQXqyb/l6HKySgHWD4aHnSazgFK+TXHcTfNL vZNVfYQ7DMV8UXBcfm7pfZBQ3MtGn2rAK0qWTDxDm0MnE1KMI4aWMpVgHvZNEr2Q9OBlIlPCjzQv OG730lk4r3ilkCBGndHeihy2yvraYRsAwXZL24U1kUFXeTRsJzGzf/+EinYHBg7A/nZ3TNwHkIWF c+0a+j6Xj7T53+XohtSl74Y/xz+6IHVbB/CEfG3CZ64jXles0HupX9EtqgYe1Cagw/FkXTHPh9DA ldIQXeiWJUL8ND7BckB9MxhaPKaKqSzdX1XyUIcIALWeYJuMTrJz5ucY6RbFosbyV8vlR7k/0Yhh JHnLOh6K6JaRH+glzyBiWGOzLs2ca7WDa/zrZkNZxoeoH/U1Dj+TUMemmTvMUsQFenQ5DzsCrVGc DTdUyIF7glISMfd5RkkWMqWkUB8BQ1rreKLjqzTfGzcLzjaSQk2oMBQguKbNkwKlxKX4yuC6S4bP zjWGTaHKN4cLtpwVyRqBYX0VI24AKRDStGJlfQFvNW3YfZiMCB72BQX9CiyfWsEkaZuW2E9ufvkZ 9iQJ1FhQceTahr12O2LiD+d2yYhrIv2cIsypU8mAVMzv73Ue0EGVa1p7qDV4r7ZOrt78ekb2AO4y hyEqE90TKB6JdygURH2+u4MnIw5MmTKk1Rq3/Bgjp1L+QPKNBEzEobIgV18H2QAD8sXeMlii/rdx XKS7hJ09LtlHKWGaORxegNM6AUJyslyh59NvXRCKLwVaI53SX5rieNniJsILksxy8WvqK6LroYSK 0jJPzH6zOfM7hTkjlW+2ZqVSQo6UpmJuYs/d5lyCuMQNzFC6ncWO4m2J/LY/DgOpvmVDX69/bYTe 7MEvPwcO8DyWthGXaZmGx4+DN/q0VhdTYGV881vyRrdFxPy0WX6KoCcXQKF+cwN27lX30IQ16bgM 1AZWZJQUEGEk1D65T/W+y1mJj3kK6250Y2SNNTfXXAllrw7SdxStasJh5eSqX23OjwNtaeNHcA/o f/mq7zwOuEHocwNxqrMp91l+ep8eL0zaF/mpKfP0DSqUBOr8A8JrvBPA1vjCX8n8W8jxqdVsapeZ jq4iSl0hjsxvGY5CF/7i6qczx4AhfttdPo3hIPJBOFLHkoQHStMZsJL4Y7MkZGibQJhVV04RK9mc x5P8Y6W0fyjexz9DE5mZK0ev3/7epIxpwlInJxi8T+JK9cdfEJK76zBDqOzZJRG5/VK6Iip4q38P 7SCl60ehUR3E6OvtFO/3qbvYeO3pNRZ9XxnX90/OL0pDfYs02q4Dlk9RKLhe88YJnGChNj8NiEiL 1lHrXhCOcuz7c+EdreopRc/+cWvRKnq1WlESdm+iF3KH/nuCwkeBgZ6yPn4fxOc9HArmqK0jsfMT 1smiTE6H522WMMvvQHdrtkc6kB+MG8HJOP5c1bK8jOq98KMI0hhn1wnzHqG4qD76O35/L8guBEP/ ziwPwdRG1BfM3hh1vPD5JzKb3lmh7NxRfivguw1IUFJlyAcnnNkVY7MdfDJzAyd+Y8d6EH/78dGU tvUhdXAlUwaYcdP7XmeQP2asQ25OJV4S7u4y754DIyheVQs7cjduOWrVR5QZlt+shfxSYdrs9pvK LOLmLsNNFKPGA1CmB4W54DvEB0hRV+UQ6vG45bqiiCNfiyYWjEsJUJBLIJR5BCyzyJ/mOpJGKNgv ubYlRQ24n/izKQ5gLE3UoRQW4ePHJOsqASdWEwug+fi1dXJgr4Di9aFKKju8mj5/ua5KjbEVA8zG GkxiOcyxqFZJHN/abqT7hJoPjjUaTSDKs9A++WT5kg/TGi07xQBVJR+oqsPZ7a6Kyy5jQY6hgd2a FAZX+Pji1QoWR4I0g94WS79TJ35v3Pgu9qXEgZKEEhWeUzY3kjML92et5U5R+C8JO+9mbz+vaT0f P3nJlwGFm9SzjHMBqGCdDTDt11/KJEhz+eJvPRiYTruThYiL1CcPtQLsNGV8+Efga0Wp0LMnekMb cbcgbLSmsHLDadXsAyD+zd5T2ZRpRNTzcMSAE8lNGylclsEwwpSl+oVgRP4GQ/iH7T8vnqKhmlfQ t10dZm0BQIU2zcsq4QB3WplJ1wj3tFiunX82jliVrZ9u5Mmjg/wvpnKSFlRNyHbIu6sfKZ4BtAVa i8PVuRjAYep1HqteNbHc194Ut2f86l29eRkEcBH9FGquNfaOad5FdOCV/v+OVDuZ5gimCjQk7M6G ydvyxxaL+IM+FucMmhSGz7NHTuyS0MLcUMyEPj84PeoOMMlTTnV9hsbUnBm7rY9QikJiinRvca2a XQKJwOq6qwr3qYJZNeflEjXYELOUhYQ5m3y/Vmb6IMR7jJe5Q0VbkkKmH+mqwjfxn9CEdM8JnkvD Wy/tFevsSD6I4QYtoAPhxIUNXhFvK+RjM1+sEhU7bYIhKgth3NLsfVRqvuLSlcCw+MYVRjRWQdtT iD/aSf4GfsM12UgaE/0ToOi9Z7435w2X3YFymBMP1iU5bh7pvmhfuA0nasUP4P593MU+4dZEp8nG j7vIWv/T7/hcgqM3Y+D+MbbjMYLaKtITDXHc0Oa2KvY4euKQLYbk/WJPkSudyaZM4hGcF4jm2usn FXErOA+aUbarMfDyCjv/nMdK59rCChAVx4OlBhZBcGbD5YwS6iOJZkCxq1DN2E8pX+8Jxvf27ugo 3EfqGjspkjtXd4q6AzO5UvA+Ii4Z3RHnkpJqyIMTHLQzDFqWLmD34ljVts2yXbjfKzqBboJyXryX 8NUnpa+XPc0ykhIkTmaMT6Z5iYI3sdtLCEdVIwDvWiDAOW9+3BlxqjHqGLWfnJvkMyIjaXOHZh9p eya4LbLsZw3SFfLYe/o5SvuPXbHw6ugkky6qzsvAEBtvuj+4lkeUWbc+2KNUdGUeNxOtwHZwpEL8 c4PocvrF1l/4dYBoxtKoYcAyqbJIo+Ml/0knhW/vb2LIlISB6gho7sKJz0DOOkvSfOKSS7FUca6B vh4878S5XvgS6vohibGeNilC3C8al+YhFUZtOw2Y5DWJVWI5djBIq1yEaD44i1gh9FFxYoDR9fP1 /B9GMEN3gZX4+cO/n1jdbNKfhV4h6FkVPWz0ag9hIKOKCSYjR7Sp2pTMF06BSe85Djhc/aFtHJ+z 4HIZh7iKjRJULuUswgFqoVDR+15CNpoNkYTJu/Em1jAOBc61oaL702ffUp5Irpt33AceOHmQN0K6 /bjEmh/LeoGRmZThgcH5jDQIgKCaO+JSf4EG+TMkzTD+EKuxe8ZxVWUQWdhaWfD2gPusM5C9BH2L tDuckxxJHUPIpMuy6yHYqjX8TN1/5g8k9B74NG4m6OEkAKbQeC/t81uJXu3KH0cLK006jP1gqysR VGwRl6yEFq0eYP1t3hz4UBusvN1yb7wG1T4Enw+B28mEDgUYMdt2NpyPwS5xnMbAMpINKJJiVuOs m7mobk6SIKITJ2QuimOtfqKEZOP+Y/+pudaPUvs4ndTWb/W/pt4IBYsXj+2vWfyur48jzsTxn9TN RXGdrJv6moKXwSqkuiZStub2uncMOoXatI7rhiGCxtKIF0OUSvMo3cg3wr0S7Ql78HkMat1KkscH vTsRPLxqmdZBxRBH6IfF9/nssgqCbOLOJM6rNfDfjdM3mzDae1qp9YTIRF2zzqKEPeTMzMNehj36 n2MshpzzyFTtK7VmXk9nb9EE/z1egJKuqRCcxIsApG/azEq4ZWLNNdtd8E/Kh8SRL5M302uDY/KN njuWeFd1k0d6QxWJnDvoDdGbY5I3NCTNd2XhAUfxvx3Ehd/5H3tHGtqtzH+vDBFKQHJ4WcdJZ74D xSiW6jy49HXAAcVFqH5w3nUVSyluVGWYkRAZnec4ODRm2uBKGJdI1quyfxOldVo6zJWk9VDnWHZD DTYdlTzOYswV8nnJWY9+NaK2CBuiGNbl8Vebm6DhTOODrVtf7W5MO5BhyNFY+C5dkafjkyHFhoOS oBOLyDN1gT0/VndoyPc62lL4AyGziMQOfBlCcvzF4WSZRHFBvZAxepvdkqXqvYYxe4Ggy3lVC22B 5AKiOFnowKB//wZznkMfTrbeqgsakLpwLJyoeDCm445JOWfEywN/saN5g7R+GoItHRW/AEwCf+qc YzYv6k99Ws3uJZdnDUPI9uQqpGO9xt0ycPfci4BTadoVxdq7o6Kked7itUtmJLp4g5p+WhpqQ8w/ zl6ag9ya5AW32ifLwsoK2q4OV++kyiR/DyYnQf4wv0PbNA3Ns0kifcJG5FZpwBSZn8mEfFqicvNg Su3kawOIc3D/qOEOaFNP/h+pw+De8fBxp5/GpbexxR17sDvUvR/BQypI88jgUIbkIQyGDA6g9hFf 1ktpyxKbQKGYpk4/b07wiPG7liyjuofIVe+XmT4Vv+eAC0CPyZNuHGStiIRBVCrxGRmGHkRlrQqs eZjvhLi745lgP/F1rDymNd11iAjDTMXZkICmfTiG05dhqEjL8wYoUwyAUi+HpRkoMFkRG4LXzpFx HMqeDwf9HAEqwI554mF00Tc4mWSOyJc5HrrKmGCRjsHbrbVcIO4Pjp3dUu25C0gLK4dRqhF/cEC9 S2eRRl6i6FYXFUWd5Kbt4kdYl6tQRCkWJwKDOe0tsJBUrQriLiTVWd2fG23ZdF9xnbaMo1zGXSyq awVyhicN9ZdPp6p/WjzWMJhm92eK/yh8HD6oFpe7ilxAdHMJifKXsyf/Yf3b0o2cGAd/0rP+mHfh RPrnELFhsHpCIsqF2E1AMdTuEoQxzgBNf23W/wA4e9uXg4Ug1ty2rNdGw3G3229aH70qbNElzNom 01X4u5ZC8v+uT4QFxy8HesDoiOHNoXdsrnCZh4W2tZrBmVaC+HB6Kd0pBXmtBjOqc2SWpf1VTSwi Ch4RwhpVok7RcrJYKbYvE/Xv1q9H9pHggaW5vGv/7wyqoUdqHXDHHdxavf4KNCYLMQsAUPpvmRFx uoJMq7NGLSoI+oeNyD8e3L7/Cz48t3oy0Zaiwl7qVvTPK9L8UIVcI2hIfGu/M/tXQpbzI/DfZHvx Smp97BZ1easfQVaRLzlt1qX2OZzc0ECNC+qqM3eHhcXt18LiEWRd/u1/Mup8VesgU0n84oUEHKdk EOFBR3QwAERygtjn0I/5BS11Fs8oPH0nRaU2v5ZlmHWKLNYDGRW9/Z7VqNrRJWqhj6jlLk66phUr IiptxZ//JT1s4yMzxxloL0CDU0YwNe3ajkIkfGrDiH7ITUwLw7fb7dbNjXidvzvUQcEVKaBNpNic f6pqPcR5aJihIzcrjEIbOUyfhORBaBOPMCOQeiIqmHQMKAh0+Ixa2FzbqOGZi8fBl8GBRWr6MUNP 6v3iEtT44iwkWK8IvFeC8dSESaIuixl7Xg9Ve1bvUxIHH4pW7+lIQQ8TOCuCDuy3SheL22kq9ere COf66g9g5/udw65p4uhaMkol47ipRIFwaLGOQShoAQZ52xURMogmX5wt1hC0ajL0CKYI/oMQuK7F nfghR7cZWMrsZsew5TZM4QvhLg6wcLETKdIDM7uBkrr4WCXbNl/Ac1URnXNGhQuTDA+Azk4j8SuS 6J+fkkJQQgzGYOTKF812tT+VokuZPVkFonYdtAoUlfPiDBUURyvWa5ubeyw+ApO+ZZYo97RxeA3T yf2HBklXw8kewWLsqeHVpjInpChKQEq2+BWFuquZ/n8kHxrTkiB0263+5I2pfzAkdbY7L+WOC8u1 mQg2YQ8f0pserVY/noe/NRjG7HD+JUoRzlE4hMwuxBozAK7DSY/aFAeubG0Givr9IYSJA10gqCAq BBiCotlASIRYQZfgJuNa3Ji+YFtXqeBgqRP27kP2qjisVP3YrZ9TAqdXq2l3DlJ2iybJeCsR17tH +IgUQn2kCiAlXBb+4SDl37MTc5MrrJUeGTC+chEzF4XlsFTV3M+3EMegRO25R7RVbelTfemLxF7+ tLG+QLf03/CcSNKVuh0BPJTAQOPofPgnzlkhEejjP3VE7wChOXnkYW+xElPbwCB9FDbvyRxAEPdv LUBR8gJSEqfJDH3NbUnxr5v/N70kos2dbvsZtNSVl28V26O0HSR51lbJp7NgRS/26oFDZLvh7vxY N5vRmgBAXSB/d1lbJ4wvGfS+MOkeAsCUrMxA/5ThM8ZRflHNK/+9GRnFGr9v3Fv2y34Vg2tUKNNf /scOFhFRz/CmOpkCCqJ1iHkaeQnmE3PUx3gbEcvf/YKmsXYhW5v1hQM9XLTacXUYAQvocPi25FSD ScDi2S7pxt7oMaZ6exfydNgEiGf8GPV7WM7E4L0Y5mDGVZqp/2bKSt1dKJoyduJrKeYaA1HfVRth fO1M0rLyY3ryUYHER3D+JD0bG/R0O7XwUFSjH8Lw7vW3HFwT6ZJS+BRZ7Xnn0uFECXuJfA0aA/zl IQgiTZXrpmYWNCr7Xa+R4FlQMiNS6idV3TH1784GXnB5ZnNQpbVPSU3cTvKcICOWRemCZRdkZjfi Eh3GssruqEtkPHtPbGfJkTN3q/79hBGJYPAN86yvv7vrmuquC4zlFUZJrevh59KYVt31HeT+mlMi PT9QuPA7JjhoTMtVSNghpdCzYt9eiAAPLf/d1pLKkv+zCXLxB7SU4txguw+tfldBKXbW0+OlH3pL huxGsYDReFiUiQnwHTWhX3tLHa+4zrmdzzIGBtIKQxM6LnF/UiTCnKETXDvEjo3c6n4IAAfozUkv tfUxYjU1FprX/qklgpxfNFx1dCh4LwCa7SADRDOESh3z149jqiYRcnFkOMbLqkL1Ks/IYBdE0vOI 6XPrR6rgny33YJBSpcyCXsU2+EJPbAW02H+aGTB/fm3v1A2R6r7OO8o6DsD98oqlQmWxEq39DaRT sEJMzrFYBBBK/BGmmp8OxmymUpbE5a/9J8M0Gt0yKgRNgS5WUYzWulh3OXCMPD1yorqd5H6RbGRd 2E8Qvzx93eeQuLAuuWf+sMtr25vLjk+uFNRccgsvcU+AKYJEK+psgaQoc21vqc0VjwTTeRrB2Avg GYPTkiKCE49pi+ASzWDXPOVZDA6+MIX/EiIx8Go0dbOOerQHSezNtMcSXFOcK5rtH+00HnTJmX5f atWBNzBZFTJAVIO5D2JIuzBTBRNjq/UcOTDohQZcK+IjR503pBpKWkOWb38sJ2W7axROYU9g8j3Z YdBF3jbtet4+FtkLieFMaKVzeSNNoLQL8Jsikiyorx+hD/Oi3/WSUbqRQanJdj4AVxww9gGOoIEn cJQRcIXiRrpvaHvZphb5WxbK0ZzZjvdP7OB0MflHCzsjwVu8aFX+2Ke5hpXIm+V/iLSkxBxTExXT /M37NYjJgHTctllff6hs9YXmtQi3ooAhao+WgcJOFI+0FrPslfanLxmM1JgQ242yVuZZDPlsHpw5 Zp5vYgsA9zQoldXJPr8bGQ/sL7HtOjasubNY8yOC/I5xkEiTykobubjBoHm9lShyNvTGOe+r+q8q 0Dvwz7JuCj7Ysm0uh0hQlQ6csbZhcFGSI7lRZARNzJoN3Lrdyx6NCOV/NrkWIyG0AFgZU0q8cjG5 OdZNQCkQhFzscjX/BlB0OnQpVxHDmDsIgfQ29GBp0o/0s9hNYBxFYyxz5DHZyyOHwCdAUHUaAJXw 5mp/xjcTWEniF7AbzvLEW7ciKMdQcJcTOvJVVrzS6WxRfBIPHqCr801XLalAIzxpLFHP5wqIPwyV DNz8NvDPW46UY6S/y5M4ReT7iNzDC/ywLGDxhuJZep4KzvWq2bDP1tQwqCSeARpEOd3tY40ZPwCh zLgsU39u7k+Yka4ppq990R0UtmWR3tuxlY6CbS0ff5p8sLfwQdCcte45Gpwu6+masBafJRrcgxj8 zUQ3APjUnuzKR6cT5aLeARYas20Ugi1QNRxq/BgbGkw6gwnCSHW/ZHqpLvjyBgweg6nEA2d/6PqW FXXb/W/LwlmZ5nrCbTQuRPeA9C9CeVdIKCJSn6cup2GUOUUF1KGGi7RkThaNqE1ti+M92liwo+vh 178udDICd6vLdfyjwZyFNqPauuV/EqJC2AUKUHNDl5G7pqKoKMmtxx1bw53z5yolsyfxAcNYPu+5 4Z53hUr2q0Cz6+Xdltsts51nbkfCO3CZFTwBUXcBC5GYOrOz44s9+K+SZDWRFK8tblHt1pSyn2zq nkZ308/MRGMmj6YhM6UaTQUnoIhdTfEtiqC932gPYV7c76nGrSqGn0ORmQlXj1JlpjUvA191Q/dV kwvAtY8WQ+jX0JGsqrgzsx+HIz2endf5ipgqi4LhKajc/393L9eQYdHUFzPhlrcwmEJciHyH9+bi eyQizqpKENqVTrfMBNOrIdJeNdAIKiB0kSnpWgAI0YPVrJgZUlabOnWsi9Hlt88dRGKGQ+0KVYty C6zkHm6vdpmAShBl+CA26gsE1+7JO1fgYeGu3xH1151TwAiwbo5hczxUsm6Jv+YUXakiGbcHvCpv uMkbUwkRNH3ojHI2Adc0RJ7dNHtcIVt9MpUE23G/hGMU32YacsgJv3vM5FibKYDgnX9v+aKBnC2D B93NlpYPMsZv+5MIZB6I6CZt4pS4Z1Dh9/QCkvgkIfUs4dI/sVi76xQ6WFFFTBBmIfggahPX6sSC jqOxvNfGDYBiP+67RBgD5NaiV09ULtrcUI2w6zl+e52YATHlXr7xKpA+rR+YQ3nMkj4BnQZP4eMJ QqfWGXy+nZRw2DVn+WwmQ1175tL9xbsJk2zOYgVuLfcrCqrOOEPL2+0DP05yzuRbj1hNozcS9FgH DDQ/hRtPEkqMiMm234YT9dvv/It0H4vROzFPCRjDN0k+RWljYvjU0INlzPrPdret8OXTgxrVfa8A jxBcL7IXrzwz+RRBRg1GMXMMxIyLO0UInlI9O/H0WBaQ3WbvOdOjR+xdqNlQ6toidPrIzdO3TfN9 ub/MtD3ruvik33JNakq5bhicVyHitr8KZEcATvzfLOC3HILtIZxrdAgQsKa56Azm/Z+AQ//CoNu4 l2saNOM1NSKop9r86YK82ixx4sL0LlPtb4agV/AV4pKvh2idtIe0SMIT6EqothgELRgWCO/tvDGs N1ZjdxqMhHX/xbA0VxMG1tC/dJsqoIaJ/WtR6BA+k2h46qTnlys68meR6O/UYJidkCqrO2XI8ACs +lE9Chtrn4LMZJl+IEapcDs2XFQ16IE9NrVm1w+cmbMnCauF5l452FXasum0RqYDBdeZFA2F6AN2 B7mmifHSuDNKtMda1BTopkK+lpHUxwyW0BsMvZjcZ+259J/ZPKME7HeY1A6SiPEwXDrDv0lvngzC gdb7M6/iTP4eIRpNRqpbmcmLG/Ctlt5nOiih/wImk3mRTxE5SVUdt6TlPNHhFwCNRFVmR2xob/zK O48UX5zvFjc+1UWvETomB43UMvh/SxqPfajK5rwYIrPRZyH8bj2m/A5ICznmsmzK1/UlKqXS/7Pa LL96d50FDH5Jk6FODeXJHeEumBbPjHpJdFkKN+xW/IwW3xxyH7jcRAik01JGLueGO6hHAxjDujPz TK87AE3B0MtiwO2cTQtDrzYP5aJ/QIdWCrzN82omd1gBXdOwcEY0dU+TsxfNWtLROhti7iWkH67Z EpNjIfRi6HmtlcB0Av4bYe8EIk0WTe/SDlP2OnFDCxCmAva6iTF67FLuSg5VCMSrLdkw5BQlFn21 dG8lZ3qor9vK4W/STpOCXVC/OPLHjfwEjhixwqfWOXNx1AZ17aNPCtOkBSqijWgEnqgthDgKmOPR 0KWofVfolC5pKfL7uCIvvAALsdCP0ikg0nXJ7VAe5hCICZ+5sostswhZjVpxmHJlwg/SSK1n5d37 sJrebQUJXEIs7iCbqDNwiplOooUraNb7qgjj0ZzVjjgJPzzWXBhuW6/WPMxpIBNf55sukC7t7wRf K4g0Fzj4AMVOIyYjeQxJkZkuGKLX96JbrOa6mtMNVjVDNpm9sUjOq36tR6qCsp8Raf7Tz2kwYnl7 jZnq6kNk5OWrqD6/hNXzXM3fyLZ08B8NpjnByhgJZ81doOI0Fg5TpYXu9FYo5/EQ+4o0nzL8QbDA KgN8rIz/pS+OUVzoekrT2V/Ehv81vVzAmmt5gLbhwsbGkyMnzHXJKy2JfYs3lU1a9VrzvA9NKBH1 xcJptVGmMhj6d+9Xl/1WMxL5FoA2hC9E0SkLBaPAM+99S1MsYDp3TeT6XLhDUSq43QM485wAcWtY dMeN3a+T4dh4tiVFcQgqAoBCSuKUKBo62c0ykg6uu+WgJ4Bk1dYdNJZFZP45FVUbKKAl8OIGAbZf 1iYbnMk9tFTpQ29KS0iqKr7R+3Ht18ecankmzexzAzPQTNEPvVNMPAe4dI6GSbuJlaIZbmsSEjH4 5cLYWe8qCxO7iu2wy0aAL2e59l6IZpqdNOzbKBK27CYVmKgrdDEHTsP8n8fudn/VMR2r3u4+zST9 9M6Da4C9HP+Lq5BjSWsmViXSkDGapfXjfnohBa87YqWUIXFZ+TRLD3rGh0ZSy4Pk/TmUB+HQT7AD trJOPleW8vfPbB//dPVLrdVT1AHPjKiDzu3GwXlucxCiX0rqEyJ2VjXXCkTijNLLKfjid36icxwK qFwmp88r9P7r5PLhAcXZELteCKsTAwt/Eou85R32WzITmfhaPmn6QxqGy//0w+3anYMdP0Vu0UKU Pb0RLJ+Rxq71oFJZO1TdE/rWedfDJYXUA1s3+SjEEoXcrt0k8US+sqeAOnnK1ZQi/qCuGj43yJPW tCK+g2t/SakFehv4zajznOxnoz/AG0FRQGA5TvjDKLWX63OOuedu6mdkDQz/1E/lkqa7a/aIr5iA N22bT3RhVhlJPjd6NQJovP9Buu7FIaju4rEX4LWmHm5AEC9Uu5nJxeTtma/pkodRegfwAhJVFQb1 9jKwafRVM+6pYhokPeknaCpM7m1QIi7xV03JeoCH/v7BCFzpxlX8Lt0T4YkuHuJDG0f7FJiIsiL4 dU0uLWouL0ZScB5i8B1KKcb8gM9a9AtOvTJsy0LIkySCK8pBOf4EIk1k07UNqX9iGXmUuMRyNh0r yAYfMp5K7yNpXdGMEzrWKilgGcSVhMzJg9YymTJy905mY1HNFi38ArlcGNM71uXAJn0R4mKNzEmC tDCLkQP0lbvon8psto2/NXC0X8+HASUyis1v64mqg3y1T8hklnCQz0hQCk2vV+GqMyUanoVuyfI+ 6KN0sHQ0Q5AYRaJUtDe4Rq62+fgFRb8Bh2GcaW6IXpwf8nS1pNN3/m2znuM2K0Z/8lBXHmTP0Zzv yG8v5K008HgKHF4jt/5QYWdiqVdyVtk05HqOl2jmQrKjnEQ1XMoOdqnBzwNHTSXg87uWK4IY9QxL sGiIBbu0O1lS/opHpI2W4k+89jJawR5UiWWRIf5voTlvCaLkJ7aNHwAyOj6s6UXNl0VnM02a+zJy CkKpfgNCTpupHRoDq2VeWftuM86UeAL5my0Q/8k0ExyPumfV4FNA5RkXduhD5/gKwJ/a0o/RIG8U oNhT77ymfLeqwDL35UHfO5Wx3imywlxDOcgXaVXyCPE86kXDjT/oXsGrol2mnLLrp/6OtodrYwXw wJJfZfO8IOCXd0QRNz6axXsKNeGdx5Z4KaPuDpHe3IA1eoeTlI4HSVTvxrYe5/1D3i7V25TlmJJv CQY7OniiD3U5G437d9htRsWpDi8fpoDvIPO3luK8WXFFT5DBhgnaMYkHf3UXZJ9bIuDu0jaO14/Y 3d6BB5RBJ0HrQ1KOko1jRq/clYSz0woZrz8zDhN0VULTTHz1gpJLo2pMfJeP/pLSq+PG05sEvhNH TLYwaYRmMrLyIDxyYZaJ1VBhWBcaBh2h7oC1l9HNgSMr2JhqNajrAe4lJxGcg1Mf3JQQPcXbfdFY wReWDVb5pznid6gzQjo83Mld3X0h86a1VjbXLPktx7T/aHHRzBUd8ZgKrhPd67GkaZdYrlT+Aivy aXO/DNVbOrDLoj0y29ZNSXVIfGb1GzuyicZGZX7uMLJ7zHSkPuSJxyrR+h+j5jSOEq2LKUKvhWzH 00Byp9em8d0Wy7rEaAnpNFR7kzHWnFP7Bf4pU6EiHrbI019FraDJo1j2b0sPYY75zjE4YroiWI9k mr060KAMZnAmrG4nvOp5CVKTKkdsJEWn1nbV6lkd++mlGC0OM4D8BCEmpZDTn3F86KEXARHYdUWK Jz9EiGVQ7epUSmZpR5LnslD3D0BLjfuhJ0S+2+QuUJYuIRVkNHQ9QfjcW2LNB7GhO9sSyPKjggtu ggjfdVN4hJ/nwNuWaaS8x2NEHIM3oR70mjq7yI2pKWv2zf8GJc7CXWkHHr4pp+E0KKzGDymoi7ab uQAI8Rre3c76Wk03cR/wD69YPUszLTIqn7IfYeVW+G9SP0H7U/ZCfIv3tAxs864C26xZmho/h7hQ NpUGhW+eazSJzhIWwoqB0h6VPY6xxkYeIePGK2FBcRCG1ZFIrlRyEm2dBUYWmi7QvoUASJO/q3xe K71JDehZnOTg+/zy8Ht/9Giyv8crP7jmWQoHekxOGLyxov0ABR2u2FcXcSinw/f7EpPgsLGkFgg/ caz5eLCye9TKulEwFgiC1A3TifNC0AUgFEDA9NNl8Vno8Ddz+amjqNlxO+TicNVwynl7mRSIPxY7 T+o9+TeGvcUyeQSH/tC3DohIfoz7YXJv3VYcvBY7vxHQXlrvMrEEl2XPyuXNgVlnlW3zcllUUXCg mtu4kkSz7gWYNmVaPILdtDJYVbTpIPhfga5Hjk4TS3IkZnjg/MyjNsz/FE5Gx0HUckrekt+fesj9 ho9SjwlkBwifNQVGfOHSDUrOyZvjWAAkRTG6VxwZaV0uWlj9QYOwJkse1Lw1z0o4h1jZJ1kONqxG lrzTbJtwiQVIXI+HpusKOgVxvoO6f7y/4UVYaMNx5fujzoF1gSBBpINiFChIBRzfs+cv1bNhkL5S ev6DicJ5n3Ezeca0MvDEmEgNFw6eUtjD+m9uEgpoA3gjmH12c8GNiofQvrcbGbq1oHRZyIgStdFa ew4I2QousTlb7TLW6jT7aJMC/4EtCcZFunKaGRHM10ZvArhNgnYHrEQvCWYcvvNrCVLEzgDkdPWg GkB7p+coFY0jb84Uut9EMjSQsxvSzTtR6+3VWA9mfYnPd1vbv+9chPfDUF6dE5l6r+09TEor3fsI mAF7y3R6dpDXIann7WgAPC+LlK4+AoNabHZ0ME0DDWzijjinYZ6AOTQ2W8qIlpyqWlLFyp+0L33G hg+odI2Ao98hIfbXgH7beo6uQtcfb2Oi0x7XxDTiwad/ipO+zkyocd93+bqemdNFEcsPS7jj6lqd oFABkNPPwtW2GUSDRdqt5tS1E54mIAhgsJXbW8QN0xZgQF9XwPFySCQ0HX0jFxnxQ+4Bunbg+ptJ PKrs4zz5+uWkyiswJVVx1c12eDjZFPvXxSPJ78KT3sdj67bdXYDayITCFRfak6hgDzdp8Ns8pV32 7VuXMRleS33zyTeojXwBPFYodSMbchZJEFGG2oc5ZwcSepZQRLD6l14uuuat3LPzOdgyOpOhCbcE 04/Xh05qBw7mivXmgp+tnCx6UFHxVsoA6XWgcjX+f8z2txFeiJJAOHUDm9oUb72G5E5FXVuJvWzu 7b1r0pumBpiByTOQ8boGeuWMTNdKfzY8XuqUOKt7yzAljMMqvscDct4OHxt3DIvRpqHB/riuSuZl 8SpG2Q0CERTUlgUNyXfZHkkvgGYktLVOFyYmeRgv4pjffBPufTsaEW0m4FFm8dUjm3unPhAhDkzg GlGJMp3kIG48RPreVM5mt2WXDimOrbbjJb0swbvY4+Gdp48j/hzyRJvxtqyLiTFMBAzDdqDI8GQg yDPgtwa1TfqNyjkuJp4MFcZpEkzGkS0UHoRjlJOt+XxF8tB9WKK66glhDR8vkITgrFI+WAG5PJ+E LB7dpZZgbCGtvgO5PMLk4fEjxBYQSZLY3dJx7ah1UHizIbQFdQ11jYceuY+V0vLmOobXM0GBqiw/ +wSFIMSx9Q731Jrul2wlilx7DWB4gW7jd1Rw/PN51CVEO1sUK41wAXJXLK5gClj0f7jw9pO8kwyK 8iTxxiHMpY16My59d8kUjHv9pvWKz+gXT5ygjtRjKQ7+AX4w2U7M/ZWTtZl/SVaK2nUDAsUuoXCi oTILH4piyC09UZyo4DCpZSkaHEjxwWlSk93/+rH9LFoFAuk2mRFkpasPDXltJnFJCVfqKMALhvOX ywT5CUrcUm7haM4rLjM1xADrR0CjbD+TX+Emr+sJNxF017w3WZpRRcnV4pTD4FGgY85w7S3ZUtVt Fy6vwiORAPdhrCUO6dlybMs6T+A8UzsNjtC6RhDqwikrgPK4tErTM6fI0dDZZcA9IJ7SlPpl8BS2 vVHDnnXamz620ZlyV61ukgh69BUm+PWYbZVW1HSfai4K6tiQ1Z6edtEuvK1gQLMDbTX9phLKSyA6 5zPWuO+E8YmWgcBFktoCIc9GHuMje7TpjRzVCHQ7tj0yXw7YhhVCFa1M/LlRhu+1wDnK+KvqMHxq SEAn7kF5RRxUrvMy6TF2pGLwvNTnYyjoK75YSxRhVedbwo/H3yrtGfsEkypbLJmd9zjesnWDoJET 0d6rFHdJKeaBinN1+05Bt7X3l/tbGbrjmU7pKzG/F1bPT6t+4mVhBEi+IrDvlvoWuPNtdtu41QHh 5DzUDtjLqgcqT/DsiIBO43/5zRKL8aDvajCgDrBlaH9yEefj8nYB6s/qWbuTdkF+p3PS7W045fBC f6H8A6ooSMoQDoDTvL8PLpxsmtdHMXZjP+/80t0/Em/V6Z6u2XEWt8ogjbW1wUnA/z6enrRB1ROS sX7vEmSwzKlMftL79gDW3MesYqUqQEq1A2m+JHDF9HZmm+ZcU0Jo9wSVMHJINjFhAam4gk93qVIG Q1Y9L/HED6GYi9fDTMsHQznvG8JL0gDj/FCmGcpKN1VoYcUwbJrejUMDuvswYJ/tem5zXYk8PnI0 nMJtoCepXwaXeOVjKmcskYEjJtf5YPPltGArm4VDYqgy3xE2Mj3luiquHEbDkECo3oFLUR1SdzB7 +uAQBonBQ5pvZPmgalz0s4O1+nEVeG2BikJ9fsS7yTj6gxlzxdRp+iglMWvaS2hyW3pIccekDJKi uIUP1zV7jjK7ASouzhE/rwH4RdUR1gRnPj/6RpStyDS78UQUABh8HF4X8ESVAYaBYrYhbsmQqahx 1iwC/q0PCDOT8hvbZDV+qXTdM0F8zHstN9Xo6FVUnfoy81DChut5VrgODrWd6mcrGMC40rSea7Yd BGaIFMNomsVCpWdA05HbL9UQDn0Ms2E9tnXS+o9s/RK+OpLEw2enFpEktzaJeJZttgABsjhoeG6B xER4oAQ7LdNzDk5IPhQY9COat/0iulj4PMDyVdzPDTFZDI93Xlr2SlkRx2IlJWg98KjbbO+KastB pnVu3C1YK/c4T2sMUZUAwrp+ST8/X6gVhYzN36kI3ReJ1YIL+CakBLen4DjKKdPqzz9ZlB6uTpeb FM1vfVuyn7EdQs+lcbm76iVAhD/+zAohdW9x+6Q6/Iv8dX2YHQuGMceWNs8yqiESBI/0lRKkiKkr JIl8CXfkIGvKnKMQK/iDNpxBmgjAAhQNdcMxxzCazyCZZmjmjRVHwXwQS7c8stZ45pyKzp8/ziMF CVyaOD/u1UhmTelTnjnAgzAOkbiN87uVeCwC9F1PEPISG97XpOU/yQbX3cT/zxkkenQKRZlJ0nwm Lv06nD6mboHGaeg/KXWfxuUw5SGl2BRHV3WHrQKArXJvm3OqgVteqCqzsirl/nwJZZGtvjmpVNjX Go2YFuFnbZ7rkuMnOroPCsJ9ztlCth0nBejYkgRYpmFy6QZAAqMMu1qLJAsJLIuvzcr2+vb7F6/g EWeuqKgKM1O7jUC5eV9qGWhFk8nn263rLJ2bIb39Ldp1H7ojKAf4QXiGM+aEjtbIARvOh89v3411 A1R7Fr2HrGBV264NL4lrmGvLrvX8N8cef5vg0v82ywRRVW/7A6FJhvrs6c51j//69RayYZKS4utg KwSsquAlmJqJLJK9xx5cPUPpBXUyL58n5SuJGyK8WDeG++np/Lck4+BV1V02sbSzjh1pznPXhkrB dHydtP1q37x9dxZ1kwoSEQApU/xnta9xFi7pT/e7KBcQQWtUl6io7dNf3dlouuOmM4okyScrU9b8 kBh2WOvJx+OVW8oDqGjcii6n5g2++JSXY5jTv4WKVuF7KS2vIK44r1mP5LgIPCODUs/sDEqlERzY MzktKeftb6wIvpZv8mw+zVnAPFl1wHPV1nuham9xjQh9oEAFx7TYK/P8z3VyUS47OAqakb8mnIGj Jmu1Rm0XRuuR62bPWHSEhdlRdER/0E+ICalMmgUG2fOJxfn/mW/duWeyLxcHCyC8lhu1Sz6HIddw NPC9xAF09RaIwHMk3HamVRC8Vh6c6T54e61uidZcoUxXzDI8Up68PMqJigwslsKWvMmHgU+Mnkmt urEQieXCS8ZzSMjPsQjTmDxhmFMbuwPR3BhJEL8wyxk/4pWsW9h0foXpnVIPEeA6fK68tNbwoKkf DenhJJBsFVyfiv9OP7KDtL02qmXbyY0O3kO0/dxg2gKHqE23i/r9Q15FA1/CTvfApaWWWsPORx81 lZPe1OjyXEJsTSWq7nhq4d/8n39sbR6UAiav4VLX0IBaRBTDr2/JqAiQQAdOqjdBXOT5QXJca0LB GjVgJGpo7nrZt/+stMy6FuChsV8gx9P+IECCyI6Lnk7MM7zSGa0shEXQdkB5n2DKjI1+DuZrFgEx mJZr8obW2lml58JGohZFEU6fOSJxxAnm3sgD8VxXPuL5ATjo6ATAM3rt+NKmmtFNNN3j3XpkL5aR bdMDTw9p5DQLr1bWokRfOSPnERumoAbFWh8/jwi19d1w0/oJUwPPrr3+hXNb8R4mz0kgDzR1Mwr5 MAg7XSNum8/n+IDXW5vYUFsPXXzHrjIwhyG7ri58xGxBai+iE1n1pD6DMYXytfX1z6Y3Wx/BAjZc kANDCCZ7fV5kqUZyf9fnp8lbrSngIjU15Dg9D8ie0eSp0LYjNj32ouPu6mN75WGZfnNqyPfrjs0b aTO554LI564TI8hRc5tSzoKmwVGryX69GfYldRNeRYxt0OkEHCFrJcA/EH817mITzmWf22h8y+SZ FNtxkoqE4sPQUJpWPh3TW4+bTgCYj6UZnCTgY1c/saENTs5j1Z9XPEmkSuKA+5RRib347Q+cWD0U Dn7aiDXdS8jn7A3mBV3KaxAL3DbzTMf6YAIzpOaLSTFivlWQQp8Oe1wK1tvBTykEwso9aZBXV6su 8QhRpYt6ql+PmCHvakNPLRI4KhkPA5e5irY9gYl8LcPCP7AORnc2Ov/ZSB582PIN+XWRc0BFO+Lb uFloSDVKXkhNtUD9Z0WUPNlqu0XUnaDUIJ/7CUSudAsDP9sucf2z4nia7tIwzLXX5GLMEX2mHbsT nvYyYIak2nZiK8fWrPapvHMPeu19TRemIFfKe4a35MlO3JjoOGO0vKaZax2p6ySuhEdEl85HvNef 8ulTu/+WMCxCjuAq2xXAOqmLb6KeAJYJHsv3cLy5eAqEwk5CnmZVeRoDMp7fEEE+rAh6FGhv58x0 kM68uoKJYmO/FlDvHP8CR9hOeX4ww9CYDd5+5wwFIUkPQT+pf+6AA8sQeTjAiSkT4Ee4tz1Ngdcv kA7DPFYgocK+i5EM2yDGNTOphusTR2Jnq4Qt1aD5hKdo3Oj210XTbqMyteKk6oU3Vyqym+ETxgrD psSONOidXQLWn6aUUChvO9WpABsmctauApX8F0JIDPGewusgyw0R+luJGk/kfDl8jq2bz8PA8149 Hgm/4JE1PBCMQJSJmZs9TO0/DVBrLjaPY050ZDX/GB9mpfD+2UtQyhrAjt/XQ87sXdUSwLnv0qyx uGZZddpn0dyOA+uHGTS4slUa5ox/WzeEAgTLsNnc/PNBIbDqJaXQ3zQ89SoLoKQQ9YjPsnBCSJae lvBtJHR1bRSfDyMksCXxd4EeImhT9Ze9S/ZXbDroxulfpCEitnV+f2P64/Q6m/t5zEuMynMWFn3j aTVNaqQlBbeA/N6+o+AKacQIdeW78kPoRn//AhhTYnzoh6c6MxJXJCidZBCfwTym4oiWm3v1eKkJ z4l0oLcV13O6GfJnGuImKIvPsHcGOu+vA86LQSI7Cy40ABaT9tu2RcYm7dCeX8dG4/cBqTLRU54g CSAK8jptpYyHdb/WqyRGNSQ05wd2m5SZ+4OeX+uNZfiuz1Q8nzzWN5r4tauvzqJOpRwpRujH13dJ /gcNfgfP+FsAp6WwPJb/LQmT/pNR0NawM0Lqtv69SwE7qls+H0DRQS74eTdJZv1KhTzXKlT9Keha uTOJwxaEWUv9IHPU1Wl1c3GGLg3vmK9SY5Eyop6WbKIK4iPztx4ZjzvkIcy9oGv78E0fu3atjZ/R hM3Wud2omgDwtKVB7wOh/EhufRiOsyBUUGjPwzroPUcJsEjLXEhJm+TD0UlyIa3W7hjKOC1sCvBG pUr45Wtd9lvqWViYgygVxgczzboC+ljpjgui9wNzb35gOnwo0MA1669PGkwygcaAz6UPdfPLdo9B LEX6rYWNtDtkHGAEHk6POnkD2AqXS9MvSq6bKZ4HHdMRRE3s438UvJoIqN7e6+9pWFU2dSgNdnlH aEVqPmYaO/1+2NwLpHQGzBQeXhE7SA0ZJGaHUCGD7A+DjY/CPrLJWxFoTQnyoEQaRjwQdt2W/RF4 eJ8eaRH7Gcde/modZHFtxSzfMgS4rycHmxDyco/PwFemfZbcu3SoXF8vZnTaGRsPL4nqVAqCUkd9 Am12OEGk40f64qxJQHI534h7yEF45mslAE/j8LGcnllXqaGrn4bjI4q4VPmSm6djzh3YXSE/CItO KmkOFThtshq+wmqSsNhvr+8HQgPzu5wSzycSu7kJVosjWHN3vrA5OIG9euus58k71R6z4x4IYV63 p04WW/CGn92GCqUbS38xGv+L8B2zKOpiR5HXGMh7hJGf/fVAZ/+C35Usy1y/EFdd7xi7uB9xNnz4 g7VnMjNMAyvP0pvw5IVtyLlxOK4yBH1PZ76TxPLXJ99uAZC99d9PJMQbhA6h2aNHVBpS5NhbWOSC bBQQ/kFAuSylRYbNAyUJe9d5FyGnJ25PAcS+dLuMsQBvSrs+cYO1RtlrAQdGf99CAjQIuA1+cBJI mj60nHBYNhyQ2YcGoHL6XFgFgh9PvDzmgUMMIp67Pa8aGUi8c86EQib7HEfmVjIXfQWVANYLJjHq L/LVYiNXOJra1jPwebPof+1wbsFqwMGxIT85cQC3XYPmBt+x+cKdHTZnRU74yyF0X/2OWd3XMquF eTJ36z7hl9Bc5u9o7yjbye/Cs6bKg8Iy1H0B6c2KCS5fC7BV4coI3856lNFeu1czcpnTeoC7aovG a89KrzzVuSUHkCYNLcWr64+iCwzd91xkzSiXU2iBcuoUm2cG+jst62xDT/+/kv9fYlyhgD9L7f1/ YSXDQr3QBx8h7TWcdSF0cQOfRfB5h3LTkSt/pjkcMrD+t2LWoVkBg7G97Ek+xqQ6d6VjHm2s5XyZ VXf5iIFviVv/xG7h7tKOx5AMncnjzYrQDFgWz/JEyvGfNa5HTwN19QoermJ9GjGiHotqT6Mn3E3r vInTVR4nvySoIG5Y3SsLBJWgnCzChjRIFf9JFJuvGJB9mj1tMygifYUDynF9Zts1a/QTfcgAbkDV MvrcgQQhIOyT/yEgDPEL9tn7OaDuYyokvoEjWpwvfH+O7y2JY+7GQ/PmBV5405RDH+cycwX+bUn0 dTbpDO9sIFiHQnEsREwHl/qrzuHlwhuLddQxT3imEyKmoKspR+VHrt/2Y7T9Mlpqo3Kma6SnZUbP 1L7QM9p8WhAi9ww09QG00ulJsq5cmFj7EV8QAjUqrcNA9wKOteKHZWX7QwV7Td2VXqyTcQ5op7co JVDdo0J2D8uyjYPb18OdQOxuft74fpBkoBsVEiz2Iyi7Kgug3rUIMdQV7HCb4jeV1gvqR3vjFSm4 m/40baa0QWc/c4vqHl6iOYQiA8xOgTJ0IUoEOsbeh03dP2sAcCa6mPoGWD2OV6l2AYxw85eKzLPH AYkWQ/e4F1k3QcGFn8QJLZkL8tcnA/fTP77PzBot9YMmaTY6GXngZOVsFScBGc/4CXtBD2GgqGEd Rnb8HCGaDItXdEee3vEb+KXU89eZWuBQ7ZI1+hKS2owXrSoe/CS7tMckqTAsfbbfU9OUmDriXog8 HIyWzhelppPFiIwyK8wEYUB1ggRHl09GB2KLqYWaatPAnAYRC4PS+EE9U/gB61RbFS4BbCMwv1X5 darhZmOoAdGG2p7Vb+S++52UXVjy1N7AuBy+Q07FIYA8nOLRRQmKlpoSqVtWjZWT3gd2nPbeRl5F jlGXXd5g/HTnOHNvH4WUzJb8suWBA9RE2OdWNwnp5lFkBfgk5a0zVy8LM7WjhDzeuOc6YsQPmEJJ SsomsFy+q6r/mAHsGUDuqefNTN490QRniDDo/jsLM+Ro1Vn/En7en0m3eVVZFtE3sM/tuxfBbpCE TUZg+fVxw8hwHH3YryHFMtAU2BlIwdTOMs+oera11bGfc2LdpE4y98XZrhGeW/ACE+BuGfasPXvq wK6+Egv8NoLJt552BthlaA9REYboRMQRpVywhDQKke1QJLTWPmmomHWU8S4VbCM9u5eXiq1zyKSg CPp/YE3OHB/hS7xbkSf9Qd0msDFbMRRkVfISTwwY1k6wtgfWEFzQ4PzHbhOZbNmmUMH0xjB9qW3S cfc/iqy8Ssh5OOynRC/YOadZ+gRxPFxAl8PlLId7wfI7KilAtRG46n7kVkv7l0wIqPYkrQxJrnCY U0Z9B6MpA2QQJ7y7haJaPstSfzn4uTApf2khpjiTaL4uoywXiMvrLMGmpoWIvbCWnxtF/DWcRFI6 23oufpnmTC6++C3V77ClfObdgEuwyOTk7OUJBtoeTkAuKicy/De54vdTLHCPCcpzC72vCYY6zhnf /Ky4VXamhA+20Vs7mJerX1Ke1HAPDGtge/82IOCYmPR7OmVvkZIDDcnwXdCkg3zp2xNveMc4JHHB lqZVeV7m8hvU1Bgm37HcyY0ZH7GzhG0CfBCGfg7kpeYnJkqKALDKQuYGAx2Y+GXrMQhBkYbAPsH0 fSy+sf0HkJbSNhrbmPtVabEtYwqn04HWqXW5xHcrez+dWeSr9aU6EruLW/jsXwcVXZ6iIUZQDsbR nhZ9lSpYUj3phJSGde4mP6DbzndIqCggVWjyEHRfIu8C2bGw6N5AE3I3eHDamIeh1LiWeOZ56Lhr A/P+uACXg3bkeuY5O/B24oiP+CVW7MH3gi+HyLMFj1XmIU0ryMwReh7YiXVM2+uAGMj6wQt1A+3c 5gNM0EJz2fKZkoBx1BLlCmbHhHhaJuyhu+1EUQwzC18vcDslI3laNTOPkeeiyRUMxnmD4ST6rG+x biF8OWh+VnPmqK5no99gUIAKbhnKT+1V6NbhYsaPGMLoeF+ui7I9H6Mr91jpZmWb0i0EuP0D1NC6 lEIfrz7EchCvnEafh2gDQu01FIrUt85GxadqtRz89fejpMF0HolN+LDYlVl/UM3ilH/kLhLBdm1K RD0Y3NjBfGlg1HzOBTQp9K7gCyihvkMQlqhUKbLGf5WwgxKSVIgjVAK/qNryGKwHS2AODZii65eC DKgJouNjZpDGhK6nRhSySbWZ9x2xjMlbtrv1G2cenNS6EIPep9E3bqySJwevD+XrPo2cFajPoIqr sHuRPaLFtz6XOt9bUdAkwXXkGLxUoX+WPuCusvuG9TWd5uy0QoRzt/RmICyoL2EcM3ccZWmPXtuS cDnQFzSt7pNCVEYVaxMn4PjwhNoq/CgssRaK51yhu3gX1qtZ+HodrgL3fiZ6I5WX4CxeGqJfHUJA LhLqgRhwITwjNaaYnFEjdWb3B57Qj/GdeBHLkbhg06/GSDChkbr2PK8iL1n1plP6YbuM8t7epdij kokmoQFGiJx87L2FbR79BHZK5uduvLj6JfPdlXfSCkBNCaFzlvRSl4UXV1rRN12Y5DT8IQwFqvjX tgF7Rihq4YdLPHnb4vB2nrwVwr/253GtIzAy9PyODouvu22c+YgHlRAs6Hsgry5whpjKa2NXAjaH 7FXSUbEV6KnnkGXi/mA0MsKD/doQybtkB3VQdSY8F8YFH/nt3h1tj9eXKXqUTeyZrnqsDXheuPIn tUV3h/7jWtyhXALj6+qZiQkKiRdTa0FlosUC7aAbQcbbV7i3Bg/I2Bs4LfKq1jQJAyZK3oGqU4/m g3HK4y3FT7k+zeFoeGDYCY2cDeakeeuDxPNdMa2uC1D9FaJu4qFXGEecPqVbSkneKr8WpjMXGeLr l3H+ZwoUfUpKso+UqkCJJk0pDs7ikfjbW9PwwthDxkWSJC7gg/pH8ZwzUbTsV1n8vJsRywCg2/ck /0scvbAfpA3f9bPotS/kHvUMFFjw9stQIatYM1N4Qv+r+XS66GyQF3ofEDGbwHbhWTE29gXWIXDC iR7j45cYjzMaFo5NinBq7pCSFKjq+MjwKqaXybY3X6gA/XcpSN0pjdK+meO3c9agRUO3v4s3COQ0 tjgNjbOnPefCnWvuX5p58mbRipsryJW+WVR3sbOQpEZVzd4swa9jssjNv8bs5phS0htlKdDAt6vi wgD/m7YXR6b2PZ75Sx4pAzZ3HuCuD+FX5mjN5jd1O5nhNT/s9E20XnL1Gr2HmnBwpEZ3WyotgnMK /nM6exs2tqNJc96DrWTh4R1MSYUO2N+mX/vx0hSptmDqWHns/z3GF0bSmiT8pxBVyhFAMhVF20tS 4FabF8FpsZ6ivIs4RVleiqdUm/gO5dbxVNnVSmJ9rRfcL8HOHlMKltxOhSBBkyr250mmBoq0MXCv uR2dbYzPVnr8EsC0FFeHchF2I07IGaShBsq29ehEVGHuGa0u0uOG5Fmc4/aUr1PYW1J34VLtYZro gM81gNUlJIubHmwmzHxmWMZslzdHAPvZNpF3kY8u2/5xsFMTP5gkvb3UMVXtSgDiaMI/XTCB9Kz4 yPh7WBYc2saxfke6rL90bJKFvxaFY+IQFUurRO1oYHPhIOqbrvM562j0/jszKww+pWxUs9FGBE/i 0gGIcwJ4yZ3hWcwJoRx8kXQMMLCz6hiOnYYb+iC5Q33KqRNKDr/ZG/Ww2imQCSxNklN40miLFDVK 229kNofu0s1q+sQe2plwivUJUScQl+GjYUDbM2Xlh+KWEi5bEtz/KEopfuxgGL66jNJUTsPbQwU7 wvSF2i4sXTSggMmyACNZs85La4IO44qPjnuYg6i9jhln1ejvnAkOVRaqRpN3PLrBAoiEHiZrZggK WN9N2/U4vnhKnOTpvroisG/Nk2isVs9Q7bdHvFF3zH1ZzcU7w7RSsGNyU7gzUqzFD9ko/aiJ0nbQ BI+yNT+UG0SpbZGGNs15tSzJa15rXteKeuL8uuNvpXp34jXT4x3qNVYTHHOnOgtdNKlhfpyC5on0 2/5mMA/bUFcvmWX8rcxt9IUTDY07DCS0szHqZLiM7fFUQPLR57weDJjDPLJ7kFEi9chXRO0Md2T0 FezZ8e1lvmuj3Vmd+9q6ZlxJpCaLMZGTGODIIGKGF7nvQQT52uALry9X8izdGuON4WSU9UKfnYdH A5uCycp8m0lFgObwKe/z0MD15LaFgQXRQEHbiVV5swOsdOHBa/Fm4d4wdA1gGtuoghFKOLp2z7BF nmLQ04AJndSHXcRUt2tehaUAXgQI/yrPuWl29nJ+NpRWEXiFvh54a92wLKK6X3rjroq3OewaVHle y2ePtXtiqSwBCvIRhsWNgKPBQ4KvYqKRf417Wh1+vUJa9XTWdiPp4VfqL+BnIwaUp93okcRuUakA 5cEIWSUgmkC1KQmT7OFa/7x1X6tQmMrxU/ovSowW0wPy2O7ZFXTAC5L9sEFlavNU5NKoC3wLnelO anhXZ7ehkDCR/2tjbiWbnJki6EeNHCRwnxHQKe4c/eyhAHBda1LnAT7XSNFUyKD8OEPEDl4PaS1/ LfOSzr0MBEE1gQC5W6GWs1ttE7Ctm7hlGlmhjVI5l+zZIg6OdJFsjGfZIXbzr49VxBxTQ5gozpCH dVX/CqBA7Nsd4QdH+H91o7yRRpp9CCxJ1wL0Q5OaQmuN3xgBVANZEIZ9rwvwThvNVa4JspetI6SF acYem4yqv6VIIlfwcsClRVNGgc6/unjwgAxLh+shHx9oakgIpHesjD9006G2dLm4XMkAJLqE5GQJ mpJAyv5M9INDZEEJnW8v9KdfmMY0WmQyr+uC3EumryVf19LZDrCHovqbkuv9CRtdXDYxK9o46jCA im3LI+AAZ9yKqTKh0/SNTchcsq5jRenOZEpXacMGLoJZpzn3xnqwms2ITjjx5HREcZs/KV9WUZ4B KEIOzVfJUDgaqfu9QrUmHOLLD3iQaJEzQj9NuiJZjTz9kjk9DJlgc2HcLRvA416Gr0FWef+wKxmx zt3rSNE1FxLfPjItZcLwa6ZwSGGbj8KX6nH0ULJzSaPR9hJYFZoRQ1aWXV1bWusJV4Hl1Rgd0dyY issCtzxo7+UlncwPYrDCdEv7+QlUBwd8XDTkkHNeCR/mYX/0nxd3GNaHM6vzfMQHLzzDlxJrH3sS Y5QzUjM3BP5P0ngJGqaxUE2SehQwlT3B+dE5cnMzUVmF/u75spwszrDDDrRIalPOh1yRPb7s9Wwt Djky93pQjwhP0YV4qFHm4LfoSm3r+Wz4TIX+b3olt0h61ubN451NFbJInyHGdB82SMTCquH/zctF ptUGzdxtDH4yfQUPpEc612fD9DZcvMPuljttpP526yAm4e00gAbDUG7cdYUmCtdkE6x1cXbKKlC/ Z/DbFYbfGvgNbk69dI+GG0JLFNCqAJsP3nO3W6GRVBN+N6HXPsfgh1cNozLKaiKtNnGK1n7NSw+6 +MBHEbX0UnRP9bKkfJ0OcNvwOj7phWz2dpr5Qz53q6zRgep0SJ7zrfIBDZEX0xEyjDtvaQYqh06b m7c29ZsUyailXQeKrwrPBaYSsUstCiaTqxCJNoo53Yxrh3NynO47WEAD33d6LN5Xwlk4ccdgZT2g tAPtGYH3hZhrg7+xMO/+KOgLCjAJzz2iFN3fmcweBCXEnkIlBDWnBwFu6Lid7rH+RQuUGIYJM3xR wPchXxv/Rac3Lxo2lugPiGtUOzXKBp3y0JAOq85fnk6SDe6/p0Q/sMhVO6zibBSoXIR8nBN3Kzgm bCJtDPsxDbpdvvWhQSTFmHcBruPM3PUk4G2Sg3a7qF2eQwZ0AeYSzuntIOQfk69wQ+gECFVckoeS RpNZz4tJ2ZyFQTgghth5v8C8QaeHVXA+RlOKCz744v5i7ufM3SGRjGQ8JiJposxiBs+Oe1f/YhXb i5mBm2lqdtvMOzr+X8/99fAM+Ov+EkGzUiyStOdc4zwsHd/m/Gj+scKN4JZTumG8BOd+mWyxelqg oCBZDGiXKtWRb3Cn9XjijqQs8RbrI86GZ+tK+lI6T651gW53r+hNP1YzpC1E6Uzy/li3lXxRHALz x6MK8YiIxjvvkuYNoeU0WLCBjv+JVUQFt+zp9i/FKFRIhV7qKUOX8mlt5CX695bBeIJ0DL7AXwWr CbhUQtw/lGy+wL2f9fFdsMcmzldrJKzEYMkp3HqsgtknHL7kZ9nV2m/daWrLJXRbauk0i7eHni8K f3chhYBjLOKK7cX9y3NAvEkVRw/eoZkBxhuIwEcmg/psQT9hmrQliVoF85Y0yijExxX+SEICQ7Ml BQ+Rkf5PFDkgcAkQ0mXIy0+9ToVm8MKmya8g9MOc8DMvMszurToMy3wztROACsmcGx2PU8v2Hd/g TQCp3JqCd9BNacygr+/y7n3AlNmkUuG0LqnhuFHPvmKCNyKKxViBbv8X9a6KaQToXkorePQKei0W Ju6d8GYpuWpJqqHS0VHFuM47WzGnAKOKqLzhjVuNkePzadRgJwfit2Pf4oAxPmYURXKkogS+756y vqamyDR6Jx8RYBzWIGoi+CvrIVcKnDDHynfOpueBJvcH7B1/mgFxXi92SR/fdnq/cU+cvitRgOz9 s+ewNhhludDDP+70fW9nnRZLgxbfurM9ehmMB+ywBcVuR4BBU7G1g3xQSzBhWYWUh6pHuTQ+Pb+Z yP6f83hiqMcEyJbFWEgDUt/pcCAk4VTXeYVBLmNeDDJkWmOX/SnUG/vomAQw8gG+VQf1HqCSzvtd SzRgSRcY+9Z6Kiojv3NTopqqbH8VgpGCqjclgdpG/HEFHfajPlPDQm8lEcXnssmINSyrHbGAyheU CQG/vEvNFVtvBHbZLVhCsZjP0rp6vGd5lAD51pecs72K+pD62lxkyrXTt+iNaw1+79UD2U9KNCn0 bqDAR9fMNo0Nqk1gie3FJnx9NwmXEDUxaP3MVfhAmwB+0T1Rf18c/atqIPMsBH6xB/4oDCdhQvC+ VG09rVgBFH0vCZgSWFRClPOywmMUVvL7Su1P3zIIyanFP6axpFyZKlaLC331Bdy/v4psVO2VEddM p2XT+DZdYYxOBSVbFyNepLH8aM0GcFimQQUrcJdb3RYsiskEPHxwKS6mu8VQWh1+D6oh2jMXo2vM px84vjz8D3YwVne/uKBXzSFksgq6gGKuHaMuKVgSx7EORaS8cu/KkorPPNZckslvAlALvxvP/jg6 ffHGhHelTkq4gsGTlfroA5HQnlnmGkHA6fxCZSmZhrQmnYuivLKUghtuNmxpUK+UBwDedrGqvIJb LbKqIsh7ZdmosROCDI+gBHK/vjGJ+KBjY1rS8oOWs7W7nJuRaLjnjrGaxc99qZNXZg4DE4PkP7GN JsRPOUkVeHCR3y5mANCUVzH12a361pLqYa8MxU0oPcclBeuWd1T9B2D8mQ1kdBWYHz1J3rATGlC4 Pzes9HEFVWDEf7nvDzOGW7KOzCNcSv9dGyfk6wTW3CiIQp82zm+wEYrUYYX5StGM1ImGY95b7lbQ n7qjpg/A6FAd1U4MVZAixfz4XQKm9gL66vpoNP7U0Z+Vni8CDl+gOtSJd82QO1g+5acezFZWUknb B7PYAeWM6rVIEqffRDRq8nqvVLcyrN2WCfvpkt04QJGnSkaZgWH5CGHNb+wNJpPpcWqKeeWaD+S3 gVy1j7egr4LD0B1Shdb/qpe3IkJ/Zfy+ZckZlZx41wmmpdIh5KXfoVtY5fUkRlo5e8uggPukG8UT UCgp8l1F5MbUVpDbKiOCDb7/plcHREQqS3OrUVEwiJ/5duHtwU6AdExyS2rrIVXTPyz+HEa8VHfa QaPWHxxjlOFe9erV4X9TyENKUBHgDk5cRf6LpO+Mlg0e3xXgXtdimcQOs5+ky+vSfCAbtCxvWSzy 8mza0OMh8Pz6TuFlbLYRkkJsAOwvxcfAH67Uj1YvnEteOVzrWqaYtFunPH0Mn68TVtgIzNKgkxWM 4oyo0unigX6lzEFOI+a1laAQLr6Jw4w0zJ0D29PJEiOBJxyk+CuxC6TwCJoOLqXe34vXylYV7cWf 4VB1iuGmriXstF/fegVVXwG8TOlvb9tWuz46u71RzMHj4jP71afuoirMFPKtmHMFHhxCfjJePkio AAiZwXLOlwrf+b+Dr9NtAmASLYI8HIDmfC+Bdy9qzS7iGduaOTmx8seD9uoK3VfkjVFk3aWRnS3H qE/HXGF1UNzhFXVSRwgOO3u/v/2NbizSYKYqzV4GXiaCVwMlCR9Nd0luDajmJjyTNiAi0lsSyNht AzGmPRffDaoWzBFoKh0CjUNBhISLrBTTKPRCBPQpCweSFPZOAI/UV4U//IE+cqs3AqjnUfZ4gO08 /NRSTw18Wmu1Yc0mg2NWWSqGX99lZuR5vtzwVkjprHT4senk5fKmX+hPkD13pD5i6VphCzVhnSIC A5YXw4VyjL2rghR3mwR/Te6pyrK7WbfXBHRbgFRkITDsf+b2s3ykDryU+tN5E7tDxOrsYwc68d+W 9CjmYBIPpJszLXtCyganMJnAKA8jaCtsK24nj3QnwgmsXnEEdhCKAjyudq9tB4lExqoWTlVfHiOJ PUXdeKnwwKE/p4a4FkznC2lGF+T+73i8OB6LiWMaciEJNdGWn8SVNvHkuM8gF0DFVbLIpPcNb/aG IoPkxtcTwWxUxVCaaNvXsgLtObNJRSYP0FY0a2TcSXBUGk1+7IfA+bqrDEbStfz45C+PZb2OKERT KH9OEKsIY7P8ZXVu1znBiZiS5JjFFqQwFU38Gssor6S11iVAbabCOeTSUaOXCbplm5oWcHs+XQBF xAfp4aAXECy2/IAwpiUGQ0hW5DrQWwBCd6pLfHbWpk5cvmH/6BPsJS0/vwYqf97u4ceVglA/7f0N mPu5yF148KO+tyRL/RZgvjHvrqex7gLqEfJT+hqGbtezyKU8APqmts2MeGUcffPJuUyi1M8KGQfQ +jJKuwIWWuOZArxM5YwKGsfUj7BjW5P2Pe/9NeUdoo/PVpplA0+t7O6ebtwNDSHOn0HKDwWZd+OM qc+U/k1NvyVoAo1CWvqB7WXzDBYqgwqeTWszwl+uUiIXfG9x0LrSmDeB3ynY9dl15Dqfaj/r8j4t qMAPuo8MYLmW1m/dE2WN3f210YWymZQ+46Tn57ujbRyMywKJ0IV/tcGCwh4M4LXLUrUmt4jv+LE+ bQNDSNuHDzEd6Aau6NxmPlzCAtbS98O1Xb+vSpejyfxDWrxSJ4Z7nTvtPJI4OmdtI4TvlDmb6qsE 06lBtXs1THQ4j3ElEHF39lAWsiXz2OpyPtZoiNIqZ6m9k+5r+Ra3Pnntpw35bdCAynKQd10CstwI HKQb/J/J1a85iBA+dsyknwIFfUS60AddiZ64pfg6f1Zuzh5gFWiYStgIdmlQvyaZ5doF+Eg0nVTJ G3jFybyk3GjhTA7xfsoThkavU4tWxtUkfM/A9pinidS/2GNlc6qw7zx1Gv2X68qyT0jS6q4/WiKd IDZNkfL6+PL21w7VRtLQ6Sh6hSukyJEfGlLPnJ3dt6fCljoXYf1XzYryXRxhZr4QGgJm2NdPmtAq zVG1qoVn/LtQvkZCXLWrUA095eYVRKKVe5NUGQApbNgGHec+dGqcDN82EmPIPnUaccLuMah1lLIT pflJE3fLCjSiud9MVwO5JNb0oR0lhtbpuuBrUcmMunPBmRSS3vvnS77vwOR7K6h0O+PX2j6xsu/S f5qqu5DTKoFDe5pfgWcBcRr4sCcZsCrRhLYzQdEcYJT+W1XqTJZwatQEnBuL/LwrALBfCzMb84eS NG+vQR+9xVa2TjTVHC3f1rAOK8o4O1LRpWYiQct/7+GD9wdip9m9Oym7fN1vKXN6YQZNfzwUpCFJ Wp24uOYXbkuPfELS794RyN0SfiiQ3bRFjx1pxQnJRvreV8smkaQ0C3b2xipXKnofYglM+0G02EYA Bz6/lOuFJUCKjmcfQhhm0DzvCbULeQudxEz5uTtcIn6lxlPjhq32Dol+N/rK8zmWDzOZtyQOK5Dp 8GHijalgOs2PquNP3XJ563Pct0SdwSLsO1kUpnyydWmBJ6qy+g+mEfcmVGGTpz+LTpFzf98DnL3W S3rbmcq1nC0NQTCDr+l5aTpEet9/uSxVX39i2GdHmdYrme3e8fgtGMGv0BCubF4KNaDLzjIqIJBj /s1ufKeDYug6PSxpHCXpV5gda8UZGLyBN3NtkXzdzBSNwsCIBRgH5xhaCJeqb+b2OePmV2l0Xoo1 L7l/bKGZWvQAEBxNRmQHfVkAWQX3v/jjivaa5jf4DfIEeGIoZTcxVDqtFwRzlo2pqTiMItqzxBCx nOP1kvQmguUSSWNzkITFI1Sny0gT1S53Lq7eKWlIrXq55PnDXOwca98+1icj33u0oJrfEDlyMCSM oQkFtGWLMzv+AdrH8xlPBDQbynYHhVIi75RPmMgi/BrvX0bHSzFf6ehHGZIt2OaJCQA5fAwUGEYV 6p7iKYfV9vp5jKrTh1pq7HfH4G520AlZdQ9G2sR+AXyoN0W0ig1s+AjPDfg6F6BwBICi3r30mLPP b8TdmCTB/k++wm4GX6XhBC71ArUGPB7VUCxYZg3px1kKVkqRtmsnR5PnRpaYa1r1YDFJ3oGi6D5c wvct0jAT+rYtqAulShpM+u2i0rLYPbloYLdkEVVRTCHCwL9MoaK1aza1WVAbajp240k/nY1U/nm/ lgLC7bcnH5wYwYxBQxjzk5qXNCoa4yISlx35V1iSl6oOPxD9752NpeEEanlnwlvaIcuuN9JpMc/D tuldpbR5XTqqf3J3lQp4KPfvCTqIRnCnpexFutiG49BmZV1JEWzHOaLGksqWPkHPoE78mb9voqdq MOBMtD/a3ZD+C84SJTbsZR+bg2TU78F/y5uu9NWkffHteTLMuYJ+NISiQM4M49pV81ocHxZlhXhu LNS5qk11bkbOWTGc8bcojDU0PZia+ptXHV7qr2vTR+cP5M/G6+7rk3TYbRlZ5lx7CA3ZQZAumEKV r5EjtvrnmhYamEF93KyJECbZT4Sgd39PrN1IgxzkdW9fvx652fnGDaIdaUH5F62+PBFb7wLps4WQ gG94T/hP2GHzbMQFKCtuTEp7ALh1V+VAI+oZqslsogxHQv5eBnieY4JTq12yJiIEYyVAHvcEehwH Z5F++PqwOOCWbpMiW5L2V+RKOT8jqX3nmhb8k1pqEuV/+gCuA3BeK8+QVY0umEFmPWok8PfanCJP ZJm1goxF1fReP13jXwqy1SRwiBmnQ6JVaeibqXr5EYQJQ5HGOFuWlFLHy7DsrLMziiOHeQDBJvxC 33xIt6fIOetU3VB6WirUI7+WQlfxRolzeh5kjM8VfQ8pGVhszJCRe81NjPkC37z37vmK25utPFAa viHK2LymYOARAbo3kKioFGEVO7LvPLXK1EpWEHVXJQH3F21FqlJXhgcbRg/DlZxmujqh5VXebEfq Q+0fzB6H0tXTZaWKWPSvwuCfPO2PrD96Iu90XLKNaF8aTl143y3HH80RmFTJyc/ejzotoRDb23ld 8mXVTikYYbfmYKpKXYigcLeMdysnb44VjlgZH/Lx4r+YOnh42ztvtozfysk4ShdHOPhjJoJUXLAM Cr4RgwhOr5JA2BybbJnHoT5XEX5JGaFwSLFTv4MR3q/uY7CFwuQ79eKNjUtpCyeI1e4+mXhKbRhJ 2IU3gTtVvOf9ubXC5g28XE+vb48bQtUins0pDAH31zZwEHTpk2Ay2WclUj+cYMs+n9z6omJxmbGr 4B916ol8IkJrq8ZpdlWQOnkLzL2enKaCM6zwTI9fPRA9ansPiiqx2Cb4FHi8nTPfXOumxmP+Ogqh bsXJjZ2DEuqIJO02I47gIpwwYGDihlKl3CK2YZHSOf7nlBHZd+glhdZ6kG5HU7kKEkN1JoVOH7h8 EuI9nDrpv2oXsbjWwVLncY/XAASUZPFLX1jQz/S11XfOF+49AxGWnW8YiXPceUgAY5E5pjwa1UW+ d++rjoWigxy4KeP1H4XsM2JTmw71KOh/4YEpnLVct5IMPmDbVOVRZtA31zJCRpHSKgU4I7gFPWg9 dm8KNU1DZ/z8cn010rzjpMpP4BJibw6s/44PRMhCV8Lem68VgLGycgWyET9OI6q4M17zeExKwyrr +dJcnbUR0YkzAgUmuOld3jqfbN6MHslc2kh9T+wN8Eia8JlYy/ELykLP/k1ebnf0WEz2EX9o6JZI 0QhwDArzPnkWYlTBQH/oxjI0EBvdkoVecbLx/u1srBnkLgvpY97Zv9x/FjpxvfXHuBxOyY1vumX9 KT8OqSd+5lGj+fcOkQD5qq4tuTwodt+ePp9UmQE0bCB9E3Vl1YupHOjbFt1sTWMzahl+jzqH3tHM BtkoNCZGUs852L2fQucj7yjF5Hvwax87MDhptrtkjQdpYpp5nhc2Ke23e3hlPqeQNJCq0Mc61Hef vNIKVujDYHnCZqCm3kq6i5aGK+4Vf9WHxmvEaXroRdQ7qPt9KOvHc9HJJnbcz+tz+92ICPNf8rde kiFO6NYpdAbrwV6ueuPKE2hfYMRNu9GyycDEVgGTQGc3JPyRKy2OyTZl7c0XmuioQrEi2qCu/WJe GNOgD1Om0oQVhSobQ+PUKUm5xDZvvydw29K3lJafKdktwRfUmTB5LumwhBIixlBiAU6IqwBjIy9x +LxERCZdJ/CVQev8LKq+fW9jZ7ilnsS5uq6XWGOZo6IQmf0H2XaqBZw+LrY9Oq5s9pT21Z/xScc/ 4p81EsSYlJi9GBy25PzYCvp2Rc/hldybq5XdAnzFsN8uZDpSiHTimJYqNby76BfP2JX9pc0xVt0s 4rwecBApK6MiMu1IFktjEyu8AOOi8g5X3gEot+TMAZZaYL4PkVRkLqA3tHlLvYBJPG5uTLZWzJWb udqwXKoP+ulpZNnb2NOV+z2+FElZ2LP6bPf/MSVjn3hodKYzu8K1oDJkUWBn21wWcIc53U/s/r3H YNFWCb/hkHTUHm35hXNsLx7JeHLFh/7XIfwTZReWsM6LPtBbmpvvhAvGWEj+Bv4NoIDsc2Le8f3U oJqpWeMiziXWT9jmUUee8KzezoOMQj02F/jWMWusRbcNy619mQnvNSv9GjYy9odYubJwz1UQx1RD mfwuuvP7sqMaRixnCLm+477D9K8PHSY6Ndlg11me2HDzHFoEFUlFQKmbYrvvWEPcO/OPSJGWrmGl 2TmXwyCegFaEz75kXlsF+YOqG7+1rzRDaHjQqpXRJKW9HVA80vqSoB6Q4NtXBwUyshqPl2vQTXJ4 Mv2hd6VgL48fm7W+UKvTHZVqtf9nb8AP5AvBIdeevInAJ0fMQWd18XyiU6Us5cIiOTE486P7jYPq R/sw5qclAmZ8om1M+0AYbcRkI2im30BRYS0xWCFhC+ZFePdU/H9sYLQns8RH+MGm2AbtZN5zPAqu JWDsuAdPnbxd0eyby6WmPTdsL6O9ORVGntFuwhyRQttnlat3S/1kZtIv1RBvmW0RUAsZ6pJG2eVP dAl8oVbWSrjuCSSKAUBPlShEv442v8I/NKsIr2e/3KCsjbWv1N3fxcea8CPYiwg/CDZhrHAuiRAm Isp0jugxOxINnLFzaLoAKZA6fA3g/Zitn0g/MJ3KnD/kP4hTP3WA4gCOIO92YTJgBcF2bze4sSJ4 4VgMTzobTAAtS0KyFdU05jMXN3W9KgsRztxEUCtk/V9sKsmwPhMXKeMx3hEgD/ZTw8oc93iRX2hh zd1C6bVxGG5y8PoBpvXvx+T+222ka47y+uJLMpl7UtZ4lvGWINpBB2sRwy3RAKolRPv76v0zWBvA svKMEQVdlSv/EgCbfdk9e3ybTs5hUyzdAju2XZjMKlUz8FjM0Bnnyv1ovfPLBpvZTNuEKyPxPgLs AsRktJoAHcj7lnMKRvRx1wqTjqb3vC72jSDaQHkARa+V2Qfp90JewA/0OjbEyGD9nYRal9OG7Llt csLDV9Be7vzw+XH1pbiA6rxVfWS/oL/OsfcVUcF9JZSgLY+8+MNGH5qDYAN4vudcHjuLNlzVLqUf t5GbZOLRnOTEdNrkFdIzEYhdSRL/H0XJ8KHdCctHVk9ajo2+ZzDq0RnXnHXllt3r/HYypP756sRn WMuGcRtpjZW2Z3XMNdynDwkWAxGCYqPj5y2KNUSo8ANB/vfNyAHKly62/ZHQ+f/rKR9S3MDZE12n VqeEUafMJc6pdkmFbsr4aZRaWmT2rccYidtETV4hdX64shda3Jpjsld2+DvLMk7mh/o9ljOCzssd PXHBI5nkEbW/k8VN/7vAWOtJILqO7UfefNcnJ2/dcRii7Tmx/SR2j8uc3aBOltPyQknSCY2DsTYu Mhgqpj9SckN1zU+3HNPuNvEUBR53WWxkORm1AUsHRZvRdsuVYLOCZRa+B0JJOIEVAzUGqNuPqpYn XWqJtFGUAatQteTqcPZBTtQL/ppoaT6PQ9YAkbbTPQu/PMLQLcJpovxkUy634DwDhYvQfhPHAPmK d4oFAK4H3e1NGnTnhCq8vz3rYR3tuUC1HUErQchS6pFi8RJsQfCC7BbcXeBDJ/w3KcyEl0+6ehl4 NzBbAO6Pn89thfUmA4tdS11yUo5kD24zf5cFZTGEC2h/YxjumvXsU5whqJS9BL7Xdln0VCpY+sg6 R+xt5+bzidsXSp0uMCTB+CMexrCMWd+pkuWRYk5IVMlUf3qjv8WtxxlRfLld4pleyuH2CDEfCMCS VpsITRZPuzKKV5dc3v1J+4Pm1oxM7+hqel6Zuwhg4R2VGiyylHaiywNbLctLyCq5rfZb+DIkBV0q ZmEpZMCL5EzG97A56ytVHQulgusZ2F8qzPJx6a5+fz77Yaq33kJcqNnJDQsm5t40RXp/8VHZYACc cCdSduXc3rgae5OaxSKzwsUmwpAWJLB+A09spZgFbadSlOGRyw7xKUvxa7TvwDAZ0ed/MbsDHA4J DVZEEqJ60D8Q0A+NRzZvbUKSIc5LeTLWCLiUyiJIQNek6nAmy8gF8mtppKzI+IxNYEi7A1pCBCxk f8uEP3FB3MLYgvu1dVZmwsSC67zxMTJ52qnpUAWIwToDT5DhKY9DgComdWo9Hdi9i+oyMi7IB5VU lZtZFAcNAE+zGSuKs7GGJHZVGzNZmfNRSdJCfiWVzvzfSofxu8lZ2QP4vXrH+T96fTSycdRzoAUs lf26VXfVUrhMxbqVGSQV2hmnjtcZPOad2LDke6wzO9fxovVr/rpKK4llACaPfnsr6mtPL/1y1mjy doO6EjyzHFjIyILvGbNjuFXd2DhbDgQd4cddsTcnWpruiOsjpYDEZ4CwVAQwM5UBHNb+Ro+TDEtt VesDa1/6vvHrajQZfmH51+WJvm8T1aZLJgnqQ6s4jwUA9bQPpoJHThKFRYihSnBJinBAi/DEQInh Xvav4DYaaH2AQN4ubkU0NVT0PNbormr4o5tV7lKJXFLs6DNmiXurHYmU6Q47PEKV537beLIgj850 PneyzyzUeUsIQai19YLoEG2n5hUU4sus1bLRVRNN833xNvb7PRaxTvrQLNaaFw10yS3tsL+1lBDM fQwP2Gbzv75YhQyRt719g1mOH4TGtqhQL/+tYC2bKyj/SbW6L3v3vv4EjSEE5hu4Igaia6+T9Bzr G36e5SAlKuy3L3AJ0vy4cQh5c0huZlZLopC6P2k1zWFfdFVAEnX/ygzLCn4fScR5nXVDtL4lUM8A Qg3gzu2gK5Yj3toggTJGHYcInnSD44NkhuMWsDZE1YEfKlPxdbGhBnOGl4q0nyuhyJcym5cV7biL b9X2fqvjt5x9pxJkHpETY01hzoNs6mpFgyN45H7W1ixzTR/9NI/axPy82X1s1cBCrbaM+0PwQTc2 LGKDr6JJClDp/bESjfRUqDvgRHCsCT5La1KWwFy6yEYLyE1G55+vtLVKyHP4P8jkibr5xkRgqqwy ONEz4YPwb7/jY2+rh7PjeEjKiAcfOr8mbjyClhH2hPA0HFP2IKuOyGxeCcjdsWwO+hxxgJPAAbRk k++V3gY+eKboKpK8z1PpTjHnwSfL3eKYUjsNoOPzfsN0qhHlQwDV72t2dni8MYDTHjl4HpEy5JtF QTRCdBWZqz4BcTV1V+ogR8QY6xUcXC4jdA9mtcAS2eryaX2tinKShK0eRSjTkWHKHhl3LISd7hgu rot784X3xazHlpS4IOCyizMHWP29p5K0qqmV5uwI9v1PY1uEqvAu0+RqwxrqXUY+wOL+5d70kCvB JfubX+b/CqzZazcrpc7yaRsQLzH2JDTXCbzqZ2FxMzlxrRRlnaHxVss4PCzaRdM4QDej2XoZ/fAE jKS9RqHaciBKFT5Ez1VJvyqTHF0kkDeOCmCjEbc82dGqRQiyTHAMn3/GaP8iOasd8hU1kU0BoPKF cDsx6ax/QtJIV4k/0/NViy+WL9JzeNoc7Y7ARH9YlITbyNWTVkzxacRsd/MmEDzKQTJozmRvHDTM y45qbk1REx8k94LqO54qcTkPFKN2+DdvFGAyXD07vR8J0E0bYG3/9uRCaCzGXLHFSmGvz0lJbznW m/d+8TfwqxAPw6llwvRmLo9I52RlIulNVgAvH06TzzRjYLXXBfRa+iTuWcC/qi4MKVbqKe+HU27e zRWZ4jY8IqGgDVqz3zKAudf/uj+Jdz7Nc4IiySL+imP5Zv7yzKAP2edfd+nDmHDFWAuonlewsdAf 3sVywid1MGvQjyTsZHHT+nxjTSUbZnHSy1rHJ/tkBhDYx3Mn3aHHhf7FUd23Fc0jff1/V+dtBlzo lBLTEzUAbZBrnP206sp7N40iOxO453kGbQmj/i+PnZzrVYtnYA9QdUbFYuARZaZYymtbuR0DYUqD iBOfx5bNexi5wBH9Qu6QifjneEI7RgseX/bmdp/l4SXzGqJiKFYwNrK5OwAKk9Qh5pU/n59ALgCH 4avAqMcfx9DjgUux7w+ka89BlljA0DTDK15ZOTjKXI75AG/23bYhdrHDqdPuo3OC0wR/mPlWL4zd RA7r5kXZAIw/mhceg3RslIuc4W1Y04tw1BmRYsFpxqHGDsBoealw6JAjetD2oBAbRyeGAzs+qjnu heqBr9dBvlseAdmibuiHS2DlEZehp4LEwnTzTDQF/SBT10yg6dJ2AXi6gUVklmoDLTWc1mgwbK6x ktpB+LuQAPeC0FZ0b5ntrfkl2c5JuSqboZ4c9iUkDIxjsKhHYSXSEUYQTaHbXEKPUkszpUP7ivGJ r4iOXR1DHD4zuD+RUbwKROlS9VHTS3lFkX9JB2jRZusK8ZHFFnteN+mmTbRHdhdpBARsVewu+f6N FyiBFHL8ebv9zep8WXfZRSRKSI1nCBFnuZESDlzzDzkzla9ahXi1mu+0RTVyXkXUq6kXWREg4vWm J+qtoSwom6O9snm+6jnV4Ai3JQ/sBgmloZPYgWH2NDheokcJIetRTXrxuibiJolnFD5JOKfXQm76 6qQ0Lz2oZOx+yon2KKKTlRmQ13nW3Z3bXrgoONvu8/yMgECt0fdgIL92qYDez/2rm2pkpIMXiMaV waSUtJ3HT0E/lfC8yqHTX9u/VMMwkHNnvKcaKP+nkG2Ryj8LR0FuMzz532qSuaiZou+4GwWQpFOb f6KFlwEiOsrEmqB3OQir2FGecyoivhLleKD7awpTEm5PrpqMw+eHrirWnUMBXds5Ee828vz4Gx2n khNGBQGMlqjphcrZoHk7u/80mN21g7XiNQUy8R8PVdBrh3UYgbRwhusyf2KLaka9L+huGMTWR5WQ CCycjLRBPRU4cNXoKAd3SkFNQsKM0uE4yJ7kpOArIitC4gTz8l3fSFXII6+36dWg3zHRw9XXXz4N 6P2aavv7ZPpL+JxP5Rm+72iAuCAolwzapC4w5zjCugjMEV49vs+ThyuqCiUDOimW8tFvtOUW11Zh SILL4cHxefRloGs7EFDS1r3wOygJK8bpFa5noRxjJAENgtyU71Lhc0wgZD9WcvMXNp5jvQIlIWmV 0Grx2w8xcJNbbHMUso6iUekI+WmGwrCSTNnCnrKqH0VqwNI5aq+YRjTAlVlgtaNJqARlN3pCZKS2 L0hkCHNzNEoa3sr7Ehyja429p+kLcB1zKgvirs49ojbnUkF+S63CGHazU7L4nu05Spz86JyljXH0 BsXMRHQyi4CIrQJIfkRPM3phHfwxtxNPeWCOhM8lELAf7ClpYR6N96P+6pA/Gwt6iV4QikFiTmu+ 7DFcEVR7jz4fqVzl9wJXqqOLxJXjxJRUpkWBfw8aH+byTo5gfR9lvMqtSASZTYv3Dkom61yuPOFT m6lzW5I9ESv6hAwGM+BmQGsHwZwJDHPEvAaU3erorgSdH9uYqP6smNh1iHTSElGeMV8HQypWU3K3 S/H0GEZEs9wYs7Wj3wioSsxJ0dPDX1fMTBspzYnL036xpGcV5Y24IhWcAhhuuZc+wOUXqchmQONt wWP5zJaoolVbn5Huc9/k/wPuiTGrDBOfyc4fl2VdY5bl5t7peYcrdBW0q2DCWSpCdclZTbCZCmdv /hKv0vs2UTBW6YHRhBPSErumwExahUuVXKcTyM9rqcFmTphwF2D8QTWkQlG+do71otawhd9sGDpQ QF9yg6gTct87WYDk1g5DD4cHNjCtlzCcZVONes1L4ZJuYn3caN8K/sKlg3kddWfOX3IbBaVmI+7d 7eldlSezXycmUhfBK/5eeGeP76/sXr+JmgDlmO2McHBPTfF/LkDYGf9NzAmlmnvWWX2O5H+ljcth 5p1h4IQ4jD9aK10MyZlZk42Cn/hvToh6Cl6P+NWxBXNgE+76ezptNxDJShq8Btl1a7LBTFdPi3ZO UId9z69h7jYrFTw+7CMSkglV6xJCSjlCZ/VMHKjVoHN2SIpkiD7Op1v+weC9gISS5x32v7gF4DND s1Rgf9W07h2WQ04uL1tW9vCA9yKhomoDleurivBF0ATCd5ZeArhJ5ZYegvcdapzafj3/iZzEvGfi qN6u8s6r4SMtkCL+lF1iElmNN8iD2/EXrl/e7CxrK1sj/mR0ym22BjvgxTZKIXkYePzFjav22YC/ JlhUPQPse4Icu8wlD9jSU9y8/cvpN26s6BGcyXCoEx1DZtPH9lgjto7vdSxQrKFywY7H8L0Ob5o0 di5ymYGxuRPBbYiV+/Sr5vMVq1pvtX4jEnfZca4Y73FdJavt4QWBaX6/Hnz+0ZMLh2EjKq0E7hTW dYG46Oq0Wr04Kh76sVHhBI3FbJJtCYhIuVEKNg+eOO9Bma1QmisjGmThCP2UkV6ze7d+h5eLz2Mq iFIw2xfdNd1kzWM2rGag3lAGMDTpwJXLI5gl9+3T4ZKLs9973hAcenjEucsbIhO7NRlB8x7wqoXp zQSkzPKp+N3bfeVh7ONvbwJNlQpD3P4KhFEBaF9netNrmgPozUb1MWetgV1NGfytgD267tJpnN+K KRIN+XGg7xCcBD0IBo/vI/rRGIoULm/4DmlfTB2anEi9UX4cqo644QMGCPV+NKo+2FTXYSkhRIbx XheF/2UbFa4KeuqKWDryyghQ0qr14XdUHu4Vf/+CcF+OPrPyxVg/35C1o2ufBy6YoZUneEkwOtX0 bHIAtjnE+1/NUt1EtpR9Z+IZ7tKjIcHoQiNmNlyrsjZw8Yay7CFYaNCgNoi/sr8eUbYsi+TUXXgi 3YfwGvR+vfYvdLimDGqma7RilUvDVkJ46lRMKUcaxSzCvGmmZMRNYVzKInkoKz2DyiqJKOEJni0S We09CUWXZ/kmHPWP9LzbMTw1VQRjcjDq8hc0Pk3ci1dVSVq0NFTcPRe5j4qROspvmWcEuOjqyY3z 6lwqV0yW2KHCwrmJpdB2EiBarywcEbp/Pg8XkFg9bnRbXjETwiaMZP+BWWcemBs6S9UbORMeYKcI JuwkEVdrpQ7vp50HjeiiyYLMDPP7rfKBT1sroZhhT7WzUDyEKc2VgqQ+sg07a61jQLICuXYSVMIT rgeHgP1qMT1oD8vRYvtVZl3LXuqHN5DD4Ro71djoA6njCTegM5cSXm2HkHSPw50s1CjsnhAAJ+r4 uN/PCJ6FHeVgEq85846Ubo9n48qHZGaAK6aUQU6S5li8bbPbwZpPaCC0zsVVp96YNouhIbjwfkzU UpwH/dnrhm7CryPX+ZWfAXoQeXB397aLj2v5Q9C/8gxHdHT0H+VcUwAMi096WqVD3iZc9EchSAae KUUGxpo6pTiUWtK4PgH1m7KYwL7Lo8k/VvQZqN/8EihU97kFM2cYw3TnomXH4YRWTRM2Fvg6xIH5 GTvcYAJxhGNsL3tkpmG+THNpQr7TKl6On6oAn4RUVos8O0utITe+pTQ6cljqrQKJjoexN/4EMBFn huhLmIlXywAL23BsSaIRzz56ESg2CPxorO689Jtqxv03sjPxj6crnCVD9XeTdEiFmTeMQ5fbjzst q06MLUMolcEchJodR1ULl48mG98Im2Q4YVKUmwn7TxtZNuDGoQGuBTKsZbWKlzZYYLLzf3mmbPx3 EdYJkYlicEhhs2mLTNmdjtgwfRs0RlM+G+swlLOmHmt1zJVETj2AcQchtlye7eptSVEJpGfXWvS6 cY9e9n4VzsqaGZaifO6PUT8MP1D7i/0dQRD4Ot90yAqgXeQS7p9dApINnrtO0Ooo+/TTdT0ZuhwS W5XFcPhNsHzwe4euV6x1JQoe7P/IksutqXRbklSj5m8UfDxIpUNJ2SHgZL6Q7PFiu6Qdyi/o2Kvh uTeJ9pR5vj8zMbYzTt+AhS8bFIh8EELIqQ5yj/LChcbNBaFgqWRToj1z2wnRV4WhgqmAy3pNpb/g bPvLKTxiWd6iRQhYcsuVR94/5CnexaIv9yCVTszq/drFqG8BM02+C75IYZSl0o7br8+rhDHUC37c qrZXGe7sNc1jTG64bWgCAwAltDF92kNXcztd9sGbb/YNbMVKZjSPwGXRvxJ4pDauqSBGnNFoiwjr FULgcGpj0SLpX8tivyXYiqiBGpMaKl3wrV8EqugUcQmloDVKMeOtPHLpBDfZJH4BoipOjIimjYYL iFIGg4W0M6wP9UZMQIu+yNjqpgfZsfHOsFAwTbGLwGxlI5VrdGLCy34Vgj8SDQSKAIsCFD5fKTb4 MjvAzZ1xI5f8rqM50T0izkx5tYSbLCxiCQ34mxbHMp4kAkpzOLpkSPb1er3Co/9z9e26MLYjiNDc neOdYUdZa7JmZ0NOY97y0W3Ulvve4eNFZCG4aCGgFfzmpyasbmF7x0BeM6VgQt6MvBpzFB3XSJ2h WTNMXATXMgJHuifIjCbaSEGdsI8h5P2CpRgPv9MPJOhkx6eYqdRBP8NaSE4oqpUTtMZ//1EqLJC8 DBoJ0gctrFBKMvmfrUWUA3k8wlTtsNczPXnmLNLHRFX8QYDNotx8m7SEZqBnNgXD/1W0YI+BbUOM oKoWzGZAslFb913ik4FMxXDCbEfvTfunuiOsyFYKDoVTvTluO6A7RX28+/49karmtKc+ZJyrauOM gZ5H9cOLr1rCEouTcZa3P7DeivnBWd3JbZRWCO7sVihle7S/W/u/itm8/XGk0MRYSenwct335ceA Q6qKROQ1h09wwLvOT/P3u6hsjaXwpdcHP/8IB9irTP7tbNiPXGPCKSxo2tNaL4mNZobYdEubOt2d Ju70ku0KPkEOCeyftoGw0MIBN0/dCbmJ6scwzWDpVfNqheR/92lkZHQ9Z3TmIoBqC61S2xrqnWiF GziqJsaIMuVKf48orOlLQsWt9e9/k1kJkDMnvC9NiXDj2tF3xq/ThdbaYlPZpRdMoZEr5sFy5VF3 CfpYpRovdOtBWJKNu58SHLDT/E88XGk1aYzpbvaVaxaniO2Dnd+O4y+cXyapZZScFRzzg/pr8qvf M93FJHiLi1WIkotTZ00m9JS3+DDtQSpIvPDS81cGUrMW79vYsZaCPO9qd4a0fK4sKua/uNr1K4LY YmPRyhRRZWd6WJ50IvtbXRaRzGlTY56V7oeG0ZVDuAcqOYNAe0iNJF7yLtU421DljFyREQ+pU868 ty3PQKL76PvioM3uaUnYMpnvPMBnP71FQINuaNTmHkKd5hroFZZJbb4VQxSLU2Le2k4JcWQCNFJJ 4LjWl28j1rOSCzWkGIzPdM8AOihQKHvPYI+0A2RpH6hUKdkJtfHEhnEyg2UTuVfI8YTcHKVRIWLp H56RI/UgSCQMU2y+ikQdFItnlFvQgLi5lttMTXEtl0E2/PieT5JhLUD1SAJyiN3/pDXYsMDsYX6a 6UTgSdBNjMrsHtz3ptaP+1uvwMoMhumJMWgOtVkHSh4aeYCkg97Yte/3T3HxMqxqtUDY1N9qbmE+ YIPDDa6hqPM5Dg9TcD5bAhD+RzXLKaYROs+4RzdRci24kAqIYh5eLolD7eZwTSCQLnvgAaFTk3Ku L3RQYGw1tTD70+bCkNTKN3ZDUExoqj8XIrEVYDWlDNjdYinY7Iz/gPTius4hJ4kqlgWejM6+0Iqb gvS6PJkly385qjEereKezMT6imMxf24JcxV2x24LjLpR2vn8bhjdOCAyXIfCGb4SgXEALp/k0vEV uXwHVyFCfAUFt9jm2l/aac8kpMU8nvh8Uip1csyOprssqrk9HrkXfvb4dSdG2CciCjtyw86Or+Io ALhUWkO9gasQZ3M0BpP0CNB4DOF7f8jJDO0T9eQ89mrVO6aDrIOzqyMjg5XJWGs3uWCgcNcD8I+p BhhVVbfUE5Ik2UsXbTi1ldvVWT1MYOO0CpRu7K/9dYjyxMch2FUHZ2JooXmqWJPJ2RZLtJNFpaW7 lQvIsPh3m4ijXEn+2cmm0MdP8DvZ4b/hN7STM0BrxZUnPp9IN+S55GEhftYzv6mOFGOSrjKboHsm zcwWVOT4dFrXs5Xekd+iwrnfMxF2pHKviCoAQSYje/9ym5xJdbrc+IdY+oKYkqAoTQfzjSaS5isa AQJR6qpARdxyex6dYkpD+tkLDoOEbFAQotEMvr8AGCq8NaPO0Y9cQJdlLFfD2QRvwhiObIy5Mmxt uVFDSHZRdwQOCfpn5GzlcU415VAButlWdIBuWyXMJvhmJiSZmAs+NoqoPrs+hfdn05FGM2yMv0ew gd77BTLmGc9YcBAFk5npD0+Vp/k/TiD8qIHfr1ErPvXWBN/d7eV/geNHKmSXOdNQedmO2wMhIN2K UlayBjcQQ+1wfgg0IDWIgZRq7bmXxCiC17l6yjj5W/+PVXoW5IySC2Ehg6dpIWy4SlhrZoWgckcf 6irUJSE68WSIVXinmzuSpZy/0NpJ26NgG57/fQ73ny5+tpLjtIv1IqTmBIlzFdjtSLg2liQ3/ikH u1VmGzn8jE7ugdQP/ePVK/6fwMl3e8uYOxYOa4YRISr/K8nlAk+KfanDp2SDjiwt3oqNhVldcHfP CwbbRvTdOnXZCWaXhRVR9+yEHXINvExEZ6G0qgutCnBvZgAfROdKsG5hLkKtSA7iEG+40pqjZ6Lv NT1HsV/RyojSkIJV7QQTHSTJWR8+KqdvdC8HLEQxLYnV5p27GE/6uboVhzBktkaKqoB23haAoCRg x22bTP9/QGc+Ffbsv8HfQiIS9biXwM6/uibEgsFqzmlm/vPakrubjBOw7GqJwlhKyUvLfshUS9x4 E/bwh3q11vF2bi49YfgmG6HHbk1TvXRGrfNSNBz39UyEwOgAOoISY7mBaaPmhxCRG5D9IttePal9 r+N92ERG3hp5On+9YURSle+/oCcuNhRGicTpedAEoVOTdETbGFweUcGg2Scvd3mOUTYdX4bPHnFU HoAoXikKrP+1jKiU/fvZ2sL3oTvGPxDlSbk+FzcaUNBoVUlX3FtOCfBhL/4zXkTBR+orWPYFjNJn NHoX6Iz6A9rEsFPiC7465miBf9Re2HtNsf6sVyrFebr6HlWe1GkbZBF8r7XK2ZaXAUFfEd20y0zX tIf7/epMqgyOopqFg+eIuxib8QYtGPrrKluZ8/AWVqIL7Hl73A4rMVge4TtMMsqlB6KYTNTJmbpc VguuUrqk599l+PvSHh40xH1ahzmSdZME2+TFrMLPGRJawt+TQKWGH2Ff/ysu9bq7Pzb5kDh/BegK XUmmeZyS/vjg2AueLAYmtIfeBX+eMNcURMJjaD3c3krCeYwH3xbl+VVEnYcwfrZPWp8QTB3o1N4M THSZOENr3NPQvH87Yb/KxDch8HTeZ3JTUuWMLRExCHaEi18sy9ic3FoO3j7WwVg5Sv9+RRUk8CJH b6mlvQMgZyQ9rvOVfyMbJIxBAsvM/xd5ooP7GxR65sJIFpAQtoX+ZdQxBiSSumgmKsUWLdvrZrDV PVB+YcfPuta2yYo3luFXYVnIG38J0OVFYEuRXjfnS492cmZtZocFMZWvsCWthgGw7PyW0L4mKpVE CW4IBSLLbfanuh9oi2bTID1J7OCmpBOty3b+xPVE6ZQn2jbBBXsq54RgYgwOpbICYHH/lUZEvtxV jt07lL66RtoiysrPHTo+zrt1y213LShuXogiwaGxzfIj+T3DrXlkbfIkrIGhDAvESvkchWDJR68Q Ri9oYWOukEB2kLIDpOzERh08iJeEqB+jcG1yE/YhOeExb2zJvxE0IkA2r1s2ybj1dekF9v+pnR2J SDZnLX61Pu+8Brlrq1Zg3ErTqYjYvMq2o8SEwFdeBo7MSqfKdxSN5RY3lpVLHGJdk8HgNtwTpJYM kbSuiYHR5HIZ3XAXAIy20Pl82jRCW/VESze556Xxab9KTkJ8ys35Ra805/+FmhRFDOmM58uiudj5 48CvGRMYCtfj/0Mi3N5I3/SKjbW7jRUmVT82u9+eqVOKK0TEeR7eYJ07B9ed7CiULCdda5Qyuxvw FhZ9SsAFM66JzZDR0Tc8ai5RyMEWZI722ZfFsFQ7FlA0O2euZPqduv67s910H6Uzv0K38N84enPH VtraXe9TLa/SbHQank/QZOaoHcEYEwpKcEuD0WKeamyKy9FIw6Tksvd5XIuoea8Fhl+Q251llMOh jQwVMD+z8kqtiSgw26KEOynpBlEyxMnVuiv1yK/bzZaeYrA2L8dCwnN3YGWrcfmefwxChIVoAIwp CwRDrEwnMQq5Mp9pXwOajR9nXdbOQW4qy9vWHHOqwlVUbk5RLhgkGdDvRGg/K1wPcarPl/Z3x4Qw 0R7UxKtX1egCJhwn6Wt+Pz8ncCTdS9+sKb1JkocSNC/TY+gnI1/Dv4BjOqIJgYQHBcjAllcJc1Ft J//gp9nDqPRCQNe9ad0Y9BVW2frGZTUn1dTBnNJQ9gAqzI6Wp5q3FTTv+gBccK+gi44N+98W+E+c pExZt1xEJjPtJgQYhpBs3QEW0j+sb91FOF4w5+ZMn5sdlfyFqvGvBI/91FCWc3V6g8eDJUxov7RF sq7QlIjJpBZ+CTwnnk8zc9jRlFNahUabzlF32GZnyKU4G+03kj3CE4+cMFOf6+JclGRp4fRnb2Oh WcT6MSvOOFmiFBf8h6HVXKDiAwFReWIk7InnG+uZ2L31mgs8gUinENd4PynowoqTYvS0PjAIyB8j jUyvab+J1nDrmWyL/gmm2HNxiY/R2kAp9yl07PqSxFcBJFtA4GVQAFcDMJrPPNfGEwJeiWx37sfa 4tfsqk/ducSq8cOpgI0zjasqCXy2Roecji1PO4DFikDJCEbHUzagZD23TYaPDx/fvaU/voxHOtA6 IJIKEX5ODchGgGustJjuXuXR17CIBzYXkZNjJEraWnWpcZNfHLzxQ92OpNLivkxBzWK82g52tvc4 X1Tjeclr+0foW8uksebPTpJ/DKLkyvTLb+I46o4yAkdzsgr+pE11pIZV/c6kkkL/NpfahEidB6dB GngsJnHRHjf54xaES2yiR1mMh1PpVEBDScAgMzuDrpKLAZJpzJw2e8B1qqume1hTJczGQuFRo8G4 V5pGCaZVBppGFH6wS8jWlNfL4emQ+aBa17mHdM21rWEEZuZakzleD4iVjXVRWjR2fpQemirjASsH HeKypejrY9zWcD9p4f9RCFNVnTOru9GmYveBh4MpT4pcFtq1MQwOpAsiAIapMV2rF7rN9wqccHBv 8kI6Fex8D3W+YEvQ3gXwFmDu+F0WkYhPm7zyg+wSSaW7M37eRi1ElZNyXyzM9w6iYbX3V3bOrO2p w//bYwlCozh5FFZShFjknVEGSrflXwEiQ4BVOdK+P7pzvTd0XtDS063bDToK7qMmhtV6Xhw7szcY 8+dIttXurXb1+681NPoXFS31pInKt8xtoO/73UxvZnBmYEy5m63f4IH/wrmbGW6xAkZGKFZpGkm8 Ng63iOdauCCEvStJ6ItYjt9qnbA6sCcOqHfGNVmijdfTy45jDTCe7Jt3vBKXeURtHPAa/4UaIG9+ bDBNS6+Uz5LtQhkZLfa+JmaPZkl3D3PIB41M3YKVZ5p6vhsaj7X8373uMsIQjzDVdeSlE4N0x/13 904/d1eXrveyx6RsOm1CIZjKGRc+vmRK5mUG3bNiv5QOV3UuGWpCXJE5jsS78Xm8yEhYoxKmu6Qn dh5D5DomP8BEMrBRd3YibqfWMe4WSZYcXUEH7FNRwUA8lUCMBGfhfvkkhXOslnVln9+KQMMa+XuW dVF3mcAbas091LScI0a4n9Kn6cClw7BZThoMvQC7bDEBfS8PPMaEunXITRjYVXzI/oI5NfHAelK4 vx1N30F6SldnEpL+h7B0DrsNhITB9PfI8/n0LghNrFDjEG/03YCkf8MYHJafQU4QdPqX9QfsOg+0 pe7C97w54s60pIp2+6WRnK9GGGGtDDelNUlKHqI5YMCcqYnuM8O3pIGR3BDVImhRVUPVbmTDn5D/ fBRHY2JrTymL3/RfXDFKp9SGqrx5OCgsgszvJNlYy8kJPZzsDquh65Tb8PST4zDCFG8CPEDuhkPQ om5zR+kJtxa57s3vuAGo21Ky2vrMiND880LyUc6T2n2j/Eq7J9+qj6yvXKoDS3zeRhTCjT9FTeMp EoQ4e0m285rWUaAoS/SBHJl7A6p1DTl1MrixeoqANCPEuvwwaI5cLPSBm3ahXnjbj2HQLxVjWujT XaogrDlsWH7fxcUr0ye1qHcfePhJKymInx0UAodpw5fvME29OLgO3qeAsY7xLmvVbe8dSb/NLPRZ Ut07fwW5tKql/VCi/GX8uDdJsXEn1grGfD7hB/4jN5w+f7hX+szBnf4wnTHTGk/+eyc3+g2ZzaCk szmfA3Tyfb8doQh9BI3PRiooAtTLkuvBelhD9TDXoLBXy8463Ov+I8PW928Wt1HOc9Z4Q/gx0yf5 gBhbyHMpic7VvvG0MOrsUZWdX7uSyU/mr0NVP8VRdDrA7uN4ThLVu/Fg9ezE/P9pRJvwfMHlQGtW YyUdjsLjIqi4zSniCiE0Y9RWx4WnLnOwW1rK1mhcaShaD7zoIxuaVYaUDua52qinPBr3E5S6yLDs zPDZ7R6oeQm8T0jK2FK6+vvIc7zNv9jZSb8fZBuXoqKU7KrFRz4LiFaY8VVcFgmG+dsK+Q0aka9A Gpt0WCM9eSfHn5IhMw6bVKSwe3wDbDMElxiqZcx5s2en4AARjoBhft4UJt/Z/MtKtVQK7NgeUJa7 2AgqHh12LPkncYjS4oFl5RWDYPH5G7VBnvC1kqML5c//Dar10GBFi4MJhVSvjbnYWeng2gW5Y8Tj y5oS+/N4x3uFFtPxWaO/1h7q4F8eNsXKdnKDyrGmdOQ8pzFKv3T88ETYdKR5H6tUHqodcGgaWJ5m p7ORXPWq1kYWD6BOqhGCnWO1F/WOijIhpnaQojmoIOecxmR65xJyHwqNNLLjxdCRrmLrOrmShroQ g6c0VdWNsi8XRk0a98xV62Tm0cgwIohDOEU3gEBO5UqYKqqkMxqKZMrq/hBHKm1SlwbFGyL3ECf9 dyV1jN4SeH/0PxUL241MYcHXQRZmwMfcsXz5yY3blGeJF1Air2q+V3G7kksUTMkm8F1CdrNFBqYB suDsjPxKznb5zI+M/ai0qIlyD8c+XoD5BsCq4+Q9uc8WMl5wAjU3c37nDgLIpc+Ai4QGnejgEvRw EALupStl9FW7u3Z3amIjOJAsJ6Ys6GjTxtrRJ430chN70EY76/BrpHIweCre2paHd3SY8EXvnNyE cqqhNh3B+5fQG18SgROzUCw+wTYIouvQzWq8USAuAudY5XUQKJVo2CIWypnbMgET4wNMnRRcex34 VRqALp8Iy/lWCz+K+R5M6Pcarf9Efx/xAQxRCMhfE87mNG5jEgSjPljpqRg77F5dRk7Eri/YfdEK sw0rSjlFGe99f7qrbh3AWvFvFWLiSL0PAZefYdWOFP42eZvxYxpwTZVMfH5uZvyZgRrza1r0lhC4 5k2IDrjlbxq2RlhtQcOBfD0tYNoyzyLfxQVCUenXmcqAPMR8zuN+nWwMJdO1902aUoOkEVrAO7ub rwDewOF9CFyxpquphHOXPfLhkSmVLZBxJOFH/FW0I+GJf/iEkJsILmRl+Lw0NQCeNDrMbp+0L/0O Qo5o+nnkTiCbene3eW7OrR9MecGLCjCjaxkUrbrb1uugDANgwU6qvZk48cdXtF6H9BRySoJ1GJ7e LXsjRaPgdWaZ7uyYXz0p+6Zl9vRhmFCQti15LEfi23mSrTjFoEXqEZqKvFPBTF9Wm+RrXKsRYWVA C1jebtDLuvGoPDayTMnamF5Ll0uMzgK23XEuWERNzZOkH8Eu1X8SQ5m2ZMrquww6iUG9AbTV6pqo 69ccmOTOXT0D0X0/hMT7Yl7jHVm2/tEYOBN4Zekk21L4075ooWeP1ZWz9J7rcKd3d5uPPR/f0iaU XY54hQ8EnwD+xqmQROYDqi7882lwlsISsB3QONcFYk5UEIpVusKlNqLF3Yz6PXsoq1OjU0t1jjPI qvEr1MlKXyB+hhP8+3Uu822ftuN2sdTycxuFyci7rd7mzBdfB/HF5ZOxaubOhl/TsL6biVQZgXeX tcThZo+Gx+SCPPl7alnSV2fmFiiS8FF6lEC+Y/u4pjCjuiaR++lUDP/U84cNZ7Kvi2TWi6dCD4Db pzYRxY2fF/JSzMRVR2NoGXilZCTn/47iXAkzeTyp7446T9f8rge+U5QrKpxAc7aSUq4iZGJDDCeG Dk2krT3kofmKTorYjPhMz/+MJ69iKlU1HzkmKptTiUBnXBT9rvZ/X7FIX9Tp1WNB3pkd/78bGi0R iNxi9tjIBKxg5N+DA3s+qsOZSG2hTkLhLrQ0B818Au0NFVADS68KNO7NDrr1h4Wx8wwW+tEFQs4L CtvUF+/GaCB8lZtl7M5LE775YGEA9i5uw0QM13+oC6nAPrGQXAzcmLGMyir4fU519s5Awz8uCzvZ cgxLHnSpNpr7FI9FhtSq5K5/udBlce1k8alR51uhBnCBzBNJNrP9U5zlSYA8QI+8v0+91vhVFXpS XTbUS14eynDelR23oJ0PquaE2YjKBSadZYcIUHiV9Fm5JB3NoIWkgYoQ3ehQFaUvfAOJvWW9ehj1 UHC3l7HyqDppKjs+PGUDx3vyA0kIIjViSXwOWtvJtPTIeTRycLp3JsnKfbrPq2fqgoImVqFpZrJE 8hC73sO+G0EBye6PUr8vJerOvsJyTp09+6/gJpp/CNc+q3eqnxy+U/MbNEKTxCIUDujRu4CKhdy+ SNU9Eczk1S++KlYTYWFmVN7iZVPJipOvYrWmqxk7Zk2+Trh9NPVYkiQZnF7UtqvcFup4RZVWh3oC nX+YKC76SwRTHh9an1nfhzgzPm3hD3DQXg19ETEt5AVe61LB7vDLFbpfrdAo7dYn+XVrIqEmnq3/ FtDY5i+lNrwkwPnpsrNFU7KlnloFtSvETO04S0iKxiwW/I5ljvRMQeVEyY16cJoV3wJCSeSgu+BR oErzU65XUt2awEEA0aZURCmJV7s+EhlGvMVKqtGPjV317gtzCwfRPlVb5j+1EGNuFbZnFuM2K2O2 VVsab3E1sxqssZ3ED2alb7UT3eoiBkJMyLwVe1Uz9YpZHztrrMBGCmJrVPYGhIqOYG5GUYyFmzVN MicsVOqiiNaq4bCH1L4Ws0XsM29zy5e0ICDrBCZsKlnpmVTlkuB+z4y3j+ozDOB14n/gjR/9zL1D tJAU7TcqJ+PU9ZpQtltIxo1t3p80WvMCiFL2GHzvgutn9+Ddsz/FtJtAUOfgUwJc5p3VSGUyhTVX yz146QVYr2gHaN1B2D3lr4GduqQsUBqs0ZwYkjWbzS+qbe+Jk/+l185bpCCRLm4acq51hcuEfjxc aE3Shbk1uerb2qN4NOXc1qzbW6hHv9Z/6TPb8p09BVEjfUGn0wZCjh+aklofEDZOrqA12yJ84h2y Wn8nF8MbI3WBi+9zUof1Po7iw7Zhk5uryUQdkKaLXuuaStSVkemxc0kaX+3eGh85u/nURNjcE5/a /ukrxfjMbvniJj8W2H82pkAvIfp8CK62OnDorqqgIzJcyC/020OKgT8Fl28BYsr/nPuUFJh8ZmwC 89YMolCbkTWJ/r4Q/ejzu8gXkMHSHCLuttoFpmDpnERsn9UkxK6GpRHmns3iCVm2BIeoXMylhorn Wd4r/qbetQL6eiM/nAqwCoYobr1lHbacBUQYd6TF6EBwGmd5QLk7IpZiBSZF1Ob81Nf4R2+vTs2u W1e20GeoGMNGvGexrnjnXXD6bbQTjnsMlxTovrT+EYK0RymXeqpBhu05pafgZTuffWYXf2/X7vP6 INSVpHHN+MeRgvYYmrxE2yzSf9aWAFwEvCmAs79wdXTqEKZZeyfTsSKBjauPBDu3WfbQ9qxYaJr+ KnyrBviUAYVtOrrxJIZ03NnqydmqmGn4plQV1kREO8IoTt9ndofjTsHzLqQNOVIQBsjeZa5G0TOq XyQZ9/TIfyEj449i9nQzhw3H24Hkq/cNm+9GWP3YfmemB+D1mg1CdeYnkmM81BYss2zx+WC7dva3 OyxvxXI7922Id4uq+beROX2Ai/+x9K7omOD7WO47Tpo8GJxmliyJe9myopSf1s1zxFiupii0RUqn ZpYovS1oaV525sCRfH1DeP678LXH+LurKszcmv/OnzK7Q57aSaNZr5vzkJhonSu/J905wtf18ImS 0XJKcI3uswtSn4cBWSfhiJVgiwduiJPXz0h5TSqTsmvi7Rb67p97NLHCyrgKDvcBLarq6bvXQmyH h8wPt+caHT/a/8hpsYeI4m1PJ64PiUOAGRKKatlh4GAKwf5wnarw0/AkGMLfnoLSJMjwZuA5tTtP Shtk2SaFBFWzQ8t/k2no7Uum3gzWcfWDaKaCxZR32DIU/owh6L97EqHA8NBuV+Ywrc2vPfa6rbUz Vrc7PuwPnfYu6DWKJ5LtRFmNXJUTvw/PapRj2HQDOWa9WIF3dJgLUgqnuzmFIF8znQ3xbTyI/rJH wRqcGU2bz6TG4MQC2t8d7L4fvWspZkZMcZG3h27W9C5oRlq80NCGQh++gmCmL5kWobEHLkw0wGzP ISQ0u4H+wZ2qlkHffd2btOfOKQ9tGlBFK3SJ9oOUU0DK7bGzTbzAYtUMQkP6i3/QoymhBCYkyjOe bRwg5Lhwli6YCWoxCnx8t3eD/PZeR8+c9rHuS7eo2qgKk6EqyVkdV/Wm6Kg82JF7w/9uzDxPsqje LdtMI8ImoTmHFN6LV+XvXAN0dvuwDOankBXyqfYAUYGE5jM7WAnP8PxbwuaQchM4OccEL3+RkBMC H2m9C4y1ONhbLPwt5MKwkuuz7v2mJrx6W8gWj+2QdsW2/WVSj7I12L68c5Q44jUiec++ypQNVsjs wFSAzx/CXq5cizUCrz7pK93UmZb2Uxlhse08dH4IStF3A8CAdCKQXMcUL7EvC92ZV/pT/orDVoJ+ oRQUUxj8/QpbamZ2GA4ddbzYXkblxctkUq1JGMeVoi1CEtKSJQ7Z5fbC45/bp/xmp4iITJv+LDWo rLUMRFcnDNm6CbemtZw1lUftf0OGxJaREkRGVhZ63+4GLJU0EE0wk8wgV9xMuMuLpllzxSQy7uBP oW71INmCmbyldT2msXPSGDP4BhKQU7F8xuEs8qLHJX3xJnfGCWPSN2KvxLcSBKvjRvjrT+fWhmPy DWNLO2QhEw20H+d/2kfJQBLT1wC+aMpfnruST87PPJERQfa6TV69bNjTzVUxW2lM4IHvvG0fGsqy g/8Sa6G2RWCCj3MwbaJkgGhzFmR+XaF/dfFlzN8XKcBNbYvDy4MB+UT96dmdDPbrQ0K4QdVBjWs8 4x2wNVe+mdfInbveZVxMWrCN+KfA5Qucwzux5tfEgxyaDttz1M09Umo65lNDvzsDwj8ljKmMeHxz gEMHv2AAEg1tUNY6ZHCMeBtieBXGxfMDi6DumXV8Xh3xrRixV/AE2G13wkIvKATQAiJ3E2V7tNCk OCVAUaXt1AA8pT6ycW5ms5xCKXcET5s7IWsOyGW07jQQaB5r/xLn4DFhRswarhv3piE0Uj+frra3 ABAxoF4lsi0gDkKX+hWddWBRKwgmD9/tYiDryc0K3ybfx1sR6J5cc9MLTl7DaSUDg+SNm+r9z38a KxDSK1LB6VYjaAqqP4QDzcu3NaTZWfkqNLdbqhztOppjvJZZe4MAgs/Cc7m7sBXrLJrG+ZHiGBZz aFeSPopVlpcTSvvbgs6BT6358LnRFPnaYlfhGjb7x5lJKkzMuhuRi5N/JD0rtIs0TgsOgRYnN+JE UVPwzAHqBNFhbd3qvs7ZwmntDz8CkAJVMfA31l/IqmhluN3hA19ZE9eA9NjyWv50GSyyszkc8M60 Mf7c4UK2TYU9X6yyqazlkCYFM7hbpjBI+pfH58qRUiFICTtqqHRaA7IEo1yTOTwAJjbNePE3tm5B Y3pZT6jld2HAlpK4tR/Zi2Ehw7gO8EGYY8wR4if/sc0Ovhv+Hqwv7Sn4KbPlPk/ZXfk3iGZZXPvz yN9HqVxPWSUAypguOSJ1GP3jl7pz/6lpH6fpYFxj6ZGUoXbq1U7gNejzPbkPe/lYYmfXzCOWvyjH mXf+cz2tlgJbVtoi3JUxD1TLXjqZsWbGjwUjN/G3ruieqMQvna1QWE5C+lHbJl/XYsjrEMO+lOfa 6gJ1ltiojtFtfUHbC1JNZ5J5ua7s3/BqTdousXC117VzOx8S77LNXgjeFnAOKiQOFC9AJk6SNajx SU7LfdmkBoUFenx1NFcYLv0yVYOFcN4VdkcaNqkToZ0uNPYnb0J6dDWsBxOOCKalh4kezvTK6LsM 8gr0PdfXhoWCb6JhEEmZCaDgWCAyd66FnvydDMomb2XAYgXRYLPWsF/NKPqQv+Qs7VZrCSE7ZdsA W32G8/w0vUSqFnqkHWHaNpPShQk639pXUn4559w1UUbu399vpUuuOj00ohTs4Sdyu0/WQEgaQs5F BQJIpmB8pI4ssx95B4ObdhEcgn50qTUCJzgV4RiOOu1hdrF+DpHz/BXibyxVdBQbmPcJmtnXZibw dHYmb9Exm6M2+GVct2kHyK7vf6Kk6JCX20iei3hCMqp7y49mNdpdE8+OXsmb0c5lKzksjM//gfSP vYHvk2zI48QZZoR2TTypCQVAwRvlYsviYYmsOOvSVy3P1Ck79ELnnX85Vuq+Q6IbYIlmSYRlwqwu WjU3mi2JJdJ//GrrSp5kqgwVFSjJh9gGAJDA1vNiHLWLYe2tZbwlGgcXIbBoprT0FJrhOOFbZGgB K7lNagJgkAnt0I1VHxQ4xVqM4Lifu1tBppSVxIBOw9SCYsXCDL2cKY25gOsee019bR/V94ec1aQ3 r5e8amjmfPo06qCgiCnhTGVnQoYgY8Mi3/KiCeo8E4Uniu2i9v5WxZeGGEXBhyN2K1y5NLIR658D wm5BPxt8iYFfjCoqFxZkpqvdvaJT5WpgDkRHV0haOcgsq0RZ6x7XT680r/NTIYMz6oLaYhvp96+B HO/fLPwfnIPiCwf5Cmdah/X813rVMhgOk1J6oGly5QvRsAjb6UJMaKfNjGg1+lExPNoSLVWIebvs Vun+72mIX73G1zUWlUD6hZI5GDr3B3TcB/V56dCk/EYBnqwtJk55Lcg71BL8CQzB2pMw9VGT8Pc7 vcUVdfTgeNUrxUPf9cLRLGuQ1mSesIH0Vy18Ry6FS9QOHtjsy3tzlnJ0A9pAVvulI1F1k4apb+JS kfAim5TSXpJt36Xvoqi8Td83ytVcosaaLQ/4SPzWa9p1aAoBDNsRDdcqgexiujEIwC+Bk8Yd5NCT Oryp7E65mBT4H5rg7Sv2gzd05G2lVxGoPo5s/KrNfI3R6nftQOGo3jDR8XGatmO9WPfNOb8mjM7W LDwOc5CJh7ySH/VrYMcWs3zVHH2Agdml90qRWNQO2E0XWH71eVjMrtg6iAcs+DlMbWyaTDhORFC/ sLpzxBI4kLMsjwvwqpODObHo8Uxh0N88UaznbVl1VDjEOChrCactrlrd+TYclOPdV6svnOUPwVUb mXS4S8EZBEkVjkQ4gg2XF9dYjte/koaJ0mPet4OmvVhrAZTj03eNbjAZVzTrDCw8YSgjZT0Ub30Q cv5nnYIPqcjsBtWJkgH6SFyPNWLGNEedRqm/Ygor0ErbgcArb/6fijRYMmvJq19keVMys6T0jJBL BfRsihi664MWzjH2HUxTjlF0pF7U0DZ1MBGm4mQQmagq4A2FTO0Fg5mlf9XpfU1DsrKhyTrg1yZv t2+7DH1hAhnWJxQTpYdX15m5v1XMENJ5Ucw+AEBmGzFx3gDvoieAmniLX6YYRXOOrVotSfJh0W3T dS1dYFPaVkAdkXqNr0LwtCPLcdVqcLNb3ZWbpluS26H7V4eITioV45hPnCqau8w/l93ZQhGD89Mo QP+SuT0Qmtxpx27RKa/xGg8W8gY+76VzUNh4lrZCqua3DCeOnWkZ3BgUPgRHt7sfBHIYzTLr9egt AXhF6pFqIBTgZMxE7Szq//HZZGhdtlIFRuQr8ll3wePXGV/6FRRazrdYFKLUbdugarKWQXYCC4sJ ZMnZR7aYwyPXjeXnQOz4BiI4DXSrndOeOinlSrDGk7R3LLVAkRu/Nek5YrKUbcwt6LLKso+mScBP sZAT+TV0gZzqdmOBh8n1p7/1wFwQJQXkSjxCpG+pMyYvCMKb1knkj2Pvp+vu+wgG1wM+7UzkuwWX 6Ty/tnZr98fIoqS5yg/u1OpBom1mKolYIu0FNC6TRSXyL/7PrVxodrtGsqlX0CQWoq+kyCIL1BHs S2SjOdWpfFxcLHnoIMQP5mP/1SUUegvJfjppX+v68pO0XLvcuYBTgPwq24cPADGMngCQbxLcw2tv zeC0m5wH73p42h9WcqD4J45tpkxD/pt0lanGtUrn2wN1wch6uOiaoTZ+OdpNRqLAktDQUaVUaGf4 z5e8RtPNSd7vq22kZh0f9aV5TFaS29v6lMG03YRzYmCeNurNTVJLA8smBJ9Vn6NV77i3IKpLwSwT IPgC4+oncVVMmYGt9RLGa5rGJlvL0h3h5jhnDEnWeACDJBIPaG1EvdJkm1xnidN1mb+1FvvUv6UN d0CHnpdVAQo2Dj7ZqNIHYWrtkG8WtGvyivUK2la72OIYMmJbj51sq0i9JhnwLElxDqiP9K5T+DXF dBF683snZ80ZPnrYdOZiIbAxGzhUCgLn6c+QuEdsJSi5/7YA6Mb6Ru9lJAGaE2JVeykxIU1UKt6A 6NABnpailFFmnXnuJygsENKEFg1CE0SA+0Kogs3UhCbnFpA+pJ/uT0lCCKSUh8NO5lsFaLie/VBu 40X8Dq64J8/TPFgUd8kHmIfzsDAt67txxMYUt8ypew07arOoxXrooI/ZN2MTiX2kzTjgrPJnq1n5 JIxTymiTvYx9MYxTQRPOC0uwQz/msFbIfRdOIFc6KNQJnJXt+KE28OJENHU8dZLzPOJ/Sh9H8asL dTGzMzg/j3cO/+PiHMPvMnmRlB08QmOY95f0r+xLyHib8kldRnbWVZB9Y1BWzyId+IMVgZXXJZL9 fdGLBc0g8foq3f7Vid0hPQWi0vYcBG7tyaDoQjIg4N4tvh5d011D1mfmso928j1ZGsZZq4BtLE2A LQRh6J6OP87YHQobkRfBA/qhrRepSWAohIyv+vkxWqIPOsXSU3WGsiGzVsKTt8hXnZwnuopea9Ku FXZOgYELn5GsCLyvqiM1Mszn/pWqclFibyiOkTKSJ6RIg2svjFuVyVC6OSvTMz4k6qQfmd3EUkvj mb7zgavzWNo+Czx+I5W983meRTlxArA8isD7xSM7xmyDryv7Hhdipfqp7qclPEmUx5k5Jld5N2Tn 1QeG7Nb6M7igo+/NgubN3MkUhXKKGQfoNJRJQFxxA+3bAbos+Kh3QfOUAeL/v/XzKz/KcHWx/qwe tFtE5HtTfcaIJenQhXmfW/EDjZRMM0yVqouRKGkgVi5KVNENalLR7aEy7nPGrd9+dxrn+OHkQHum NVqXb5kBf4/KbFxwVU0cEyqrP3jjA3arTSMJ+bEBTHQA9j5ia/VEWPLQLuUeT7kU1/5LDP7gmbxX E68ATJu8p2ig77BFoDFDHVt6S75SdGlV3HAwRg/QsU0/m5gLM+lvDhuUHJiOzO1A5Uf7tuM7zGmo BjTjIjWVZZhzuEjpoXczMQ0+yl1xD2TL+RGmoye2a2Bc2+RUk4csW+vAvmJTjtWQxBwTTyI4KcB6 M1vLezYlJZ/DU+E28nZAtZEy9jDHQLllxf6pcTMZFXriLVs4GGy/nlZszIoNGSYa/mqgTZ7M2ggA dLsCXLwzTqw5dPCtYL59oXc2PMDX5oOe4rNROd3lYsiZEkA0uHfAyk4Uunq1A9AO8RbjvVVVouky D89lbr4ruQ0B02Jbm0dbGBkezt1/Mp55YymxmpeQE2wb3Iass6tsuTPLP1C+5xZj/WhyZ6rqAUVn QSkLjQaCtKg1SxK076Pnmyn9mikQBF4/NqOwXM9s/FR2qI7WpLua3naNNc0WzLHso8f6HNvtDZXo UZe32rCkQNYMhapcSfg4Au7IbXwMc2kRYt6Nhk0KmqsmMYmW8ANdilYD9J/7xCX2zVZEwq/lHt6p jP+KJv8ttIom14WO4iUIS1pBg2QG3NddGDBHEEKloZsS2eP60dEpTYtNIA03Dz75TJqNn/wqdhI0 djOCiucTgeGAnHIQn96rFdiOik3OsGXCYDg6233OHzyklJ4XztxP5eKSM5E7f1+OT14H7n8uG0kl c4XNQoGT6HDOHtdwcbV5w2O2RSQUZyWB5nrKH7DZ+tCnPvXaZswTVc6I4K9hE3PRLG/zYkpRTdy6 H8yPYGGbk93KoYsywvwDnko0NAcSTide+qsw/6iJ2TXm+cMZjSWTTUi/ISwkTQfujfxRNfVsDA8w 4HqcxuFfDjrKQ9jI/hDDufm2j5VDQ6osEw3CFeTdoLNPfXgvBywbPnFRZF5g2o7LyvKLy/jM5usR Fk6QVvhDT2HY5cbnwOlPZl85UC5B7gTx9WP4gv7YfwV9UPRoHxtGjIhzkueILh3xALMG61pt0t7w w0dxSqFN2xBRZ0VoFpWIQmtTfOOut+zYoeo6mrVTi1uuwZDTiS0+YPuxP/znw0mFrNT56rbpiNGR 8/ToNdqiQVnjatRxkn07HzUuyYdQ8MTgLIIr1etJqO5BfMCkHuBZYTCqllotBD0rWRrXknvGko1K xYzFK8vVmpViz24V0uR6aohYSPGQbPRK49kd3iCqhmazf2tjzx46F5EtoDDWC0vOIR9jQqj5nE+S r98TYPPV5FB3v2fxpmJbNwmbIPXVJZhNN/u2kM1GiWIilRLQ3SHAAI2cnivQrpF8SA5eD+J2TvqO rnj0iUNbtcUQzmSyQEK8tu/b1sl3yVWTgy2W/IZy7eoDL7wI5plzmG2TWUehpfRb5UjzrnKP+JRp vIE5C79aap8936NNjtIQ4Abl12a6bUcESdW/SdwC+1qIn2PaFxHyruEwJGuKKivhP+CzJ86G9Fcq /yYIKzWeEDvWowvbTQ/t5tuXpoA13gSJ5eaeNHLgyvn+H7uuviXgk1MnAe2qbOSJZ1OOciYWgdf1 DngUxy8xaK7xtuvf0oEj5REITTrV0NoCA2l8i3JkfRM3v5apEBALanvvjGYKSKKeZ3s3tuBiOU5h kemvnkKAifc7R6rE+hzqrs5CMVrjKoECeWA1uaOIkt6wa3+FEIzD3NK1Bw7zhGVOCvoVUPldJCur DynfsJE/xiv8FkBhoiTmb0Q6hSf+/d/fnxl9jxXFXxjGOd6C2dsc1TD9qcrq4YVwLhjBgY6Too43 9Y8YXI0M0rGxBmRWG2ZRI4Lw0om6U3tcZgq1th4LZJx4ioXt94LI4/hU69dI045536JsQ42tdJyN GUK0ulWfkjRthhzvViwbRHF+L3kXXSoS4z3SWBpm9At6TrXKHqLk6/i0RyUwCl4UX6qc0ECrRM5K aOQBE00+YwtNGt5tGZsnRzft+wwGb1L3Ce9vhTkU7/5dMjZpAVVZ6trRtCfsllT0nEt96ol9nsTo gsnjVhOmmDhWEpUaJHLHFir4JkK5cqrFP9mCP2PvajDoG5Y50ZQNdHyPqmlGp+WWpSjQ0KEJ8IvT MknAUNJI4uzpR+Y+JEK0FhVfqzyK9LRk308fAX8jkNkYidmM+GtTg1Mayg+q+aQJKiB6xQb8Ztky X/uaB0ccQFobe0PmqwpIXg4HpBFoYvaOFuy64MDvy/hOFz/90wKPxvo8E01eF3QTd2F0mVp3mPvF wrXUpaUDUAa82q2afscQV3oxNqbuh8JrFadWfkQ9SC2JqZJfCyv4gJmz+ovulsx5BIbMxaTSgzSe gZyayydAvRCm3XZ4e+tAefHDufL/Vi3ReBrHoF67rxIR3aQC7TOwMV5VHueOkblCkRPzSsTCBw6v H6w3WesgjD4M79K5p+1J9hfhvull19maSD74NkW9bXl2F1xeKsaHHR/GB9a1Ay2cVRmAWQ4VPX2M 1N+SnZKCyxcj6qlAAAkFoHfZbd73goZFq5rnN31OqIOPJ2vw8litaxEVAGNjl9kc0ULBs/BipHlO yIZLRvnLFKfy3lOJTSjo/OnLvKgeCqk6yFDehM1hJf/pYf2q/o9fwd963dFUXdSKnb4WLpTTxFDE 2UsWkrQAiecWlZ3NsrXTMkMowiHt+Pwv4CvwHhly6rrjfr5ktDvG/ifM5Ht7fuBg75MgtAKxgyzO ltSk5ALwAkLbSvc8gw8A27U6AiqEjIIPJPjP3FjIJtNslZnSk0UzgurU6sMNu47yDqmWCIv78vtK hLwRgUnvH3Qzd9uLvfkCdHjeiCF5ypOWp79Isc54fI7S85/Qr4Reg0SiF3yN97vnnVtJeJoWYppF F339PIX8QXTDndntu8X8who+P1Q74aT8lR+zBjWem7FkKLRPfmHLphngopicvBkKG4agaGIs901a MtI1+FcOSwmcHUAA2ihNzl5HogO5r5TTOVstMw2y3s+81lPU1OmqXzGIHdtQz2+GSdl1066Ln/6D iupHnx9LJ8QGiDKhtQM7D0CZRlhggy6Rz//0UdF50IQe8A37U61Wax27Cx36QR46kHKF3Y0TlXSD cJ5m8Ds57u+3pX7dYJpn5AOk03n/5bpSwPbYCOojk0ETzftIUASA8fFLQvqMrAqbk7STvDUnRp0U oshYTB28p/SqhOi08UZF/PyGUBayadTS6qBE41lFDs5xTy2bu2DG5CfQEzfTr8Q6/PSL7KF+HxzK F4OFng44iq2FwOO9bP6BNp+4oUWhm5vqtJd/yxZe7AKDfuRbtFGCthc+m027G4awEEX6QcbN7zaB Cis3e4bW0QqFbtn00ysm5Aji6KTMzKvzx2m+UodDaJ9AkwDG7Ye4h0fMFfI8JYAe3feuNVpfVyNg 77pS2HeF2bYeB8Jijb5aa5FPXSyD46VEX25LAae5jFjefrVj1Z2ovm9Q742dRZ2r5NgzMEEhIuYO wNcWbjGFXZBrrQbMixAgJWPGJ79Hoi7s0k2V+qZTEh7p8xsZA7of+0XzGqqOM3+9Pfuy9gZYcbhp 4+3j40PWW9YpxTq9xzAFP1tAoBTz1PX2LMaep2V7tqFDYoXnOazLMArOLAwt7NsBEYW6a0hxUK3v yb48W2MpyxYQIlfpUkOxaN3hrvs5hGxWcAxjyBTkQ5adTugk0133v9jPcPv98wpe+GNhnu+lmSjz lyTHX41zJTRYTF2itlkMG4uPW5XaPfYA/UdoZjEHiDeZR5EWC12I+CTtrLODEMloT/jAJ7CFJl+f 2i+GeJlclmjg30LEkA7yIeNGRP8HIctWgqD1FCkWVV9BJsarSYiCo1x0VFze7qxmF/7BsK1EhWKG J/wXsd9LnmvLFLCyaZTlCMHtUEfQlgAhAH4WxzTXP3NsSMgDSEW/czQ2d+drpfLedMkou9XrEvwK d++fEeaEB6iRL4Q1WDEm6GQhXFoUa40ZWBJTLTgClfbRx1H573BSjGcxbwpyDhiJyeCwadAb8UZy fjOdNOL3Z9NjC2ORKcvdosAHIA9K8oQaeoLjD/H1dj8d183YKH1WtmHLtJhp32rssXpi7m1EM32V 99S08GFHkLpHr3hP09BTkFK5Dz1SCc8wTnAfCZt7JxDyMjKPoSh9tzWSnD0uuvj6rInsKvG4LGnD LvnuvJoB4fPVTwKsk/hzbMbntCpC3rSUC3Fmax3oNUWvDbxdMPjTcmOg/h3yPOn1iiJ7Q/OOvkaJ CBkzG57G+kCXvyVBqidrg66tkGfQ/t6Ot2kwR/szsi7R3bNpSnmcfFrIOND7EqXR/ndV0KMBZaFv HGOtyLx1jRXnhrB8UaGNRW3Y1MuWhZZidBxoJVskb6TZMyxMfxqbMofAztTvEygsew+PINNk8Dtd fKvwGGXWUCb98RvObRjTk4BWp3mDttgw0rhqFuGnLhaMM9mbpfIlZpYjalD4yBApMQRzix4PBBl+ 5D9BUK05SNWKDYrHqrWqyLYsRsEJHEuFBWkUELOMUXTcoFX7pndmnVxk7FEiJTTfqP7bgHUaAc90 HOza5LKsgjbqgfQ0i4gunmqXG/rNLXET7qSaV9nj5NuQ84XRQE0JDVe4iYtXmuzHUjowAgG7/Q1e M3FexvEzI+I4l0+4ecAlNHqMOkaSgBpIK6kL4uCYYzupp+QGe1FAGT3LUqGnfDl9IrxNAlT73jJl oczYqQqDl2hAw84coup0Sn7obYQv34dHQfMXe0XorodN//9vjGNnRT2ym5JuG+5TiIJ7g8Pej4Vs R3TTJgkX1R4cojMvuYhhIIc7/PK7676OZmt39oEboVTQaqzJ7Uzged4w6jeukUmvujEpN4JUb0/F NOTZsZ4rzHxOkqBO6Ju8+bAPbtyE5IjsvzKnONZXMAACLHV+hom8sGErAFg1pAWijQuWeAuZpK4Z W9kb+30XUpjp+yviuAp/o2wwxA+dXi0MQ00so1AId1qbbMho8EhQPW/8TxWpNV3G74m0TP8Dy6Km AEHTuqKHGwb2fF6DESxN8tXbmdtV6LWzghueccEl+Bv+TVVBeIrvsLI9FvpgbvzfTeyGbK1mV0qn 87he0lCdh5IUpj/ODxqCk7hwLPNg9KjIK9z924PoyGIEpV6CQymjHE+dquI23aoAnNuvMuAqVGjL umn2x9QEIRsVBiepyIrM2VL2BeQh1p2wn9thgSGI6ExRxvISGtNPts9WnPUNpnQ17JtlRejXQDma iAuhIEBRVaWIG5aM8oHfoWa6MvkRTfMWOHudJvg5gc7pQkvJbvGQAKv3Bhu7VGdWwXF6YaA3xlzL +eSZv6o3pR09UhOlvIYHKknwpCUlYt9nfzSN6vMJXIFdYXxs6PffzNdAgdLIfR3eZZ/MvX8pVVyh dJ9NnaUFxFET5Ai4ZsmwyE942p1UrpmcBgVuaPBoOERIcM7zS96trZRlToxxt7WIfQcg+8Hya4z6 PCatdCHadiXlugHSggFfn63RuC56Q7RBoX96R/E/5E2tNh+x1gXKhwC9mjSj4P1yvA40SUaV7ER4 lVSGquvOXjZkFJ4HScubBl90C/IQPsVa4YyeXgYLyt0adJ9oh4RlNT8MFJpE7m9iNSJLaEVn3vGb QF9H4/aqc8wofuf47zT2Z7OhXjmexe+gJn7sqptS7D+HSxQtG2l7BNJK0rRwxqJl7ibTozhA9fTp jHk5VGWiNrNwJH+WUVPnG0hLaTnSXMlJ54HZDMwxIaKOc/A5kyaHOQgBvhV4hHDEBhyN5UX9L0eE ZPN9r/Hfe9enIAfmWw29qH4Jbj4y/MOUDdYskWjRzufsaNCpq7b5J6HH6LlqKmSTDXVnV9iHjinj N2duv2emEY3drYN7HRhbPeKsQrErZHx1ockpuZnS2rms8o15tFEauVUWNqxD6QuRTA5xMO/tBg5D rV3gS16grjC7SHuA/P6wAcWh/n4zY9SeNn+4BMmOglxPBUnjLHsIycxBqfIL9JanViD/KZqcY3Ug s+0ZXm0BKkynRDyPn0H0eK9wP9Hav/Sw4bYngAtIL30e9PorNC5Xx92rZnBc87PzjEPC3Per47Bx PLV5D7T6SV3obSM2Tq590Myq0w9MGzADTF5yOQKx+pOuKN52kk/CPA/obFetpz3Mt6/dj2WYXplF 2SBbCY7e5Gle6BbLVltCFESxo9HsMWc0j7ScJ/hW7T+NhWmucgT0Kd1P5r7WBoU4hnEvJ+NdOoE9 9XpRJcYyKfHRNb+AOmFojBgjP0kAAcqQspAG/jbJ0kr+7egxeEUccds6zL9XmvEQ78jJxQeiNFtL aOnkudtj4r9chN5qjJboQsaeMgFSjAvhAmqbVaY4tm0505dgoKeKeWzmleD6Vh/hQN50TQOtRt4W i57OsMS5jDfcg1KOKt89qqIkNBy/UBY4RQkNbMbQofyZwHgyhyQdfupRcRPbKu8e3PUTJwCUUsXD D+u5hFjYSVIu/g9L7UanuVQXyq342hK1mrZNIUVpm/VkPO6CUhvMOgcl6+bOptBJa0ihK1c49544 AJZNhwa+7YVrA+zK5FQZPrZFzzRRdKf4m8uI1ZRVnksEBDe1UsROEEw86TG0syfTpKSLPKoRWvdW CMLbpwrz1ojIZsoBr37HicBegjgHSrvp25RloIFwp/A4kmYE+RGhHQc9zjughtK5AqTlsPs0d9SV 8BjJPbtNdJ7QR8Ignn+m0Lpxw9aFz2KH/y+WkKI48wd/mRsnLCxyIQjdwbFVMLpUvuCC6ImdOM6H jrFk0zWEZ6/SINtlWKpyO14gR3TNrsLccpvSnLjPd9TamE1shxdLXlga3uTTBlxFTuEAKZHBOE45 tL++gfLZEFNjCJvpq5NH69ftmeuzRzPq/bI2gydcS6gqrjpY/doft3j6fsY9kseVVWYnsfILsmwD ub4FaQ+6z1xNsMRh8UpOMNi1h2FFMwXzGPWWSAKkSQbOqKpZzstIhIevIzJXdbug6ndbIaWQAu4R FSycR9MzMX32d5hBjiabcq652pYXGspInPuBjgUMHU91BF++rPt9pqgifPDidLHweO67UWgpU8Et gnPbsyg4RMkiRd14jY7Eu9BM90ta/OtqWd1prkSL9cgOkbbYto2FKKTqoc0+m1yq03SufP2GGTSw fgcPzL9O2hie15sj26VgRwrmTjp0xvO+sir6t38KchXlzps3LaKX1DMIFbXcEED9z/J6DW8xblsW zc8ufeG4i369rK4FDGGi/sWK6IWrr+3r1VbrsRnJEOxfEIYAhruUuG1FGVZ/lnz3zfnk964j7dpa g0Gy79VKMhhLwhriiAVntUmKuB+R3jLZxQ4VKjK8pX7JJuJiIzQKetBLl21i97rZh6j6fGwxJyvT U3c6qGivybXi8EYgmlF/oD/2gKrPN2Ne3pS91mZ998/vsbc6H1CFq2xFNYRaDNqHOLryW0HoWkr/ VirQZ9qoLF+29Vnep/0vU2/S7TacquaY837KeF2avZJLY4o/3HhL6Sg/lkmVzMKswS1dW7l0ExRp bmismHBEOhqhSTlbDuXjHP1XO22d8GnIUm1k933N7m2vVdaTcgHEaT2cSvjnDHv+qx8Szu6gsPA2 RVEU8mSfameSrwR9Jt8cpn12ao6BCShVRkh1yHiD2TFD6pAYnjEedqDXRctinWYVvv8ztWjlVRIP oGXiixHFW5691VYgxBLO2yhxEUwDYdGxDxlmd+lGDNHtWcCzjOni3Oc+UIBYkJbR5a2zokjpXs2d 6Bn71Ou7mmlpmCpczkUcStNpPU5IqT9ISWmkoiux4Ap4tilQTfa9/CIm+HG30oY3X1fJbz60n/oc OFZPRZewqMsgxcKubyAP2m69exoIe58aiRWPH08HNu4s/k/sB1SWRhQvu3g7pRWZmdZS/NcZzHEO wCvmDQEHLo5OeaP/jnLp0mhCHo2GxJKg4t1p8Amj9AOyEDgIOwxXPkc2lzoJkkyAEZCLMCJ2mRb4 KSh8DPbbJYNrAB5ShMMMuJNUzWd8434eBBWqdloxSF9W4uJKy9DbEhZ0eEL1e+fcfv3G3JrtkCHe h1A1i6pBjWhZU+7XXyl9Tk7SIeAeQ/wrgDJOMh3nqB2DAhgSpXMwFPoeps2jr7K0B2qJ4TSMkMWT FHyMtQNxNR9pIe0GhUYPc3LXP41UNw0QChRUB0NnWqe9mVF7FzBXkzZ1jo4qVYj/Z9tELZReCYP9 BAR7Nh4r2Ihzul8JzYYzV/cQB28/Oj7p+ORRsPe+P1bs8vOfpLAvTtfpXkuebnAvmeRu8sODkJrC hB5nzhih8/f6p4R/V7A3NFCfnNEF48VAA97k3Eg54pVxVoOucKT3DFLw+PcmK9PKvWdPkXDH4uV/ z+fKGd4vqFnoqLDzs1Wy+ieenWiCsqRAK3Vn82xMQSwCaGXpa7V/Gop0LPEvzwp9XvExdB/UUkr/ EofaQJrh1iUjRLC45Hz17p0p97ASyujhIT4DR5oap6U1iYkD/V79jPDJHhwW0Gza9iHJoCy88TIA PZK7CzMuXsBTZqnrQuGfHdrH/sXb5RHQGAcj4J4UT9bhrB4hMeSNZGM6SckP5TJBsMtqRm5HuVtf 2sRD2rJCeGcKHrSGnkmDm4ZzoftTRzmBZ7+jhBOc95cgZ+xDhTlwdnsZ7q5JmReM0h8iJXA8y7wQ vo3fxl6xO17Ku4jtQwp81e5BkxZplUOrt/6HdLcHGjpSQ57uetEu6ttABWAw1X/CdXUuNZ/sJCUw eReezF+7SkZfKZiFp4YbMGKCSGFYInXwZvyvO33U7r2ytYQ+hJGQHQh62/wNWGjywVZgl5HYXl+x +90SGFXPgKrtk+Vod0juLBaaDmg7Abn+bsemZqHwwv+mcShhBJ1r9tnIeey7ZaauMba2iSJm2PWe Ycb4pHnZOdv6FQqtKI62ltdgw2fE9uL+ccrURptkrlQQhVzry3P3xlRaP6haOWxYC7YBII7T8rC9 +7dan8jBZl5SLCesRwuhS/JhTMlNvOj1Bwbra6OdyRG4hU6og10NcBx+VyawDoITNdXywy41+Fxi mCwYsdzXuuVT/d6HxhjasO4n73Cnxhse+b+saPw0emR4EyqR4Ar/dURisKGkbUIxtQlGS+Ko/8+G VPvEeFatN9gLmg5Mf0J2tL/LdEmJcrIZRicRWNuQcUkU/m1IXYRWyQ4Oya+nlugeDxdArCq30s3s 6lgb0+3vbJ+cxUhs/te6WpZsD1LO/VkEnatiGkhMschHuYMKUCTlYvtUacnMLSPbXCE5gcbux5vU gal5lEwfjn3OAJL18OF/1ogb/i1xmxBfVpp9i55wc5ho1E3Z/ZENpHwBMjaDXstD59mMfa+XEkSO b3H2K6ynGfQ1o523fHHQoZ/xsOJD1NSlxpVQMmO4OQ8Uw3jJ0dex8pEC1bHfiiC/n4Xd9cj5WLBI KqYDNPZDg9ZVvfYhIBpyd1zW6pR1ueAKxJ4iaQFS3uyYuia/g7Nx00AsZG2JEIsOvaXqp5Cxlv2n SMNCRkM73zOX7im204kMB5VIMeyc2FkaPjHA36gybIOB0ofcl0XxDtmf7l7BOvFGdMYfDsS9/Ied 4CrB2wPkAmP8RGmLKUsnjFQIXw+5vnVR2vWOy5+IVV9mxpmGOmvpSytlaKFJ3TdiALZtxVMA/5T1 COGfog7RCt0caB0SasSaEAmgesLBZzNm3mJGhB4iMaah96YFPFkEo7X4vHQOa7o4E5Ih4ELvUtuX 2MH+VmFcoVqVJ0WNNla+0GMCeHWEcVgkMNxDHF7qFfKfyr+i4asYCoIYIysPoN+GrYxbCvasuh1f lDbRG65NCXmaWqEqLej51VrFTb4XMG3PikDuxrPGq+RKHRRZgSHo/jiEU6H+iYH81YtfjFDQeVIx X4jr5Brve3Fsrp9dX766RgTaFrWmBNPefHS2/1sx166F1izFp1pBv/5BNGxRXX9bdENUEL/6wsOl QmeHPEFOd1MN9cx4nDfXTqjKEETFLI3izP9oYLqooZsyhsasdqtBelVa11rC/TjzJ+pXByZyfh99 BzF6jIMrXr9pnMwF3QRrMYe03cg18KOLIrxpXK3bc/dkoVlWa7t4kMa6wKy1PzBkbW4RaVUXLTgy JC31yxi5LcKUF/SvMtrsN0aIObsF6xkZZ1M5SsaBL3mroGdeWkHAN/Vq6wAvhBwBbs5Isd8vKrQk aGu46ma1ZI0qgnO2Js2rnMocDX4TVELpUQ4cPQqoF5g8IaTyoBZSyUwmLFh2MlCYqx/J+LAcXTtP OWhLjuArqbzxXHHkd5NK9t9YAtmwahIEBnsg+jGhQe2qIVcpzLPwTPnetc4ifki9zsrVaVutBygq bXPECF4rsp8deKbYAFmKU5cDREKPMwAKmYJRcPoaG/pkkF+t7VlMPR2+pYtGWem56KYs0oPl9/0e 71hPxMqPYIwgXHZUtj2PdDcOX/hugLnzkdG0p92y8ABf4NNB4wuWP+vDRNzGYXd2pIB+BeWyO8li 8AQl5lxdooeDiRyJtLCrBPvmxdujMJ1M8ZEGfbnO9J/4FF2HSCUTn281v6iuXjG4+aguUbF1rFns NwPuuKNkocXvvvicIh2jrDcEGo9D5LjjBGDTxrRRCpikG9Zkw8mPfhe/NS+kXTIiGlkut0ZdMv9J OeL8qDre468wA4GKj1LJTgCOziGEFQY/JVHRHn9n8eX9ayht6xJ87VmsZhArqEKdaiQUljbNMg3I UcXBUq0aMsedka0zGsrkaW8xVxkY20PNjvhpcqc+EtJ0yTJZVmBT2HxVTTaSflpTT+aqRjsKby75 O2SWS/yvo+F9JWltJ6txg0gUthWtG7a4/RixkejDPljNcCOVIPQ3dtLUgAd81vHntBRR9zYju6+6 Q/kutTUkH9OguU1xuPQ0CM4iS5QN7uxtRmwDoWBbKfxYNyR+DIPpPgR3pDr5r3sZ4n9vUqq6/gi4 dJr9CyoW/hnk5UOS08dmE4uiVq2lUrAMXDpisANHzYGzuJqruFXEGZNGdvKa4Rd00RyWf07Hjjwl C7PLGXkSeZ6xyIAkj7wE26TX6Rocave9V4iAfCwaUQx7zWo4tmVXEIpg6wxaHYEv4pkB5tU21DhH DOJmFJ3jGID4lUUYbqHSI6feKurnmAJs5ZoEejEwmPPcYKj0sYK29PRzZ66MelFqWFNkWiuO/77P zw/r9wB+hejZBb7ZQwO6uAOy0udy8R7/wYwlHKy1+is4H3zsJIMZ6Mx9X5QRRAOO4HMki79zdAlU 3asmL8kStHZBlG64/Kf2YM4kkGcExYrV2psfJGS6cIEaJtubBlnalMYHauEK//zyI31DLWDAwSdQ MqS29BvfCYMiyISdBnLagLf5DG4bea7lxIPN6Y+tA9gcsZb4kwN+De+bpmzg30yopCBBQilQaBxY V38Q3aA43vSXyjApB1+XpVHHna9yE68TVTS1iMNMXNKee9f6GoEI2efsyqPSJAV7drDp2TYwczXW nf3FXz7D12tKu6TAozxIsjrzABq+ddN7u2vWINjeP9+6eN9aT2mAJqMXxI+8eri1DRhnPU3+z3zm EAdieWhlxgPpB4KWltL+HPl8/ChxaqObQUGoKXjhz1b3a3NsOF8jjHqEJRFYBz6ZgRPDdDzT/wdn 0Jov3HsaYoQhm8UwK8Q17wYYYkiDA8OneoZEmVAQNIHZ7dSX5Fgzgy0Gtr+ImdXybzjv0l1ilXcL IJihRDWdYMQm9AIRo14viKdCvb9U5K56EYGLKpDS9P7HG884FYewac7pfP0B05E00R/0fdQ31EgG Hkekw0GXWXLBiWE65a5cQ/T9eO9E5RxqzfP2wcAYGYxfhA13DF3Lrilsyy5MYSzhdgcoeRqDz9Ft kMtFtJ76+J6fv1LSBpubb0v5PcPCiMiAuY8VRlf6WrD7X6YXF2qK+u2lK2IFLFsEMOMohxvrUYV3 Kdn7EmpbOYcL+GbyYXklpTT5bpk/oZ2O11tyauoGEfsf7GH0WsHNAviSy41KkANtytCkpd7wFwse YdxnTu+SK5cwImtgXgwlF/LOWqpMecXNwzeTFDoJ6fRzWEIqGK7vVcHBY8dAgruCiU1YUZYMCy7k ob0LqDRUNEzvcze8sJw828l2xrU/zuH8MgY4ZQ2VuH32cjGfy7faRHs0TJmVm1VIuj3R9Kpaj9Gi faKw/2LL/zTFv2KkTtHhLbKiaZSN5BL0T2BgaxxN50fS3tsWrnMjZsr/fmYr2GSfOTWpKw9sWn5a KC+tsgyD4vc1EI+Jr0vj6d3JfXg+UtR5o9pQ6cRlgaUEybKmMtosscQpIOamNoEOQliAO9UUnx3B jestPN7/IrgZkVbFBkHh0d7hPcZq3kNRpH+xDg1ooHt9P4VRbsDBX4F+I6Iyub2OtqHEf6W/vrnw io9kuc/zdiFlNWDjO7pVyJNsHgOY0PVlzbq05hPt2Zhe11vvAWF3ZPAysqh9uSFmBn+JywiCrBax /rzSyA/6RKc+aZIR9AozBYeDqNtIkZxWU05mdsg38FcGi97EZ/GrXSyueaJsPZMgRoJuIaeZ9VAX 3+tlZFJiDPVQK5m0+KM8f26wed9AJueDhazYa9d8Af4yynihZmv44GoYl38EBWNLkqw71H/hbVjb FbHKuLwPpLAuojGgxu1sjDWqV3Ai5YR6s/4/9Axd8Gv2J0hAkS2RkLYs1eekMyYLwg6QbPRcPFQv I6M5ORz+6tFoGcWARqaTLk/R9Y9w402M+HNyXHdbnuG3orQBaB2Fw52CnzbTixDh3B9ON7bDSe4w cz61r2jVtCkK0agYirfxY4ru39WSMijWQ2kZYSzTCBGhWkzOxGzXekrCQ9FLKPhsdCfCMtgIz24j W61O5q0Ts25VDPu7no84tIG/RQjrmnQ1vN0c4RvKVeefutqUM+9zpg5bzLrjdBAqRP4YjzoUDpNl 3Lu6e7Ktce1u7rwnvJXVZUtTT4sXMDhdXdA3Qvb7Ty1Dig0Z3ciTdmllyRLVTI/e2tj5hXUcnDfT Dd28nZDHoQLaxcwEmGL/BNmM7UJjP9Ad5+EVOsuQDQ/zYJLY1Ozihbz9MBIon6CDxl9NaiFaIY3E ns6qRN87D4w8do7TluJx+Rs3XUnx467ufRtQ/jjORnq1vZRmx5imz7FzNb0k2Y4W3IcpiKGVdI/c p48VmcL8G1oqcYQERIj11ULL3srUTTyKwwHHhsYR2nALiuLZp3sPqEarvrzP9CG7EzbaRhoBpD2z 81PTfd//q8/I8M2/zQvvp54mrW76QmSjeA4ZAqRD9BAOzCy50lW4HuUzSWqPkLV92Z3T2pnDhCWR XKlmB0AtJxNyJs7i4ZQeGu2yqYrB0sACENBDG7sJ0Y+Q+klnS+SSInPiRpFZHm0JyCn1sW+kR1lt FwU9RYoaNGJ8RYa8yDCgT3a80UcK2Gb90HQ2JPbkqMGY8xL3awP943Qo6c649EIOWYgFaOdr+eWG 34TJXcETRpT+ISiPEMDg+UN/Pp/eP6TRidhzKVtTRJTwFmSExroVMusNiNvkpkN4GEnWMoxooNIh fZKGvgwQsnfEFDrm3vTdPrkrXOxrTChNezSgWjBYKfB7p0HaUnUe3lmjBaKFr2/w/+EPWw2ye6Ph jlxMZHVGWBhZTy8jESvG6HOJQbOUzlwVCidY8HXs2qWn0fqh3kYhnp+qfTJHe8gCmIb9Xg70EdKs GDjoJFvuHspHiyZqgjbn3A0onxGcOBC8BdutE/aBSUte2jicBGl+ow+f6RIejDy4nrELdzoYvrXD hcRPYUx5LC5GywBD3mCq5OhOG0wh2TnFN1MLHHM7p6ihnvSJ2jW1yG5gdkKVeXpTL9xqGu23aR2F GpNe5Du3i/DPV98HAKm/A0ohahDhsXaL29aSANpET8CnxgzmdqZix2JM461YsjS+/Xp5C3mwpvpq dM2MSkboo2eR+77pOcYt6codCoSQj12mnEaJlIORiB+Q7asDr8CbdJnhE69vDvOKQ2NqHueYdsyS Rhm3xY6IEKXTVsB0tXGqwI7c2xEexpGab0XKSWRKn/DFM/5o3p+6vZv0wVreiLgaTFQc1z/AwcoJ tSe0zlUrVtduFmmvTXWxaEKGMczPK7FfMWPEIA5SUHOtvcV6BCm5cC0rwvd1pJcliZ89zMfkIN0d L3o6QHrCXSki98mzOh1cBbkTpZI6ybqPKhe8MTz7OTSleNWOAz8tClZl0mXGi4CC72u6oyVRJYPC maz/K1oazM+RJoeHygHcUcZJp9VnhXeM/i3kRXVl6PeJwUp454ZgimNKTMYG9YadaPtk8pi6Ybvm avKnYPYs0c0DVXb3MuZUuKktt6dOkplzVTUDLNefjvJuqCam7zXmZlvQkF0ICke7X9sc7o4F8wLq DrVehuqN59HgyEtoGx87esa0xxqgEhc4gAJBFXwqMizdPI8QAcr1qgOLGw+UAS0hd5L+Dpo0ie87 bsY8nk10JOfNKln6Gv7mF9qdMdKaF2/yOwQRCitQg18VN9TIEjVnuVFWhKtNaMWhY5Y3cdUFCY+w gEvkW1xgdEHlNTphpW3phpkffYEcakCwr97xn7VN+ugexmvs1+2ubUCuedhRiT/Ai1XYR7mkcoi1 FGRxPQOb+h5Q6qCjp8NTQlPA37VoC1pOZYEpfoHZxq6pHB1JVO0kXMqzgfskjhiiCIaTcteXbNPY hwby/JwcThYiqzsbLvVSzDlSyH7HTThuwLZIyZ3tx8GbJO1Feo8Hv7loH86/mSGf4RztpIYctTbJ XAlDgF5eysLBZoXHhDY6jgO31w7Pb8B9/JCWxpg2lkTasPg0i2hNotmS9lplk1YkDp44t7A/FZFW shyYaCr+5vewhP9qTj9lF5lTKHL64n6wzSuYqCQ0VP3kEffQDnBQwCA4tTE3fPG/f6GdaRuGLqVg INtR4/34ByjuY9hL0yIJQ8NlRi6z4PQZJ+zVwacZUG79bXVT6Zp5gfj4DYsM6Rf7j3vgsSm5ItqP bFUt3k2rFsZ1RYuszTOohq7pc3bDaDbIdpwcRrwTFPhERj79uy/DvwB1s7qQ3gsMUig2/iX5pEYX al7Xefhtqk/rjdnDONfBDX34cMLX0v3NSeyRxAq1DfZ30ZjMgVspxM0NBRhHV2rYMQMUt6abOO+1 qXJw7ge15eWWDGIlI7q/aEJ5fyCxMqrKiVihtplq3k0bRp1FxSCiq8vkdEiVgE5vOUCJjLos3txt zN1BXAzoJ64mua2MqURx75er+MMEYb9rqZnkQhtbMzRWhsyem4jOlwVut/hO8VKsccNbLMcr9pUI ekxWEdXW4NWuxV9dNi05NDgbz6zwxG4w+kDKTNY87YpzQmxO0ZtQLt3t5+IxIeVJ4mtn3TTEC2CP c72AZ4w2KXhfZjNgYJmfAVGkyF74O0OyuHCfyVL3t9zt2Uyf/QyciQjyeUmVHpELVUHqo/ebbYKP 9jYc+LqU7Wx8UfLYa2UzggAV+qY2iDXFtK/QoG/JgMzkvDwZ2PwZsDl7lZ9EFxLLtyCWYwHOt+5B F2ddUxcc8unQokxeCjiXQwclctm9qTEuDUE3pD15k5UzNpV2z/2tS2PoWvsBSeLp+Bf2Lrw16as5 xJ+zq/ETO/uTXoiLflGpi543aaAmVrAKxfSdfZ0QoRh0IxcKgU3001IfEmEe1R275CP0vJll8hZv VJTiMN5OpWjS7r7lBMN7uYwPCydqwuu9XI5DDafdYAexWfBXh+mXvJMAcUoe2vRdApQ+k0+6rvZz QAnWiV1TJNm4p6koBfF0GVvpcnH29OnHmHdLH/GxLfJg1izdAUWEd/Zk9kAr2o/uW8iEGI5xHRwr stG03/UcHR1Wm9ukPmyvIXX3hC59QqVwQMKiGp1uby+LW22+GKm73KE91ExawnLWRMK37A0RR/NZ WNt1KOo9Umb5jBYEqAnAA3z7N3hTxw12bQjqXaAXWB6OE/GXP8nX/im+iYCzRFkiXbb/YKvtNtvc obIj5k1/ErLbgEmCuY+C7KsARPjTutPlQXQGw6VnAuGmAFfALIbmGYG1DgDRoOGNoMTnFW8Q8Wj3 WQyX3vRlDUH7meTqh/hH8IUQF8FI1ocr+p1bBUPT1L2zkO6qf8ubKvBl4tC8lKcMwxAPjQcAfc78 9u4hSMuaVyadf5lddjHlgqlGw10HdPwuPXSa+3BpHysPTCwer4fFKfYD1a8jyxuyGuC9yoUN71ia dlYiLLEiTCEnI1d7bXI3f/3+Cbr+RnwKOyLHRE+HyTiC/nSL4F1c+sL5QC2ayLNvzZpAUa/kjYaA 6mxRu9I99U/Gbj5gc8bz8u1jVOF3ZBTbw1v4VsLq71nQhX3wTbjXnxxH+cp4JhUQLLpVzV7wbr1B WlHj/oCVDkgMgaGwhRRjqS9WiF2KhfMG7Zp18gsulPj0pM60NcSmk70ByEkvF0NPgcMZ5B2czmaS cEE6MM0X9UzSsQ56NDqHyF+4gIPP8KBHK1SQ7YwBAf0YZDM8OVr02h6aCn0z8VD2+F3Sf7GyRy6i vVLVMMW++GZmSqS0GVgoCVvKDy06LFRd6zJtqeyHthtmMxKoYbQgzf3nHMbVgSPN7y9XVAFcSxJ1 y9cT2CXYh+QDJCPhAvI3trSfYi2mA5uNJ1JKXf9AY9MLXemjEuA71qtx6cjIxVRKt0x1mqn666Ki artmafZaS2ZytBxnBwj7b+1BWawvX6nJ7EWI7J0doaSQBqV51J7/L+Gy5fCD2CiIOUNZ2M5jJX2F X9zpFTOkDL3OfgGuaqf4CULOCc9zjlInCDmr9hQcMqyUxuY8nKrJYIVBAyGQMp7B0Hq0zjzVApj8 CRepPbf5dtW8fpijop40kdU25TbbCtOm5vgVh14szuQq53CA6739YfkDc6zzctUv0XumLj93ATFJ vNKmiQAsbgJ2ixCI/pMhIjs+aZljG9t/hT/yI6v1XFLEZNwDIl2qQXgbIN22EYnYsaKfOUAk2PSI RNOEiGcvg+rIdJeIHGtbXSMhJQhbt7/d+g9Z8HMcMfF1/4xCJxGS8t0ALG0DJVTZO9XLRXpqcVDt Hu3+6Px/oo8erBDB41M+MoohCM3oSTSHHuZ8ZN7OpNTamHwjsKaX1v1N+JbmfJBxU0BJsdoo+1Nq y7OjNaS9H6KEG18LGik0VRzuT0tDpcKTSBRWFV58LUozp8UbCcpYWMHVKHVhmpqpy5yKs1HwfQBN oz4x+D/F/cBpehEblPPf1VgxhcejHIsfsQLbsbw5A9MNuq/OM5eeopuXc333s++WYN+5EZzjx0Bc WRshVjtqlw1ywWqjyEX8yc3ouitrGH9bMp1igOfuqu4Mpw1ZzhqWolyOmEXqJZaAXqTI0TIgB3PY nyd4WnwaF5WdiOWsIewQ+qigqm9surP1jYkLAirFUrKVf4HrRZQMYGz4mfQrj+WyD3nnZY+v4fIB XDd6OHIRyI1Gw2DtX5zRO95fRCSOSX4a6wXqFM1jQgdUGv2oTQCiK5vR7pZbh9W8S2fuV9hdm50h y0LgSbnnHyb7BR1cmb95oGttSri24Os98uZFWtL2geQpPiBWF814VBanrYYWiXFY1pJ0rZY5AJEg 0g1CwdOcEDBGFt7e+W8yPnE2UbKbuNix09JWIeoiC51m2Xdx9WgdMV2aE5BErxsozvXR8M9PDe7O GNROoban1duzx4bV1PLb9DWTF6MHMsY+YnAaacTp05FtGWbGQ3naWGssb80/O5ldi04rByBvEptK 0zHzB7WA35xmKzuao8C0jYKMPhAnXU0v4ICLDzjL/uhPyGnpPyxwm8lKe4dtFxl1bFhP0AhSVkZJ JkudW02B0n0e7mT4H27TT+cNGKRHuNUzEKp5LmZc4MfDdIdGnh1e1HC8uoZcvIQce5q9oJTYBWIQ kHPCbOgavK5uktGfOLjWTFdgiRAMaojsrPiIrIJ8ZPWtU8FMW1fQ9pF2f9tTefYVA59IK+wU/8Ea D/BSbXG2W/Q68uCY/1WeXRUr5NAzUNhkk8ROZz1BxVyTU4B432A5T6GCYmSQruVqeswlFIjq64ri tKah5vlStdUx8eDzkV/TwYcJxJOkxOUYUFc+nOzAPVB4j2/QtTBFKor4nxH12SD4Vv37lly32gTX kuQqxouMgMsZGelkg4CY7KtOpP318MOA4XGdqkXzdfoDfVCHNHWLpfrOvDXRx1OybplF2QvFTHSm 4MzZXJ8f17iRigxSKz6smM2aElEvaXII6XVPQDdtlUDqkuXoy6vlpI/2YZ2CzUu4EAaY3C8h5gmz 0WbQ63jlOCWMti7a6u8ebmpmx73UbsKNgZLtpAseVUUfpS+Z+OT706QMlCcvk1vZmf0yoNvk1ixI YcjdKIseryxhKtkDcfX249uhHnQWLhVrQqNKLDjGwW+mD7mpXNAoP4pDFrsVsn9cNyWOkNjvjl8n eCvZ2v59S+xMgN34fe6lGGSumLvFeH2NkI20fMl6a5LhnPVEjapzWOYxFSCFt7pg5VK71LCg8gl4 /eXrp3P54ga3q+r5QiXibfEXarudw7HjilnNv3jW4WGu7pX7nW7bYPuVX6KWym9HbxrP6hUG1w2P JcW5/EvOa7NZ8zFI8YcYE8d537G0cSrRNSNGe2EX3tAVTVOn/58zqlW12izwVegL3ECdrYUKudvc zAqUNanDzmg/8ddEyCOv0nE3kwIE3K9dPuO0n2HDETm/HjgQDUmbHSX6ZahONlfS1aPynKnh/ZbJ WUkIKY/DHiQKM+1rw8WZbfvauAAyx29prKYOnQIf13jUuTw1UlJlYLt47AbVbowOoreDp72WitQy dwc4GCqlxdDUheFi0cNe7LUeqCADeSaSSEHXO8kiLMatjPHR4sOlIKlm978uL/1mvMZUNsd8Je2H L4WnfotZx1lEN4vQt3riPXFA8OijInqAkRGtPXUxGWR+so0EtWz+tmdCUG+++3NTjiF2ckXAc5oz fvBG5EHHg36ZRli9hAUZ1lCOUuZvDiEZLGtdnfMMODUxoPjgguJN+5xscD7Rb7ZZtvCD+xx+4ff2 OZleqYRslx9wYh6e5PMcaE7z/+dyFiDmprfD0CVz/AOHJ+PZaca1cKA+iquvspFhEgKZIta6mx/z yjVFpEXQ1AfFnFwIi+A7C8fI0MQDRVj3ND8TtRzRGjoDOBmi8nVxhtNKhvg0y1m0wUhqP9Ge+9+L 5rNSvjd5ZlfoZPhZBmEWOG1L3GbA/hIzxnPNaPWtHVx3j67qN1eQ7OxE3qEX2zpeR+O+Dx1QVEhZ XZwyEWgH6d1v7dSJrNr08MdESUgVS5VxArHXnVVezgtjz+mmsMNleBwnmJ7S0bThdUkHG1A6iPrS p3aGlxlGpJyJ7e9BQjr+adk766JsUyMLfuqkl5qsF79sQScN2SDl0NC7DUhCKxneV36DPKfB+dzE QQOkVoCihUT9eeFC0ykw7r2BdTW05mTP/DG+FfXisAYglxW16P4v3/Dbm85asq6tSXcq4JR6iYP+ i9O689I1cXXHyBrwqlqNi59zSIr7GT9urco9w0I3/TrPyzwK+268C5OtXr7FqlblF42/t6k4Z1NU Szk1nlmUHy1ZdnxFOJm0ZkDR7z4sDbl1Vd82zG3pM8AvOro/oFLSa6vPzbkwe7lO/1CgOzyEpe39 jTGO49IcIR6x/+9JZIqewS9CLCymcB4D5LtLImExwFpKhupQ2v0++wKvgDASgAoq9dZXV+DHy2MV L5vGgBUWauhAkFdUK+rmo/UNpmM2PvDeShKRnBj26cWCksAJI+EJK06kcJ2Dj4fHdxu9l3SkGIYR 6BfBtVOvZCgifVPmoOHN5LdmavG72sFQRaK8LUYNgOytIT8v3q5qgV4rGOB8aDoepji8W+h/HpeT vr2lbkfwMqzvmQlscKImC14i4kns6nYhAs4/LS5Xq/KVNeQA19E3GT59eCysec7SUvIdXfG5BLMd ntKXwvr3a4TyCvzG0aFy4MVGSKOaPNwErLuMIB9gbRkPHuTQ5YFj7rjgpRoUuxdtafVFYiZPgiki UoBZrz2qm2XvmDO4tdCgndzYzGU0EftD3DyHdBrAKLLXnBeAR2NEl+lketR3b9+94wh8WTtegjZM uQ50SrCZ5qPNC6Xgh51qwH9XoiIQayBAB2AfhyFkFykgYSXWV7wiVukcHcs86OpW8Sg4ppV97rw0 lrARtdURWeQHy22kEeoKD8w1l3PMBimxhSReoNJk7vyl54LVB8/cGPt8bJuG7L+ptumBIWxgrP12 PvwQ8aX/rhnxneM/BY50TjVdo4CElZvSd1cbIDhEwa2A3iooJbZ1+9HRhg8+bOECsPknudkOBYRC 6qe4yNLjACfZbVtMhYAUW4f8mIfjQfj4oz0siRihgxdPR7IIJXuK0H3nbodB9FyKeBrKOoa2hpGb DNr2tlPAoiGGHJACCLL0jm5Hf/F/3tPKKBShvH96Oh3tx4BTjTxIVJghI4+wat73GM7f6aGLPHGX XQq1p8dIAQZeTvzUBaXy8ncqr3TLWBkquxJs02vWJvwEXd9lbRazqiNhINNy2etiCql+774gsWH5 2AWV/7dL7STD+u+IWa3Uj4MLVP/7Q6GXrApaS1Unr/a9B7KxJ/W49trLTxsp/xROeDQlKCfFrqcq jihEF/4q9HXFy6oFAxZjlpjN0ATeT1g7FV3RM4wxFrRv8YD4MXYihzSafm+CzI71EfeeWg+c+bkd ZANGvhXpDwKvzmrh71hhxo5KvNIKl+Se9r/iM+2g6dzzsbvrNAAdeBQ3Myb8mGhmzeGjqSiIE2od WnqmKDbstCky7qXqbUf28Qg0QCNgkC3zQWSeNUwqEW6A4ueyaRsR6bPydcHLnTvUPx1eNykCLfLU s+cb9nUvV0mxMylpKJTStyZAWnycxkL2Ubk4nCKz/fBZWBSHn2uD9X/6ILfh6Ep1XAF1i/F2rlEx IoVmhzROEdTqSZ8M/EIFCXvQxAlwWhdln4ikpWdr4p4BYUWfeCW2aldDukSPwrHNMWc4Vt1doJk8 pNJBpvSdY3SHpNmeD1DJ8ptx7PrXJGAPipU4Bj+/1M4I3BKQVq1dzckSmmuWHDSBUrQidHbFyImR P6+HINJXO0nMKNQ4ymqGyMcGN2bASf5D+K47RmCgxxugRs3gwU6mkHlRlQh8p+UorLn5NBiOgAIG s2Y2iHllKt015svuLPsmb2wgIbqiF034+vzKMu+vW8rbncR27UG4HZpL80FE1Ly+g6l61F6M0YOf wId/D8VOznCjvVWYjl4WXr5A1t/Rvi8OGnOni8piseAj8brO45xvz8vKmLD4EN2BTgVC2BtZzVWd Bn09w8mKmxSFVv1Th6lALsmDtq3La1igLFwwiUiY/vn3MREVdCW3xSOtYyNrBaRhR7EFtAXYFXgf Mtxxoff5t+Tbnjk6l8HFxohOlPMPGg4FDu7t/qEByn28y25DpQMcubj4nlV0MFLBTwVy3YUKGTsE YoZLBlg0ctxUAT0r2jgbgNK+Bo5o3VBPvv5GDY6nhcz+c4lhg6Dr3cwPQQxo1QCLeoWfsGcFV0M5 G5O4IqUjvQg8xNFaul7INKYxLL4sK2uIZvbvchT2TWyQNiKM/jHmW3M6mz93sDfOalYSagrj+rOV bjzB0rXlZaCgThmA2GkHOTZGFTxa2qjzMRvx28tF/fbKWQB34CL/atX/0f3RtjlZkWFseTJKda5j QtPU/idN9zHCgNb3t8kYq/srK+Om9KlBLoIstgEVpfqh4hqoMGPP7SgmCC80c2BJidDO2aHrloO7 01VodqD+nwrLNBv7PBN4nLJDZQEFreOTIQN2wrUZydGQix7WuUGWJDkvfPocr19LDc90L9kS4c4Q CG6QDyRk40eLJI9cea9NuDCMT7I6GIXr9y7olqXXTwt5gWv13Jb1PmcM4gc+YA2fSrm4IKGLkd3W cHWpwRM3tJyeo+G7IgbH0YvIh1YuD2/0FIsf5FniWLFGxPPxoGVnRQNVnbU00RHHxDvRSIE9rjMf LjZrIx35ujvd6niN5MpmotzIlQkB+oUavNKZpb+B3/Rm2h6yyk4vRC8SQJNJU5+lf0dxenq1556d BXRjjuhxiqcbrKUDJSCMrUvfsn4ZMzWL5m257LpWNjnIt4f/68hz3MGzMIlJRCML1ker4kR4nYhH hS21m3bYpY9rCIiljYGWR7EW9peSNZDD0O3EFwTHpgvxP0pUmVjmCnCIUpmD56O2MsNgh55eisUV mi4Ddrp+onADxZc5P57kfR24tyybIJ1XEJhaahMbbp8yRHLNalmnY3ksPsYcsszwy6wrdi6y0Ouo hWQ6mFYrSnVARK87xP+sdkPeP9HizZuYBrIC7BpWstPo+O8o18ipsXg+3Anegoj3lr3UfZ3JBzt+ +4kCb0sHkGGQML8/qM/HK6rTvjXKqLjMfvQ5OvldnQjISPOi0xksi7k2KplUoIRqMUgYfoowghyZ 4nWe/hlarie7oEPZ8psPq4jSmKaZASkd5qpBbLgKNFnPP2pBhKa9LKe1LnCrdD2RKM1g3krcY9pJ gGvT60plwVEJp1ezmf2PnOxIS5o1GPnrA4GwBNZ91nU134TFot3e7SxyYfWE00o5u5wzU6AiM6Yi DKhs19oUKcIwQhEleUtOaX8IsEKDPwpcajhs7ZpsYdymmr1l2dl/mXJdLAgWKF5+V7sDT4LXPUy4 OZvSK/UGG1PjtCZmuEmXya15zOQ5AVXtlGyMR4CMmevMuOe8eH5OMB/z/FIVOJdBUGVc+ldfjxGL buoC2tcvgn8sLj4VPEE+Fj49u/XsIE5zpebf+WH3koP61ZEesinc20egq+wVYP9iAAZfoZyEvD14 SvfhdYHFR5/jL+wOZDBItp0egGlAbcDes8eHVLCmM3Oup+KcBsBdSAZVGgTWvK1QyM7aUkH5hsT4 LaOmxzQLC0Jd38XPE3uL0E2Uxw2Ps3O9bdV5ZZ483iG91FZRZTuAOJkx9r4yM3ZF2earRMHIGo+c m4Z6TJseEH0GfrrFJSYuKqjHO6WnBPvbPJHMc+dzeXaCoELxMoTogRwNvekZvz73eByGCfwCdi4U cbdsR7IeI1+El3yFImJyuGJ2JUUzpTE/mUMqV0kvFJU5jLpqUdPDVA7k5Jf+vGCFnI4VTwpx868w yZZu9gfhXVjx3YQi0h2nGdoZN+m3XUAEtWKf02ROHZz/AevlW1wnRAmIhX4nG4KK3rMBY1eGEWOA o3nPm+x434voTkVqXJSWz7ICYQnSDs5Rw81Bq5l7eBEBk4I0SiD4SQRTkh06VJh32Rcu4jCeo0mz ZaP2c7gnyif682dd4sAbGw/ql/kkWXvkxrpzE0viJRNsiQBN4/kDsNcXXt+DnjDv4DKkvMrXrgl4 YdRsTglrJi8HHZl5Eu1z+Hs2oe9faTzGLno4l3ckz1Yw9haXNlJlePnmwPplQNnxaG5hZU5MDCBm Su+9O+BRza6QTpyAXubC4QiRv+9PXXzgi6l9rB6TuUpeL1haE6xhtSuLfhFCFuH/65EPTciPAHja f+xo2TTFHnrCoQtqfBhy687G9oGznJEQO9BAPHdNzHBzPU578c/Bim6x5nV+CsNA3hjeHlBFHeEr 2h6Yr/EmT2XO4HRjRHALvGS52UQvJaXAmEyiWLHVQEtm92NfT50E3Oh9T7czCCL9ryMmjuAiq+rM jZ/9KKhvPK3lbbeIvzte/Tnv+KC6xmf8xHfzcFtPmL8u3PRnNHUFJjm1LE/hzIAmlO7q6bTJv8y8 pMxcai+W1/i0hO9gSbQ8EyX3ebxjF0FFBr4IVnuqYtIrVlAYLFVz+8jJ6Hix2D2LSLWmjx2pBu1P clB0NE228ZUtwIDIO/GsGR5YXmCGNj4iEX8guYdacPHq9VsZzSvOobDdrEVz8jxY9b+i6kfr35mJ 6qChA0ZN+ngr9nldHpWmndxiHRY0MiTP95V5nOvqaeKx/8isJr+iBiX9fVEvRXu82TzyD9X8YtYG euHZjHoheDW7F89QL9hGfoW+oBz6v6xsCo1jUWvGNVwWDKppiLJDaYSBQCJmIHoi0vSB/hMeETnj ef00irHgO3RI1PTkcI3c8vaLzEhp7jSju2siH5cxQAcSXZ4FwRHyqT9lLFBkAq8K+n0mVuN/Vr7d 58DZmC4MEQAUMnb7/JLKfVyads6NiObqAOnhNe7u+FU+WI7s3aLxXs/KN1QWJ/GnP+uhgr2dsdjf fbNLB98g3kqdSZp353FZy9YRTCvJYUMqX3MwHP2xW/s6Es8wQUh095bPKDwyYjYWiPw15qjSpR5u ssACwFCHQYOiEDn9f99r5aoMdoIb53dbbPRN5KLjfgevZbyzWBaKg69Yy2hPKoXsb/H3Gdk8fuvi dzwPBHFsOvhZJaUwIwomO+sT+E4NY7YC/Y84M2J2dd5gh6wIQhOe2l+51q02Jnf8m6eeYRMTuIsx KtNM/ursKQWlDsIoIoCbSfzEMVoM6+C/LdMsj+OCqu5B76ynbvY6SWvx1MA645zYvDq8lEvdHplc D3+Nhp+iXveNc/YRczI5TWkczq8ukfNM3TA48Z7009bB5J3N1j2+G7RRKRWp7Vooew0bxKEtz03u l7uDFBJpHvf+mjm0lnwSNrCU2YEDhuZywzRJto6wjEi3cqbC8GGFllIPT+CmR8d88X98h2zZDiZ7 D6Z/gH3t2KaGcUCMD3EPGdQsp50hLH8ul5wU2HMpj6HLb8T4aU/UB6YATzyLHDytdaRUWc7UifzW GAv7WDw49zAxKP7XNjJG4VeG7mNu86GxacUQi6VU5RZsqPHuuxcjtom1/+H/XACAsfQtp/KIv2xD m7NLFflB7Q1F7uGfKaYpw2VNl0fkW/O5BJDCLKo6jlrNpl4bQNecwZ/n0yWrTEuOrwZ3hygAI6nc ts3heYPXeNCi1tozgGCQC4oX9EohylnwJkmyWVb8T2oOCn1tSDJdayCCcLXCY0s9miHMPQoaNgp+ nL+JIdNZmIgnz/i5BhI8PnouscQZYcRlhykpLVEBs12z4gu0fXenLuEP8+PjIaWuw2z+m0hNd92p 4teC7VbXAqvDkW3Gi4PUiFBaDSD8n8Dh+WngxjwpdWgATFA1E6Xx0Pe3S/FSYhomeLavJz1PB0M+ ywj7S+ddJf9WFj55pNbV/76s3E9GJE+0YqogltJ46BqRDHV+r/Dp8BdUKdriFmSzz7+XUmgIRGZ/ dmAz2yPyHLriI9YwNqQ0ENZTP39+WMM+q48RQRHdeWWsDX0DZVcDcOCASoSdmYMqICmshhkUkcIA zu28Mf8m5Cpv6xQzzk2NFJUEaxOvT45cXvgn3GiBbJN2Rls6wXg+C4Q83wHM7RZ3k9l8yx8WysBW fsXk8Z5UXCHggxt7vEIQ18HtCn/x/hXZWPKNB+fN6RsmFrgNkFd53JciGCmGE+eg7usQwxbrUzYO tcWru1+QCF9FVitEgy4KjGP5boj+BMrYKCGdcn6dbnw8nY0POXpr0mZYWeVMUsepy7hyvKGszIJa /SHuCYUqoPhC4tSrZR6U9V/lB6m8teeNFJ0hX8QFycPuKpcp1IMl+nzEODlReyJgWwxoaMT3C8n2 fNrxfuYu1VJpQnXLZMEVJBEL84Jta9rYPD/aYp2EX6NbGeiUwLP0FMWgYV6e1w+7XadjSn73AY+R BMqvvNll+18w3KGXXQ9ASpNekP5HC2nxUm+xfGPE1FGRl43jZyT+yY8xSNeJzx3spBuak7bvP8zw nd+00on+Xb/mbquOts30Obik/hA0AAiFUxsx/i0WHTrJww+vdyDCFpnbjCLP+jQzVYY7lnXxHjZc pEw692j4mxtdy5RTR17bNQELsK8MX1zihHUqyFUNH/8aAbvQevZn8/s8Va7TaoqI1GK5qsMAXvWy CH702osF3QY5MkbInHJmmlFijKNhk7QaFC7NucrXwrBEoncT42YEVt9Zl5nq02Qoz/Jtiywa6IVP vJS5ENk/PGrH0JF9MbwlHfLAkVk6UgMbBrSXiPTvpK/aASfWpTwr61/vZaPqnT/1X4X6y4iGQpAC I70JH661Fcmh3ZQ2vWW/zjB/xmvSeBVWNk9qKfE5i44Ph8hTeyYFYvvEF1j7cC7gv0PiSM5msMER XVeMpo/t7KSjQevls/TSqLaaBRwsKYOwTW/oMd88VzC1kjX6Ya6TdMf9ab5zqND/gBYZr6Ls0Ni6 9F1URISUTF9iLVGscFA2VaJaY3kKdxclwLdYru8MVPt5zv/mZtkpZPhkbiS30xkNrs+F7WrpxLkl DYJJUWA7d1jampW4N09znPrWh8DtcaOZHVn80DyeY8oT4nbDwa2Z8iL1AdYMWUx6Wg/lXqmIrm6J vlOyAA02MTJts4TneS5F8VltSHkLYzZbB9jyFd9rPqjqYfimJ3VVke/v9cSCkCfhaMxlPr4EQYPh PRjVRgbxaI4qNqw1i+dIIOU6T0k6j8ZfU1j4q/Kt7l/Qa9jMGjF9HRwDWj69gfi2tYycK3vnW8Z0 KSBm3C/uW6JuE2eo1b0E+n6NEPhxAA5AcJskj7IKnbz826aN+XuvSrmAy/Jq9nzH2lDaAxNOfPZo F4Q8UagC2kupunOuS6gZ2DsNKxgHvAvbJ9lOBfyy208v97aq/1qFReSDyt41/3qELdS98FW6f2R3 U2+PVfBPw6QDjjiceNmoQeXrBGPP228YW8WLm2UChRAq4jrmA164BEucUtpzkF7T0aeqoTWfDg2q Dt5WSD4nXsD7XQ7Vw79JnaRKiHLjFWrw1j+GekS0H5UUkQR/ST2KfLKnhjdf+epHZu5sQjH2TSTf y3+fw72ZOTNJMKOy2p58fan97kawR379OOkudjvhesitAeD6l5b3JVOv3/06zOQ3S7EiGv4C7Ro5 LRlFofsxbbcJEYURsZQPrkQOajlVOhmgv6obIEIyI94WEQt/LKSlZrFaEWe0QEYSsiiglu7SoFIX jwiu8YclI40eqNK+OeQy+Ag8gbA1QOnkRcXB1wGulIeoWJMKUPZ1BP3f85Bz1jzuzn8nQ4rvY6V8 1BaI/6DpzFWvIpBDhzKkcx5yf+D6ho1a+Nn49hVrnkqk2E3JNUWUAmW9j1fBg49B3Zg9mDPYb35v pbkR1658/2ZtFcw9nnrBy0ehIxGep8YJxfqaV2gpMcV1XXkD6c1HQOnPm5WzxCZjRWP3UhYm9yt9 kpTCot18XP70LeuSqOeOnMa9U2qrSwvGY7kDOFOjxbCWO2eThRPwYj+hjSWePN24wWUnTxORLaHI ftvP2M5Jgd1Drez6BKXxrH1UXXvQHFeHtkkY9HB9bBCOiFuyMCuJxIsIDVUDiv4Vd124JUDliNWF Q79/CSrxIpax/qx01Ys9qixNuDmd38zL9x4JMY0y6/Ml00MIhYQJmV3+8yh1mnAsdzyHrWLIvU77 0O9yTEc0oGK8bZGEEY2mQQEudgzb93BFsM/aU6sk7cLTgONjxDf3vH5GMiNs6pSTPkAsBtpm8KFf zpndcxBaOB8PtbO1ssv9UQLelfWiV6aEEzbfOu0Z3eS8e/ulNrm8AA5NM2tJIjFD5aPpUIbtSyx9 jJRSXwEsiPC6JS0e7whTecvpddGx+5oxqk8Fo511PpqHbhOmANQb1ctKY+7SlgWn3D2ShSLU8Jti rLd/S6ffK6s8U3Jm3lTBC0mUSOwpXlcnKbPSGOSHL8PsMS1EG0P4Js/X0EQBRUxMHXsQdcG716Uw g/4hqrrKSSNEpAVD+EQ1DG8wmOP7TA1OzkdCj0fAESPVO4uTaEX7Q4b6uRBYXdY21CnPzDNUEXc5 oGhxibli1PfLpjQJzXjvba5EnNem+p1TVN4/nQhWCZI4g2InSfRJn+fHshEry6pvuf42TQuD4PRI dvidZ9XyGqnQqDbOekM1g5GXINjw9Qd5d8Nxa68XhFh4GBDcpA5OgFzm3yOy2IfrIOZQ7V0ELsaX BG9pufhxOAXQy+BKF0tGlf42vPMKm54+Jb7rN4BayiwfzXHXxURj177xzKlvLPzcckjbk5cnCTTa k1EWeaJt58DtRpS0KFMomrU5zZh32F4DEanrsvQRCUY1dJ61LpS/PFtIiegbTVAlu9sW/l4uB0VB Heq+wXkbgWuI6UjTHlBVOBvUepNJH8x6owkprMry8XxUg7eu/5IyKHcRVyabEIIXyd8/MHifSAYU I9D/xQtlAcz5kYp00/ZmVhtbYv+1F+4gWEmzi1Z4oP/uWO8g0TUF56uPiVQtD1oLUqk+nr7nhdNR /4EnkkMbnr39mlxPfCo5PMb1AuEdUVaYovVWSykaT85lGnsv5tz6zdl0QcZaJljPaCLeHuACHbat YvzodiaEnMCIqRe1DvjHkVZzgxFhtXykNp39CHGcMTSmt9KuprVEtL906PGGzqRiEdZI/j954p40 bZSZQzSCUzSMtn11eIoHcZRidLe5n+Z3I7BcKfh6Z8nmmNBAhmh1JOq8sySYk3mQL3bQjOj7mlZO EGGjweuL56nJmlNMWpUsaWp0cBH0H7k729p0pvU8BNU7saFaDULCL5jwIUIP5SkIn1uXdYhb9Zys 676JuFhh+PqI3NGYCrVx22ro05jl05sBRflJXrMcPrhhLMemNNs/3hEsOfgtEth1mgQ3GJdI6Ev8 sHs/k0VB/LywvXh31QZdLxofNcA9F4RWMh5Z5zR6hEmHtp3J5XqWCktiuOVWFpbazcPg95oHIFZx /35i7vviP/6szMGQIjqQ4QnluaCUGi1U0U94tVLNPpd1Rnxov5SH+eJRc+IdDC0JyMt6Y32kW4Zi 5n7PbHSHpEIvbx9qLTXTVvYfLwKuPBYiT7P4QoUX8GiptrCo+8oAweKNASLlRk6MMVHkoK6ZK102 h51YDAaAzqSuqStG8TdnE0zra3N/lFw2O2ZCQGa8tve6bdIRcFiDTCSSZieLUo9c07zYMH4Ukcst ii6p6Cn+mU+PHMtishwgRlBvMqrm5EcRnHoT9JWuRNTtZ5Ls0ixk1Rss0R96/VwPsDT69yAg7oEP nOGes0VIQUp/pYab0jfJtAzztsx26jdBm0wZV1G+xbcZf2/2AYymie5ewzcFYwdiQlRr3ZUffYeL JTejsse5XVnV3YCe2KvLQtsFiKOE1jxQ+j6gKM3CXZN7UwtFYmS6oB4Ss9Dnam47FQMjXMPJXYYn PM6e8s1xQ1afyiJygyfPuEzfoaeYgGElXy268svspaKPZG/2hMzzQS38pcbpiFzqYp89pvwi1zbU HIeB2deL8YxVjiDWuTmtk1iCFOs7+w4xM/+qKQVp8CeVfHTSi0rIZKLoaSS7SNCc5ainWNuVYc9L Y3YtD4hLiJfp8lfwmOlO56BxBXAx4OG8zlZRGXBff4/ljdsHlevsdgGjslpU8m0HQW2Bz15dmMOa JEw/jF5invQaeRccUxTlAD7BMfcUMRgi+mxWLqOXxPD1MDncwfju5/rNFPamxBnHGTXadt1EQjRB U27fy58uffVFjADfdWQ/mt29WzCOKjQ6R9VzHNLIg1crmFTB2lSiaejn0xE8HeRN+jHlGJL8S2Af A0ybAJy9NQxA/0kkTCjlw3tCkAgR1tmELkMgXh0bXr6hfSNdsIIRqy8wHtRFRKi/2X1VJsNqmVyb Suu7wRqO8RwI21UJNW43vo/mtFbb7I00PmvbghJCx3E0P1AHsCadlifnDC/clGHQ2lb8gvilMmb5 OLwijZUWoFkBt3mofFO7YkU9nH/GHJCdt7Ed1Z7I0GQ95GJx/5HYo2bf1Gjt/feim/Vsepr2RKLs us+5ssq6oZjebfExo7OdIhtUUW2AOL9p8Ptqw9kEOtnzwH+9gGZ8QKkgUY8vJ65gRC7Keb9DQ1NR HCE5soAi/V3Mg4Vu6I9fDYiBMutBvHIR8NlBOd+L19aoPtrLp9Bdjz43FzUZKVAkhTRE5Uzc7CWg z81wvEHED36qbWbpniNiCQTlHqJWF7ejIHYOlkZahYUZE+w8LxpUGra1+vVD4bPFnBxYOqs8jcKb nmK1wS7mj+pfBuLSV+SY65XTMhkExBU9oCtDRG7RdpPbivriYbhlA2S1lYp5JcztJ1Ri2esJTv9x fGIswEbER4PtaJR9o2RbmliQbdfguXUfQ7hEKCHQbI/lWEIDm0xpDOTXkgDNrk2FxPcyWGcS4A7R k0g27f0UfFsdv/BVcgFS52grTTJePpVlcbzLsIXyyCJmQM8AIeyhvvCLaeSpJJ7/M0DHalrVY35O pvrPS20EjrYwQRdldtV1g+CFG5sYKYKE4dOsr9PJVXa9QrOGcZaw7xboSB22ZYyvnw+POvRSXAA1 yO2doVxzgHZxlUAQC4boBLn2HyfbuuoIe+fzZ2p9lH2JiCDMrhN2gGwWIx48kbw/9FkdKx18swQJ kFMM1AJ+fnbLLp/YKzKEYMd12ZmpMKrLDK5Jxx91zcEI+F43Eghd11Dq2VBikC5KZApGwfNmtUdq t+kFZryT/oTaPKyzrKO8cdVus4FHtokoR31mxU26dzzmhsv3AyY3nsiB9YJuwWYYZLGHJEvjr71D 4L/uSPHRTaF8G/tSK5jgfhErRgSvXzUufOVqiXin1o6By9ryEHSIFKDRmnsBvsf07aUx0sKtzBYy K1HI8uzoJbfyJGX4rqyfVPJD/7YxPBOIctxw7hcjO6N6j19S/TJ11pVC4TnphJ3sYidqTms3P+CA uq8VmzSdkTiMXISHBMfA5ME/NXCe1OChSpQfT4QI2zC8Kw8ctlAIgQVWEtH2hAMjv+o58yFWtdHJ KincvBo+fydegzQB7dkt6q28nTKZhY5svnjeVUl9H6L/LqxwKvcbQFml/Tll0pTVsX9NUABQ48D3 RPyOdcO8kYlNx2cy50F2CSw8N6jnovHyf37jMcfcHXCOkTmig5zM+AIX979289vhn/H5/0lgJZql pswMWjpghxDKX1jBcFDPvTU5VwuraGxq12LkbplRdfSWvgmFT3ffQ23QuJdo6VmBq7qGwnvVDKYm 7qMNh5q2/IMz0M+PXYFsiyl8ZBqU4RwNfa4771sWTI2XtU83tezxaiQMqBIq4mISYrXu/W9HkFy0 r9XbdrqkusjPiu8Hz5EsqKyeQb5FnAD7ZuK7gFvwYUo04uLRmWUQ5R7sauEXy/8/JJXHBaN9FKFI YjvCZPkcQjO2z8ygMLjsQefd5MzV3KbuK1zaloXe25sx951yCCcDoEICKCs7PrlhqACyiv8fbYnc zfQvD2SmITiC1t6d6CBrcX2DMRJ22HLl8ZOXNeBXhQPnalTbT6iUprE5KVNPfW0EXOdbinnCVXlI /gSdVvSP4yid4zaSzYQq5TT4okmW7uRTNuyG/dbttjswPlUDmibcGK7gmUXv/iPe2GjXoQ1UuVsu jnc9SyOFz91g5Xk14X8q1eNU9AZBuAx+KwpywVuftkjetM0GdbgVxtp+VtTXLsXMXkkPve1n8XkC wUoHPl7hf+lMPercFxawX6Wp90kE9tzA2bdkzJYIczrYTYMcJOv3oeImQFZeTJOi0GjW/NQTFd5z 23BAidAhtrmOEtmAPiPA8PnN85Wwylu+zGtULW5VXcCbtHDFQm3jrAhBeuxdAGOkHLpPrKxwh6HD 8iCy5xFclLMW0Ke8r7cI/iTumbQvgCRnDGrSVU0MGnRajRbERdc2RSuYXwxgPWP8F4mpJjrdPNol 2Rkzp7WSvTKJ3UvU4ZPa7ND/IoQo2l6m+zfT3J0JM+uUFECR5T/Xt2phwNd2dY+NKMdaWNggZgEb n0A0cPWPI+e59KC1m/33FI/rfsI7sB8h/rHeyAokPC6vIs0lk39OlU9p0PT2EwwabU6OU4WXgl0j INxHs1blZDRp/BusmumXiOtg+aIno7UlrIThwAfjz5NLnz0nj5ebsvNf/sxD/VB1viurHgMmQImX cLINP4avAmn2AigoYolaqqwozkPsDvhuSaDDMHkgnQl555icgzUPNbFRP0COjcqRBwqi2eanqsbu sEInwToaVyBnIScc8YFuwmPfouycacQcvgb3m67XOd64ubdcP/TGrD0O340l0AkAai7ucPAZL/h+ 9aAfMkNb3x3zRjDpCMnVgpezbwBj4bp9WQFB0unX7S00HBeRQL+I7lrE0OXXkifYk+CaNtiegJAc 0jVdSEgRDhb9RwAFJoAKSqIo4yOkZslc/H3j77tE/lnzsaItyXpCiWyaDY4LpUu4GZlKkIIHbDGH PErNpNaoTmYFDLd86OBz3iaP9gXHK/m38uBygZoqPBLP+Y3ft9LUZsLkCd69XT1HYWd9KDHdBDNB v2L3sWDnv9hRKtIKVrap2H6C+N5Zqv3DgwuzA4WIEFGTiqycQnlqRx1vG+g6Am3Jl0+Nn6VdOm7V BAe+ndbTPO4FEtdmdoWNWXWoaPnWyBv/2jIP6Z5j8NNpui8hnY29XDtxm4vvxm6+sDLj5ZuH1ofS HNs8JGX7in1aOLOqQ1miDJsO4thEsQ80Fm1p2DH0GSFu0TrOZnmiLrs+05ftl0Rhs0DgpExx9cp/ 7kMXaccYAs9I2nwrgzUqgePQjGsLjA79xH0GKKdokWbetJ86nXzgIxKcutD1bMiPqBB/gP/Yu7I5 c36aiyPkMCF5N+nG1dAMM+yjxCpQXJJNPjfCD47ojx4nStwG8uG8stQ3uY3olJHfdqxO1DTW38gC qWSmzYLEGUKi6xA6tNNeTLVUxMIs04ir9GBBowXf8zWMHiGOlUXAlri6Q4YU8QxJX0nSuNK9iyHr /A4bg5ef1yzN42JW5c8UCYVwSVu39Ovco2+QQoonTOYkjv3PCvDoW1idD7sRoh01ozBtMsLsm2q4 PAku7J6bH3kMQPCJpxxl3OC4jZYr/0rGYxkpo3fxCdhllgMb+ITH9Iq+q/dSN1UEjC7D/IOLRXRV JdjdMKb9EWMQ+GjJXyCoHSHRwh+vdCyIWdentbD8SmswinbhSqmORj6+taZeaByAcVqxtcDU4Ijl Q7pEX8kf3cvnPrVmthxYWhOgm96AymWkJz99qwcryadOPWZEjNa7cnnQl7z3lkMZiNGXh8MI8sXn iw9udXE+f75hrQ1Jte6ohZLG29U/5xutgSQ82bmiIwAyZrhFhZPFZxog6v6VJ83rEMHZi15YqCvj yxUeMtpGn0hZJo0kh0Ec55SXWIyzJVKjzqxqCFiJeJsLNqwU9IV5wWIoRdee4PRq0NVK5Z7AJtL+ NTKJVF6qHW/dhoOTgcnwOEWnRO6deCWaXmtl1+IXMSMWO/YVx8F4qPoUP4eY58suW1GE2fzmQ+/B 1yYojpS4DGkBKkPNW0qwusBwsFk0ciXBHm1LeV3dZNTHcXy+ZJSYozA2A5aMfWcSdHOkfbmHrWY5 odTNg/9XcaX5vjZ0oTGfC1nyO94EsHGcry0xnfgGEEEsIlImkEM4DBYTvgFI1hdRZgVrfN/6NZVx AoXKsfKI1zrbyCEga+T/Q0YdBJrumsFTx3Z3OIGzT9qAmnpJKfxF+/7BA1XBXlN080oqebGn0DEL WiPMgCLNOaK8qKBnnRsJUdy0u2RNfYKfLvjFD88CYv0NtKeBqtM9lesUsGOj1r6TzK392nI7QfaX AYTYbIuiX+KGlMISCaRU5leIrPFaDKNaiw7lUGEFDYCSrmjvM5Z0M8kmvyhzFoCZ2ZaWMzRL/tgv tQyEHvK953SJFWKSpiTmPmsDPzytY3cfRPT17Gneg4IbCGcLXQfFn1dZkW1jq5K0W8RV35HD3Ts8 Fkbx7TtBe/sGGm3vSoWFUCHXJVOXpU3dppg5JHnm58oK2Gh0v5B/udpSxQ8yPZtSSCKNww7RjXaS aoi86D+jpdmuKSgVoK7pI1QoX1Df2NpCSD6T8EuDu1BdpdIsrGMgRnvS+TdAH6n83E0deCAHbxXO vVgV8lUttlqmtKhQMDFx4hbDJlHUS31newVF3xyMzGHVAxg04frGK3EwT3th7OPyGbWePNhyPEEz GIGcBup2PGBesPqLgiZ9z/Byde35fpQ06/wKsCE8zFBbchqkWsfCjhT9ZEjD7357xSs0Ig+eFcp+ kznjo/u4//jBvl4HAqH8Pte1RXLIqSjJ45U8AGU/OLKv1dK7irVZWKEognUV3JABOPfV2Gdw8zmu CvWxufwexHUFPmzjqkfU+Dq9ShivIWcNFk5l7ihkkGyBb4RtXCounZnEPPDUrHqrH4nMmw8qtmSI iSMJbgrIuE1F2m53Z1EP6etW3g8cI2iU6R0x0kXg8Dxme5oqoizKwfF4mu3wJG4dJHnJH7tdlNQL M6heCCIYzoaZLKKELR3foIGzjH63znCEWyE4sLovfh3sLDQwIpKh2BuMqjauIU5+K0daWzu9q+wu gQPrVMQBSSLebu03TCOQkSCuxaBjPUFt5lSOJ6U6cGH63pT3XAEU54DoFDTYQ2Uo87oSS27Jpf6Q GSV1sDlC7slPpQvJWm+FS/rEtQSUVvhiLoUWKBjWUwjw0KMhX8/iVzY+pP0lXE9E3dzPj35JHY5Q 5BtBNjZhbO3boOHMKHFb8BwVRZ5iDAeYSe0/MksZv9jY2qVJ3Rxom1y1TfzJH5Asty7xDmPqCVMU xkM9gux/qwXSzDVLqwAukr8wkNS7YTWm11SWUeemg9eqIa00h2RrbEHmEGZOLQl7sAcCSzL/+5Gd xFCjXqRSz/7gLnM+sdtLLfnCk+j4A/X8Du7eVNwBqQsLw3drJq0MQURH7AIKNhF+72Nb0EJH+UXK TCqEzr3Fc56ZswIYpXPPf1yTcbXhOOgJg8C92pHOpijmSn4nV+tWsLZWqRVev7Ie5Pq4KYO28ZwC +xybMml9wsbNfX9xDJsHYq8F1XLunrkwqMjnncHJUyEI6xgA/PUlCVNHYfB5+3OC7d1zNJqHWaSz 91siqOIsnA3MSfEXRpSPwpWRtYPqO42/zMUPXzaBgw7fYW8ocX3aMzxppVZbRyATEySekcIWcKb3 Z5DEAuUEPgeztxYCm0doqGfKcdkM0xxHrLAB5EO4IIcX/2MS3RIcTrOoWwsNe8fbxDg+Ch9393d+ w2S3uyvszR6zqD7+y2NfjxGssDbY5CJph9sAjHy1e9L2StrXi36yZIFAD4fqOP0RkM6t+78/GHR9 ybX+laQNsXuF8qQZo0LgaSpqYYCexd8GZZJFqBq6gOg/0rlyRcXAklP1nREXpNR/Qv89HLzYwVYi 4Uuo5TtMXA3Vyytfsh2GY0LLnRmz5A1of9dhy+SnezZluzW2u6gnVTFCGuA9m3+y8F6xDkEMbmP+ hkJ0UTeZhISqYk1sArgn6IgtPw2BZTnrrC3IgrES0rGZLmVhW4bLKIjFsy86TN2Z9cfZdo1L/NPk bZbPPbc8ynvRxvgG5mppZeMEWmlvzpTGI/SYqua7et2Sadljo7smaBwSHAd2SWZyP2balMnxOWJl 0ypAhxS9qM/MnmIw+ARQLP5uOIP8xbNXrQch2P07Lwli+bm17MeRFoYZse5lmZ2n24rB/DUKq0nu DYeSXi7Ts9lBwqEQ6a9TaubbxttwSJk3dmzMr+YgvTctDPv3tMEnnk9f1piPfFDBqigtDbtkSUA5 15iK1OnS0JygHzVmcJkQMWpuMrB/tbDP23s8D871CIXGWLEF2IvAgEIc2xWpJXUB+Jfo4bu6aEaY IAYLjZK/H0cgTNSEY0eicPE9SrY7UF+8B/V4HajptyjWCQNpMSr3leSVqgpyNrQG9q7ZUZjYsnUV eLdVoQdeqjyr0y4lN7l0WUkqoOwzc8YTy0vCAuW0clhogcKm2wnm8rM9VpxtDEolSpQRT8IMKE5a KcO9vr0E808nb4kM883BWE41D6gSiowxNRLtpU9bmCw4ZFed3BLXUjukXYbeTB5rIg+K5rKMKZKJ 4RL6vbU263cX2VJ4KLj4cQ2JmqWd/v4dltIHuOPDq93XGARoDsio5UUPW76LpUPT3t+aRqW8sHl1 lTkIWvulMpSUyoKHQpmViNmojcovsS+3wcEQUJRiZ6Gw8bWa7/8ptRHVXnWrKQO9rwfQHwUc9pL6 ptr5jCiuxD0x0cgAxi6FCXcAoDUKdw7O1gD64nX1+C5TGjHjELVvqRt2LpJiBmJEf8A4mMRKn7Qv itXxGwYRxIRg0vmFuTltrdwC4aUTE+c39vaLJn4ijQ2takVehAevItdE8GgsiXEC0ntpE+gpRY4S Ym/5UVr06EtZOcaMC0i9iRSSVjMdwQAidODMLo9+25amE6z4SVgYOH4PNpDedj7Sy4uNDmoan2Xb 8ZXSlaygl94lugpmLx9JPAI61+H9RP5wMoOSXMsZpdxlx4bQ8WXTkqa6qZTjQ2JgidFJbNXkC5xE WoSP3wk/q9C9QetTh18MP263udOIDS4lPv92MUdYXH+/D3mIOdmxYlgGpO+pXurVABa9NSEK0NDl 40wwzrNAybd0vV7IMoS5QfiqRSQN85mn6BV/cqPcG81OVcq8SeKPLiNvqhCv2l4azb9NFaMMLlrS H+2nZxo8wN2JmmNwy7i9Y+XTWMpvTvpJkVMX7MUDRUFCsRgS/nTio5ysE9puFpoJ2vjEcAiB25oM U1eTEos2/4Ia/CtU1aj8E6FSCyzFnS9iq38NB0OJK8kEDElwyuiA3/+SP7EiCpfadGp9aaZqCOG9 w7nkw/9+sGIpXrplf79SOBNYjmflRf7rUcQDeoOaVVpXzJ72sk6fbkb1uZgawiQcfnUY7PT2OchU pN3BDg+9lE/ABtJHSGboREhyv+ZsKOi+M6I2WErpuSSDJ+jppVohKhZ/zGtxvJJ0rNb6kBrv3ZZq USEY50CS9Ovrq1ZlyG7fslcXACkJ1CoRWVjykVi70y5Mfxe0qP98C4QFdo6TW+UtlGeTPA7mnTzM D/Tfr1nclmaVkam7zzLtM/E3dhecg+/dPh+5bZOqoUdNAfp+pgZphvAgGg5pSV5ll8dfkIKsA+oy HUkTkcmet3EQIBjxDKEms+xtZwJ4X1e/FbfLhL0M7DhD9KiJrkuM+NJve9vBDn5pHQeu896vPkjK kUoNiy+iLgiqItklCTFkzAcG5Cg8plFtuidqG6T27c9CQ/Ou/Bxj+sK8tijHQGZFEVhVOyNn2QVp enFD7qO+LimK8NORn0AIpYFqLiGlUKEdTdfNH1KcVl3PGzzEZCVFNKlxiUPtV7Igp+WOTzjkNvDI V59CqCIv45z39tPADGcJ2cjoGhmEBD2uDRu2uyYgV7wKvY+NoIL/CEvtVXuntYXdC1Qk6llryAhr HS0kgk8IzWn6eec73h3C0UESaVlCafoXnNORF2ygeVyx0jEvjxEkqk5hK/U6K8BO565k/BCzkaeF JbQMCttyUjGgLemZl9jH+DoMbBY0Sqt69mqXuc4WpnxF/1u8wAAiGdLBftv1yYWG77Eq0xmtb+Xh Oyx7vshiygbG88SJ5uEhls4gujKZV1xoTOvRL5UW5oG7Knl/ILdcYVVcF1zq3uPCU5r+frOOpyGd aQS/9bt+euJeLS4OrM5b7eGl5lSEMxxpY9zc/CDBd8iT4jQ4GIe67aoLpbaXWHtBsgVI2spgidrv Mk5seVnrHXSPzgR2hddMBgxij/kkBy5Q/k/g3dsE2neuQNw7EfevGSIUem6gZTaln2z95D7t4+0v ejx0yIqKam8/1ugNEBUskyKIfW5qlp3g4HtoLvJMOwzOYCmdFlXBCMWh0JV87efbCI/YIRUcuX/v E7bT4sus4aRwHyAaWvRJnjNtOnWZbPbkxOfI844CpyTmCnxWfTBln/pYOPska1+s5ONH/2Jx3j1z 8E48OmJ7nTEZxMwH3t6WdTnLVZKVHMDs0nTVVVS49hkRoAEyIZZyV1drU89PBhQ9UHVcyT8q9tWA 67n2QrH5H6SpCYHfbDA6+CGy+6N3lCpdiO/N0eCOwQSLGh70WaAA1xB8fpDHqtbWGWsH2T8JyYLg xPaYQ6sQm5oq+aFV9P9H7zoIMlTA3W0gvA4r//s5NkvZ67kF9eUZmG40FfyRBqDt1NbkJPysUdae msCc5RknoNyHZlTdyiomLDSlTvFfZcv0+l/W4eOx9+UTwTeMh+AHvvznQE2N8d9kGcaSmJIYKU81 6XwsizhFJuhZaXty+i0oWAlg7Muv4x+8nKzOfRSOgjFdd42tWQW8jChJkFg0bGEeF1NMUkrel9Gg 8uiAuadSnUClVkUlyA5AvDoTOn6XfFK7LA793MSF938kDc42BcFxGoUh9lRb0OmJEFBntPAOfOPV xoGUyVBRjP7Dj5+U60Z3D4caqYWaS5an5boI5kVEuzIfKDVzSpPfGxSEw+LFHhbXxekHXoe+QZwW +6zcxXAr0M5do3WmIV1QNhJ2fkcKXUsqPNYhfvi5197ATxy0qlImkLmd68/k5pkFXI35VcaqyFw3 5usJicbaPym0KCt9jWY+YcKHoCIcESRGwdx1SI0OHNzo/0duHWwY8LE+pWWqQGw8+yvrUUvayApW lUg5sACcGafQLe64RjZjvWwapVg1ip8llrTMoHcEFggSiWPY2Q2tRR/TyZ0zp6IkazPuwQpcs9h9 NNbeGgcnL+Qo9QHMEKlnBcBajKrS+sEyEooRuNjOxOc/yeQ3TNXVLeqPQGhYE8W5Dk527ijZr1lq d7g/yGto4ZPnYMvhRp5mr6hRkZJ7CYcX3nAzwxHjbRLg6bQ30XRLefduNUFCmljpvQZAJKWDy6+2 Y1eE3S+gT20h2XfAUqsPqkAv8rICWdfo6tkJoJ8gRm6XVkuaReiPX06GLuuENDxqRx2ZumKxtw4/ m9Q+tBMSPRrw/LRdEC6xCKsvBhARTNmG/Z+4oXtb6zJegKdSAOElJ16+DcjyMoXyEhPkNzbBzjJW inAZR1K1dP1OFGuyV5+2wmW8dLDF6su2fnF/MYKW8yAjwP5gfailL8jQH0YgdCLP1UivDI4IBEDD 2SAhEoJP6yDKXiFwSQORt0meiKMO7lenb5DqO6jNJve5gyiY43OCT9uyZMc7Ft1Zff4yGQN1dgnJ x6VrUYStbB6lC8lVdsSNQ4c6iD9QcK/wrOa7cS+1QIV22oBT+P+2TR7JfvjyatE6X0ZIgRhMe+I5 uqlAisvE3iVsc8KueU1bdGoP5pnCvXTm/vxqQ2775aJ2DUk9p0V3swFS+45NfZIDmkNFUE5QnTaL pTkyb3+WLT/LEPNVGjD6CJthoHFJTDR9Wx/pblBfJZ9TUXk/55euZg0/F+ITSJaGKPkDa2ijPLX4 xwGukAAsF6KIuzFp4NpCeu/ZVRCAb5W/P4Npi6Hx+Lwqs5wWhHbcGUW3MACpw2U/2nGtxpmhXCwy f8pkfWYqfzL9elY0oQRIAoJx8cz3ojIXBMyTwjlKnzaBJ2Hcj0a746mfBoN3T7fnwQMJhaFAEY9V Heu3x92/YtGdQMZX1LiIinz7M0Y3AKLUXqU344EAoxmoqlNbFq2Fh9OjRgo3otLiALi3VUCn1jIi lpTsRVUdN48j1oxLOlg6GDE5ZU5/JNv971QblkEcXz9PKsb2qNaFiJ8guiIhm7qrmV1bJvknwt78 MqwX1hUe0AHavtKRqANlrzAsUtq7sYOwUYkcb5nF/lkiPWrcjdsFSOBo0nGBNaELwbHnL5tdVw/g nslNN5PNXo5FA3FNujuScFonoUec7pqLKkkjgDuLihOqOfpovmEj5stHTppu0XX5Ri7dgqiE8PXE 39ALheTAROZ4AvfZWhgws0E097lqnapplbmW5xAAffBt3zEcWH3IhLX7iuVl5l4IuHeip3vGmrR2 ziQenwLQnbU5GKyruWROqAIlCWefkCDfTE/9ktW0hkmxrtUujkfLv7D6koHUUk4tOXSIoLleMNtj pCXcVJmHlDNyvzNrekfwR+yqWJQyq6aunDxI/p46W1XjKjvOpEm4OqWCLkaJsSexj2s2fqzmhS0j S9y4JAyIPASyc63WMvVXSi/jwFtDmktVG4LuHR6INTelE9Z7i6XIYLvYPFpnlpEtDJwe/NNNClW6 ELeBZYXkJtlytCIRwZ0oYFM2m3+vdab45bzEH5N4B1OJWIE9POfIwa1InZhEzpcRBCMDeZ/JU6p7 +3JD2iUYM2/buZdqDrhG1Gk8IS36GzUwbhueipV4BOb09xlgezb/pTkc8QHLEq8n4EXLzb0yCmuX TrHxfbrruQ82OtqDvHnFsB5gXjqYs+X2lblWyJKE2b469Sj6dYUg62coxDXVLoRiY9qD2sit4yJD ANyw3dUu2qAV/I3hx+B3EnnH82bTQlcV/FrN3tLmh9x6JuqqJAEDJZLoQUyURp1/CbfOvhGR47iB 8zUmNlv2ZnaJyHsXrxUWruBie+UJW2JFDybnKQrU28ILd86nCJ/1/1gUNNNVV5BsLhlSOPH7XI3D zPaCxFndxt6gaE+iPjTYj5nyupSgmfI5krmKkoRvzDS/x6dWb5T4pSq5rrYmKbWyGblWAqhZWAlz +X2y1p4LERY8N+x+Vna7MjNY2YxJe16qfB+PVbmsShMzzt84Q1OhjxbwBlf/JgK2OintgDxRCEP/ hffw2BzhEFBIT17uGEksVWQCSw7/czCJ5W7/WgXEsFvgbyTJCMhHm2p0+Z2czt7V4t4Co2kJZN6K +72tt+94rsSYMQIoMYruZAU0g7R4NXPGBDn63Zo8RjYiIjTnWu6AoPGsHq+bIwW/xN3ff7/Pj0ou 1rVvcE2KEZVBSS9SScw2SfNqIICwu79RlbzTBFq+M2INLUXD6SgZ4K3PKb9udZHzW7e3nZJ2NHcj pASPG1/Gp7KvG5o3NqY6yeVFWOCzLXxDV9YwwnKAgpXVmeT2uOZjQ5GOyUk2fX9sGtto8+6V715t C3dxSA9+vXPxz3lnKpHpVyIAOAluW8ORzHsi76Rba+wP8bYbCnna12i2WkVBNAhwTJynYSZJF7nR I1aYAwDKbBFsd3H8ssTPZyva/+/yLCCPo6Jnq0wB1cbk673MEqFn7R85k69in1mXnKsEWb0XxJ1C z0YebfuK2Ow20nNKholroLvTJQrE1UnZU5x/y9snm9f43GGhUNwODnAMJjCnm4AksVg8r0Chxb08 zawf36WW2cKWDudkgWXd53+aWo6bQMHJAqLjT0N1Z47QX1hJNArlXQNYNN9wgpbMwL1naLJgd/vY eM9offR4gFa3mC0YgB+u+QSqqxCsVUHeUYayrgmDiGI5rLoGckbYw3QbZggC39k+lkEv3qZxmOkX sgoOl/q04+dYXxFsG147Zl++yrXX/ZPdlH+n08Jx+5omC9R7+FI8Fzj7OyGagAJ6CbuXlHQ0wLsL HqCR6pCOUi/p/6xpXNOaGDZaJQG2Z6YWU5IWh06BVBkG5ODsRp4gDeubiqhG1RrRqokbMKzeOgJ/ iVRKn8T/JAFT85hfD7SbAPb+8aqUGE11sxXEJziCTJZ5Qlpsbf/lWfxFbH0Lno8Sa6jS3jGOBj04 14vOPETeqJLYA149Atksis8tETPLn31Y0HvDRNRiDSeIIhDxsxTBdBTX3sFij5WwnfOVh+rhlwEd TCb/asYFd+8e1xDSw5kS2tg1sjc5XoyRFqcCCIEYZ3nYquh7nVTimGORO6l5efm73Cuzs5bYaa5C 2CX8D0d+RsEoz7DGlBNdCweXbH7OQxUFcLgBPTXfNBU5+sJIPS9oKr2nn2pU/pBV2onuKslugJsI YCENtcMVBvb5kUUIdqds1oV6mCMzYkdt6rYiLo8fiFIpwcKY86bIcqNWTjyNGPdVrRKSAktbrHAL ang3fNXw49eKr3FRkc0JyOIVeAvEKak306WD1Krm7h0WHDLrk6fjO8OqV/HBzoVc2oLyoFgdH5Fs uuHv1bEnMjHDajiNFM8wdWxME3bAxHknpSKaPvYIQDdHrsSw1tvasfj03QL1uqCp2OPbt9+MytJM EzcnWA3qr2aZ5mqsYD8q1datg3p+q0KCjVVHg8/SNISX3W+POhUumgm+Gh6pispQKObuTv/CWtOo Nyy2KDAbJpOuzYDoipcDXgpqEut1Pg0vRJiRKyQjvraMV0iysPNZrabmz3H1OXLAwxnhSzuUTzUV 8xPU6iCkQhvGrHN5fXMnnUTW0YuI7Izm+JsYinu75fFS4mxb7M3IVtyRBSQyrjnm3YfhloDdBN1r CTTRy5s10Y3ikHKuKDupdxi7gv1yadPY6TUIC9KR2eVHnuI05e0Pr0uI907JForMlY5Vaxgbjwk5 YVoOUbnLMae8RBmTSm67/LvpcSKy5XvAgLuxVI+OyR2g2CwsgNFZen792hazzvHdXWd/x3sPWg8N tNJ1bvalEF1XLwDhUnEEVYJ2oN/Hv4J+88hEip7kZhFr4cD2PG1qvbLwdG+I6C6pfYdU7awRMLsY gH8eRTtKDxtGOAeQs1c8aYZoYVh589Q9EhbUcFV0EJ92dk0FkWP9eYXJxcz/j8Yrl2pFdSsktoCh PQMxwYTUbheZbFl/Bl4vnDFsfpXW9r+zSjdtvsneDAbq0IKro771S6RFGZL5HJegeEURv0UdRNsf UZccfHgWEN+nOw0irSJ+V9iOO22ZmBl38GDyjY2xVen+M6DtKJi2Jmi2R94fK73cip1w5+l/Q8eC RR6zbEGdVHPGc/PEBVG24zMZmody85NPBmYJMNYXRam7a3Aavry+Nwyilw7ArLtzbf9Tm2LQGcJv Jzt+xmncGrn87yBWRyVO0f3G+BUiNAEIgqYC4PoyFWE6kNL7ZlVamam2cw79nkFZ+X51oqcZGv7z 1HCk+mi8rcOdNFAuTr/rK17SBA7YMZNqX3pTTX2CaDhEi1lSeoaoKYUrP6K2nzAhJFxUriw9C1oe b7/iayfm7Wuna1hIXj1i3wjazM1i3jsa9G7rK+khase+Olacmc0CmrqNvbwsyKX9Ucw/sttWT/ay NRW6MptJWhHJ4r8pYOsowPOf+XmdPIzUvINdT4XvzwR267g73IQD1Tkge24zSvOZje9iG/MehmGL 0LQa3kIVJVjUHpYwxij4ao2C5sSRc0sG02z6AwZVNezNqZwjsdhs442fQl7ZFAGGRXgKeia7D1DT F5Cv27ry/FY6p5hBrOGFQEaTm5jX7cNfx66tP6qoQ04ts4mWB25RSI9STKIT442ogDbWsVrJCbL9 OKeKxFmw/HXb/9gd/CsFa53UpHHF7XGRxqZuolieTIq35HQwlXrc0N2mj0e3oTbXrEm1nu5SmbGf bLRvd0Qy7FkGwtcMxI+XGdA8SzKL3M4FeTbkH6K2qsd/yp5L9hAdkSDdv1TlVQZeYVnIyYMRqR7W IIbDSfWrBvAEBPnn8ZcZ6k2oOYl3myzTdntUXa4gSFL3R0c6XEttGZ8Zh0e8wI2kMhX30IYBrfp/ cwDby/+88Q+UEOf3EzRpLBWLRiq6mtiHFN/DULzVPRmUY/udsgdalXIqZIql6eNjh+APsDCmuQ9m J7qkaFPe/7vNlhYPQIMW4szb3Pu+ORQSAHV/5PmbyWSJ49RkLPXvDru9GrRaqFz9fUxuVqjFDwkQ 2mQST9s+VCp5VVHU+AMelavLAF+XO/PZTsrzr6ik1l0oJYXmn/I2PDOkDGlqc5AnaX+A5CQCqcUa 3aEK/vbimyNsMhPGn+6lMNzIQ3q8o7nxSvkukWMq5FQzlUQpCdSzmF2AFQvYnYglml02on32Hb/u o0/w5IUUPYn/CMFbo+OMz3N50BSSHTFozmD/RvOxTIq18j2l7aCA/JKGMHdW02UQNEvCgtiJeXHd SPi69zZjBqBqYn5uMXC6DGO8U7/Mt1WpllVfZ4KEUGug2BfdSDFuYJLVf6o0/4y5omOLY774lrp3 hDj8zgNouooHzEwDnU5J/PHM9J2QNdr/yEb1toma06Pk70yS4e5KrYdocm79IDqMCncEZYE4MJ+6 0vUc6ieAgQOfZDS4Per+Q3WNNhfRKx3Kt1Sr1JzoeFekOL5DOJpiUsZbjTSMz7wB+cPAEfs4EG33 lmzkpP0E5AlM2uMqk5CkYXmOgLGMbB9dLgofWrLoK6ryya1sQJlaYP5sHN8SL+7yfPhhTpyBA+xH 7M1RY94Q9z6/sbcTVATbRi12Eu2D0LQa/FtWWec5ruxTcZHEXIGGDnm6wKZuM5EToisnQCFqUHtt n+E8zN39KoYASWVP2W/cCxT8WFgrOaLfxHn99zi4ZYgerWfBUa1uR8oTCAbTXwcoF/WBsNdGYGof VwmhADYN2B5w6G/jbG0Kt/2kjAN5V2sEXraONScu1DBWLKN9H6GlmeULCVKy+9JBex4oOw3/d7a1 iSpcs52BRb6Q6vAUIasxFiAIoq1bhySq5ljNiV2hNIHrR9g0nze83AJIGxG05rZIgwbzWb6o2QvM TQe++eYtGarn0dC1jgRGqNY2oTNlIXMr81HotDvA0UBjMYpilt/P2mRe8aposBIvYoAl0JpONWcq bdEEBuG2+HXLps9y6AU8p0C4f4e+LsUcpFeLpjznHks+dN71NWE2RFt1a24OXj1+QOiqcwI98+8i qW59Bh04oE8b+JgAtGjeu1QUL2+03qv/ztxN1p02Wxd0i0RzQlxXa02gjU7DXsXsHM3nyVpJnjRq MENjoZSOGR7BTVb1Iny0i3pyZ+2nnUTYBWC6VkM4s5xDg5g8WV4An40O0qDYZmhRVTKKnI3atAcT UwwSDKim6oTf0sJt6peiIAd7gWvO099npaBHree3LZt3gZogQ1miTryJUeouPdUxwT2GWlxYkUWA 8IY+sg5GSYD2Yl3iPAqUMF019wC+jZlV4M5/E8DEn96d5R8UW09mAIQTiqBS/HtXA+7jHRjVCVyF N0zxs2Kqeh3nQpHD8NlEaf732yUrnKXeYbzaukCPL1hYztpkL+2GjSwpQwLnxuT3OX8vGSUSX3bE TsVGG7VHxzMy708yPwtmWsqKCGqLS+o5zpvypanu1yzuGDv65is2EfBf2Mt1IC9pee+DkMENCfAs s/wvKI6/8dY3bcotb/DaHCKAwlASn7ZGsTCNwgi5UhwZE7xl6JSsRibWCaYGrYh80HDqvbhiuUxR RN52BjWi4e610jCSi71Gl2AHwK/GyQzAoj67lV6xeoVZtLmerZSKD4MezjTW2PzjxK4nGg1FCJpe ImaRnBm+dqIq7g1Lx0v8hIEfvzuY7oo8gRgNI71VE6oL/0EPxWvfbfjlh0rjceLj0IvogETRcvj1 j4nf7TLLp1Nt/v1TIjZU85JS0DYO7gLAbLRcr3KQix69sjbVb/NH27OcI8tv33HNzhxvGoKFKzat lGh65w2MlKEodf8qD24KSe5xInAMNx/DjHditeb4+Mbj+pb3xDEULo7uCkuSDVCt41Jdq/dDcUOd sF0G+b9FFRVDnlfj37GAwQdzJIEr6LYt5g8two3b+Qgt2EhiDmoOLfo37lhVMfdI4llFXxDjTdFS 5sUoAW8t5CGnFdHgX/bl2UbUaAyO1aoL7yADPdGtghQpNmeGWF1md/SVoMPrfGs//lV96AB5nc7x u8s7SjvgoAMVmulYzovpedZIBowkWzN0rLEsqDI/4h7Xq+b1T+glj+oBIvtvinf+pHE7tvlCbjLl JNPcv/wIitxYb5vlT4O56Xj2rQhXbh0bUxB/TIS13lgL0nwj5FRaTxA0dA9Y9EO/LLcx04m3EOpZ AsEZOYuoZ5APZp/seARPPteoTuqLV+wvMJ/cg93N+CmVfu7SEYfE0O6z1XFiWqR3gwjnsphFkjIl jIi2F+f5IC63l39B/R1DDB1q5/jlpCRSwgJYKarRrSWJNA8TH8HJSX+HRm8T81amb3ZsDUjnN5Cb BNhKoDBOSJiMWME7GW3p9oI0qsqecFUT3zK4K6eJRa/gICwR1qhRxNAD8E7a38RHYxdFnx6y5jr1 mJJkHDn+HdbPW9rDu0gtrI67g/ZRuYS8/cBmJ026l2NLD8bNC/F4DKKIp5/nXONQZngLBq+7GR5O yLsyrNm6DZogoj2axsTsOhraAcXHfsL+lKlCt4KdgNKUWXv9clW73pSYtA0V56ffA0qJw8T9aMn7 j0DBUBBAZI+kRNmAXensdWssKYLnmRR6CEyhDL0PPcmxcievnn9KBaoV9SJyo8hYnPIonxA/cAlh Xw5LRORCS/FPjKbQ1k8TTI7E9sPmkEU2h0DEu3PlkiWFGPDzzVMpC4rfZm03P3oAB6VHsXgTFICO o68vfv50dzyxj+F1T2AhFImkU6sixvADT2uaN4EwYJ7iIqBRIHyQvISrnIG6YFTD4jCFcJcI/NI/ TKVt2zFUbgmKWiM88K65pVAhhWnD6nfZw7zBlP1/RFeUY/9X20r1Dr+xE4qosfktH8qJO43Fys1v pEbKyt8o8NEIGaqqxg3TXsenwKMnQc/qOGJrkY30Os6C/Vz7ozD9HRPyFgXvlWrYa+8wa1MlGmV2 bT7ghi4ZShCAqIJjTYEpXUMU8h+VPTTcbbSwxUI/uAv+RgsGHZQEuhqbi8J7IxSZsLM97GL2oecz YrKskPACcDH7r/nrAQ4CF7swU0LAx0UQgV/tOd165eyqTi7yaDd63xhwZ9cccBU5ClXwweMsuFtX bQfFCmNFfYmDKJ78V4qhKIkwvDut03Q9nbibuDTSvnJEMBtpvys529fO2nnJN1yAvXe/qLy55hY8 6RQWnZIPP4Lamj4HjrunXPgRg0NbDAN1pq/Qoe/EBiJWO18KJLBbnyTutJ+fcV+Yc1g2lh2tkwBN WUSUET1YU+1L6SHcCmYiE9cBcWVYHMsB8NHW1DR5L56zptxO3ZyxIzgVrVUxPf3VamdScbH1N8eM jD0Z60BohP3EPcvhR6nNyu/Ehdwky5dohHDATFPsPQ0k5MiieWoEmK32oyuoMWEMgwhuv5KTHgnS Zm+27EsDBX0msZyafQObBs4r7/M7eV0p0fgXiZxv8wx1KjZptquSLmhSl6LEX9iBaRsmcmlmEFm3 SyiNUr+Atd23iy6zvZjrjSkAkvb7SpaIpNaPIsV16LNXGYkccQ74nmgySmHTYY9JiSPHKxcKswwh gbQmMFH9cGdvIOF7zeS9qjhdk0W5XKK+pk9fi3pHr4Mj3Za+F0vmrV/Gx2YOOabWwSxi3+IOvPTN xGHw7GzmLRoR8ADaQJjwsjI0OXg9VTbRV8smczFWLDxpNq2PVnlY/FTq02sW+4te4n3QCOcb89YS WbssyWH2FFAWUdDxCn7SGv68/ZOpblgUJjQWesYld2oMuUtrcB4Js93G7c+xN4j02PPtL4fswPtu nahJ9L7vQpH5DLf0braoPCTmv1Iet1XTyJtUv6xaVb6JSj2ypWt5a2k0etbswgkBaxa1WhlbY3uV qkjXMDCVnLi2qLOGG+9yBuKYKZEQHZlEkYg9JBBlzdp8l6o03cePyk2vxSvtS8SrEkXZw//W68dF Rl1NKE2b4ZAnu7hb2hBjqtzfFkVeLKugyyTiv4GxA25YXVWQvYoV3S4AZ/4A4cd7XbJlPo0Iaee5 UIrKoOSGRVLmFmw5ReWlSKm+r/cOT2qC4ghiloXdbBc2Z1ATnjEObsXFdxL/pvIFRpGJ0ulaIZoF /H1bJWbbfeUkGeCWWLmavdL10Jw8H2dFwo2VlqZpHRuD1geE3HO84mJICF+oiko7aWsB0mMaTGsl oSTC5QMRyYv0db0i1Ddhot/4LfnQK2fyf9fsRMIKnpnxBVF8ophlsIz2g3gLKKLTtXrqNztRHpch lPt1YPcQtillStQRQY4FmYbWxSUJBnOEBgkbsXjmUw/Q9yJfiX7GoTumMy30O9QQfkU3Vc9SzcsM 41+GB+ea2WGksL+i+JF2FsHUu828EL7GMYTC8PveEeuAnMJXo9tcOu9OnW99d0JsYf4XtO942pz8 xKw2ZF7hC6M179lXYz0sCyscsf5L+2ZTCaGOO+jXBK/G+seFFyXrVPbPIsamHmkNiS89e8qtgGzy Ud7nCN90+GtJdahfPHMTz0Sto8+HpkkkTIf9S1Mfe2Dn5JVSsovTwfqF/slImBFOsULhzFq4V8NA h1rGwukSW6RoOkXiSjrkFPlah7Ie6l01/0A1Ml6Xkw0m5G8pwnO2CH+4V9FhEAICijWIIhYSUPbe bV/xqzj9NeSD2z+6QKqiTL4vgBFeQR3wyJkUyZy1nlnliGhheVCDwQXDsfUu0cbhllb89P46w7me 2eMrL2qJeWFdl3JwhkV8oVyMas0spoqoOGIatCl3dI93swEYsgo69Zz4udvxTNNdvzjbUI1Te26v 2FvXLZzCD0cpUNSAEzaIW3zx8JPw7J2ZoWoE29iupEu3vSrm7aSWwWIjUDN3CBeh/vwSUIH8bIU0 esIN5Q2SO+YwtQWY9+LXlJnOxXMQpjVI1quVC1kdHOYJZszw+31F/HUbx8fgGfWbIg75Pp7NiNKp 654PQNTNTtFhpadM9fwfWaICxaf1MM4diq8Gsr6nfdf9bcrPC3yYJXViL0NniXRqlLa85zakc+Em 9j/eNuB7Hrfwx+ZbD7c+8TajyzPDp4EyHFNSyxVE/vy4zB8rWmbWnZfITOdv7eELCwKMnLbijSNs L6m65VNWmCqDNLl51AiA4SYYhQcAXhhgGwGoHReMx+jEoYacKIzQcz/erEkxtg2PQCGsDZN9jnUD MWAVu4rRueVP2Cmg6bJNRRho0GwuK0j5NcapIrCXTSUQcmJzvSPL00B3PYZOd4SLsXkRBR0kUHIP 6k6vJ+ffJIyIBVd+Prr3o5RWvg0qFTM7HptcnmIwudY09Jnrz+MwXF49vMzpBCDXJf5hqT370IKn 1Vb+su7HHb6ctNuS7UTOvJPjn6Jn2VVnSG0BERHwP1/ZP5IVrWsslegcqht2whyMpftor3TEDo8p z/OCJFbVW5RZ0DVzZLZa+Z09RRMaUqgErtgAqQcL8ICyMYd/+x2CHtP6GWIcB/iKE/LDm0LTeQIs hPcXeSNHitcJGMTUc1R9INciIUYxsP7Btj3rE41yNhM8230gvMf7YjcokuRssF1dsCx/t51Vs3sB /O03hKOipOvZ4FUNfiP1heoFWDrgACAihMs+i+mG2HW4GFLHc7nKxmTHuz6Meki/Kng5bEe9DaJl JIDkXO6EDX7APJpyvWqF9Ati3DzERZuO0aEfGdN9/buddDG70YhoBSl0NT1YXQAHfFN8JjqNK0+2 LCI3pZMLDS3rD7cIle9bchfrfeRv+gdh5wuQ+GOnlIm4tCXzIGbidDvNAzPw2ri8cZ+S5LqdxPct RONVcnkTmvXspP6TFr4RqDv3mbgzcYbibwTuOXNCP/EbKvkn48qBJaQ41NzkWCLlY0XLFfYI5dDZ 1OwrJ3BcjQyBcQDmexWwJbbFT5M07yVDjtf1NOllDf1YcCa7t23voaN1VHHlH7T/iPucJmiRJs84 lxr9agKCMKPOx/vgeDGEl6uYWUsMLN21Y+1P268YBTS0zUExOgyEEuo/19Q+KGjXt/38lKAfmpwN DBeTnSv/3gh1OpKz+8oNbVUQzFahYou9UfX+9+bTiZUTX/DPTcIEKx8yRgTVPDvt3eIf0V58oocU 43Lt7EgoNZKSktlOr0lcdnKjuhCJyOtbnNiGWy79SioEgnzEfpO1u4bfGptoAT7LhFKgxG1gtoN/ jgOU60nYcbujr5jqwvXGZ3keZcMhrkp5Ny6RXVKJKJn4Yva3lLwKYyBxYAgm5Z/FsV4VnQnWf8LY yok+bwT6HfH6pz5Civtq2Iv8CcoOvCfQsE5mC91zq2rJaE+HuvFiJAjEVRj/hBCqXbB0dzr6CI31 O818cgjcSdpvwp6eGjj+vFIzA97mW9iXTCWM8sTdCDrB0rRy02V3YVcGO3PB1NGovCNmlyRco9L/ Yle+c2vXqvuPc3y/Y9GFCmrA3hfjaDwDO/46soCpVy4pejAR3+rHB/mPyxbczdYKa6hYgmK6rBZ4 YlzM/rJMpREAvXuDA20iIIu3W/4hpk3XDsehxDKfeLoZLl1djZtxT85KsWH6UFpUQo5FH5m7sysU mjhFTFu5R8+CpMw44Z22CF0qJ+eWCwBSUzwwNVXD6h/9edTgJmnt5JqMERUpQB04qQGeKicgspt1 vTKdL0Xt1iuFRXdB+xhwBmrXAGBXE8SXnSP2iUWCREyG5FdJWurg9raemp6WkjqFD2UPY8/3XDWw GrI0OmeQqYLXS9y0NEMs3O+TGuaU2emvu7a6Og8h/VF0pxOuIRC9qYoO2mL68y9MgmKURTQIifv1 yoblKzlJTqXOIOfVpN6tXJU3pGBsSVjVnzA4jiVoSz+kzMTYI5BfvD048DQGeKuWZ3pR7HFMTIAn 001XaMaZatK3gQZ3MkfQHHmObIrmT19HOPUXDQw465NOgO0ie2IT/dc171jneZV3+rQvhQttfwIS ovkY9v+RWoEcGgRSzTOOhrW6zkGyx98/rBjpDwVxDYizQ+ZxuETZveKfputZaaxYLUVjMXbXGTRU JS9Vu/PkLLsoDClI2olARNWtiRb4ajy4/zCkFVs8MH2qcquO9N/ecrZNGUNob5KlM3lv0JTcEMfB l2AEcuU1dy+UYqviJqnfYiBK2XTmTW36IILVQo/4+ArBsUwjFOi3y9MiP7PqjWsf9j89+YdkGaws B9895x9QN+pQZb9UjpzFHYmWM5hUzQhDqIWM8OuM5sgUJ0IIRLxd+h83cLJ97iXaKEN6Ne9uObjE OGxScNXtXt2Xb29Djv1jC7KGXVatCtS4uudeht0F53xWnKkoWNRWlIlBHbdbplD9qzT25NwEqxuU 47bI4Te51LnH/BzdNJ0LvXoFozQMpRZ9dMXD0WIKP2EdMc8H89/7cR/wAolqEAs1V8Ax3I0YVNjt D8r4Z2CibUV8dTc0fJi+R95DsNEegUOWllBPRRoNnYR7XTgW5ZfYeeLj1wN2fQOjOcwABb6LCInk gxPsQUGzjNUrsdYPpBPhRLK0kjCcQ8/Zv21B4AdH8gchAPx3cQ92hw58XEZJtKSfPy/yFDej48GW swbXTwMPRrCL/ttvd+N5JF1KhPhJucVdf6iP1FS8PLCLN6+nl8ow5aFgjjtWG04ACqj/Ma3fld0a UiAmaxURKomj7GCr4jMlut9+h/r7tRxJ5oJvHPAOxL8rRfbT+HqC5FzyrmDnDtNQSbfIwpKC6Ijv nDmOixOVnquQinLHquuYc40mHqNiTZLoHSsoE88LhR3f9WNF0nY7rPGRBkV4Mpto65zqQjy8NPbK IZS6r5qEJBUKq7tozzBciXFEX7UskT45K9jhWhhQWcq8YTtNmY9tKbAf9XVzi53lR01U0RBe0RmD G3c5sR8Aub27RTnMEuQ2w2moriMhzLKVxcPUG4e5kEp4M13gkgWHAn2MnonWBfGVwNTCcxXalgKH TWkt22APBxomXMFEqBK36VUZ80zQcS6nQp+IN2apwUXreTOu600wOHvfswDBlv0HzJrN4OPj1rPr fiaDd7SmGEId+pxEiiXf8ZBZx0/Gr1RCz6YH70o1KJzp0yq6G90vblDQHUN3irK0OiwCLgruwwCH XUrnlpvBwPUYlaAESiRNH3unb2Wqd0TVKe9cu7KgfvvY6Xf8I0HLMTcNBMODwabogCFvIoaxkrQh YVLYAkmGuDwTMDfDRVE8qsCEzsJNMvcjZFmjpj6vGDtbgwlftTyppQbGn+BaxnF20xW8uucl47/P JkUPPMEvT3nBMUWtiijVw6nPO2nF5sdCqWPSKhZjbyq5kXCHgJQlwF+gVl7ZHfj9rCp5vomgEizS E8z/gkUNW5pYEiL+7Iem2CX/EmxH8RXg323HupARvfelCyj3Bru3f+uXBRGyZ05IZUEpfQY1YFIj TNHjFew4hf1b+bgSx6kQvOZ0LgSsAPYLcFuzZOe9Zk5/fQTw2Bhwn662AtHwuJBhqAQUhy0aUsx1 b3uBN/4+ntBT+VwlQrpaMvhoeqdXdKOCclvlBkxLTQOaOUZFc6aLJABLxBs0g7EcqDkD4Xbdmgzh Tw20MKki3LEz5buhlC86Jfwdzf+ebx5zevdgvAE03YkhmNitEkcqFKExsHskPK/to/lvXYmTCVfV 8hr8sT151EifUsRgMHz0HIWS3IrGtMKn++oPup4RyQneM3/Qe3Fc0eb0qtg6GpxrB/LDBsOnieZY 69/8crnvvQ1Yzgqgp08tTTnVtGkDsQlILHLmXwEn9BHaGzV8jfLJiiw6utnWj6WButiPu+IUTHEu 0hW1/Nx/igjlS1EwfqiDQkFZLJ10Fhi8R2As8prClFWtPBUmjNToaMwqlJIWODaJd+ZI+ITdSguX AxITlMUHx1IZHF7UcV5YlXzzbIKlYDT+U9DavrAtcTR7y4DVw/YrE0fpHma1gHXJg+FyRk/asUGq ayxRxVYkdDrBKGceCvjLUJI4rSRtoAMY7S6QzmIc6QgHWq53zQZIenlf6vFe+g149MwmhguSRkl5 s0rBfOzBdNCFKdOf0af6jSdNJ/gd/YgoM0nEvDq5czEuJbTsVjGHWth0T+T6Nf8vKALBUMpMYOba ddVXUsKKrTPQken9ocSBOn1r8jWX6ExDMrx640NrCLqTAfOxId2jewaQLyKWnZ3+/ztSaBJuqd4Z iA5E4LBW3VNDVcjwCG7RP1vY8794bWnK8O7MwXrYRMhOhQk6sBXo14ozgej+c9PWWcihZtDShyiK PBZQSXaLwxfCTLnm5SgpemXpNnchTH+AMvdsbioJ4cyT4lLnGkRvXQrXrQDgjrFuEnqEiIA9FdfX T7JwQciOPXHkazWwSdeaOA0kO/RZNZaqEor74Lyr97MqTMlUNTUlNFVz5URIQFC6WCZOsneyepaU F0VU9bp5CH2jQGHFYnxv2cE4u8XiMjfbVvNBrx87vPplfV4Xwa38am92FF+VbSO07gqykXRLAQ6N kUQJRnSQHgg3677UnEE617O9JqaXSA/QRWMNHtS2LEcYSznG27ySE+glQB0MIMlpOmoDxNFuLGTp zeKvFuwJ3JZX+1vlVO4QTp1xcrM2hH5p0eeg8xqhsRdfEbbs3FNIStiwCMT2KoXoPoGUOBjoAwe7 SqL1eOOVnrrLvW/8/abshcvW0sz8cjWpgmRYFcQzOkIex7u59xVg2Cd0e9uoav1P5N1ma8eqS38S 6W8x0jljMRW0rwli/YIl039ctP7LGf7GitJwMKbs9gyPKLtF4X2Ww1ArCBNK2ySmvc1ZtCQHB9pD rKVaXSE40EYF8OezzYF3WfiH6VaIxZ/FtULHNL4ATAbWYSwpHRj7aXOGqSgPM1QaE+XEb9sHQKdK NM6s+6KYYLR7fVj9ozVVk/OYThXihQwzBmdhNQvqkEj8vO2ZwsAaTrmYSVwzOr5R918EJOEtECwN F5h9LJ7wTiixCoflfxFXStPiJMxxhAHC7JGMaW9agaPCs3ITd7zesnMNrpAyqcmkfqgBe5PZv+vo XdSMV/62VNt+yrdF7j2CImYKsbjewIC5g0Z0QA5Tvez9IVg71lm41SMWJ05nPr6RbLd25cN7l8j7 TLFqC0E59FyQzoYnT/MSBAPChTftW1zBhXpDEtsTYx2IkAN5VtzGraUpzLc42Jnk3I+2uN5NAAkl sUOlzVFi7MdFKauHwLwzrtH9tFuqyZoivENADoqjA++XJK3PSiGvBZzhwU6pdGslbc5mPp/F9FPI qr5uDg9NJgYviKBKzByN0G1TS+WWHr9KN5T96J8AGIsZHmA++34UGOSdeh9tclivtWkqgIpWH+NP H5rQPaspIxP9YFUSuAN57KSJkM/Ie5j2swO0rN8Oel7LRuvfc8n4bwrE+wEouMFWYXCmLgQAQ4UC 1397Ylc8qCNpFbEEF2HnI/2/TOLiOuclW3gBoYfwHFO4s1reEYabls5dtXsN9pE426kVvI46XKZv IbjO7HWOla3DrU2ojJJQe716twgD64RaYZE+q1xLkK0hUbiDsEqNf4cePEo6TBhsqOOurrbQ+ghY Mzf0Oezxh2TNPIpSvIvd2w+uQlV5VvXE6AmSq6iJO8RXxU81ds6qUxdtBXRiitCNuZU7OcAecB0w hLUC6xWzBSd0Jv3aslDfk8TIDPXV9vxc3ugmOqIh6aQ7RRyOQVK3DpzWY7S5//77SpmSnYr6xJfH rStr5BgasYMjOGSY0bIjMix6OEh1zjn2MBt9CV7vLgXckMmA5jXjYornCa3sRdyTTP1Fwl+oLRsm ODwWQKfCnyXUm7uZHf8QbOzSJtI5rs+jq3q1Ysu7Nlgl8RejJM5fFXumeLOIfiJ6UKL618sXX7vF tdEvSN/5KBcyM9YmAvqGXkjjl2tNn+pSa9zglK+WPRRSnEqA8D3laaANiDZUUjISNRpeeAuYq2/K Zx3yJyiTVj+apNQDqLP0oGzP0qJIqd1fkmuLlL03zw1QwWkwUwdw9AHXiH1Vyo1FsqrMLzqBlPaC DcomSqOrkbAeLJO7RH1q70ShtysYQR1Cge4BXyJnQQLU2TdVd69pmEWBh99TOQpDlJqKwHKUIAY3 H6bUPFQORRYwC380BfQn6CGWQR2oz1pB8OVl3asDGNDwWhCaDzLipMkrlfb58tZBhlDaduUcSfDV u2aaEsAvLq31qcG5L7Qky+tpUnPKOPPP99200J1HOICTfuDs92RsGpFB81oWGG/xIGxwCJ8woCvX 6OE0x7aWdq5jn82BgCMT+A9LYChh36IrSsEzRs+C9Wwfu/zFpzF2uGVVP4PjdCi/voCX4aXjOX5s cDFZ57Aoq9aaYEArg/4NshL26dP16xVyOoBdtrOxN5BFe2c9f9JXyPbxV8/an/cX+g97ltl0FYBV Pc25a6aOcmn+xuhg7nDBtJ3+I6FjX76scBXp7e7udrBb4TQ1KAnVeU42Rs75k4EzZuBhLUcUZBmq Bc09h3Jyp8nA+oYcsQoI7FtBikRHwP8BCaevyg2zWRQuul+t8gSYZdRfuhTv0TOD54cdjJEQrq2+ wfHzv/WZyPZbxNIdKyC7Fh12le2HPl/QiEbzLETd3lCqszF45bMkYutAtlrd6zKrfC600ysQ5Yei 0ZZWsmxtwOXU1eZJ+PsZwaWoUI2OtR2+lTQZWS1+Ee/BP/+rcmwePM3HRGARGV9s3rQNs3VbaFyd Ca0N5m1MfwqKRHWtOGGFqyhtUNPzBUTS9ef0IAh0wIBTBLYWxg5TDYq3XGs7EnIa1IkV1gh87gf7 3GrqYI6H4/HVLIoOdwoy/O7FoIxK68/hMgH87FZr2x0DA+HVmACd2VqKMk5UhhIhixrQMEVIQfSc PaJA2ykjMZY/82BmdyzXnu4d9PK1Z020ZznoICH+MGNzA3Uym6Vz62iVHUIeRMtonZ+gmwd//FI9 iPBGnEefOiHEz+h0E63mz8pVyXu9da66Agk9A7I2Yv6FoQGLMLBDzJrIR5Q6VSWxaOjTMFSNc2rz pHLVtWMAusj3TMlvAKsqkmpHiJ2kGSf+j9sPPLBadknug2S35bfZXi3WNWhC1dgKJ3Fs1YUoaC0T w00P+b7eWaR6wuOsSnoBx9NS5qt8sXSIVGsmLwB4VSVwu9KFTPHxwwD4qAhfKrSHkorPedGYeuZj wzKJ2d1QpzxBiXKyRvCzOHBsAUm4FkkqZG3mL1RYfow7FbTdrKjadK605Q6lLsEXup+OuEpH47+T I7tmeukm7iStmoOv2t42bD+aubzJRLW+3o7Q6lTbRdQc7l6Z2ZPRR0G7KSftoI4vRenVirKKx7gY +lmP2rreqDxkLDYwzrJ+qQmFM+mAWBX4C4bu5/tF/IvnDxUTs6UfxrTA4NOdaMP4L0sjakKHN2z3 E4NgPfmVll/jV7N5sVdtgnc17yX0sFHufe6DGuOFU6XS+1EL6/E2l+2OyyXFj3HPCLBjmFcfxoJ3 7yBfOng+vbAMnS8SOhOEAa/Ke4UBRas4pOF2AnRgNBogxBMezCf2cgziQ/VJUdOg7t9aBG6XPOak oh75CdgrfIl/wBnElwe8HqjmTS0Pu9Kv/dHn5UkIKXfuSF6wP6jREviNPbpK9FOpXL73gAJOtskM eV2AlpfJCT7OJDP8PNr/Nax9NoYPXEZrBkTxf0FygbcGzKOgMCX8pjMqyzNBD1LwKODO9ABLrk8D DEk4x/D6Nh1JhQ/suV7N77Sxv6g0cxOA6FVREI3tLfiY6U7twR/plk7jCnHtFELNIh1/KQ/Iimqg PK6FHMQ3oPh40okiO/uFPE70xlHy9dGTssD9S7sVwRuf5cMz+KiPpCnJ9u+JzixeeXbiaFZxN04Z NRJTVZBEdngmzB/g+N2LtdBymGZA7LwnaYqhNWMeqWLIhCAW/dZxI6n6ATM2/yZmZnEtCu/Acza+ iNbKiRr4zcDbT/f4ocbPDoNvIe2vUYLw26LaSJiEc8ibIamMFfsk5FayGQq81ua+DTP/Vzq6LmUB MNkNAjeo/AxW+qUGk6mCirndlITuVfHb10EvaUI3MwQIfUsBeerG8uiPHl2E6lqtzV6QiKMXiLOn nVSYjQHsNnRmenfb5ciUfAR2k4i0Wcuzbx8jwNYAQ57ucgywUUuYlyDyzVKFQc0Yun1VnfqMTW4Z /2mmPKkDKWX6tYNwgnCl0r2+eZa5zckHr+KW+Lb4uOjp4zyhJ8T4V1LbmOV9h0P0brhkdW4Pn+fz C9BjhvTmconKLy1A04MTT16lvNCg4RbQxXvgQm5QUR2MIobs15n/g/JNpAoAfDzzyR4kudxsJjFQ 7a0Bmtzwj9g5IDki3CcNsEdFo2e/LW9Ga/zWopz5ZKfwxslVZZtmm2+vYQk6LazNWMgsokLLYJX1 UKnjspY3lMXTaEp+Bk4TwR45AUlZPH8MyD3JIgk+X4u9wtD7UGgiCIdkTRnQJh2McJZmcj+5s2yD 7jI25p327qdFiYaBOzIKX/rW9YzXla/jYqifrA9x1LWHPoJxSQhu6zjOgUVAGWi4c7peiYH7PtAX 6xyki2RBM3t5gW8vOYjTesIn0yw9/CWYc4/g0AqzXpTV5/sHFgt+qYS3kVyEp4eOGae8VCl+i3CF 31BNDn/MNX6GNH+NSiJ16lC/n37HeAZKozn9ucCnzCDV5Mi1jjz+YrmY2aYMY80jpqE/Y0/dVLQ0 2AEG6Cfx34P2z68WpwLepJGFMI+eHInQJJm5QJo69r0widw/MRzLA60flzBSnD5B5Yv6CE10Bcr+ hQbJNACio5H0Vtw0IJhI13Eo5Fc7H3n4pw4F7hAagt0iGSdUFAyAkHuhr25SGqQgwzzgeWWxHkmD cC6JwmyEuws1KVIcJ2fGZ6ECyI3xHOAufsEBMDz0zU1yGN1a+5t9E6A9GauuqQ9dO45ErXcBoqGR nl7xUlR81KjgISfPe08POIw1u2kF92+F7NO9X/ZmTOHcc3NdYatJkGDhn/6OFWxFYxeAAXp9ZqX7 v4tT0YmddujQMB/sWy9YbQ+qnUaFX6C+hdMXDzmHum/p6QRAN5Q+CSwt0acmibL0ozSnGYlWs4Cl CapUiDtWI8UFdGxMU8mSx+fOl4YfryDX3i2Er9Ey3/TBrH6arjdYKq+2Ib2zWu8LCBgrDTV8QkrT MB8iJBH9ltATOJ20k5eOdcgxJJVjXdWHuoTbRQPiUGYl0kVCyeObHBsnQXtHq401UHhmWODOGFIG Z1BJY0J05mHVFLsutKW/caXwRHBHyqOWZ+yOH6H4NPzm40iPQSGGhQ1w6ciK3XfJCLm5LB9VnEXJ ws4v4O0a+Yf/Tcx75KigSGiWlX0tH5mnCbWYEU1BQvCqBC4iDxkNJewy0MnAzoEerj1S2jRcOfkT gFVLyKOGgZvYSuw8t4eEkPScBzC4OCCmFhDxOSMc4TPi0QggPSICnhEXKywEl8ICAeWrD7PKkm9L mxjWz8Fe4FW0pj9PwvkwhKotPK5QNIrwhUCUQZwTNIt2zY+NUSiq63XlJY2fndeOSmNbbGa431Ju 0D+KgNJLT80+6nb2669ygvs6PNQjn2aIGcNRRUoIfLOErybcy9PRQoUlCRJBAok3CK2EW9X1Wd/4 8EhEzgzC+/XCOUGOTNxuLnOAWPx1KK9Hx1fa2/mIgW8Uxz8elvJ5XnQ+fsiXfyKf9VHetOJ+M7YC YUOeTPoIFvXQ4zQaqlvGUuwnKnfhf1sM5GvBdRtiB0Mw6At5u14hC9t4KjA4DdWHfDRiSlrH/58r sN28XgvR2FwA6t338YW6ggQooi8RAxqtWU/KJwzKr/mwNOMjm7RmmbbXFwcuEe8cIqpFVlhjCckf 0kW1dtzG0XYus/NTvqj9ZfDwrYZvB6A5h4A/B39aGOWOHx5FTdy6qr9mJs66dC9hMu3OBkB4CX6D x867pyc9QNjRlyHZvyQOym86tuPYDYLBTZx3M30ghOSvaekcJubHWoiragHzj6w6IDL+vgqNrPN0 S29BxalHFPkXL2rCXGg4i89AZgvzoFphLzC6KTU53x1o6wZo2c5QYfT3uno6WBopgiQm9D/oNJzW z3AGXnlVP0x/GLLIttuPx5ET9RD8DtiZUIhgXEfUws/VGE1tF0knOdaeQoMHls1RXvvVgSiGUCBU 4p0CApiHwGZlGiBPtC2S9ws/lKo5NMxorFXmyJbl3Y8ntxIu7B4hydHg7giAHYuD6lCHuB52U01s PFb+OD59tpryxB/N4ELno4HqO96hZYJXneyBwnzfGgnhoW0qnAoHX1uhy+5P+Hp+14QEB+RhigIw ClbNwhROpNdA9pr+cLGN5vw4e27E4Jk9f6QLeykuYaAjRXrg7PVn9bCRjqJ556JfeZ54CNW2Fswl vPZdTgKWZS4MTE+qYaB9jwVOYqwdwzYPvC81WArUvxg9jgVyAvrA//TQ3BTb2GCvX0e0g6kzelDs cjli2hRciJa9Mztv1nuecZ8Qg3nSbhvdjkBRrYMptW/wy6WhRSdayYcS484ut4VFPY6QjTcALtT7 UBFodwlnH2kFGNeDsaPoxoXqEuZ1CVEeY/YU4TfAzn7GJEpjn7/1gL6fymjAhrKoYZwMFFiuC3sy 3j02o1yRUTKzQLHLqYSy309cqWSJf8fFjIqiH6XmIlYZiZ/P5ui58tyoas/TBuHX+Yr+wZzTQ/+h 3wTwrrGTJ8xvWpt6J+kgCWWoGMrUpS3VkGqnMNUMYheCFj7Fe87T2178BUZPbu/DkUKBvfC3AwXx 5Gr+yhFvZwdW9BNnF5BR7E25JkDCkApoA5Flo6+wwj43HLu01mqAFtN1Lez580Mh8u9kES/lFCJ6 ZZ1IRmCO0lVh5mT+OnwNDsk2CZusDBSOq33rmwGQZlP1G3Zb4VXczRRSfXsfTTB8/AK0UPuaPciy oD3kWL2XJtrUekn78PcvIk0agAm4iMSI8bGsRKI/lzgiCzf+o5+OG+2UgxY3CVlNvqWy/we5Ek2z 2kXXu30V/E+MU+WgDVM9IenqUKr+A6Qz3CpxmrukG0+GVriZJNOSO1Dj6hLqt5kvVkVocIv8XFP1 tYnE5lrVs7DjwDqksWDulujCoTRz+6PcIieP2/7zajfNCKgE1rlQb9++MuBrD3Q8Rz/cbIemu8ph pXkbsWGkl7y//oFHORkZbi8rNXOHw1hPgjFsooetKly9EBFwa1nBtJUTNdRqtr39NKbYlU6MZbzl DXv572/nhOL8/L8AYyalNiapwZpVzIVUwafHUfHlBVwrMp/ujh0t6q0N2NwgvtvFDN+CHstFdSPZ k9kEYwEdRKoG5CYVj+iDf5i38COjgw4/4JFRbuu+ADstGyJMqnqoh5vRjCJVK2x5R9wf49pkBXmm jOaeHmOpLI8KTNXnT3tDDg9CNHY44zpuMFDKXqVZiUGv7b3So+RK02w5RbZli6QGP/y9GsYvA2KP U3QG4MqJ6Ee/pL6ATrfrL6WMySqbb4oihUjp8zEgxnLAjBpQ542L8s1raYWXqDsygT3j5m6mUlLB cWSbOIjHha3ll0dSqFet6NKQxQrZr4kk0yzewS03jpHBncjxkDajYBFwTGyxdXWd5CDtLkAXlIRH iRTmM8/W9aisG103nRN6ItCczlH//75c2mY8dblVGamB+6auXJ/Op5x7E0XrqksGb/DHy/MYpDGN 7FA1nLgbZ1anP3WgYMNhfuPAcOrz7P90HwBsGuTQDdEvPLBmHMircQNtjjgjeVTXx3YNWvG4mqQi FUhoOPQk38VALwcvBIaMlPr3wXW61nc34cWYxAdio/cQDKxCKvSPks4jowNsM5kzN5mIGV4sk+vK C4p+e6HGFa+QAjUEhdP49gr3PmUSk+Mu4llwQO7quDjHzUG0adkZQPT1y249AUFCMA95Q9W8bYpc o0h9qOnoU3M2dKKXDFtWIT7547gKRwY+K8IiY2iHvNxIIr++4CvjLtS8xr3eZn5cLBOlvHbY23Vn nAY4VxihBJZPWGMHpE/LswmcYxiaeQgFG6hfPP/r2mD5TcbAdjNDKI6szrQdYp1gfIdm0byypqnl xfVMIQ0CBbeomrz3YV7SP3xHeFZTAq7lBel4WJmctp9UJmYfwAYASm9HNQoSHT7tkgoR1l9HxQx3 b8N1m6P/DW/ohEOBT/KxqqCKCNfpx4QTvbLtex9iJe+P8azLTsF9mg0Xr6J0I8ukT8R3G0RMMwZE vVYsxmkuozs+hlvhpQQi2YPW8Z+9nk2rzzA3lAGU+vnd0+u3/CqvYoumqgDMXjPc/xjRDHkRZ88Z dt0/ud4OsRFJsMWrZ+v0J6B+V2mqpEhCW1ZM3c0en3F4i2LeIzyFb7z/wS7zFvKYk9kOy7Z2EbnA AYn3J85WLq8qlzcRS6BE5pNW8ulnNM092i8+6QN21UsIvuw8Yaz+migq2FjyYZhBnD2zrY9SxLMs feUsakQTrW2muL2cskJOIO6vjtHNA9obVUPzWhirK/Yxv78ZaqsWTN6XEgZZdc3dkq5X+Sl2ebLL Fspx7hAng+UzU8KSnFSKrpDgQyM/MMJF3TBN5zFa55r9CMlI85Xl/lxZ4O/bbpksiuWBgVndrmLN CtY/Gi8NZc0UIWvQdI3mYVLdoYWrsqZKwMI5Tb2r+rNRH1xdd5KL5nkDvzX0QErOVady1+PLa3Ah 5qoaCWGZRll3IZBiUStAvcUejs0sVMcpM2WBmW+wgd08mr1A+XvkWyEIe9XyFENXg1TlwY0t22t5 Vmj4HS+2PCiiBg3ZAcDxjLfnTXX/S8aljc1W0B/XdWl/+cdG9k888yVkHGt1lq4IygNCqNJD88Vv ow52ZRwrNSzHnZljJl/8bpBzScNPfc4IHM//gpShumWn1uPaX1AGjyUUHwk791FvnsXGKCIZRim0 8altuUyN63kvMPEZWLstRvOsw4AP5ff41+NfifxrWSIg+0GpO5sfHV+7bv0UsiQGWGpJGp7zb19k XVVU6e6AkxRoS/5rBYMHQyDAthLUcvlcDJ+4cIhMBGogpkjCu0PbpfTp8vHZQyNIQ2pGIEghFc/9 ZyJBzX7V9LYmem7caTQVf85k+73eZSwG33qnNiGhsdz939KXeaBYf7KUMVqQbasRYMiWJ4Artm8j Ie3cOfW8mGvlY572GgtClF5Qk9jYQII9fpFt6FyM3O0b7oc+Y1tJnDnTs7MIjmJgXm7Gi0SHJ8lT UnYo+FQwr+nMI2cL2XjpGD5hqKQmodDvG94jD8buxS1mRHTRFM/+NzXWCacsjA+9iEpUBcvmLQ7c DLg32bS+OlZ/dzkXFI5FkN8btoCz2Qsdkd62nVDvwWd95C34sOQhu8U19g52B5ZmXnYkja4rHwsq brULtLFScvCqdQQqjz1DXuYPhFo3YNrkMvfmX7oUoCzClVCH9QAx+fAI0INfVI2n0wEJpQZewd/9 xHelD4ICnbvDsIRXCmpM3Mm4Fnmf882oQ4CIsAEf/USdINHDd4gI0zN1vVJMRSW/tThUC23kvUH8 tZUXWichpU4cLxD65mFjKjCXvierBc6B0hP7amdjSUauMUF3ty8H80dMImRo8W+dmVAp+6/4ZUmI dvXsV36XnavBfsGV4ad8URamuLR+MpF8PdYwS6ueBvRJsX159i1zECSWXUdHiqladqzqkcGYCLBf TxzCxn+YandfDNBWvGwKM0dpuLrfGSpy7nFaqa3t0aUzoH7dBDCSIQTiMisYK8hJBSm96F8r20eV EYsFFMurO7tb/UTMSFpkCrmaVgwMwxFPd+p/JwhARAg5APZQVFqPCk6Ec8ASRjHJ7vWEM3AOQss3 LJD4L4xnG0Aaf+ZUlufeoqpUy94nmc6vfR6XP3ZHR27cpT01UcrdI6AihlA20rj5+OgoFwBkFmnm eEM4Ft8X6Nvc5i2Dxs+/WlBGp3E++DGxI3GXmj9BCEE7lv/1Ajc6O9syZa04aMi7pu/umCnwpxJO WC7cZf3DajXSWEbRi8ghSS8DiwbWrvF9qZYWdvw0Y8NrQ9e9tEJnE29jrxwwfA6KYudLDtl/mPC9 nJmCBcg2Ifouv5IuXvXdVcTjuCvJaffrsfHigSUQ3Fg8qZOQqVkMuz+hOK5AqT/a5kxVJjG4Y5X4 lORD6x97VBl/J7Mm2i4sTV1XLpX2FTjrqXehax7LzZyIOCWF+lXe3rrS1uZS3l27EUyurtq1je5t ocJS0flUI0uW0nwIQIFiCoEQbGmZ2yT328wGRQrJ/LQoDbkP58bRz+NIixlEgNwbDLONk3f7g65F pwIFfpq/VGwjivvMFB5IxPossVyOeKDf1hTlWLjOdnckqTgwCrXj78fw+uPqBjBjalhfvO9inagT rWuGHscmtbBUeM70bnaYdyqPj2qIbSsk46UwQcrQEBIg/onJMMq8w4EuSGZ3pN+pnrH3DEuXM3hQ nV09SpbM1QeICEenV+2zb3yqSTWpwI6PlPI9i+Syd1d5M8Fn8MLaiyeWdJ2pc/ErnmHkeKDrsXPH IIcPbfipFQvjZA+21Kl3uird01jD3lLdLVl+q2UvZPh1E8F/nvMYuoZZstAxa6vHsf9sCf/4aEiE zFCwhzi7lbkE1GI4MpMrAY5uPrQlJqOME03W0otN0JEBzktGyIMW7ZPZoQvTRYasWg3XNtTuZC4o SmGhyvn+eGxChKqT8Wo9KCb29f0Eio5NfM3HBU/uIGqboQav7+DwlKA3iMUOB0chFY16+/eBgbHm YrJrZ3iWjqV484xWLlgN6ltMfo+eiwg/wP06swPhwdIJqOD6xiblb6t0PjlUJiG6G4gQwyFjNS2c Mlw72GgCAHglPZVsoHF2d7tKWl344EYFClJwvAHhafSjPnjOZ2Ms1ORpSVgi9LZe4XYa6vCwgG0I 9rus1JjPHl+exsPaR81yHxY2YL1hdpkphfvlbVI3AtcVfbhMX1lEDL09s/cWw3rBNJGTz798Pmey UK/o6/hcnWR1S1QPlegdyQ5TqFj3QLv5MhAsS2iWVn8qjeGdQloZUvp5E3g3w8WkN9CfxaQ++9dN 4rdqUPdw1kcSQHesaosfRyOsDsCe7FZozJZL3178r0ROnk2FXeJhb03z7BrOlz1rcSJ+GlGaNI0Y +rQT9u6/XWxOtTpywyPpwe6BDLZxfA0ssioD+ratS0OrMtvN0KRhTT0ejielgiZYLxqEstorugoB 54QhWOGhZdPfmbArE2f4u2K2p6pkYlBWOkZV3rSkeXSr90xCCvgqB7oGoaqaBPBQa8banA5Fv+uc OntEZlcOyaHeMtn92ihvanw4Qd5QOBiXJCNT+S/d5v4inkdXp4MhMHEZ5i4wuw47H2/qhjaN/DMf vQ4my7PtJJSVK5o7MChUO6D/uzlcSMU07MocHdYkva3ifhh9ByjSYg7YV5aPX+4kCC3MM6EclTbj lyeXmpXLbXxD52zfPQ2nPsTOX1lLiin2CyL4rmNWBXKctB+Oj4I+y/rBMc0P46XnWNyUVl9qf4Gk eaEnkFStBpWBmoqBuWKzammdZMxCOIHQYNGsc01rjee8FUADAn+TyGYGz/+3v4y1IBVZACWobQNs OXqF8vQV79I4uz0IsrT+XzuM2OdtUfVabEARapwWCgKWhgVpGtl2PevTOwlyOR2cts8nM12UAvon 7wkY4XaZCPDo6lzQw5ZoJSpX6mTPETVZLV5qWP0fvvHY1MQCFjrqv8cwg7C9yIeXScF4kHmVbaEJ aebQPnW8fYtUjLPdrDhY0BwgEpVjUOe/pvum69AGl/ekLmTD1j2Us1E4Mvot9ucN+0mFKtB3xPhV CirSCTmDoEZ05rRSw4OF+jFkbdHn0ymR5AoOW8M5QrK2r0ZuSg7aUxuigtLFRQsiOrc/uSBiNjPz ioFP8lK3CQsGJD7wgmTs1mLvOP8O6rYEKF+Q67OqB4TpyosY2/5qGVqdADq2fy2kGrYhrl+11mAE ARIZIg2v144EtbcXaXzMdiPZ0ejCokHLr5RY48CRGNztgMFyBXWue2e3nfyWSWUgLKOIkWvWXnba nGJcPQje30p/Vh45cMBv08tw1DXlGQgrtV0ZUZkpQsNRiKiX4cZ4bSPMmH+9R5vuVPbifzWByBa2 aB6G0tDtDi3/4K3mz/IDHLcaazNkG9MdCBtCatattvJG865k4rX2tBDzos9B/NKY9COeXvYVTpxQ LLpNcsPNVGulsOV0cSY5yq1yLVuGLK/+1oLTuNPgF9trT/45qP1mfDLNf7nGr6Husx7YbY4V4ljE 8FjAYMpzmYGrSgd0bxG9RCJqeuAciF67Gf1KYETsT2VLkzeu9np5uElV4MFpNs8O++1Xh8UudVjJ bvhD1hrtFMQs8O6la0HcrdDQLpP1EH+oPz7JKKyvL/WDqmhPyJXODV/85zc4AM9EjeVNT5tA98XT 5p5BxTgBpFQRIyB8Ps+Uy5esIMW2jF4VMfXMfPxr8gmW45L4zCDY18TIU9h1APiwJ6wTZo+CLIET 54wE31uHP25JQnHnl/QG/YvrmHVoi2fXVXP195W1vgN7HcGDkW8m3fYIdfKTa/gyeBf+9MDxsM8M Pn76yTVW4RCZcCmJMKby/ExLqW+Zq++WYvkFrb5rgBV6CjpW5gk2EDyZV7dhOkxlzwAkOlgFsA7x dpaTZiPKQ+OZentuIPx3HvAiMw6BwFp4sGvejG9W6K7SXxVqkgxVMarNhRmAULtOZCmnYgfbbTrf eX6ZJRe/0FgxevAMASTp8L1Ekf1RX3Q5nvcI0C1IdsBtZhnAffzZOszLb4YyKFUwgglxRdX9qBrj TjwLpQEeqc3Z/s0FD0OS2hp+7CCxpbaNyosGZYnDWMab/3LLVvTiPFp1oOUuxT/6WwtSY6YDmYVB fK4CU0llgADN8OU8ELKUwjsIQ7wAlrcUdYtMB5YX50ZxDkFxYXMV3VMXpzsA/K65YMYxQRu9bCmr G2Ot4DfwHfDkoXLWWPYmgwDKX54JOZOCbJhUfRO3SSvUk4cjreKE/RzglPeykskTCucJ0EegeCgg qQLoQb9cRvoPG5d94kT7U6DJHNzflw0g07ZofgbBJB+F3/S0R8hGuWZ4jRxTER/ENirtZSs8avDQ aA64HMoK/XYs8d9HqBK6xaBbZddnRFA1WM5vcBcQl0IShwPeaQiTQJFbzYOuKHVk8D+N6KACntnE MwsuaBcDPECOMoPXDWEHQbtzgROFKmRE62baS4YZtjIpcCCx55Uaro27Tj+CpMu+bcCcD28MvkDm X9OvFsxgN1O1+sagzcXH/RdyYf5UV0gPqxCC4Fj+nhCS4gq4t7B814+UaCVv/JYxw8Xqstho1GGL 7qu6f70OcI5vN5V16POz+zWT1XLbKHqtj1FLb225sbvha9zLzu68brn2yx37119C/UEyDIVVPNEJ tx2KeqExmlyaKx5AYOY865e1LZB4+ZEt/m733mpq9gRnc3mg+D9qX6J6tkMzMKuzB2OWbCtrebJ1 ZfEvASE23XtTfIErVusAv/CgWBIY4ku0DlAF5DRGSCQwY8NTVp8TGPGkiA/Ku5ubZAWGIUvFlCg8 qe3XNNhiBpYdmgMqELjcformyoqg174ptZe+Ye4o84x8SKdGvQLXABw4543Tkhin5Y2g3ArMwi1C lt/TbcKAqYPyZNCFegdpUCdNOkNj4JRSIZcQ0fHpmgd7bxlukpdtbdP4nKuROH1YJHsgRAyzgvEN O7NHVnexfOwSh0f6bEKhMJMonMBijmcmUBiUfHq7B0GMGsMoOW9j1Td4CGAwW9vmaVmCSP80tzUO Y6sL39ipMzAsEkeGb+s0AAZwdaOYWNpUYMuOEJqj2GgPvCf6BATVc9+eqvBSSjby6zUfb78El8G6 DYsNVBkXEU9j7y3nPDuptSlR0YqHb0lsVIeoPTwEzL0Ci2NbrKyeCLRirVP0s0F5yJAOFvypDp75 DMdLp/sfIiBi3VPvxGSSNTYlI81jKWa46zIiB4bVs+IvNa34cmGsaaSEWL7aUamCo5Qsde7ugSgi f5440vg/B5yppuo7sdp7rxu/dPJVgL3q1bRvo5u68MBl9f7/w93nF69VmVQq1L1x880zu3KLOGfF dXgXJkPy3rawoPcxp6xnYR7XYPJ4C8/g5bg+dVyAt38GpLgBSlS1HQmmaGNpccTTo213MX0B2tUY 38LHON6jX4J4KSJ/1+qj0ugAjmC0n0S82ThGH6lSl6VcxWfiJusuqp6iRMEb9aaaI2tY+Ulu1kg0 kRDYfNck7HDPt7Btfax7RoGArC0GIdGwKxu07KH+VdWjQUc7PmCPggUXS1rZVUU4KVP1AukdLbro EKlr955jwVyhD98H84+KY/pLx1rLkpIrWXCQDXNaJD6jF2K9q4BXbyx4aldyGhMEuUg5cJibKupy Zwmn3RomIz0zx3AWVrkmvhEVk72NCizKRIZKbjCn2ATrZXx0W9ahf2qTt/h+fqFVGRvwcTc8Fl+W sqQhsrcsvkyNkUUtSJ8UDNj5Lenf3+e6gdcbuFQUZXq1Rp6PH1KI61BqOqOx4hkWs+3zBbyGTmyP vadP0vtSBgBFXxM4sdyBnCj0muuAu5VcLYyxTC32FPbzX8o9xsxpkes2MBlVcfzEsLpX8tcaixc5 aQyLyh6FzKjPt+gbubHjfogIpwsK91mI/N889ZzMn0h9hyfiC2j8h/FL0IK81sACkG6wjgAkg2eG qVPZ4U01Cq1U4xQC2N2mbBruXErgDHfG/L2so4KIinQJ0QxLdf6iIAz4LBdsBKzTJxUmknKd+Dyc CnYLjYHZ1l/umBzMGw6CfsT0B56iL1vLgkqlBQRg+NBmq7n1NW6st9a6ngNJYuaQTEwiqsjRcvos JVwMwaeZEP2qF0D4Ay+52EHVr+SXCQsm5AHq4y2IESOs9TEgCBfw3l14K/oM4pWQkfC3oLs4hJD7 ChjAfgcMnhHfvKICLBflCrF267nn3N5WxlLi253WSq2YVJuZauHpXVbNfuyU4Oi6RnOLAlWS6QxO Tf+O99GPGVeKH71QewGjGMCIOrxBCqHvd50JPxGMZEtJC1sBoWF9C29S4voOkE81S8Yt+o/DTuZW RZtDtu4BgoRmOk+5RysJK0Ch1gti3SS+6Oh8fB3nKDY5HJ6plqH6XbXMDYMpp/w6qFVQYs/rLsdB s8ctTO+PWJuhTMcbeoaGj0uS0usHz1L/4ZRCqR7yS7oikIKOoKdI1TIxMKBVFBnk41zPxAjAI0z7 DVOnB45S57mMocY3Ib8zzei/Tgm9vHfb9DMjMWG/pFJb/KX+2hoTrzmd/jpE/soHO+G2hVpQXUvA 0k3dm6q9AE7JD9GPdOVTVdubES7tK7xaIsuV63WIt2VNYL9ssvnXioT18z/sJWyuQowktgIlabIu qwNmOEmbaw76M8Fp9LF+gjoegUmXZoqx0ka/M4inMmq6bNNhVtIxAuEob7QG91rDyqQGk+H69dv/ 1vDUWTIAaraRYlPmmEZ6GfvnqsFLEMfYx0RfY+yufNjZ7hWGZ0UM6YDQmTRmEtG4ZJA/DWiKme5B BkK8I7Sm6y//QrmxTOr1et/7Ag6c3eSNgEcfyhJT0pPrzh2mIrZmACLdRWjRsE5hl0qY/wIir5dZ xvolKk8pWUUW9o7Qg8Mm6WU2GNUgPEInZvu6w2iqpCnpsOFv7DS2EdhlbSXTERnj4RwKh42lO5II bNtB2e3D7QharvkpK6XatvrnYKBOSQjkvfIVXQoxQAU1gvP0CqrG4x+QUhmuT1fQJPCcJB9dsv7/ 1Brsnzp6ewJ77jmtMtjpMO6MkAyHyV2d0BVoFWASzCsV31gHITiq6gwR5nvk3UOKpAtABsMo/r3Q G2vc6lN9tXnowPsVeGL5buOa7bclGaq88GFpnGRidR1QMlCXQmMujFzvE/ptRLALYjzge4pEr4ox 9rqTndr79voa++MdsDFbjWWY+0RvBKjqKxdsQK511uvJ0xSVlGs4HpB3jPFz4VUv8U+vt17qFnBO AHdfGCtn63ldmKciNGraqXLgWtc26iTJoOLBifGZ05V4ZfMxBiYEFCS1vB8cVrKY/eN0+7GbWEAS jgTmSPlQ9TVG2JqMKeaARHXodvx4oodz73hiQSiCk/J/NsWQ9J2z5XYG+kiOZrzbF9d4WdtMWOt6 iwiw7EUuSprxQ4tZVxdIuMWIpowjV6rF2mcWbx3PFqn+bLSftewRc9LawR+rxzEb3tZv2P+6aCuM c9w35NSaWZw1SQ3JV6bBz5Q4hEda8TzHCWbkyAWWvpZrwkx0E7dYegsjReNNMKTQY19QbpcjkVVI 2KqP08GMYeltuK/PmfeMoz4SF0nazY4hDO3E875zi2Fw898uzkevVB2+zyh16p7Bw0lrSt6CSB6F fztD/V5Rhr+zUDvgtuGMYb5kte162tAKUQynnGTXFAZ4TQQLIDgPHLYhDyZ/cA+ig7FoH7vv85j0 J5yK1kr9I5SLtO+g4McpK2wGdj1TM9I8knIourQqOIMDw5PG6TBK3g501rsFnE2qDAj1wkDvOwsO cbkFchUl0Thp6KUx2QnRGOiWpU7lLAqZvHEoViAd2SDrOaYEKTMr9KB06K9iMGcfiO5J7Vg4S7Co bocIQRltkMwPyyqshtfqwocmamywwB0KptkTc7oOaOuVIa09Uffky1UnQgagaTN59jd7b+R7ynnZ 6CgivdliH5hq6qHwcvrLnRNJ/ZDrf5Xn/s5LzT+stzXSZrkukuEvjc+p1oGDqeR17ZaPJMoV3ffB eOgKKolgHaQ5V0Yn0uAZFDeSjCxu/VwbW7kivmsrXYEuO9j4JigDSWsCFvfAYTsmUCsMNWqvZQso ugiwhKu5LSjLJL8YkBzKhC/UncqN5IvMIQTSCNz5uNoUfvt0O1HO3GEQYx2YgQ8c/j0NLTQiYrll 9MQySvaaQ4JaCMJbAdxQ69WH2D/qp/neEL6AVLXEvyUTu/5ZyZZ/Y9G2kQResdWvsJeEho1eOFyE 79htuP5UzhJKbFKOjf+B4GaqK7miTDQagwiHsf2KTRBMi0I933aLHIuvqd/RRhdR3uQJo8nOldhg UyIi9Eg+uVbR5mNgHmQIR2vePKQ/1vB/AsrFCNvCkONuhHWyYhdMxrQPj7UIE1lexrn8VGC8rmao bNX8zGcLNT4nlBT5DqCJxY4QPUU39dM7quc6TRhMkij8aGqYNi9KF3UlUmL0W2Ex2A7TsLY2yqBt yKyHgbpMVf48cJi4LnSFQcbLYVMbgORoyB3rXzSyzQWMk2O8IW64i5bRhDsQOOGns9tvWPIRD+uI 8lPF62bAmkD0kSUZWJzwk190NWKokW95qw4rGwQzxFckNboLQHJXoc0BsOCexUGBvI69JjNeezXI SML2d+Kx5X+LKawIhgFwNtswKVpc1d+C720zKeBDSo43SSGovkh1TIai7gdSQNksATzE3tKqSgPC /d76CkSroEfgJif1nVcy8X3Wo4zGiv5/2kduISczCpgUKuXvo81WMo5s6/Pm28OnukkzZzdcSX2x YoD39WgktMNRQtRX/8QLHTvDnGPLxmDTpY+N/A6fEyPTurjkMawF0D6KNv06UCDNLI04k4oSec88 aT3z2Eg0RECZquPeLF0AJzLWY0Ue4klJGmgKvWP7R1QtPDppeE17KpPWV6tGRRDmAECQdcHbl6Nn IL+lorKXceoN4IgR8WYKTL+D81gPsNJXX7b3h1vCobDvhIs8AxIscyjuLBAozYVMZ/p+ogiCzPfo yf2QMl7iAQnA92M/c8tRuWZQrfDIg/04AD5GnenlT1ASquvI8eZe0bKyMnProUwwXcRdMMO/5s4n DHGQfmJhuVfx+JZ7hQqR3ERuHzBqgEUq6jc2rqQMl3c78h7gsYmQUEm9a3Fhpu6BOkRLWlrcra36 VWmbloShGiAYvLaXlZR8cELEApZzn8RFu+EYlqN/qjHyOgTefoodfQ8R+U6muHqmoxvhSETcSKRh 0BZwT1G98geHowVZJ8VxZGpBrdBRxwj0+UfLxMxh2ww1drC61TMlj6l57edtUgvIEHsWvO/GELbs UZj/orTHJruEScqDDcwdcGdVdr8C29hXbEv71wVK/EsZAi9zhCxBlHUasL5fGkaEu7KsJWv34kUP Ay0xCl8Ov8Rim3koDsg7IjDF708SLgPm1hl5sSlbSSztwvFgq5DN+s+SWmp3jpjNutgRIpK932Qd Sh0VhSyr6iiZxpKdToHnyFQjN406+nxFz8QW/qwSOf5O/+Pp1UUqRI8JhatZQaedthHfHTPyb94F NPmGSfy/a+vPAQkxpkGbW4kTnGxO6ENU0oyDkRH2OApBwf1qTnFVovkCemPjBzNd93s2hFfNW4OO SfbGFGScapHtazruH93/gEimFos2G3LoRX7NDD0MPTVvfjYwPc/bZZBTVeT70AU3+aW+Gz4ZpqOA H+FIKPAvQvC0mv6yWoi03RJx6O3srqOtrbM2I64JFGD8TGdGybaK0zb1Qg01L6tGerI2duX22p7b H0DlEhTt+Xg2I9r9cr4mPQ5XF9ctqTkdy06q+8KiHPoP6IM+Rfto7PAi0DqpulEQiU6HXwBMnoTA m1Cm3ffezuLkq26pUyV+IqyxlGLd6tTDaPAyYpOYYH/wrZaLu1CUbs6vLmXgVDxlp9DaTHJjaUte +ji63k8Ey1/o+V2rSkNs3YKKaSD441MVJd65mAaWEkc0xPaAQ0reKU9V34vUlwqjsTMXeJxiHCkQ iK1w5KQxXw6Gguaby/6FXddv815NJ4W5Wnz41oMIxSsd5UzSXCenZH9k/KjPzQCIsBvxgmcawPMx gsr+1OGzV4noX2lJWwnPKYEoG3xN2Z3DuB4e5tKMxOQdxBOJ4NGwQpVOVOjJJXLPOGSvJ0zSCpl6 Wwm9lOHvJ0tZmpazt+kMBz8QCKBkRAx+zlqVGXN62OQlfRStc8DOIvcaGSPXVSeDzaf4Nw5gD+yE qzrR637fwyKxiQEgdDxsTrrPcNdO/spPdiNvUnT4utHpMGdigvhuInT0Jes7NBrmAkyd4puQiVyG MevNzeSyqbbY94EeoGRQ7uKIMI1AuIVf7Wt68f4j+GaEarIHXpdo/4MtA/pLYzYn4/HF+ipzwgOz rHtztJ5dAgcWBWdTRbwFjY3R98Eyozfr7NTbAPfeluJHffJPushGX5OhTlwi0yHdA2spOWVDVZt1 LSXQMo6IQEebJRLaZPAh2ca9w1O8VWRj1q8fLDK88Yb+KjVUiVcwdqgf98ZL6ga69LosXHDKX6c8 Ii1y8jWvIa9ek/DuBroJYvRcC+Lj0ehLWceAex3ZAYszhJXltyW5k/TZ2HRZI7oB1RNOyU3etdrh miW1qpWuuDuzJqSTxu0j54FJicwSLD5PQMaT3CCrCfpkBemR1sNMJYaq54X3DlhOjY+oetlw+2ZC oZGstCiaQc0q3SqpLS+zjD7qYewuQNofymE7wBfdk8sU/ddIflpKC4syZgrG3GxPGgPfJnjLZ8j6 0Rp9+CejyQpRIQoHENSpHFSy9hwx4A9nHs35PEXwqcj7qJUrHylVF/QII/2FbEykaHPKj53pvuNV y3y7ukSzM4Oob0gnvU6FPgstzGI9VS7DY/q3+/0j1MN3/zJ2D0CPyjipKqtZasXiQLCeOLoQPT2w 0EIJ+W/REnj5m1Dnbj9d8gZEJG6WFqoTfTOi4gttkezCdha7pwnUXKZJGxkcndQd0G3pinLgXtJ+ CVcpAL78Q4nS9BdiVlxd4shgXUrz0ECGn42g+EZtIM46nED34x8MBpmomfIVOP6KrpqDnFfR7B8T 2vtZKXEFSggdIxpsgEAwZASA67gtain/UIuPzdOT7EpRBPRR/1Fg/R8hUs8/ZessEpGuj8SzJ3J3 Gv7PUbZZGxrDLoIru6azFpRP7XvkSOhp/aRapWZHcVdUDxynCc+L9DT445vtmlCHNvdHakRMu5Mq D8gSNptxKE9UUCOpPd5xfcY4qpaUQ76Hfx8Kds86mh+2Y7zPjJwNvTP2mqIt25lefNox7fA8lyZW 4XO/ckw7AefoWTmZBoWQRiZ+srBXgbG+S6OXLKiEhXkoZY11m0sCQ7Qb/+jm4mmplnf76qTSaIX/ 8Vda9GJ3Pp7tAFIrDuYskj42rV8Pu6nKuwgIQ/kXhn3t+ur0cKWELUwcz0fqNWopjqEbMuli7nzf 3P6dNcuZQQnfBqNWfeBht1/dwwAjusXxHdhvg9JpelfSrE2CyHg5s5ik6U/iX601b0/YRztgFs1Y bKcKLCbDePeQrp5/4JLYEX4ltb4KzNTBt99fJatg1JYw/3kviuni5OSo91F44nT9WTBSYdg6NDRR NaX69iWfyi2TWvh7bytJC2m0qSKE25DWkxQqQESMLR8EtyclHCtRvAxIVGqrhMWbiyr12BG0tYkh vg7ayqKy4wxwfC5/Y6Ij08bCK0e5JAyPNR+Np6es5aXr/7m9vuUcHg4R9kV6fQgrOSEti6/0dSHZ HR/6qHMRV0KCZW8qpeNqzdkzc8dUL6oFvYv+7POMKgCkiAmbI6Tm1bZhkH1Rqwg925Jes3BGgNoD IKssz08tDRc6Gdo+rtX7gtSWuS7rfDkDffJ8GgWdbUhYSZRvfFYZieLtDHJP7nsEWa2p8ZW4a7VV ZWSKJpGdkYMgxoITBalW5I97awo/lqa0I7SMSUj15UAH/QKCW0fQsJIb+DWl8Y5Mu1Q4HtrbdmvA DmJK23m6HrEj6Sq2mP2BkivYlX/RRCZ0ci4GtXOH+46vjqaHgi+lcDLpX7YyWxoIC7T0FkuyYbtZ n1df2yrTr/NEH1vku0gkqC2yv1Rjegk4ed+yLrzlrGhRm1RCM/QK6RlKkHWG3P3AJyBg9IP82MIC MGPsf8PTuKvzZ7ZH2GaM1euWhaGQkmRgdBvI40QH4dA11k8Y2gk/a6fp0sGpmKqmgrJ8ZDqp89or TosuCjOJ9ojnvK1iOgjLsM/3gYm7MfL4dKpzrF7Rq0vsn2OS+mWQJLDDK8gtsD1vCamTVjZpKcLK l7ObPmDsy49iAONR4FJyoeDWsxBZKNeetSrBNDLI4bKslDq1+npQ7pXgFUaa8R2tZLL5dfnkDlQ0 BWH1RMzZdMIOEYIa+SsERKfbMuqFbzONuBm9/EbKwLzico2YXt6IrPxFFqHq2I6d2YoEd9fq9KYH vR5c5vbAmDHmgTmVEO+r8/ckiIUXJN3d+DmS14DSwMnydH0Y3cCSm7ZDMR9do+hLCCSefvz6Im0I vFMQw+ZBuXxNMKfOIlqssOBdeoUSVLzayeEdwCTtAKl+wZRHloAXbAvXY04f+qghIoTWYf1O7Jjo D0GWMs32tn4/CQH7TuhM52U3zskKkAoqOeKSFQLL3YbW5h/i04ZITPyjZtXP+LfX9NLv046NTzhZ Wdf4RS+aIDkpvS2FOU3urTD6utgIT9z21aHc9kkvhlOzDV7AeDR9/FaXyVre4cpvwbOhvjJJRQdv nCw7JXvatF5+X6qmRsc2vbFYlWAOfmNUZzJmiR8t7S1803REnHoVSxwd6x8LSgcqcB3zHApLEHE9 HDdLesdBnMUQqJR3LCoibChDgbGMsCvLSI1er6Ph3BK6cJLeYDyCpQcmY28w41sdtncWpuZXDkdw t4jGAXHOFycHpMYmfRmEBAYiyoO/A7AbtFQSMzI5xKi1hU3W6wCQJN5vc3xs9/kzGqX2OtgCuSYa FX6Wjf2/N5Z0vhLuRBxTTu743PP3lV37L02jNbu9DDSM08UfolZxntwmG/8PtIwRPwi+RmKOs634 rPFR5WBR+Cp9twdbjO/qxMyhhnuGd4Xed8Ui/xNaunci47MMG+T8t9L3WKitK+umJ8R1hewF/Cq+ VjanQqIGpGgVwKV6jB2K8BBHKrQeIqn4YkU8TUnq/Fw21jdKzszUM5DdvfN1bgNvUVMAyVzP6EfZ NXYC5HV/8/jC11+yTAKQCjc+RDJege/nVIzm6VsPU4Fh8Jy1K0jiJYf779wl+xnv5faRj4dRObDA ocDoE4a90L3vftKCIJnvcQGgO5Q1rwEJAxjxDW2/Px9/LS11281i2jprRwBFB6OYR8oV2iNo9X+s WF6JRHRIXNG8W5k6PtrOpDkphhHYjDI2j7javdv5CBIokbpnbfVfQZplGLLFp9avvWhXxuH7Nqk5 L1Gk0FwvkqFidMgUk3xeF6whFrlzYWoGACqABu6emuqaHkgCb2GdGUIBnLd5UsliJDqMxs4iLFZ/ fD39/WnyIgdaMO2z315l3fOCNOcB7oqAOBJSj2GVnq6BWo7JKt2dixGpP1bx1MKkY71+gQktM8EE wT7gUx5WUN+BBbhGH0cAAjcB0uEijZSc3Nnq7S6f5dFi5et2TJjwhDRZkL94hSsvxMyXZHm+1y0v fFmdCOZpALFYUF1mv2nBH3PDGBrt4rIYwviARpQiLFbeLXY6+O90rTOUOpTr0nCaO5pKlUbP7ebu DkPCwh3EARXD3pJVV18+f5o9rP3srLl50w6d22j1G8tf+/R1TCJjLuGy2Jdf1EF0Sa063SLmZ3xo AHOq/f79mmhqXBvx+f7iQIAwhIFWCzX3XAp+tw7e4NwSeDWX/jyAP3MJE95XizERhY/oXw0x9rwZ g0kzRiRPPOHmsqgR1BXp8AbDTGgDyaaAMWvXwHouytnn0cRpmtII0hdgexWnqhyywOSjcYU1KyiP Po6itdJWsJOx5V+RxxXdZR15bNWOiRvgupOX8Gerg/MfNkEk3HLzqKrD8PrIMMpxnuW1TI42snCc BaVHPzuJsfp87LrqvZzkFjpqEEmZHxJFVRfZlur0wYoOPmdHSL5AOLzwJ8PFt2O6wkF5KWlJ+exW P6Lib0XZiSFfFahTLqaXoCT3jANTFIWBRa33loSTVE7zn2fu6CodUQ44Z0wrjDDNHY1zcTsZnq/P cZ4Ptn2OfwiTNllZlFaO2PP1FWqG0iBOBBsqpjCYEy0FYhZ8uoDsp/5UYVoRIYzCOyiNJgKY7RN4 OGeUnxZ9t1T3e6ty+wRpCFZbnX6dTCgGuYJ6eBTAbPm2ZCujyvtII/S71MfxBTL+Ch1gl/p6+W1v dWoe05L+/QEDBC5uBiG+I2OVN6P/c8ds7TA4c/LgcSod8UHyjWbOt+cr3QGTyS1/iVRwLckrFPeU cMk/4ltnfraWvwW1AcXzzKehpSXoafUbYByQt53T0v/nEe6LEDlNcbu4g9Ke4PgJKnanGS9O7uaz d9i+XyFVHKQl8gw2Eth2RN3ZdrhUVUrscBViNJW3yfMWqVYJAW5dFyfHemkk4p/iV6Jz0xFS09/1 CJ+hdrdLv3K1WeQJoYGGTrY+W//TFPaNf1uMZq4LhH/NskfNAzwe4hqi60k0C7ALE3TAG4dgYgx/ tuucdzWcau5ODnJGYERwZdXACn6Cod48S1QV4fKqADLW4k3CA6p18c72YlH8pysMa3ydGH04S1PM cw+LV8EzSJD2maONz9YL2cGMxFYnhNpD7XAJGRic8k2BgZq0XPFLMrdfHDkbj5/m/WndyLldZ4hM qVLjJgW0RG+QPtxiHZMiNKttTVvlQ4yNujNvYdoWSISdbxlIVN6k83gYP8J+Oc57Wgq2oKqM2CAf hye9k5nvfO3ZXq+t+aKjGjyymil+ZYmxJauY0YoJfBWYg9nMCfi8bJZwIUzRE2+vE6PwqI1wyGy/ x2yWdY/F/7L/mL96KT4mCgj3JPSUVJdxqYApQE54JDFujYhiQHS0cS+r2eQActvlq5A9GDyuBF1v CKTeATHuC2UMNnzB+SaJp8csRVkRlFxxh+bcbuYgZP3n8wUMBzJ2suDk/MXhvTpvhZbx6JiE5DgQ ahVaSezEIbN0cOiHPJ/TduZlixiee0mO8UCfx410vUyjwqkSfEoeca95KiMFs6AjwbHlXBEJN5wM ut9vlYfO/OhyN94/w0xMbk5pIB74fhsLFrlHfucpj72ItVgJ5ctXLIb+9qegH91zDzWUicZdmV2g B9GFegoVb2v3OUQ7DSDTXNK+Ix+e2awXPZ4/6iu6alVmAxTwXnEj/12WsLTcThlqhIVteMjeYNRR 3J+tfQGu+tGmdb55fdFWN2GXryTQPt4NbSWzCFcpuCvd/Ok9EyiPxTNsdyhEf6Yiaaf9qb4qr5MV V+Q5HyAABUzjaQ/jDQO5LUu9adrid45k5UZKmk21VhN83D8JEu33uk+RJwTQZBErE4ehOc4OL5AB /PxKhGUE9h31rk2S7pngoWliFrVGmAcCvqD3BxzfcLlx48mtgu6PIjugfhgiPEuLDJTTeldAMmYE yqsg8mbUMfe56yC/8TD/9N/OeTMb59WcGuRkkqy01ac8iXrXX3JpmVCfz8BqNZ0AF3Dv6mB7q/lu hynrOa8ubbyhgtha2cvTdtxwS0AEYi9S1hhE0fDad9274qCm+/oRR1UAlqZywq+iNz98cRmE8Py6 hE7PFjYB4X5zjdE1ErfKlfpK7GBXLdRoiTv8vp0g17TruRFoOSkrcOFO2OLE6D0y2+IlYusQ09fH yPsgB9c7oCuleNUm/xwKjpxY8kVtx5x7vYW6rTz0XTsKvn3NeM16gzph2R8vo0gmY1zXK4gEejZB S+TC0y98i8ePY+pEEvKGtg2MW210BJFXv2q+yYla6VFdjr43rxJdPMem5gwcUzhER0d552euXtdU d9EzJZNma6SFHJeL1lxec5qdWqw1l87Nqk9xKrn4OhCXVND84uSIiYF2V0F4q867fYG9H7BaoDWI x5j0SGYHtCE1WVU1+f6rOjBoWnrexmv65HBDQesVkqBimL8R+7G2xgVQCNo/4Q+WHaqH2cTtGdAz NKCahfok5mojGipZpjth+l7SLZwkABCobSzNl13cCJAjz/pz9vtsHgYcwGeMw90msCoFBxi0beE6 KUdl9lfw6Vdkq5QdDSrDnheHA80gotZLKKNKDGE/OdiO4FF4w/1VqVZPtTxyX6gdYhrwv+kMHTuy /tEKwZ7XrRHfft8QAy5LifCmwoIW+cKCjfilZchXwmJZc1PSjufOKHbl8PWsZfIl7S7MYXwyP/tH fsiUmzXHW6yibIfTcCgoynQ56kNdk7H6Cl2QZ8ecBqBuMELcnAWuPDoRtPh+/HTalUiiJWGeVvk8 XeaSkJLa8961uDfKKPpu3QNMzbY8Rq+uv5qbUZUoZHApoVkZMdCaiK2EWhmYy9iKtykjgVQFpJ/m K+jq5cU8eRBdJ4DIF5bvj0VipNMFZdH+j5/dfTLoAs0r9V7dF3+FF8fKqSqdPXpVLIi1ZVM2mHwD w5iRCIWiaY6TH2Pw5GYQIg1ejIMIBzPkvuVugKUio2dR13fdFq8/82UQUXsEW2NP/GYBV8croq5b BZvsCw3vqiHoi/lE10Keg3DbhuekOnCsWr+QjBQC4tYezE1BP7Rbs/Je3poMGFe/AeRR+TsQufUT NxTA62NyVmwCzuo5fqHMKGxPsPVVnZB6BMktOnt9WoWMZXqz04lodH8MXrqXWOLDSQJTxB47s0eA R/zGu76SyYBK7qftGjh3YPdOTWEET+jh7EYrSl/FZJIZGnMOELyRasWbf3EqT9d7TAjaoEPwcDKe 1B6SMx0GD1C/ivg2qoFPCqQxaEqo8B8SUUcNSvXNA8YTBT/GXgmLEKVniRcv+MZC9vFPeUGbBmP/ afMOCdjuBR3mz10RNW/c2Nkv/mzDzWjJfzx/CNsM82gXz6MUSZnfoJ0TTVnXR5HrEuDzZ53XZIrf td7+e3A4XujxK6+Rmuk89/7gb9/AXeUBzWCt8vbM5KTUtC7JKgy6kPKzaJSIr50egvDiUHXUl5Wo ZnEn1SEEY7F+sY8nB5f+yyMnS1W+edlQoSi/HdnFbk4DViARUOOWldY2MyT4dVKRxPzeL1UE++lH Qh4AtuWgBH+1gzMkz53drwbAI3gZ80v84V/1cuKe2N/Zk+MThY8T9paB00PYB/bWIO1BiZp1wZ3p ZpEO9YLeC3kksEZ282iMTFTpMlb5q/nsvCA2f4X3LS68w7QkA8fy21ILOXGenc12kiEx9BNoPQKo 3nNZR2AD6jlH3R2zWV6ZvZo6Z4iSWRMOTGXh5j2nv4OFAPX+apW18Q75ztwAbxSe+RTedRk34uQ/ ohBulfdNXISnvnm9qVZgkVT7BGenNOfSZeG9m8mCOGvoiKRqxkPsQNguLLlB74MG0dpENLYtG1Rf wOtFtPhW/cjIZovV2NspMfDxTglIWRj5rFIUg4ZI5DMiJPRHHVWTj6scOhXc8lfPsxGo7Q9iN4II TMSsoN0VSOR5bbsT+K96o7itbru9g5/h+4RIOL6KakOVjdyf4FVC42CAa8R9cr24BEwLTmaUtFqn VcLwhp5sqbMMQfn+8XM0LIY34YmN/rVahZ3LiBl7/g6VVuWR6I3xWfOPEvHunApP1MeKM5jrdF20 Vc3BLY0nRbfzkEx+hcXqIXJNwnQPtxFIhSIsw5WyaPD3G6PtnWWeEW0jgSCjSqPzanlR9j11/ynh SzFPhp7v7+zX03/3Ie2n7bXoq3lXQYror926c9rRlrla4RkFba6FjWOBPcmsKYhg/vcSWCOhdywi kdiLeiR06BqELVnQsXO+zp5ug8keufWSH7QAuxJrv1MkDFhTTkxrfaK5Mfd+PI8mheX9OUuoV7ZP iswfm0r0FBYDmi57I+SnuIGlLk2AluJ28Nf2pjq2nC6gbcQGqx24GvcqpAxx6wKpWYxznbq1nEC/ FQBoxr0UjwHE/3zH+QylG6x+cUqHBqI93IluZqqpBYlF1FL7/cDlLREjXyUa1OTU1U51tDy1jeYM xQn3fUKjvAwQ1//sMfluFL2jz0DH4yTr9Xe/fLmhvWHsjNsKU8T+qFfLYJaPQytZEAnwbAR+Va7T FtrbUGaLhLcUkROIAReFjrrSJRQX6JoXr11LiaB9j97hXsnB3FjyGcW6ja6mxR+58qQDZrrnTPr/ AuS2PErEf7Vg2aUb2sZjM1SKrTWG6c5fAtT0GFunRS8KmO+H1aSILAdgyp6VG0vjsU78RyqQaS3I 7DApyUNabFAgSH8br9QgHMXXqfcqqZ8vteUeR8ApK2s+vMpGGiqe+cjYvEq8Lg4dZXQa72uQw0Zo Dw6ulaWGcZn+daebmc3IBe2KE+76iwk/HzGy2jiYHp0FyhfHKip39sLChCHvrbjyK9QmuWKCSi07 DaawBYNQZL6GEv83Eaw56JMnyXpAhKUE62jDH/P76xi11htbBEOVTEpQY1Xduhic+RGtiXPIQudT VTR5BCXRWH0lQHvyhi8bAbDEge2pndXXpEEMMB2W3PArG9MikhEV2C2/4AIayNIH10+INCB7Z5y/ MKE4wYQttqfuyEv636pXN4H17WekuW16+OkfvbsjLBQ9chU/4akMJl6GnoHlPtq7NubYDTpN2yra 5pj2YSQK6jzAW+6d8CDEIzHwHBso6VqJxoR+MYAdmnE4nPhHp220MFjBT0nH/CtCQRq5k9dmxvq3 Zcw8iTLv35MY4n2eaKZ/owz7WVzXpzPSb05Tf05ogCFwO804YzDQ+wDz32f+SqKE79pk6iyOxSiM kxYrc7D8ard1ianweZxLf2BRt1CwAAAFrKsKyIJgR+a5ijYJWC81NZvQI/IVUlMHhRdj2etogHbr 36OgI2ed3Fu+Vu0F9geb7N8I4avDSX+MNQDb6UzDEEz0Nvfgl70ezB8rzVVe2ooGuP3B9rx5RXv8 mSRE5HjvdjLEQZ7aPv9+jbPHkvKRi2e2nNROAoDzgHzerJShSmmmNpzLyhXCIsU4gQKVmVOTOVGL CZ+0qd9/leDWAkHBxmU1GUpaklztKTbZWV7rWy8K7dgdqFgYubB7lpYXJxbeFTxxioLMt22VTfDU 6Tgap7Jx1zmQFq710bIN95reQmKWECg4IUrYC11QKh4Xa0NWRziC4g7HQgW7EhDmAs4jVIZ5bT7D NPz/Fc/Au9VZN5H/kOdyDm7GOEAtp2T4hcbZUY4w2dapfw5lIUlKKf0f1syrHVvgxFTGIudPgZ0Z cRz336utd3o3XtDzBKjlV393nVlyrBtdQprzumdKy5OqRA+tVS4ySTK7LEFa0GzPOENWdfKlizr5 G+CRBTEc7glnAPwc1SAOf4AVOyHHcaF8Ehj9ds58RY3A/+EkmZZ5KczDaCl8YnuSwHdpumzSwn1Z ZTYzJowhRiWQsZ34Kft4BiNAlywdwH6qZbd5zhx3K6XinLyNheRFDDPVLvfI3CO/9Yap3i+RSy9Z gMdRlxTugC5x+SjaLd8g882gGXAJLLkXzCyuhcUNuxDsM8GRURN+HD7UmqkPEXOdOMkRguil7Hg5 Wh4A0KZx8H8NPmaNgVRfg94ToImn4bvgf/xpE0Rzs7HmtczKM7rknOPYEVoBjvjcS8ZiUEREpO45 5rD73Gao3nNs+zvwn5ThAvUnDnf5iApYIaWhmpuwT2OVHowEVJDt+vkJGuIX84KbNMfMVW0E2UkQ srjLn0cZLPRsLXWL3ZlJwVPzsOlRMi/oVqQE3y5vDan2qD3b9O2ss9f0Wvo1jHdSdzHnB4hb83EJ qTOnef6oeASnBQ4UECKUU9nzKGChyGKm8lkGLfKjv9ujUJLa9CA97+ju1pwq3S2K2cHQxiC8YmkC /7kVXExsqEx+2nWyjhU43PrkurPmP1SgCwHc9uvlT0/WTuCnS5nHDMvAyvEPbm3OArENugguzhLO x+QYcImR/35gO98Z0WrCClk19yUeLW1BiiHXh8RlWI+ReMZBrI5XFLLS7rp45Gnbdrld7PJtj5a9 MFXgTCK4Pz4XzUxBMf4ITutNlrYvVay2T67dsqEjtoMYIU9B3mKk4l6hgW4K55fkoAj2HCZY47rR 1zFHrcur6LImj9FNLvMlBq9/hUYLYCpb4x6TM7wopp+C81E5YrOIj9fvHYByjA8vfd2LD7h+uaRK Pe+BELh7Pu6jESm1Ll24Q4r8g9ZEV8VU6nhUZquVmn/hTxdUzJm7C2JK0cx6MHwoKrl4UG8wP2w6 5YQZn8W6OpwGj5RghZvITDqeZOG+0X+axyJERJScUt7IZoQbvlXCVqG1ONFc8jy5/2jV2/kn6g5X luj/jwOXWBdZgtE2IKl8UCmHFbfq1bDEv3Y1hjxj3Zf08BlJrfcU2RFJLNCX7YbuV/xSTG6nkECe v7ZKhVcjoXntTapOuaFHW0sSbqjU19Dsk5mZW12oVsgCHRWKeystKouItKmYpKQiRcVlR+RYXvSv 1afMdlC2mFgV+837xzW38T4l7NsVT6m5XFMW072slzTj9bAAB+3Y6DhDVnUaEDV7xWD2BXqpCwRK CVHFYPZbWjn75gbFYYLQtnvsNeZR4xoCuS1s4Bv/vGP5ZnU0MNvVxUf5LOs8poDoX1V6iSEHO6Zm AD2DfEdDTdNACofqAkiK3RkpNov3KDqoq3X/lkaqfq82xBmr3Pp/FlYTo6CfsAE27R7b4ZL5Cd/Q 5U1rrhsowjg5oanGjsEpE1nfQsiXUlNsUmzUOYmn+7bzDydDIIvBfa8akM6o3Rt6QUB8f7CX4RbD YVwCGafUiCijXOfoSC7V+A0iTDjwcIVlOAnsDgo0lL6FZlWOsXVmisN420tFKt3CsfcyZwGN+S6n JNEDDQ5fhZoStWrYtwXtjAPUyd+UabsStsINR7PaV/FsZRjn2y+caKGsmqIYXggef2gu+6Yw52e5 BCZ/AG+OKeQ1x6zS+0qYgFd3dpcfF1qEyfDeMHkaaIsD9I9rCfhMFQ+Hp8JinGHrETOaMWchRI+D G6phwBOHmGiMTJ/v9ZsaUFoN/Z25APGS0ogIP5w6DQJpohvDxycqPR36Vrsyi7xyzHJ5PaG3AvEP aUyPEM/hzfPD2BZw1HcRtGXopfC9VymPqlXarSK1hthn7llSKXROGxe2iZl7wq4mfk5sQoWQQf3/ Ndfzf9Ifokmbxhl9Q0bHAWBJb2H7MFiWA9mCDfTVHbqkNDswzgzg1EccDU49/mw8CeUAvuU1gfag 87CQTgLvtsqpH2cY3RBZoy4GoG1oifl5BtWeqMMuRgnWHbSLJ6hmaty9gdfJ+NTYxvpD+NPfZ6z8 XQ8nBymcWzA+v3rgEsV5W+eFrc+SLlKKUaGcxmNyaSnIu+5y9fd3rwCg/uwotGgDJyeHKusG2gGS Hc7HsA5iMmtbxt65H2EC2RH7bN3ycONTTlNwo6SnVZDfpzADzBRREfp+PFDdzJrtpNlnzIK5onsg pBBhaavMx9u3nvSM5d1SY4sVZSRFbHaPOoV/z5Y20X9yItfpXIE+KpN0Ikjs9KwYqvVcoKa9R7iR IoD/VYSYpZ+PUTu9yLatD1MbWA+MFwo7eGHHjs1vyp2oAJWGbzlmoYb/xqvDWLqrwxfyTbpKfKub Yz8UeH39FaQ4wd1Xw+ybJL5exo2ciL4tP36yJKlZ4or9roOZrOeciRKlg589UH7JX04Xah36fQT0 h3RIf0kUhzpMMDojZj/TmkF3FKGY3EhbQcCR2GI48MCKMFduNafWZaM4mcQp0AL+AdJEqm+G5OOt GDGw2WkttMkVLLVwgWNp23qFICZJqe+aZ0N699keK2at/S+dK83BwHKjvQYQJpmZUvfKJzuYirV8 V5JsxYMq1d68n7r49NwXzZx1ZJr5sjD5OHcJXiQ0PusHcrRmGO7I7vLcPmmK0RTYrI0L2VSjj9R3 4pPP2qFCSe4+Byq6Y8Ltw8YEqg9qnm93RvWUA0J/5f+0QOWU5tlawLoRiMOt3GL1vmwzzEGru+pY FvvShU2A5CNHYKaQPHGCz8+9nXtJYnAXJj8Y2PIf1XdhWTybQdOEFynWhaAMLgDoAeqxmj1SDJtG tGp4TDglsWWgw6joj+sKe5/wD0gMa0zkeCsErNo6Qefqs1W1HYmhyl0IZ81hHou7JTVYu7ty1/ED U9TVdBN7hdh+p8NcIhaDYyzhp4SVMZMzc+/1DTLRs86aVeYSHlahBVGuEv1dh4ouCJvVjVQZztFE QCEVouZWAbVZ1FYzgznE2nNzv4jo6W4f8/QnG50K+Y47iJuROXaBItteMO6VPKS0EgtPgJQafRh3 ii+y3+IuSqvWeD4/k/QRsOSCHlyHOReDmPjy2HtQjMnLZO9JJfHD3McPZgHzs8VZQ9DMZ1e6Kfmi wvENNiLh7F392wdq4y5pUzVtEPjxitN5f5CaW5qZwk8MIX8wVLPHxe1H6UkoYlVLPtkNad6ngdsq m7DiSnOv0dneQdE4AOCymugUR0D7SZgyGOqwUBMFXKuAZ6USdmq3CIzvlBk0tIGwQCkgJe7Mzwqq TX5fnVbkp7+uKkneBtzyohJ+V+Jg3dGpQdAjHez+18kqnBuJzeFkNYllRaW3QyC3zOLhxW63Zn3b DSfDvRX6ouqwJktSkrZjlLHGrjejakoKDqHIyJBWCC2CQrylPm36xzGjtMbWY/K+X6IeUo+fuHaM NeW6PaFabayPMb8b8uedXnA1iU4MXL5rfqWqfL47VYPIRkvugCp9qtLCDB523xMTc3F/BM+hlq2E 1YI6XTeTFoPdboN2tn0h61BB/wLxMgMvvFXvGbuHI3bEeKdXpnxQ/ugKU0L00jKS6P92rTXkmqPd jtwT+hkCvlcaWrmU4SIcyg1FOpaMO5PCGrzPE0XHml2xncg9g8Wo/dQLiDnrBr590bX+Dkkyv2Vx N7eC70o4k4ngcMWXIDxcr8vU+cfPvPy0xvVd7geK94TOwHjlrUo9Lb80SG6stdXShLEf/vR6eDqp TaOmOCdHqLjTsWAbJg8Kp4tbFxuCAfioqSiaFKmsIuIUxnAOEJWpy9IVSgPb2hYFr8MDbeRxYJiU w0bkigdYgIWduknomUg2vMGhA6zPH70UjC+z88f4cXKhB9HnOKv7bEF0uZ0XN7ZpIJHyiJJKArKx 65uWGQs+HJyqdXSRX5ZR91UYWWzR19/IQfbKqjL5ZPxLltTbssR26c0aYWkpD8R2fTsuvnoUcREe qBYAMjzDkFGs9sTNPWzID/dWXIpzssrb8EpQnoCn9X8oDzVeRgi1mz+59Qlrc9KnA3Fsk1MMVywF g3ocUiYrcpg9g0Z8e0zFsqUWitIJ5+V+ePHQchP8uxXhkOlD0EejNNLwR1SIuB+8FK4+ASozJeVI zX9AU87L9pKtfSlDueE3xtemzKeiygBh42Ni7+IwMLylC7GmM5GoijdV3jdqtPOKolydpvC5E1Gi +2GxdCzV9O5sAyqlf+LB7+uHecCss7Z5wTzxRe1T28dE7tl54viZMg7cL2CCsEFGTd1UuTnz8wpx jnFRqSD3U0uKMBcRBWgSns/Vr5MgU+1yEYYOdQ8DFpPMxZSHviYNwndzgjfJ1Lsq5gCyM1SPBlci NC07omQ8YO2nwi4M8eZIoE7nWxurB8IbWD4KTU2sdsENZO31LSMzuHvEqIT5IfvQwDN5ynaQvCG9 ULiSUSzcImqWBfBbF1uNaz/KWwzrwtwKc9X6brDnfkytacMRHomP+lqW9s+o/n+QYdW5yCjAqzdd xJD0XvPlUlmQRjuqfuuKT8gHBYplHjkpcw5Mji8qHPSRei5nyQzJU1C/ZTbkmtVq2lSdfAUZMTQ6 mUFLuY/j2QRD//TPK6jdMHJVo7F5Zum/iNI0tVVhrJkNQCmRKhg+yNkBD4L/yphycquZfpx5u2hj O7p7qpU14IyD9yYJAdOqtIBwXtdZ0fzBnlKiP2xZBEZrkmQwIe9vj8d7E5ymWVRKZV5YFszCqeHM V4kqU8JqHmR5gzYBWwe7879dehbGGmTP7/JJbi+M+uoU9wZ9RaM3ZsyExKA2eoNrPmCS/vDWY0vN AbGEeLId091Xdorzi1e7Bt+DHJuk7noxv1EvH9m3Uur2IlIII4J9Uqr+ZrprBuCoe3Yz+XcjPmN5 nFIJMXjkfPe59UZuiWmhPcg7u/t/WZbJ/VKDViVYpgve1FDk6p2EFTfKpXOZWPj8snesg8126yi1 +JDg9nGbFhgxggbiAkrn2h9iJM4nhdjiFxuE/8A8GlfTJTsG6waYRBqqmkJKXLlhy21tUP1PXZh8 qX9kC0DuR3kN65bzB2VPzRGQ8ciEMDZBbYRiJxtjbfPa2+OBtU/kFwGlopwfnnN4XvvcbwpveJYu AqI6zmHWrghGGgt3bSsNq4wKR4uSN2xTUYpctB7E8hwbZ8SeZLemiZ+D44F+hYgAn1PCzn/hP9HG kkxqDTzTmyTSTmIiRMfOCqd3SyelG6w2JxzrlD3Sfikal2okX3WxYSuoKikokBjLeUuBPKucfWSx UTIz0klbrZlzgyw05nMHiYESJB659lFN62LsRxXpkF6BES1kyhRuSRwYHeXlMqVlALQ+IZEVlOai aLwm+5SbETtANgrpfkRcMFWke4mm6DgvplnMsOdL1aegn6wpQ6NIJRf0W4mosZIYd7EH2UFWSz2E A8BCfKBKS4zWEz2AuA2Udqclnc1Lp/XkckG4jhRLrwM4Fj3sQnCZAldBnay8iBlxMA36SDqw71iA MS7kxVSDub6MbddAyTpOj7IzL13tUoBsWAbXT5DRCxtvmYVQZ8oINlwyCb+RfpjAG46KhPr5gEQu 3xqkwqkY0n7EXXk7YrUC0Grk/bII7cGbjBhe/Wz4xGJOdIhm1p0cuFI/YYD+gLP4B+2yjFvGJbPN 37ynsg3m0SKwDI1yPTWm+wj8u2QMLHHiUt5dvXPI6Wd4vRbk0o8lMyzpjfRjNz7WwlKepgaLI1HC 41OkVtwcC7Xhl7uQQxiOG9dnBNKnjPv4xsLmfMCH893FoVzRgUgXE606o3HiC1rtowSdsmPOIAwz gS5MkE87r8+IeG1jd7n/Sjp+j+FzoEyDqnQ8+jxnJlMio/tW46/Zg/Wk5t7fuJ/lhACfj9wUDc0E 0X57aRS5oy5Hxy8MQ7yyRjCv+M0eME3Kp1Y0BR7bZdraisin4/hrIrzFS32QoGXk1zIJAqQzfGl4 vAezx2aIWlR1epzXCCj24Qy5mDNjQviTc9A6Q+yxyQDKHPdIWJ1pIBFvrPet0T9U7yQfLdWDCKvs WbHnuFiJzMoGF7UC7cE5LMa33Xep6xRfMO67bqT+ssuIylcC5/HTGdioDV+RYwDXL4kRZXulQBqx R7WL5FJs8K4DGy5D0igiWnHoKeoaWJJRLuDTEQXTQFhr9Bv0orp3nCVTl/yiZZ77xdVI39U0vsTy yrwQfg9a/C3iyf5rldWuBKz+jFysm99Cp3NaOm22wDxM55hPpyzu1uhoAKnmM98E5LUcB1p60Vad 20Lrz6NM9Em1ur0Fh3GbkgADxtM071s79otGIRbWiyC9SCTBZFEE3yuWklpm6XGpxYP46neRZ3zq ccf/INULaJZnT5NhuW7K0bL+JI3dujwq29wBpuOorYao7bB55ytZ+7SaYBWdmDdbuBhAEPpuGJSQ OFyA+JyFVtyz7ADtYXAjmU7NS6miPQwY5LPvkbiuxL5ZAvxqSKUelEnaD9HfEI4sXoeWtpO2FZPa Cs0j5cMcxD9DkrEmbMISSUabUR9O4Dd+XkeHxiz1r+Je7JAnCBvtQ734PS9EXh7kkTt10mJyeLAu nFyvdYzkw3s4DCXGkr+7E80sA1EjaGUso1yGR3L3oxFBKFV4ysDbGVNGVC8VXqyPrjIdm1iJBNzS dhT1uTVzWmXH1ou9sRWpWMTiTtygAt4CMX1gmqEjqk6J8lqbymthkrmWuRqt1tnoUuC0sMjl/EPX PuIqyLk1FSDT13oLhTcP3ey8K5lfba6wnZtLEcL3cXav1U1tXAhN8bMGOEYenjUtZETMVdQJQ7oG WvESLpJtN/oQtqec2ZBwnQudb1JWK0eikuAu9XISsa7LMnlmODGWMkjtW6AIjha1TafG39jsin44 imRXGTw0lbtym1CYoKp2CfkjEcElrgMKcmON35yrKDu9esvt7yaroauogTe5bhMaIWzTaap18Vaw REyRH6XJrGQ+8DkFVHbwmHICPGKBTZ8iVoHVFVlkVvPkPCwLUkL9gyv9pDTrRc/fQMEvB7un2F6x RiwtpoH4MaSyNDZcHuD69JNubpzNZZfEYoGCbckmjke7tqOH/M7QqSsuduQ8rViotw+gSgRm2hvh 2ftKXwBpeVG5cmeXsFtqIQDppRC7knnmChrqtvyADPWeCan/25ulqALL8+4PLmauWsuNcIdLg6OK 74W1GdyXwTLiPIwMIfrTHHK8y1rRgrKA+byED3NOSKk13uGqwvTyI1zXAD5tBFhzrRjj6p5uTy66 tTPlhe5Oe+bcr8CNcdw5RXuJM2aNnYrUPIYtaZSZ6/cKvC9zFPTBJypTRgO8weh/knHLk8D7FYZg C3IQx0p+h0gU4saCfGxHqN+Q5NYFRT/mjZD0Y1B7S1dljX8KzSXSRYvQGXy4nD5RoeiopMrQ9rTG KlZfptpPfuJE+4F7WC8Bx1pIH7dcVGemrxclAyk4ruIO3eLEpr2JnPkMfrhTi5Qx1UE4FI3vFD54 xuyqwefIGYCYdxWGZ0OvqfW5T3BzCXDLNWnZ/5n3U1gqeYWO+YmpZ5F2/t0dTm0mYiJ2Wvyd0V2X t20Cwanke4KyMjgdb5MyVAooeIF+er10z+dVrLl7h2cFjgkqUuGjpquRLgGcDJef6AEb7Da9I0NU DG4LswdXFX8MmDhsGsPhjcxT7zD2MDuLHKGdW9sHzio00H0qgvt/K+zo3UPfLMwd7XNFmeUfgt6W b65GohwTns1mlMRiG2KyesNqkaNTLzVNS9kRfzvoZEqseYfjYOHYRZiq85XkX84/wZIrW6DafSKX es61MpbGTGMlfwxB7W3F9UMJilFbxyrCPh9NqKlHu0MdB6GLnwi+xSn7ENbSv4AfZ3B4UWpSdzdp i1X1LIE/Xa/WWtGr8iamxbc9cb4RshmyzvulxkvDKeDxb88Djamw5uh4CIDJgtEa0s7jCls29DUg 0elageu5KO22fpM7gzcT+EKcT3tsdcQd5wVK5iLPt8daWr5xw+UwVV2V+kmdx5YsYE9SFTYZ9kGQ D8yHNyD90pNcyYppOuYXS78Q9VcXxiusuUCEmbNZWLAz1fYSXrYV8fV8t3SM3D3EBGWZ60uycCDO 4Z/NSRDi5zF7WErlFSHCzBaWhvz+zHXFEEF43bRY6JXPnmUzP86cI6Vd1TaL4SygKJltqJrsLfa1 2UYUeK3E9g6HB80smGIGT3alksKSkgItuC62a5HpFsFYA5EB5ujuQVs0LFH89JHIeNTdh4K5aSXa xuTsOckimGZrNatm5qXuLAJeYYxa9glCG2aGWYAeb23gL/dh0c5moAepBOoi5SrZanZL+YH5hY6A bt1CHkc9/M6TyC3UMUvbklW8Yzxz/KYtAeMudWv0OTFUlO3hd6JexVJ9qmrw2IH4VxSN16M0+pFJ c4LLscIbVPazvJhzTI6OCWQtmJHv7r8oBmpfwEfjIcUeTBL2DSQFBa0qnDtCa9ihhb4pOPcdJXtS SGChERxoyT26AAoB60tze/tlxbjSDMD2aE/pJ3DZAsDJIzJKcScGg2nKT7pmWoonDi+sNluyA85r 8pgIrfym/IzTOV97K+04+uIPMDdG4O3VVQQQ4r2O7qcSeX5rr1ywMJJJE1SXIr1MgEAgKSrQnjxz sa3jlOLBD0q+jxPxq0HYTsg7hFBGsI4QX9mctbFQnLFPxDcx4PCi5ZivjpvQR9y2ZrXkwt+2Mfgf sqfzQHrEcx3n/5c3OZpRAATkddQ3YdZeQdPe6QMKGMDIdsPM1ARWqvSQSPe3twrYUDgSzqxVDdxM wrovNQ835CqMCwKmAtdb3z1LKsTaH7oo0WOKCQBW7aAMvUOs2KoOtPMy2k20WVw9XxJwWI4AvrHg 1WYptl/OVntCLTtMFEfB/RdN6IEF7+0216lbP7H1UYjbVNdpiEA6o2cRZO28osYFfpMGM9+qq7dW 13ADogQmhb9t7hKrTLaUFsbrQRWw5OMwwgqPkSPTd+ZV96h4ucUomj4Mr7REPyrDcOIEPY3wFEaw h3xxzrQcoLU83ijddGzZiwus1IBCoi5LTBBIV23rbRE6kpR5MxfEDDOsYlAja6DR60Aeo5cTdOz+ kNyYvqlyzloCiH6OneroHfUBPuq2of8OkZIWkaOGjdKOdjC8FlvjrjljWmEVoXrnqjdqZoyfzvkl prur7yCT4HeWSt6Z2N4pd4BOg9GyBVu3DzQefTYtbojrbo7r2qkjk610qb8IPsCnnFkzIr3k8/oL a+P5XhyFCFdCO4lM8/zW2InbbIzuVDWjLamRokNg30AxRWNco7JEULkPYjxF63BeXoJdj/TnmKPR Cx/B8+mx0OPz2owGrpXenZPt0P4zO/RNj2ofdSQqkFBimY8ByPUWNlUEhQK24RbzD4ck8btgFny3 07mcig4hewhUDwZFFeSJuKNxEEBKjT5I3h0/0qgEBsk8ynQj5dX+eLRPcXp52nJgoRvFVvIUefh3 fiM/QlmWdJcxayXOOIUxnhtQsTfpAgeFJ3XuUHXtzFWo8orPPMwtCrZBjpXWyNnDaJk8ZDUM8YrN DROnkJYizwUXwZnwMSvGh2ARIM+Lq2D9lGejpUujWR8ApdzNeU16VMkaV7lz8i2AA+jtPssVsUV/ hNeol1fEioZfkMNEbiOk/7g0Q6jd7r1tXKc/zR1yeGIUcpSitFxRR4VI/ZISgGf7BoNMnzgnyv7G sZFEXw/13cMnko941WZy1GmyhWVNExaxFU+NDkLXIo6tQ+bx0nD4MH8Rvce18iAtZwDmxXiVqoIC 9/CjnbvZO4pOlrbhaEctLNzYP0S1LZumZHnpvVMKKFOEOmbjvhpggfK5QpgmRU9NJ4OqT+RWFgj1 04illoYkZ2Ue2QPZJxWnmMSoVfZAIMr6zsbAY1zJhyUjsazJCldJ8LYdGhFsbHTb7AvwHNqs5yH+ Y0M2c3Ws8lrE4kWkaLnQZfILuc/nuI/61wR7O7/YXPoXeWNkVRf/UQmzxE9OT1yZZdZBO+jBUIC6 58ME9YRyq+hZ+AzKJdPIxXKiO0IS+D8opoKZkMcxR22Xav6S9AYkbHY5ZQ4FZXDKKeqjY3aJ8EIW fmbNHb+EBSS+H5eASYeiRoQ7CWx0lO81LCkXaCCXFCjj4T3SYK+ZfAYuOx8Pe9rb5SEEwjLl3WoP ka1BDjMMdA5YxIkhff9R1pgB/xIH9FEk6vuhjkR6PMsdWoUvX3hiwMN/ABdCkujH3QalACsgh1wR UPF4GkU8pTq1EcjGB9g43ArgpgIXBRbiR9+Vf8MSoFU5zYW9cBsSBICb5/4ExHIeitU2s6PljY2+ 4loXeHTap4i9VYuk1Lv7pKzpRzUVGL8bV3TJ0SA1eVQha0/KlaGQTcRVfmLdDOK6drIBZlH6bULL 6Ozd/ECAk2lLhnPtkcK1IvgqfOd7DZUYpMXm/vSp7TquDHjPe92kfJxNaI4KyXoGkKac7pwVGcOa RcAfZn/d+uB99g96gpfq521aSmcEkZ/rkJKVfLJiSoRMBKVguxt9wwTq1OB/CVt+7B1+cyJt1biA vmtPI4tF0Yk/23G6TLSEPYoGBNZTcS8ZMGVYVcUOS3VK4nLGj9Fu71lD1GO3/DEv7ZGXbUFbmD5n YB8PtHo2M30f0MJ9TOo32zI0Emoik0IPuQFoOxUlytDOwhTonu3JkSTMgmmtUETzbqcu/hiEGPI3 wSueXDLk5ovKWwBSA7/dVQWgxlDv77OjEQY7zYIB2H19UpweFdJmEF7cx9RfkQEdFyusC1AWdagj 2/lryD23j1lu2ZqEnwlefj5QRGx/bebheEEfB1HWVHIYGKaOGRFTpnLIiXWLmqFDsc6gqAkZwojr Nt+ZPPCjVdMDsFijt/uwJ0mPpqhQHqby9KEuXpPYeegraUtsMxGFEmr6WA7jioguHhxMis+n0PZB qo7cV2P1FtMtUfYLURlH08MTooeL4OEo0zkCfOl0kM+OEQWWPwDi8Zx1ARYO5SeWW4v70Bm4WBA3 MpIvrGHWrW4ksZeqcRgU0d5Blbo24xxlFBbtkaiz0Nqfrk6jZt4wnRf4zzsbzGVMNsVM0bqJ5yqu DUKdddNQST7npgDQj/FcHtbgA+RuScpgQ6ys5KunDnw+3OA/FbzpSCoXSt6cF7rZoMHpCrYT4hlZ 23QF1peWKV73539IPiiyYhGnTfwmYLDuA2uWpgzIs9my2RrpPjHtALzAzMAXoTQlEnwMlZWcNGYb oFvp70UNSGyFHO4bj+J6ZH13V70qRLO4SHc/503YY2+Nd68I06osRhhgW8Q9tF6Z9m4rBnRZCUKA 1j9yJZqn5qsTMuVC4mpxzceuhvETLUb65z7WfAsLb0zElNIfpxW7S/DhYkJTt9EQkPZcCk7kEA4h pzdAjQXLxjDj27jSP272wjdGNQelKcXiieu011see9H2UOQWsJLVJ094X4yK0XYNAkKk34cR1qYa E20nOPmTdRAfWGZeO4homtg1P/9w0ZYfTrMA5DO2il7bWeZHoGV5n71MzxVyojVxD1PFDLL+uL20 j5rNwuBHMQbckIgBXG0UT1j6VVO5GBgyMuSLE6UDOo9Q/7zWdjgHaxuEDHgwnhyCF+cH54+03851 +FHs5YmIeYJLAa+cngFbvCwMgjWTxbSyFTqZDsN1E1mblxNjRmA1NKd4JgTCfoIXJbA0CS6caqYZ OHNM2ooTIFx6HI+NFRnPWHaoUw7kolLiB5lVtXyPDLKvJdLF3wrOxBihzwJZfcMeoVfoLYbGJF7Y ILkHMaaZFca2793SQGMMCNj97Ewjz37KYDotpCDSJnL7Gw2IxqQ+oyJRo5qS/b/f2hNnJo7rQfvN ipEUB1V/OPr8Rm600cj8dK6ryqUoOxxYxB88JXsckovSN+K3qvh3RCbA+isVBRav2JhqqdUTwfv6 2uO5m0Kf+Zq4CLmjYsgMS4ErH6bW9KseTqAcTkO5P35ak/z9FmZD4NLkR3bwwkw1EohB1DWCFAzw FD+w5DIC2mU8WfM0bBISA4oa2LuCFtA8ZxkVLAuzf1bMHoSfYTiwB1RlXZdIoIcIDv3Ql0GLR1dw bqg4ejUTP1OsnT6FhcpiSG57HUEhm+FO5YA/n4apUpZaO8fQI5EnZL3niSqRZu4HaEjqodo0Q7ui QbT5dOZIXMoo1itdJ40oR1Ezx9kWMjZoGi+Oogq2VOcLgnTASoBrvIstX6mjDCZ3Fs6XcnlibqS4 WArUwvHmV2dvYWfguPPC5gl3XChjeWbXuXsWpK3NH4M/wlIY/sOK/Ns0ZvW3rmEJi1BHXNA7LwtT QKeq8EsDj8Jz8glCUeHL+Nt6rZCWt2QmwKaiS9Oyx+rU/0Kq8J7Qyv9mAjhSrgUzglUGdLu9gqht 89HiG+V6b1QiW4eYHBIqwMtC9O5Tangwsi57AtTwa8GDr8sJUkyjYhNMZGtzZnyqWjQ6gqwJYBKZ iOpfiCQuuwfx6fWGJSuNz6JHd9Q3aaC90pSK5413SxR8uDeJWpDahoxLtKHoKRxB+tfoYmXVlsqp mQs2Nu7F71ucRAM4QOCk9hXh6WFBhmSFR6+fpNx6JxGTEkeMjCqB2Gyy8ktSjhNGKmT986i9qHb8 oRqgo9ohraQpPEswPamue74sjBqSI+/6ddWZvLLgGFFUowIC2F+sEx6rfnqn8Z1iV4L5O79yr2QW jRcQLvJKilwUVclhZhW4sEoAoAJ0w8mAMffbieE8ktgl0F9ud5XmSCfBwD2z13J1UvjQ+4MMKQ4B yqLM2FPBNciSYzOKdZy8hVuRFMUT9L3cSila8TuZQ9jW1OPMMBy/vRF8RchZ77q7HX5+Anh9WV/9 f3Hn4kL38OuqhpxzUzPMp0K2bpDSuD/1AlfEf/tYfQM8zTMqzSWFUw83R02Z63A5GpNZCCR/lFVE XnKHa/+V5+G1JvENFJ5uJ3RZkmvEH04PDxAbJQT0Mb4Uis2W+Tq8KUAlEWix5Xs1XMHuy7IAv9Hk t0TQ0jLf0Ie3qN5EbdEOybhVB+K/KkRonmoPXi6xTPvn48keYhyG2LrioxJYXzwtGPq/ZdxocKvS JPdKhk/k4M5OTsnmtVXOXfP4FF+cWUiE9e/bMP0CGSMysHS3fyoq5SqpqONohAq4SWe8jEE8m/x5 kST58y8rJ6skZe1DeTB3hzmSknHkiR606JbIg5quLSFms0ECQNfy3SHH+1Dl+aOy+nk+kfyMh5Fl Ajb4v2I1qDaBim7gSc2ZHUxNFOEnst/CUkwjGXTI3nGxs3Cvcde9uevYDvS5lDeFFenJ+pP1VE2V KRYEDpW0mZK9gsdrF9bMUpY6RKp9Hf2NtX4mTu6hBypYPwHARjwtrAWebBQSoSelX0TzR+9JTbMz GAG4lvWnnCVRdtLkqGGvgMhT6qhdtnkll7AcJq3amIuY984EWjTb/CJFd5m3tjT6eMXyYuux9FOJ biOvGZbTwzmKzFX8FycozVm1APAI/H2YVi8xKx1yS6X9KkvJMswA5K7ddPn85gXvk9L3BLF2KAD4 wrK2G/P7bRryJRiunTDx02e9qYifUZWCijLSXSdnvTaKwaMuXtkBdpZqLn04nQdO8uQoO7yYxStO EfcyDOS4pS1K4UeIn8nRgVX5fYiauheXjOXaFD1nGdYn3ZV8y+5jUZfRm0c8he0DeOCXVKViTMGW dpg4P4jgGmkN76L7ttjR2rjrl9MrjGTcpaJ17O6WCcS3FcPAmE8wEgKmH68hjaT3vOrutTNHsz6D lUetije+flA7FYHDTo9I9xfmoET8hk8meddWG3o2Bs19ZuA/x/+Ccqe/yOixsXzWMlM8HqwCYKL8 dMzNv3V671PRjpzdrZ/Cho5ZqFlvWdP0qt1LNuIXLiBjgoFZWtwoRDiijOksw9EWEjeTRHGaOvEF RQtaGxG1rAWmhAjFT7DfFToLmxH+HtOjSODvg08GM/+5j+vZNYtgIeDixK79fZ2R+/Ez1k0MCLT8 Od+7yvDpMzMmZ96KXIHK+cP/yWdIUYwbgIjuWqTyAqZplflMmPhbN9diiiWn25DEpWoTiWlpMmFG IvpVwJwQIH7I1z3qJmceLyV+7qggmhJ54Q1hVwC55WJVWKSeId3QPZ9nUmCUsXNAS6Cj2JIL4elq qF3oGMkprH+Q9hSzqk7bqr5DgYz1pzm9Yn+YGJ1/bgrRqItEBqWjIWJwEgmccpr9URLLZmgRY6bX bw+MslW+5zDYxf014mubFXpZd4kPShm42rOkONU/1sZEzhKc6dPXM9u+S5mIf4lXxf78PzxzdYhB m7rxuIZM6nTSpaiCs1nqDHlTEWxY/ajJsAOZRus86kOTM/2PVH3In8PfrwdcfwYtNsMVVcsqswan oOs3DmO36aSjJqlmmUT3+QkHSXAZavz9O/X77pvJC9b8DxPdmq+SNAz+lg3fefzTFdQEOvSYxbG2 XLSyziysie9LsFk8psC3u9IWITvWyF1LUG9O9NklphGmLYBWIhjohE/ck/VlkU2qoiz1rIyzShgy m061dvxRr6oRitsYslZDRvj/L4U386YYQLgiHD/Xo5eoszpGmRAkukZ53bDbhMntx8dpCzWI/TH9 Cui8tahrO5KGK21jt1DpzyfOlhhobPBRaj8CgIn2S3KmWHybVi83ON0kw31s1wsosNgVLvsZqNEw ZSn3U3mHcRa0XbiGNtPScdaPqTV+mMtDoUBEPMKlAGBaEJSFxYvl752wuR/XKwyFz/8n+7WyvVS3 quwCDEtP5fNs/pfWvAg8sDhW8IQfplcGfwwqx+obEt9Fpdex1o2A2Ipay2YhpsnUwVudFw1fZNz8 DUdCrKBG7w90S7aGll4E5RTGfp/5CoGlLDvPXKkPEL1LnezP8ggprLRelyhn1pW6WeDBB9fVpvKf poYF526vg3VeFUZqjXrkXJW8vsEkMZJxbuqNmyDCtTjgscD2OEs1y0+MiLlyLjVWdvamZy9P0x2p DC3NQ3XUSsxpDGWqV7EWz+agycMtlpJVdHFpVm/DBjoG4SG248efnTafjoW2InKfLunAK5oyCtDz k0GvjWXtrAWJcp/ra7YUiPO1vUT7pSy3UkycePy+pc433i0kiQtid5ZD7dgUBJoe0rxrXMPvJtxx 9Agohlb15ZHC8Rr5adpefBzcubCGVCCVaoSWG6zGM/tMHX/RTM7+MBYKZiCFmg+bJ3CfgtOr//oN WAeTMpScfwT45MIcreuEvWativR2eBwJO9IQyksCs243DR6OxrtPnJAUFiGEMm4bM+UfOnPZweF1 V+smRqMYUyAeZHZZzlalmvjV/kXBybwQgqTyuE+LiTMId4JBQF9sPF7l55A68eP2k6csSVZOIp2V eft00VB5NQTSrFta3azoAcI9UxSNyUs0W5O3XzJ3nOAlnj7cWhvRKwAFZ1yzTZoJLCqLJqyED5iY bV9tSc/nlp2PHrjfFtYiBIY9Rl7uHqbjSFTICagFkd/tPhhR25WeNOH4776rnqXy1ETDpH2TEHjn rkmz9Ujf/HWpv+F4b/E47NWXRcgGEZ5m70lNhdcvxrdI/FqBosQ2qVvh1ZU/mrAhWS9BI9dPKrv+ KLa+Y45TImndImoFTvAMXxbCElEK4dOaCBBwlyTVHMdpZsYQoke4t2EsZEMdA9j6xLVEr4JESDCn AulmW22Cs1p/C9lk/bLLjwM9fhYuTbsSCPD58uSVAyZyFr+3HgKgcCZ4zbKa3WmKhJYLsD7oXUBl VVGl2SyrsFVXeVJJ34rG1Yj3qttRZLwhjEPvLVv0WhOcTiCQclloIlk2JdSdd2wFbATdwJoDi/kQ qD0ycU778SLaZ1PvYgYaHSLtNvWK7Znce1R4DH2xvSjBBRJY/8j53q/ZQ0W2fYsKanRz6BMty33z YNsozC7+WOB9d+MofyQVXUHzYrdqtkNOAFPLdF/Eh1hqnFCAOEpiVk2d6kzAaV1lFMw+zTe+fqb2 8W8C1GOlUzWgOn7k15CRVqR9pYSLioKwCxhYXwQhzqGhujtk6Cl54HN502dhBVoviUNgL/oMb+CG vlWEn8w0mslwV19erWo2fXuI7p/oJawquDxYc8TjahXs9wJvKByVMKCKFmonA6fyFvW8DEU8JRA+ bVE9ch33r3O9DpN0vlkukknk3u6wmXwu/bs9tlXtxamW1R5W+6xk6Jw8E+cumP/z0haamFhdBMLY GoqTkNO110n6+Ii2VkVmjr1bts1LEXvCMMCKqV7HS4wjkUhJINctC33wrvxPVFRqP/Y5s11oKO3v 35i5M8fRp+QRQGtS6ISbZ9q8Ew29zUFjL0MY9Rp80cP2f3jciUP5Wo+BC5deABedNCUVRXAN0xPr ktUi3fXcMGfJvNUoeNR7fOuF3SNp8saSf35Ss6w8Uh9HiU5N4erIt5c3x1Zbnpk1G66NampJlWVj DRLp13KFB8WqZb/kYpqJtYw+W5KO6/6VxvdCWdScnM21lmfB4lDNfT0igwPLZceAcVqu7lKGTkMj WVmXAdsTmXktTF4utaIRjpFJHtEbaRnOmgDnBfOuuIjIDO2MdfHy41JjkHSaz2fAdX1IQ89LIlrH UQRVD0vXeRgNA9+MN6xqQ4q4FFzY/YxTpVKLhnCxsZbbiOJFonXZW1SvHUrvsl3p77P9yxcO+qlf VoZEJEGfN5oHQfYzS2qSWJOeZfUOZLJQ3l9Ynne9MqRX3FuvCcbMcDWWwe4fUADiPDFBCcIeo1GU GDzeaVTfFFp26hjg8Xb3JYRV6xj9fAXC4k87ZpQFCt2iEvzwM8ZtV0+5oNI8/GsgGD+YNvUFjLf+ LB9kJ7OFyV6w2JiTtg94e6kR8xppwoGNlQ3rq9BQa44LDDUo1n6CwCxhBCLNVu4ZxipiPurzTgBq iAD32cTdvoQO28qjQXRvPMfV0rMU48re+erG/fqsLaHM1IMKMvD2lOO4Mw9x45ltkCNrfN13oTro fXxjxMy3a9rzYu1WwWePN/l35O9QouFlniEUq9D9dglQYz/w7Ab6cvlrgY8dNeusKFw5xl1u1Hlz 91iVd1fWeAIEGI+GpCO4mbHlPY9UyK+zdkXSV4VzSMvaa28mmbBr8h+tdyTEfc2GVnvGnASKYXy2 sHqnO9pzo+mKrkiVrJL+EBiJLQOsfMHPJCy09Qs3BAXP8o0rgL2H1nLMw9UzubWO55ITKq/dGyBT XF3iopxBFEm1r2KKpr+45CasxMe9/VYmehe8QDe7bmzSQChq2B3ju+4ZJfCe1oGD50LW/2beZJjy /4b76hx1fbJ8ACYG2XucC0ZEZ0d8w4cZg9WsHHGCdFQzMWW0UdPYW3lAQ5cc9vvEW+5jOwsUj4z/ HBQPOrOFJtMw27JLosLf46YlndkY1H2xZgsY2bKmwMzpFTxv+mug/r60nMoKiWcQZZ4mbqBeaG/K jG9OTFgEqzLjEzpJhk4Kax1IXWOZli/+PGq8WGS5Bm37xEj5Hk3YDp1f1nWkXxe2fWcMSXCdTxEQ lz/9QdBDonFjRPzoJ/iJKT1FwuhOubhBBBtzS4PoceMv6S5lMF4KPzNd1xkWmSLzCbqv4s1luxkQ 9lvkFLIRDQSqXnzqZRrjQkWnN2FE3Bu/HtcGMKjYJKQT8OTGKdl6/a7gYMPiputxfobifCZfcqCK /E87NTuP0x3qRaoe0D+WxuHdY07e1tD1NqtvVLHNxTUmCXhJAqtv0ha+WAzmDZ6ShxvyS1XNFTYp ylTgKMnKF3MOyBWAM2iVHo2FCa7qEMBnrMxJ+c8kpW998S+ukSHuUd/FOJUuV/xGH5ELIxMDXsqS CCsw5xOhXJ6xsZYSAgdLZ9yN/eR+4GNmg8itSNTaHfKuFeoFfJRjN8zyoCWFPhLgjVcx0GHQf7YM JPECnH7XsL6Ue3ianSqza9PXaQ1ZJFqvW43N/ceJ3gSpNeRSNGu7+2ojT4WBS/Vp6ULXxlLH48lp iPUvRjnKrMYK8AdQ8n46KdDD06oDVkNpYwHYrtOCiqDgSkH7afV0vpzkdcbB9InXMss7tq2GZjSC L5vLpBxOnFPetkY/wTMXjzjnAhhQZ4nvz/vE2VrpYc2RrJh1EIVuXT2frPy5irMHEpmKDXzjFP0G 4SoUhHcg0NT629OdPfMZ/ogbE5OSof9ezZ0P+5mpAFQhWWSZVGLSwmdsuwLGVby3OWY43tB31Ruz EDeMTtJEGNK2pz+qF/LYPokVEP2CPelvF0pRT1QrxLMxyBleyYUYFm0kkb+ljdbYeqsMFyFzyGqw YWkvLDOBvAEJ8Et6RttVPwqFIQce4aMLGu2g7r3n5VIRO6x1CqcnZZu47WOKkRtTNprcOhioDoHy DkmGofjYhtStR4SdUe2+uZvITIl5SRqjJO+VPTPAvVCGAMWxucJ1fXUttHaDlNhHRnK9bME2TbrI OQhZ2n7YByKWG+2nfJmu9nUlaLjlscC3X4ADFRiQlBBb9BZzu9LZ1HCWsPQjrrceAnyv2SFpKnrS TecVCh3ZFztM+BYQl2UT5en4YIpU2JlBmKWTF1aFgAafJ/RNegT6Leqq1ffXtWYZrZkd/SxVGsRO hZrJvQ0WaF6dImyqldjjcUE4QIcfg76wzdvkqfRz4CM3gwxOIuWqCMudtqXsNWZb5/4kALTYAq0k Xgo9XSvkF9rvUFtKQIa/8Gk5hui4bZ8+rNUyj0UwOl2HLLUQVNR/O+Q6D1mGffeUDd6knCWhKNZu 5ce3jfrLxELIRsjlNu6se57WO+pLFkhgYhKM1ISukL5EZlGzaX9rgyFdg8w/FJxPDt5jFApw2PDY +koZVz2DRfpRYwM5Kcj2IFVsy3ip2f3WlQsJgcC6z4OuIzV0o56+7yb2GJX0iwi6EpJlNXDVyIgH A/ZSTrgW547ina6Bg9w35aDN+uwz/Kbk06JrDv+9AGHGfel8I02EJVqf2mqr5CoVXkUvedKHozRW h1G8rJylrRRobGIJvegCL3cppgn7Ffooi1jVV1FUMMxlfmL2d1xCkJKr0YwjKG0/VSWZamPgu0We VAW2fZkOck0fUVTtu09Qok4UVldHMz+9GIlvrazWDu+StTH4Yg1e//excbOFGX73sjIHwkDjRtMy 9MveDffc2c3yMCtFTXr1aMeopT4soCOUQVRJnkmhzRfBDx5Dk09GvY0DsHHRKYcAj7GUkVgie0t3 yxzonowtrLsCBI6alw70ViCMUyTLIh9Np6gJ4U5i5YAc7ybxy5a33CwSlcTF8L9MlH5y5SKBYo2O 1lGuq1kiMsR3kOBKMHaAnJpUEdt5cSBi6okzPYdokzi0LAijj53UdCaCNl1qXCe3gRzPjMIMH4ZC aB2NevSsQWoF+dNZHTboOEob5JkwBb6Ubr4Gwb5z3YeEA/XX+AUMvPpDCliXBzQTBBw9t0CHdsWl DbI6NitSqIObUod3BbFowWhMEimMAJVFZBgSUzjL3r7rVH55kVCSUH6aQTaBg7ZjA0X93ks738ah UIM0Aoz8tkJvmSVk1JRqRMKC4RLhSTtqU6AATVfoxbv0rEIjo+P+nL3lOWfM+CiznMYyhy2p92yN URrB7YBcjT/+Pa1fAWGTINwHhUPwNV9W/j76uKCJIP/MW9T6+72qVQ8kyl1M+Ve9eKtW6y0aEbTQ IwdsUkJCKdmvaTsCl679hq2EQQ40pz8Rb32DLzF4rGvbqvTzpGNQUWhIssJxuMOcYgcQSZWgIxaK XeD85lWUvZsOxv366GpNUMSVm4Y9c4M9VtOklqIlWodshN2/mVW4WVOK513VOLRnU9BW6EpPPhhV 7AlRNhuvn6blx8Dq7OGCRwOm+IijvuwmzQAFgnOB9JRy8Tqv1owxyTASRq01aCC80br7LHSzmiiv 5GTaWbKTmVdxE3GMBfDA74PGSHBaTd9Id1yItbXvDEBUoh1g/9e6F7zv0tRUUxGr52PiOjKn4A2c +Ot/ceMhLBs98QtFjMY3ouinVSUuQ6QIKFLL07Js4ovoUuXzDqKiJNaF2kM+W2D30nyPZaaM0Qnn 8peBep9keji23REgXirezE9FWwxQyFGCa8QCrppanCLYivYBSBMvK2oE6JDgrbvob6HOftpKTFs6 bEIfhMKPocgDiNQhahxSABYWfcY1++gLuSQZyE3BMX7B8Pt1iGJ+v/XVLHftxYTASERuu5CpyGmn KuXaOpX1AejziFYLyJz79yyS4wzqoKXqwKfY9EKkuaHkW8jRMh59CsGV8RNbPk/8vOFrEUdnOurh bXyPg6wVrjskXYRucsfDNRx0fsBlhxsagaJtezP2Ia/zIZsofvCdDb/62RLk5iw6BjzfONInFzMx 0vnGpUYmX9EuB1uy2ZzK1WyImMsfFiym2nJkdFVtfiKPCcD3k1kt51SI5rKZ+9NzMcVX7Z3OXdzg DYbG3wyLe5sA0fhXZJdUwQMpiNszGEsvvbzkFziFjvjkVmT/lXenZhDgopgdEE2Sw+aP+p8QlYTg +G0ZhZzA3q778pvVBzCd7Zft8Kb9jJqAvGAlFjBHgV4XHEEVU+WsAXOPDuFAyivr3Dq2YmQLLLuB hawZESFPIH8qbsyCPHCZHGS4NuC4bEje1E8lJCCtHVDZLgXwVHr/w4/c53EeER0L22lB4U1wO+e/ kXpNw8v2NrDmAu0fsASSZZC26vqgS/XEt2JtKHfF2N0aS1wRrEzNXox9uxySSHzSTO0jpUbfUhYR tXazlBIjzwdS9pjXZ1TPp9wbF4oAQt0rw5aRg7at3PCCf9aYSK7rRmnMsSoER1yEHfbnQYmYqfpz dXrIpid8+No5y5nANRZFPK2SJ+x7XozG95fEjtKHXSscF+g0qaRG5h3EvQJk4ruQsQXUOHUhU+/O n1mSeOTCxlFsTB9ttmzGZ9F8pm5GNMkcpozkaiGvJpmJjnOMFZyppkShLn2xz0bQj/+CNhyr4oRe JXO78wQiMkFp4ilFn4M9buZuqds7G/qUgn+d/h6ZbbBrsUvLlTGG6j/vGB0SehEqIpggNo0/9i9u b8V79P6Fi8ptPxLWHMjKuH3crgbGnTHj9wWf4waawYridLwzIrlcaTW6epHPFE/u7+vh1RNxWvb8 u0RCQ/ibME5E5l9o2wpfMvo1HI+qPPuZkX+hzfckgzgNFOfRr/pmi0cfFmHqdVHkzYk+hEGMIcpP bjoIxiC8Jlh6lC4dOoRtle3rqjuDMVFq/9NKRIs3jSe8Qfy7q4+hfkAaYLxwQodH0hupUkrP69sI G5SPIqxjIa0oyeCNBjygiXUShU+qJ38dV8/yOVqEZBqfuD0qtJ2IeUDAM+++Fqa4osnSxKUjXLs0 RxW627ijxLLcQprzd1XGHB4x8YDVnwd2jI72PemY4p31WoI6iax0cmt6DrO8+N6Oh2lKkKQ8ly2o 76PzvuF08s1rFPJVOj0mepEmtXe/Y7G5jyMXrS0E98tmPz7WUajWze1DqzkcqQ3Zq05ooVJBE8/6 4lgR0RKwExN9OLYZxo5oTGqKcXO+vNachYHCZL9cXs0TKhDsmg2QJ8x5hdWTR22Y/6STzdMuEgXg XxsHmIRMr+cxgbQuT5pTJMrSH2MAQGUm/PIXtRPE6Z8VGBtMUyy1oCXrCWiNAc8dpnV8zXPaF/Vh EgTTKy/T2O5cMh4gQ+KDCSJInXpv1gT5Gu7O+fXrdZ01hv675JriuvfitHxb+6e2DeY4K0XRsJIS /HDfLiMoB2NxTAe1WG0aN5Ve94FeEs2jQrmdArA6uy+5qTjk3muXfjEMBKykweK1AcqAjtqM2uVz W7t2KaSkC0DJnlk6jhiwfJfDomOL1e0GMvMWLu3lnBRiAOQp5Y++yrFNTlByFxVoGv+ik8dQloRz AmGyD0nYyP4FSADc2WN6GiQMKoJhqsYBt5UlrJZgexrjmkp3zfSrN1UkHF3s/dR32DJj35cEGEh2 hh3Xsqcyw3DV4JAvKHb/7DYr3YSG4fvz0apzG+XAdn2rlHCliuOoZQC4GaFTTr1h4S9f984hlXWb TW5DXvHvdQhV2u+zykblJHOlmZQkEegkI5GHIH8kMzmKsHizWNyBVcNxYKCspfX8sRfcYr2xLtp6 vQdASZRg5fkEX+8WtV3GK+GSIpmHev/g4JswQyxYF08eObrJDyelD/4tcEl61yqKwrSjuKNIIYvH pdke0HUZNQDeERoeln45ROw7pz0GPMh6o1QKoQm1yLmoB+H1t5vWvdajJa3sWPCO8heOx5c2/1cs aW5QY7I6lkvMqQNnqghxi1GbWZ/6T3HczPBho9iVuZIY5S3rs+AZN76vHgtlKMrqAopKF6GYKEWt gpCQnkUfzZNnP8IcI/7jHqw8jMsrstDowb6SHLmDFE/mYMNz0Vr6lDy09xi8XUlYQPAT0FqnLvu+ uqCOytbMuHQnuzobSUZ05bzuP0hWQ0bP8lX720/0qHqsWfJbtW19eJEamKiMn6WWz/kRKM9A6wfM 0SK2Diza8S8xNnq4kOhucYvZ9QSopAx1doWU2uwlXBL2bz2NgV+Q7XqY5dqd8mFb0Yg+EMRPC+UX VQEYLyW/ndnjbTkfIwami5CY8rpLb/PdWM2Lfftbup9YOrpBNZxozyh3KBqESCp/KaFu8taOqgCc k+Eqm3wvZzXam94giDo/lN7euw+8xEbsSvRW3Db60qwWIr0jeCBuoF90lk5NvPkR86PURKCLfe2X +zmwSBxcLeBG9P8oylGnC5mF3zTt5tl5SCzxWA/tAq2MkI+y3Y+9ilQCYLVJMMfVOppMHeUfmgo4 vCMosnyRLp1ToG1aDsTGbnxk3z+6dqgLSQcJXWS285HOLl/w7KjJtcD0GNqQ6bfSm8WOFhFDfPxV 10uB6ro2oe+DVUL7lfaQgwhVsnpoUGo+2S/J1GnH5Px1GIcz6gEUmKI9jMI7Nal3sPRCUC+AU5br KkYAeKhQzrLoz5s9ghqjXt7cO84SYReeu0VbOBnpTEEXXTR2uvAo9Ni7AgGCYdQInpRAB5k01iW0 vao6dYzo8AYcg0WJTrB0ZQ8jnDBb3vuTW/Im1wd2hJWSqGSIf9uKvIl8NhUHprYGx8rzK5aS5SJs C0YV8ECrTYMxaKDodmi73W7JpPXSuEM/Y8nbbejnEFNjsi5ReIt0w6d5vJX22O0pryB+oCBuY1xb 33sQMwZbDgxAWpqbgvoC6FS4f4zhCSPqWYhb5ujYQZG7Sxr7zqXd1CFwHqbU7Jj9Lf+eAZ2DMot3 Eb0TG4ChryGlMG4kZ7/2wRCD/gEjIastmZe6J0y7o06EPUGnFapt1IlnHPxPsmeJAMiN9q8gQKhM RKeQ27QDjHBDGo9nvQhd/GqkbKLJ2P7A2hjoQLmY8qjuCJhlY5Y7R6GW8qRL4Nw5VKj5ztpDujdr YtgKanCOoZ9vi2jxR9I09NJUAZfwCsQDcTCVojy04a9HV24GKxGbzJsbZik+zYubtunAF2IqHssA hTdWL8W/77SnV0do3kFDSYuEJY3NDL+9Qw30URXL7Tq30GxuV8W5f5hEPXwiprJER0IcgDo/CnNw VVKo5gKo+vacz4mW+HdmYUYFfiMuynAAjhi9q4rmOQhKaDFljfnep+Ikv96SlqcCE+gdDltR0rtS cmw3sbf5kbX5+MiIzMCfzhLG6dvvFY6niK7rH5IvzZdDHnHh7W8jjXVMaHX3P6PTyWVAGfQk9KOS oMp2UP5GUyiGt2bejiNjNB+q8kfEjHBzpGDbgIdk9TWQrVv9nyv1pGD+5/gn5WdRqwxoSAZAM70p r4H0wnQSMjx8FZ0PivyX418QkSbI97B6mgAssggICTo775yBJ0jDldrZbRG93rQ87TGDWy4fplrj iT6eCzLlB88mW6FKdT2A5OUoyCdTpfWSfZQgtlo+OWg2RWwbvUAzKu9fV/YIJhxRY2t/eOjnaQnP 8g+ThjxXerO/llQlzjaWC+yHBuOkP5WUwnO0LaOfFMaVTI5HYH8lxAqLncuXY8BcPBTJxODx/ccq 39yiY2s4mZERvObcTaYfJ626DL1XwytNZ/t3lknZc+E/fNY1e34blTNPraPYLp1KivJoHcz0xF11 0erX6IbUAllEGkwIfoLY992hYnm440Rb0ATk19I5+dayasd7HOOeiEiT/Fjo2ZHUvj7R7AuFsvh2 NyarTruTP7NyxJTMRsx5Q6ZXs8achqIrDeXP5mmwQF/bM6krRQsx8VkEFMw/szh5iULqAbtDmIlp JsA+wKFVgc3Y1GcxpkUmEeVimZn4CjGM7lPJ+MtEsWDgLB7VKi4+L7ITzxcWzplpV4gfjLPg5XB4 UbYEbX3tshgtBHbkfpBe8bgTPxnKNEUVFvNFtqramWiZeCRhUQqYk2iIrr+mZEtBjY+f7mJ/fMMM 6aOR+rXTbp2iWAvADIi5A/T/UUiYYC8lGrFwJT7+wM/xfPwbwlQjuq1ay8d4IXjY7iJ5J3Ch+iTR WgGl0CLwXgOrq1/jX5RaGL7MSixDf7k2jbq84vFHHFU2tlZMDqoVxxwcDezpxQLAELZsGCAaIQ1O WXYyBxN8Vz3ifTptUNXaOz/odhh66y2rMsbeHYcGrVFGIfXIBUXOfFnSicWRkiwPOrvayQImyAy6 qfsxbnLofW8nB86MCbIbl5hqNT3wGaP2TClJC7tBSB8NByQ4XkfqBOEL2+Tmt2Fgyfb74lahkg+l JEml44dJMRVAqrZqePc1MeYmOmvJKG1vCS2Wt4DaVV0Yjd7anOWKnNi/ei/eo+x6dtnLNAL1JsKF SS5A8JlzECdlaCGs00telsjAhBu3mhm9l2dm5XvPBBjjczQPMG2T36F9l19RsVRsaKt8Xf3jn+yA vuyN8koHrUd8vjPF+RsF9wIlNkw4w6ySmw8YDhelmCCJ0+sIB654cBlallU/pdyWZHrcdIpPGIqo nNkURr2TLVWbc/ubb2og6O4+bUhZ78Tpx9/UgFRo6kkfcy0/rd8mNEE+J6/R0/bxtMyruxfo3G5Q eGeQeqqFZp6qlmdWyMUzQcGWwuYKm1ol7g5IXVh3JOTVODUC26GyVi46K3FyM4GsF9401sS2gWMk hiL5lV5GVY9cWoZc45bGQk7SLFDf0xjGzar5W59wOYwCEedM1oQexPM7pwL0GvqLWH/4fHybbmdK 1X7ZJwAFRJgGN8PRNa0Y6VWKi64dlUOfDEXM0KDWIRrSe5w3NIfXfI5y9ZGlMhdtMHwf3TJFIUCD Nsjphht1vtn1WqDwZeO0JbhjWboB4nh1loNSE4lCp/6RhAX+K5C9lk5fvAojsEwJ6yEzwGm5gJtn zBmQEZDIjNzYorE9PJNSESilkgQ0iNSdqLu/A9SU02kipm0nHtefOq0qzoq9s0CoQ5ZxqNh3C2D6 x8ld1EA+pdY4zDi2Bc8YENAeHMnLhyWGhces81oLDVCp0slQ9LIfTEiWVbegh/WpH3wQKk1Q2jn1 mVHO1ee5E0+bAObUiF2cXDvm57mO6GcJu1MNDPo3GGGxUdhX8qygkXdBQuq58UiJR+EiEf+OO+xm 8GDcKU1ixH7uhJZTiui9b4jEKPfP2595D6rvHEV+WaqTDybK435M7FFywB+DBelKaV/4LYwjhOUu dy+I7mqnuFTuRkHSAJp9ZY52wKAYcF+EPMLhFJ67RHrHmqZd5k+/ewzZF8wXOSD4Z99yXZvWY5N3 GbG4/qPcMRtSQ4Ij+1prK7V5BpE/EHzktitYklZibptHFpik0+a4s4BvXn6dqDkDbQ4jrxKwdq4G fyDqaP9yqTFZc4gkSh+AbosCszMmZXHMhEguy9stVs/Gxlb6hmFcD8pmH+aUeS0PaQY6yow3pzMd giJqf67F4PV8gJ9n39fP+H2Ion6waBl6/QGJAk0h2VP5qE+ubhE8HovAr6N62j2y/o/qpu08HDg3 DYbUydEcCL9xUsOdh9a8yNFbOufPLeFcxeuIQE9s1415bBAkJGCjonHVpp/vGjeXNu0EQfqSK+nS UInc1WUbfC+ndnonA0OmvFWhzu8YbQO/qf9edNanXRubjeksl87JDB3ALYUb+JVyXG+KHHh2F430 FivizfJLadZ38+WM09phb4SQzPbqZf+oniHuAqbpppje9RhEpV9icxy7kaoAXQWDIYAojHuN86dm CfZz39vzFUvFiGTqKdydSE1tCKAPbvDei+Z5yYX1aCHhbth4w5xyTZo84yoWRZIXjijB21d504Q8 2p6/rwpr5Df/bBrRpMETZuA8LfPp09ONpBrvuTEll+HSG3JfrxMwEsWlpJUx5wtNZXJb4wPw+odC X9rjfv0kcVTH2UVkOye3sQrvqzeGoJtHYRb2IoXhPdSQPa+2Cw2oOgPbGFa15S2OShKFfWu9JCDy Bn6pflJk28X9r1/lid0JoJwWLMYnWpnunOggBIl004qhC8TBOGfX0xe3mzzbObHARvYX2bjQ5ehJ zHwVa9jOgcQ2e7IyhRRZXhw2Iq9YCDaV472DGFH64RCLJePpTvBkIMPoxU5BPgbDDzLLkLeEZPzg acMcqwOqCH1ty2AhSW3d6dMGmnIxJF8gXXUmzw+0XxSpeGadZeIHpym6kPSrMydDFsiK6hdHLfNQ cnrWD3TZ5JygznrHAVTjo3M8KJ2wPtE7GiQgDJoU4bW/4SgTvvAJg0YZ6yxr2a12HoH13P74Ylpq AFhPxmjWaFpHZGJkAr/C9mp0nCCSSoRGbYwe9lPbj6g7PvMdAUpuWqg2Adz/Fk2sosFpsz90QyWb xNuVrkKhMAVCeB+oMw3fDzBz4eOKUHxn2gvYJYeBT/akMlQn8CADObCdEWq26t06drRMWntv+qKO 6MR4YVHM6/IsytAn7Pd+x20e4AijsLPE4BSikpoVkg17AdLIsAyaCaC9vgPHEfzk0+Nyr9f/SKif L5QavXAvDQZzSQgpoArQ7eTTlON9xzDdKQssHHooYcyvna1H/70HlVD0u2YiufFsBgXPThZMrP42 JqvsO9LcVE8OZmiRXs89SjVq/ejPn5vi7sIRnn7bHKNwNPuw/OxYZeqa0dGLTCPW57AiEXB3E6wb Fw7a/sf1IuOZ5J5RtXy6fIeIm+LACip1EaGuYucCzVbQJjwoSTstP+iVz5i8aTWuvkhyeRC186SD i3b+FQ6vE+ZFXCK2OgIuvx+OeqNrIZUzVcvP6pwN3nK0SuKJBv98ELgxK+A0UqNR3kx5oY9nyhCR G4feg18S9d2WP/Zo6G6wmYSr43Av2TQtn8fQPiMYSD+GBawizIXrP8cD+W9OkMx3vcEtetz+30ej kx/L1D8LIdiLn22ITonDFGYFV5r/qxgLKGukNzpjMVMp0BrsucWMRKgGq74fWI16Vn0PnlsAEHha H1nI2crtMVpJxJpW0t5fEfvapAIV5j9rWe1QKpezJi2LhTYFIArT5rZDujENvkFFcgxMgnBDicUJ pGo40ffAnoPsxSBf3XflB9GRLCf25M9N5tMvdQTjDROB3/UADuousgq2L3J6PJtxfTYqPXlV+JWz WWSi/4JldsWLsnFrwL56LikBLACCqbbB9K0v+TWiS0dbjW9m3VbLYpCIRYFmm/H0EcuJJ9XR0wnr DE0dMjeu3kZSCFeOiWfAuQZadB815nRxl5jawpy251Ei9ySUgCEW3+sJzuSWzJ6bKkxj/FMQc3G3 bhaN4kKww+DMs+3KtWRj7GGaxuUzu5Eb+xxVBYSnIRtbhg9J5aRkspjhZb9vErIBgzAIl7kFLH/7 yLYNay2m4nles7nN9LTMH+12tpqRZNtO8ZE9qXBWJt464VbyqW5yVeSkQH+AJjMvPzOecKUcx8zW F8lnPckiYkV9qMerSlYEuwssD74p2NVi2XgWFKqWVG/lSq3QTLf16GQRvAh4LtvD+bt3Ab/aRdGI JyGEDUrFugcuMxvFvOxTkq/6eJ7tBPkYV+4BIK9nnhbyrIC6Fri1hE5pf4DLEHmIMUCeRhEaUFGV J8P79YJR7MrlpcX1jJvrTKxhxMjzYPZR2woG4e5XqQcwTV/DVHFecHA0u2DdpXjANsorDTAwq5N3 GvQeR0UEOcq1/TBDeDaA5A/aZweFvXzGecq3bvXaRlbm+Kui4EJOcdoCwSHIxn9wvcbn+QIU6zDQ 7gmf+IQ2Vkp2Kh4zod96m/F5o1k9iTnJw3f9RJ9E8EB8gjCAvymGB+bcn4xShHIWh+G5o0ezB5e6 v43ybQYo4Ho3RzkuKxfOCsX7zHlZjtfCtiFRKtMmyCYSwenLeBIjJOlnXivgS9C0K6UJjKsEwtpK e24VrWr71ePGLYjGkXLrXsMrPy3Mf93w7/H4+ucfY4K1Idey9/Uzbna1apWpRVSQME/o0ekKf1st 1aMqBFrk/zjasXAO6VqcXNZMFFHUo8nzRI6Oqte/owvXakOzY1AaOHxyd5wO4QjC9r40xZfRX3Bj t/4SAsG9oBdbjTFVIOapxSNNlokUy+ciIW6cKL3nCvFE/JsXypfKofb6RxlSCHG+cpLYzgzPd/Cn ahxw199DNgGD5s0sayI1npGEbLo9Nj3WMtkcb0INaiigB8quD1x3+pnNA2n53CBs+f/5kv7EhviZ k2aTtVIwi8Pk7AWanfzbhlZNMK2Utxi8q/UBs1PqCPwK4wGckijnbLUwmsiyGXSOlW+Z/44klXRJ kCuEoathi5kqCskorOIpFRWWoMSwON3D9NmyZpoPjIA5DM23CuEUQHuTDEtpZdJ3zGvnACfDx2HX 4XWrnaSMSYHrZh2VW6ccvCLU8PLLIgrsSxy+99WNcAsIKct9zlgGQivoO0GoVIZUuTXVIG/Unq1f cbj5BB+hx60Awd3/llh/5HUOClpQ7MD9n+b/MyUfEN+9ysMWg9oyzeknJ3zBD3J2k/qw3KzFcqSJ zVR3UN1Ip9vQaQVZKt2UIT60p2KFbEfsEW0h3RNX0Mky3Gcpt1kB3gTS5GveGQgR1icHuBiS8wcK DY76HgM0B37qxvgsf703vMWpyRmtLA+EYlIedC9l1Q00177dYoj55LZWowAWu/C5CBBS77bOVwLu SqZs5lBffHuZHdHeH8laNnpnxIAMfpWKzEvsMysKwQsuuRDUfjMTGa/qudNxzJTBd6aaynWqBqhM DmN9Z4B/9EqNxC9SQffmJI9k96qOfj4upPsX5/EeB3vtxfJXjQxv7+OdDhNvJ64tkCCX8iHeUHqd aq9pSUagUbdVQ+UPlkOvAw8m6pVfOU6FXTEQ1mzbR+XfIZVwIP85llBP/YfeyMrdfkJem327V4A/ U0uPBb3FwoHZ5hr4vueKxV6Jypx621KsQBCOCH8rAAIRjUdJDGbWbpNNjpxAvogI14Az2dCKjIWk 7Lo8JJhWtVrCLS8zDDX4VAuWMPequFrk+2FvVrG8t5e8iDqOAP7NkPAx+h2AhvfEDsylBc7m1OCl Boqwo34xOxw9wgt/KwLn5YEg+9OHLZOEC4zmQsuiLv6JCjpjmk95I/8QeuVYGVZKh5DxWc82AzU+ 1IcPebEue/pftzavrXXM7fidPwnZTcVQa4Na/U8jNQCxG6YmOzYthRNf4J5FtXpn3t7PfcAInsn5 vNZBt7uhlC62oK4t3UG4Z2sPdvrOma4Wj4Nodhz3trmcSLBB2BR2sRmxPo/SdjfdUGTI4u3ZreFG 1GDeLqLUW1iB7h9xpw2Ue7FiFVSyaMFvCTMUgf0h1T3588WXr9MyOfQMP4BBwi4j5ABisAQYTCa5 GBRmtUmpc4t+/iRQkIuRsWYVeEBbWrGhpqpzc6Ei2NJhdCDHkrJjV97KR1xANZ5E9/TSDL0Ld8a9 dPw4u73vGksYanpknZ1evqGtyzfRV+oT6VCg5vmVNqAjpP5Xo5lRlefOyJJzb71QE+H9p2IlPgdU tCGaSSzMMoI+HLQaFoGrKiJrp/O5+hZmyvR/DV1W9mziMKAsYTSKAXsKsHH5oyOg5VQo1J8Fxpyb hDWYxbY3OHllw1mF0+dimkn9w+YQBeE1ZmSPX65kJjGd1OZRMHq0C66tjeCcGlsIMkue8kEodNK7 it04jYfbKNpU3OHQS1CakJAA4DrxH/Hl5qa09Af5f+qplxSfK01wPjdTxcXifK6wSdLcD1bOG84O 1Ymn0SX/4TdirqPQx2QboDHRPXHSbbxUFIumdR4cvrivzQii7r1REr4aAzxxwbdR/HuW05yIbDV8 K/Ow5/CLiASIfp/fGBzQrToZVdbrvGaOFlMqaJKmUeFm/aVo7p0bfLcKefNfASPbxIOvPKUCDhI2 TpPg4w11Opb57mKnCWwzWwsO3dVDCH88X/q92E6zkVTJpCrMn9JunlpZlNn6eXUqwVFCk2BG+o86 zTE/Y96isSaYUPKnWr6lPwHeT16SZWR+AWYPc5TWii/lkSfxh7SDmaqpu/yvYlQiQRIQCON1uX+M wvhpAZfdqQT5H6a9n5NyfIwMLDshcg8IMmk48vgW9740Gt8DID3MU6Js9oL5nto6C9fiIWAfDEN4 E0yllTWmffyKO5bY7zGuDCVox3K8f+IVBdUXS8k0BdZUxKhPSgi5g9+7AoB5dKWrFlSWRZobcfBK vOFcQqtlngkkFrksAf0UQDXP1CvzEQOXc32vQI/c1D7WqhGQPuysWRJceqgDp351WZ5BuTmhANGE l7WxrTRUHaj+nThLiA4h/Jl5+/jsANXip/Kd7IY0sGpXo9yRqiriEvBlKDr5SJ9i5fy4aMVS2HS7 AZhWgyGFDVWaoNwap3iTV97oMOsEu5DwgEvUN5WdgF/UBUuHGOYO9Qf88x7ziQqEN/UgjbEZF8ka TyvPfjW2vw7QAcHCFrP2ASaIH08W6yi+m1qNK/NaloJTQDISHz7EhQQBhsTdTjqrA+LlkjF5zncW IMb1Dey1EobhSpMOIUnD/Wn78UJtmcYLUShOLH/3tn/8HpHKm4IMIU/g5U3qHyoduS40T2HZuq2T JcVCyx1eZurwE/lVGiZjuqPo1GepiZQf3/y98lXxVZSsG7UUIte18ZyDI6pIcwuWs+PqwMCfPkwl obSybDD5spgUjSaHrp62SF68JDAjtYnwkhovpuiNIJubrdwj4kvcAoeVjNlpNFGC+iFkryPXoDGZ c3s/QXQ6pnCNe0bii1OMF45iZtrO91/h2zkjtc1EdRb3xKVYaduQBWVSm85I7HG3AxHsmtdnr1+Y hHtxL2nw1dhBdWnyXmxt1E4RnNyp7Y+4+3vR8h9fVYP4KGujqWYaFbWCQAE2soNbQqMiBoufFjCC zHPP7tF0xf49QY4LgDlRjGFeHuqFhl/B+16LbpofsaID7mYD5EAaeiqXqmiqAEBSpZZ4TkA96dWt NI/JVBsjuJI7SQnRD9GBtc339ADY26l+glKArb7kflXmmJdEa2IhHDe506boltswS3hoAx7/8rez Yyt+y6ymcA5+I7drJUrQlTDGuT+BBj+Y74TapDyW595c0NjZm/a3WjWkY+RbA6s7wO4faIEsRnIh 6L6ORilLMBT66waD4N7aeLNz2lK3vS+o6bPcmlRk5cy21TSoa7i/mNQg3m7WiUnM/1W4dVOi7CPN 6S/TcepZuoUVhdkMdahW+T0lAxoo89lAX4TtsnAf88qpIUl71mLzcYQOvSwal2q7rUfE4GmObWAc 9jJ00zBVeQ6R5Y6xnNg5dWYhNkjLErqK5A76qaOuy8ZI/7YBBinMpe+mawitkvSF7m4pnU8IbUS+ LToh3m4wnNLIXHWHZpnXxno9xKUtzB+r9jk3zkW88FOEhWwXMGkLliTzfnr/g84krcLnd2lAZdFr VMU7nruXt9kPMS1Y5ok0+f1awZqvoRHR6recsxfJX1LdPbY/KfkDg/aVaLh96bz2Z+9izqCyMRJ7 CSDO6FalxbzGNzCFfokjhIaLmgW+OAJzYYkP7pDF6ChNyjKLgXNpbIwXqyvocWC9zHNh68kifibI aWPuY1SgTHrh8WNwt7rENwYIG1s/PYUN9mJWdIEMpTRKV/+LVAqdGMPuGVY7bt/8J7luB266j2dG nqk2b9yO/JW2Y4vdg4obHnCcw+9FQ94jZ1tPk5ak9nL9JpJLnwbU8+jpdtxoyEMxdmNd3Ej9hrYD IGl/w/hHUnxtkpI6Ude/VthtukMb10HHAWjcxXzcjWTL82QEfqcaFR08CpitdwmHMdeqiFnG0YtM wdVfb+ODt4Aw0QN8Y2chvi2xcufLizJlel+qfr63mIvpQYqdmlcB8FcF2mHa9wau3wg0KDV+VCaw munSWScfdViQZWbY4Ft0O4uMYOW59tkXxaHGbSGuhWpzmlpkSQl4u7fFtgEXx25E0ro/3A0j4Bzw 2QtAk/RoSz3NhRRDDMAay6G9Th7l/O3zc/679pcGGK8vpRf/lEH1W5jP+OHcxovxa22kdH3LeI+x skBV4A/9DTQdzx7yCk1IAqSK83YveILS5Ac17Mc3BLoRzGSmIcCQWc/EciofH1rhFt7tNLXS9dnd Eu1yuzh5bNkj1yhTTwBbyxHwBp2nnyhmO+pR+pBw1odT5NclR8ksJhjtEAh/xV/N6oQwE0d6oC+t Oijg6pYGoqnRMXyJPPuZIE5jN0KfLMaHnw1UH0UERL/06I7MBkFundXgM/uYVoEE5DjjSC/BI0G4 jyUANe+54l3Nf9mM3gI3jYAu6hxqPf/uiUJyMUJBODOmyykXHW0ZBBuCzQlGzMsvaOn+hCnHiaRK lLNq/YUbbdJZdTt8J1lk/aO+5pPLCXlDsMtO2TnHVcOEcVgOacyOp0630hTQFaIwhOMyCqrMF3qA Eizy0OrmsXkuOtevkUFnnLtSI6dZxlKWKFV0RKWAaJb3y1fc6eGewrKsvKT6krv6oEr8Ge4ukzFT EJ9+G6ch7H6Wxr10SlN7ayXWBQQpZw68/Zu+JK8NX7POZFSByctj/qG3CnnzkDeKXiXSy6Tgb1SL gyFgFIRlPvSYv0CbIxXttqFWQD0lmOZz5+8T6IBNFr6pVLTQZZ6U/YOTbSOdRpVbSaryG37s2V+8 9FE0P3oQ/N26XbO+B6GaPbFPORg7+EmjKGy4x1oojpleMixIewXahCzklVQPddr3tBHGxAstrUrz IryhlsFBfMUQDxWuWrhrzxuZQdRuI36PEuzFGHX5OL1Tg6LbIkNE6N1qly7MKkSx60uvK+D2Wued BUe6CzO5nH/8Js4aeJgvXTwlB6ISNkerViOJ0I1NMmZeikIuCuCPu3DFqC6B6WdRgCpY8mwq6KlC wfyQGhGHJBwRxuBPSf4U42AbejL9oKLETaZgQxB+adWbI22S+6bUifxoFu845bp8d2/BH33g4EGw NmqREQIXV8Stm5yYC000S0ZdJcMjJxV26W7iQGH3OncMDonLaMqhX72qd2vu7ytNdRky0bPyUfTw ivLJ69dlZEy2wdW14XcAaazm0IrMnwqidDUfmYYTPN8to7r4kak69PZ9T3jJqfuBnrHoJVBJgh5h hIo8TBQPFUzFzD/n5KJo24QAJpoZTcBEL61F6hwTKoyP1Gng/K5hum7Bur8NYxMhtuLBc2KQRpnF Bp+Dygu5WBdV3CbU276ykQLLtchGlFjt3uRIxpwt6Q9t8FJAlNZTz9+64PjNzIKqZ98fQk+gX7Fx GtCXBkiggjgP067qR8psKDBJ9TS31bm8/iZPyhVkHcmcXyRLesaGqn8X8aqR2AtpP5T9Z7yaeV8D VYlI+Wiv6rISrVAgN+riU5Hbxm+lhrbKwvRUo7mwJlMGZX2U4LQLvtfFAC6vWC9gkJNbcjo5mTox Uf+7i+GCFhlkF93plSJjMSXRMdIQKIaaKI5tKFSBAbCQoZA8Z5WbtYVpWT0JVFfztYemx2ql9DgZ SEYqnE5jG3EZIO/Hjc9KFDJ6aBpUBMJAk8GxDB/gpEVE3bedbD/Yy8hX9EY6Ol9QEfELDdjrT/iG 1p3B+XGakNaQhaGwvvo31CGAXSzRaNEs8KO///OUPCOd/Eb5xMlaIykhzocCIGFiy3o+RlCOmKnE 1pfInl52TOKmnyrEl1idxQpnwQL0d3itB34UOASLniaSrkDamcA7FlU7mvWJK6gDbC6fzyF2Fy0F bSvaxW1YCMxlGJ0IPcawopBAnp+zyDeXvcAbBT8zkV2YzmNiW+LZHA4n09FriZ9Q2XAf9hHupq3p o4meyzJewyYgAlHnYhjNByg3Dc+tkAmNDMyiduwC0/+LwewQVDlgoy+8XNqsYuLk29BcTGD6kiQg QRgggHNKMZqopjmB5mKrF/ev/wSE6XxdjD62GIG49BXslblcX+Tmp+qSz6+olxscKpb6kuFunbG1 BY0HOuyPZe7oT7vu39FYDM1g9AEc4OgxS/vPFDexh0vMnMwHgaSgR/8jq8ersTIm7DcdQP1pAdS1 w1+jVfe4OG5WL6zrYSLexv8mQ/l/EpGpdhA33xYOLbghMNH1y4dALd/IaSXgNc7VqPs16JpigCHk LPCMSE5hmnjm3iCJeZj77RwI4C0zyhKmue1mOt2fXSLyG+Pe/qXtf4OcZGoznSPpbv78mvCz6sSo NeeYhqnw/jiH3VxS+cDcQ3NMoPkzzsiP/Mr/YDA9LzpJ+knevS/LLtgZhsUprkqEze9ExZVQnpBG VYwnyOvL79NLQoKM5/mXKMQTazYH8hT7mO0rGjwatqhNRm5/+aVv5Vh5Urm6LOBhthYOWFYnKwnT GBlgmTgb9Z5LnCQdTuhXRVUS/mC83VP6DHo7opokJRTCXW6M7z0gB2n9Fc1+29cFyMTXp9ag7T0w tLT5zsDmC6NIn3111pN/yCd4LXDw0WbQvlZtcc4dq2dQs36gLFOXFBgQbdrDwwpfSkWPjr5dn9bH gq5WcOhbMB57uA0OCQ3HUEN4Jmy1B8IJHEk/yMxsvoctTVmDClv0jTLYVGv2ONo/S4zx++PjWOSW qgy+QD4IR9Ccb8E6Q3QgbZhtQlYPgpu7fSF/nntreNMndFrpQ3u+2UnPRkqOHEz5C5peufu/nRsM mkXgAZrFF7lchtzHufMG5InzwBFT9MH38NRezijDrCgyizt9lSlGJd85Uorpl9WgCbYu/OlHhp3A OxwxtNKECUIXn1a98g5htqinpz0X6X8Ab0+OsZZiAnzUppgl0Oh+01sX+6/BM1cLV6RqEOBZcKc+ cfNND9zxjTOeK40VKVIHdg5BZLTFN2MLle34TppbovESQ9ft+vfd2MiHvVhAwdIEMkSeeVP2KMFw uvjLWeDqLcWT4KeS1zTZmWXD4RXGpmgszk0Szk9G+BSdbk6lw3V+Arvyzw8GhMZEP4uGuZJDOm4E fWQyanKQLnjbb4qnJO6T6MyNtVFBQ98PZnlIBFvydHgC1l25+3jSgdmWaBGqcx6VwKMdG4qGeX4A RD7Jp2irq+l+PKJdlowKjo5heKHq1QQZJHOm66uyozHg44fStgTVZpWQi5554g25CuvBZLWY32wL afkDPC0jNxcP6Ntq6HAbjAclUgbKegY8T4CMumaxZJA/DHJWdSpVr+tGAhNPsApJNuEY0MO21bOT nNJtAt4N2S5icw0c3GdkCHyjMu41D3gMP90Kpbn9B8E1xF3Beck/pHpOOZHJM59xzPQD2Sz9FdPN +bxYGqN2nP90okxxpPhAwJ0gB8fVR2xbgnhv1FFMb0WkUKTABqlvHdDUxIHN6k/UCp9jwlJvrMjb 1ZyM9fSGI5lyDop1yWaIpUKOYDzy5YcTDojEUvs/GZi2O+kgT3X4H1ipkqU6xlWCdrB2AU4HGtCo XSr7Ov8DHdHOcm2qq673xN3DrWM5yAaKuBk4zjQhakgrrBl9Wl20ssFW097gRrqrgI4zjqKP3Bu6 GHeEsIIyMFmgs+4B1RJ8Xd57rF05ygPa7O6I32+jztt8xgWW5HrkHrI5ODAmAAjlTFBBoXezFzQC fC8+fAOnoaXbD9DIzg/E/Zhm8ZnqI7bnZmzZEjF1Z+TBb0IFce421yggd8EpdvXgfPyJ2KLx4Zxi yhRGEaT3lg6yQbltQNdJyEcxaQxI2I7WnAEsyjaDgS3oURS9Zo3Ws3zuzwOeKu2Ru0S/PTLCIZ7q qI/vXkl0dMBOMM+QiZ99nSme2hiECb0lOKRD+eNBXxp+reGLtEVEEMQDCCzz7a1gG/Ec/bV32RMm yxbinMEMmLEbIay6N9y1OHTEQD0guDKKqWCiyJlXbLaXggV/hWqxLNXy9ErdNpl+SJGvW1L1XX/H ETdLEk/wR0gY5D8E+/VUxmFY6UrBdWuE2DoUUpgCW9m5ONt3X+d0nvX29QLuDkEy+POH6k2PTFVZ 7EjbFGsuEAcBtkTEBzF52fTGRVV8tuzc14sGtBvy1D/3BV87MjSk0UffC7QjiaekMiXYKlJBAn1h 9A4a4Y3SxhpSCQs7QMZ2VW949ylBRgXKOf1ufF+aSbDYcIUM8YhCn6QYRNJqJ/A/g8v5CMV31ygO gj3FJT+33aJEMN8jkVoOAHuoFt2dY3u2EdWrmVfd2AlIWMLAR9LR9IOkmJe8eMLMPx4EGOfqfI1g 8DqSN7zHeTWY7QpjyN1oKFlc3CMj2lsyd4lPRA2iwL3lGxXTU9QjQbvJI/i9phoaEwdlcQP8oq7M tSwK7unkoqAmvfd+wLPu+im2l3UWs+MKWIFRRK9lcCUygoC0tx1tx7Fgdb+qvNKAFdLPQRLnsmAY ebEJEQ50HFtlhVHo9J4+4oSneD06w9IXRCcmX/61oRCn6lxNjtPzLTtYzXuhs8q+iQeEVIkOqttN pWnDjbyiPQNKuGETXMA1cnxPU2wnY7966l7H6Bn3i7O9w2KuG19JVdcYYLPhoOWfeHEqscWgKSuC 7ZB8MSNgB9rm4Lx8ZFHanjGb35u0vU8KBlk6sa7bYIexCHKVYBieOD11wKhQ7cTYixia5T4pIG5Q fvZveG5dlsSivJl1zuH0Gq90bFe9DBkQt83eaVgwkplLwX7hmsknZ9LkPWBDnenGmlA8pta4pdzq 7ICIbsWG7gNRmwooCP8oMHBrEt63+zYBxrQvfmqJByhFY22dKhklWAtUo5Bze9RfKipGeJ6zR5hn CJFkgiob+jYuFmmZMZjzHwG4IWHTzz8KWuRhqZk+UFPkcN057n7LPsRW2iyvMcYgyjSo78HCHf12 hiiJcLAKvyPNX0+ZGbbj7rvqMFkgwmzFlF2Xc6+QO5FrQUUQYqhfHV+Y/rlERurluB0LXgWxEXn6 nCC+olXT4/xOds3tJlDKC9/jY8r8hgVayfLyD2p/9Yxmt2Y1CdH6x4cZJaLrCslaVDHiZsV4G06Y cLUyNRo+wGgkDx4s5ZQ3akFe33U5qPyeRcHDSfwIx1l8KBCo1K+OCuUx0kssI/+sBvxWaO+lXxfo QwBac5msbV8kzYE6CYW1I2S/xN2I2k7TmGG9A42Zoy/H9j+q58g27UHE+LgInhJRz79zTTvJ0zTn dytl2HJO9zQirTyCw+dAZsCjt+uQHKLKassKvMNo5bqmukibbrlnHL1KV0xljU525ewDYCDaqQq2 UegaPockkSUmT6raGtMM0k6qiVNA543LsR13yUF19+XI8Kw4L01KrsVK1se2LNVbpv3Ri+xhKh2T w16KKbPXkRkGjb1Z4GafhXEOz9hfa49ICje9wJWgwax3StjsJR40Biluv9rTxNvIkcuUxg2ir7Ch apI/hzNKzUk+wdOl/qD1d47uWxJ8uqqUiEbaK3yrYwe481caIag3wl9iWXmBoW+bEtDrRk/jzdHX 8r8o6Dz1YEj0y8Yx+tAjL3kW+94QI5zaLBehPrK+SR/B+eTFhQIU6on/krGdejw4ZGnaejqT3IdT AZOWcAS8QIbkTpqPy1Unh/Kzz9ewx+zABH8LRSqDtKj9DysmMO7omllNP3IoWJjHCSUkWt36g04+ +zG14Kh+tMwZ5+BvU6jswDBuEVnRq+mOFUoccvItI09Dn+Z1lwnbnTyhIOzqzdveAOluRTmU5L3F vi+/7L6/RT4I7QaDN6OWK/ppEGHarC68A8bKY3Rv45LA6/nQn0maqSYsNjzVh8OeJqLqk4jt856G /BmDgj7hFnzeV6zz5a5S3aibJWLy4rukcUOmLNFF69vZSqNl4Dy/jd9kX89dwD40ZrchNjdn3lTW eRzKlII29wGnHqEmaHczYAaHX6AdJZtG++5McBhTbcTJsY3e3+li3U5kH4NlgibvAK84tNFqaAu3 7C9X14OfUY8AQOtG409PYr4QTpHNJ3kyuk6W0RznJGGWo2HmGjjzXRjYye5pdTrWBcwy5KhCow6X zCaSFZaqzIis3Y1qpw59DSnikosWbAkr2Quetgwl1ROCN6+xTGJB50WmIGGw2B+aQP4yivhtC1Rm IqMsZwSRenHy1OiO/8ipS0RekdOs1UTAAUiyfXpR062Tq7WG+ZhSvPZvKGQdd6vSMQrdajvvcDBQ ctpT6wTZodysy5u51KOG74+vf8fdHwny90Ln/ZF4aOHX4snnMgQ61ICfkEjeEXExPcKOD50Se02/ JSZC46+7o9y8PeT07TTjWzrPuKfaO2cHfTYiH+75dFsNxQCJFnOzpe35cZweprsE4DAO4BMZithd EvjeeDLVRJBaUN9IcHEfS0N78t2ySTDtw8HjqYraKX33hiy9N4NjwsMnwgH2eQwxCTdYbtsHzPiT pqzKKhAFHQb+7C/RCLWyMH/Q0tL+k8ku+6KBFwPD1Xy9xCaXocVWO7nNO0DmoiAVmRKTUOIkdy3z 5eupd9Eu3ByPdSD63cnsp3FP4eSS6f5y9d9dgbHJ/p4d4ZsTyZ2FQu3KzfIyf7l3ys/Ny8LM1/EY qlGnrXv06bIhEizOqiy7QtMWtSSQl4Q/IjVNWysMSNmvnQQjsyWC9oFjvqR7x6ysL92SYbE1Gzfy sjQIzBuKK9iOS8Ps5oYdzcgnDNkgqASEXV6/rN4Q3bZKVIFoUHi33I0phkz21FaIr2wkpxNO18t9 qE1qavd665RQY+4ZgQZswoDL4WDNyHkc9pjGQIVmxLsKp//BGqkFVKGDJdDpKXunq6/x0jFbBO0k /jGt+Fx5EiIOyMmx0Wr3ZmqbZmiq6BprD2nbvbXgYznt04AoB4W8eLLDDap/+IKy1sv3R7DDe7jA O4qm/Ibd8DAPWUaFvkdx+Aw8e/W76oAeT3jI2nwxmVK/zGdSTbMZCUfI+KfYwBtcS0L20W5EHlPY +jeMnUs51OPLDycm9/BSEaQ+G5Wsds/So7XDl6S0N6RLqckl52e0F0X7PKnzaco/edykCTCivUD8 AOv43WcWczmACs54hQQHcbJnhLo9fTUydy+XqZBaXYvbae5sE9o5a411tWL46a264EmzZG2+8pH9 UlfXnkoO+PVbdtI4wdn7AwIzs+f6P07aBwJn8LplaI49RaZoPHDGJ0CSKt0dUf+J3mVHR2NheYd5 B3SmlozwhvLaPlfgaQx5Q9sZgPyi3WlBsprwnNPHp/oLlrh3g2uiKlYnP9e0Phq4vyBVjCiKaTDN AlGwRzJYjAtIYXUMiPa8zPzuQrGoi3QUfTeh8RY6DAWDUdfIII5ulxpubEYkMplw3rZQ0t5fdht1 Jo/3T4YiBKKZtaW8IdH9RoDJUoIncN1rZZMa8mMDKPnX4pezkmk85jAL7ilQ8kkSs7Ndno3eHKse /zDjPDrWTnKdsHW+uUp5tbq4V+IvctQVuytI0jrEHrPWyVfbHtiADInXwgFQ5KFZhXx5NLiYhha3 TWVhcIuaOvpNwciCiu5vYE2v8rpC9CWV6SyWFTCwjc+giwxKPW1uKYwm6ZzNNfM6tVxOy11yvV/1 WUm15/xLpT2PoxbKEoJiuzFQN8j+qzbpccp1CiYu3ot2zxyWHCzxOu3QQvETc5MoK2P8xIdWvHzf 0+43oJSZQ8SvxjrvNNWpbDTPuPWRVvNn6elj3l1KD4o4olWO08lKVjNy+2P2oLt6/arZIYk65qOn sKWOaLPQMApYvv4MKD+h5aUP5fRWJ/0pnybirdJVZzVWReUYBJiMpySIK3GbIEtbI8YNfmFdbldr 9WFGld/+pUQGtb7XFEDGKsOeWOaXiu+XeBNzL31A1jbrHtxqAplLMR722vpP/S+TzODGFMua9gxx zGz2/5GdLsaqoS5TGCE2MCCWaUEwzw835p6+EbkA/gHqinDo3S7FZi/ghxFuMnQ5HnHzB1o4Uii/ 7bShiTOrFOrLe49VJLA9z1zcsSyUU1fsHmZBMBQF3XFp7uvd4MaNCtMS8l0zRCw5NLQDiFlJ4Bdp Qc5cRPAio/U6qgKLwY0WbvEF/c7GXdeqwyWg//OZ+MPxWMEh2J+UpzDDYjp6Z14GBy2Tb7cDL+XZ ZjtF+mWnkJPmHLc99mZs6yIaEx3KULU1IVnPC2LIVV8tAo1k5P0G2FXsyVtZbkyKICr0mPr2PcjW v8S1zMLMsrzNlXJQwxmeB0zulF98VLhjcv+gINZlB+bxNkcbqcrat/s0Aocfz8db1s1kXH9tESGG /lBQeoI7R/w03wXAkNYGZHXHhkCTas5ZdY5OPXqDGtOmiG3QwdczBivnXNwTPxIb9A6zf4sldyac rBnTJ4MJmdAGel0qjhtJF4d21xLjvPzlIL3ySU1KF+p4CJ2Ul9GWqPSexHvWx1/mnGVWAQ45WAvI WMqPNt3iTi33HWIq4ZRkWXfR1nLWeHNIskEo+8MnImab+Y1ttYhdhx+O1OfwRetjS02kMrojMi5n iX/kouzUskN2PAGviH0jpHMWl1XZFX+At+A5KwIY60qhKe9fi8xId2+GnM54dJAFbNg88IBd6L1r +rV24nA4/yeSU4p73VP6H4M9LkiL/6fd73X3Z0wYPT5sRw7SkiSnYBIX3V+P52X1AKs2BdWRqUkK RuTCdrwl/bd8LyeQ2NavyyjJiUiySIIkz7Qp5EEEFSdu71Hb6Sx5Cf6ykVhiW7Nk2cHZ2mGvJPBn xKK2GZsOaaQcHeRF2Mt30JwqSV58clb94CDO1EteISv6L9anxsAP0UYLGJAHodJQPqYDH+g/csqx +OrX5FpWMxACrFRaXAxI9n0th5RAL4yePH4FME8diL6OOVnf+ogaBU3UHdFs5a7L8RTcNewcpvVr bKkMAXdcKRrq9n6GeN/mL9WQIusF6uqZGWowWDlnxwOUW5XzUok5sF4fjFvpiprQcHcmPOYIOMlJ XWI0V+LZqsQyrRPsarUrDLPWZ/cEy01V6MahImfiZTUVclSom0cl1kayD/yF8dLQvQVQkcvHiHUP hBph5Mr3Ytb4Vz7rl3mA7cpS+ay/e4CWvhLVDfLa6en+XEqh0yMu9Jk5i81EmRHJJ4JNUDwUEQv1 k4fuNR0meDgcV3yV8NJfHuzwzT50OleJoisemCjLl+QklUDRYI1cSnmnST6g5ctRu6EFsHCOCKnm wTIedj7JDI7Y7AiwYUPXB1+Avhr/CZRapqNOrLPc8iqmMXA8lM4kM25qtu7EBi6ovdVj/FIpQN26 bVDc9pGpPnBur4Hc4TP+Ti22KS4HtnVsxEOmWHRFDQ1GMcON7pCqZwm5HB5ljPD+zZAul+UcLq5E E4+wjta8mL2xfNxJ9i/oRbqxNE+n/+nbimY78MwqLjSNie8A+6TkcxDTNtVA3UI58GBISC4ZcHCf MXih5Y2AiPrLSInefY5k7qVLlDtncj5Y9xaVyXmjfFT5GTh+08Gd1iu1gR2eNkQnNXk6hxO3RFcF pzn2xD/5QHON5NmhJFSsQ8pf3NoCXzZ96I55IOAKMCeRmxJ28j+P/tYMin7hFFcV7yo+b1yIMa2Z 4QbQmn7wAtgZHoanQx+NfTcQCrkKlrbj/6vuzuXj7oSNF2pRIEnaO4N9CJ4PotTh9sNR0gUk1wd8 JzbDj+oEHiQikcAGJ/XcibrVb3QXD316O/ulmxlhGV7dZLqGXDqeKJ69Hr6781mSg/8MAIjENPL2 NMyRu3JFA9tfEIuoNP6BvSv5SMd60frmRf5KHjgkL5ZPALqTgQrxYoMlZPciu1bKwobReD8bKYKn PTqhWCvRtdOm4oS9BAKTE8EIro2OiAmeVc5PmQ31hNw6mzGvxXRcjnqhznMrTGHG3SSVpvCWDGKs 5Zbep0PEEAQ1BL6q0B4VqFPjSqA9S7RtpAPWBSbrXUOoSlW1ETFcN9pT6NetzlJ3oEinqh+ImhmS anDYDcv5G5Z34qpQo0Snj1dPbi8LStYqWSNTQOaDxkK/eGnVQdZnKM0xr7LWKrJCILkUkE+pAtvr k6/rAKCB5nU0ocoLjcp/zev8UeNqRXxWXYnqiHvCyZkYRwp/10UBTwwRBEs6rVDegUcT8hZixYlR 4Y4MHCHquokLrKLXdsIcF0BWPXVZe18rjq8ro3ANpWx3MkpocyEJWBTFIQsG3yHm2e+nCtKBpWMS qE+BFaIfW0Or4+r5IPhGEAK85vetze+K65JwbpZIG1Bpx1C72Di5kUhcSHYH0u24Vkw8AZkiCjFA h8CaP+G1sjPgvihSsJMqq8mP8r9xLivF/IikkMb54Mj3zAhffKivi7BT49NLvgJz4/6aaIY+YOh3 nNkRqbs08/vipcrH0t5XTpcgCDoihquxKlmZNLs1dV1P/JhsD4jEQ9mB1QsI31twOJ0ITxO6ngu7 bET6eM0ZMfSXfSdsYW1qigGRYAs9kdJuSIqGyZVd4c+LCM0iS7/OX4hPx9Lm54Mq7XoMqGi2UMKx 50wdyiF6oNMP9I+8InAQ32MRmeeP4+OzH+YQrv4rD+TER2kReu9F+g3pOBIbe6iECRECDWomKRr2 y07OydErlMKN36tv9LXi+y0a5lQgHvBMYqowQseIgpUGl5SwdnFK9EG0ZWsSw2xq95MbIlaIjDBH RSp1uVd1nXxGgroqC7YAIkciJtj5Yk1vS2vXM63/367pO8S23HgnFPXfbfgNpgD6l0aLiRKzvYYe cA/JjCHon8+0ilnE5tr4LTZ0PAG/7Mv1xwDOQVoDzmQv9zM9BHYZPUZHXsw2/dN9Vz8HEQFuCz29 AyTmuHYP53hFBxICUpJ8cy17Xgyh4yg2GP1SV2GVub2r4pujaVIUejns1RiObJghpQ+Yz+Kf6UG4 Yn50wZwSnxCfI1+dV9MTH2GMRhAkz0r3/Xh20nlbIxHCM8oGtSoAoxWgPWt9BNz420Pm77a2eZcs 1uBblNSheO8Ky79ztS2xb+XIMO+Jk4LWP3tFkmzQgVVd/V6h8tbOcmhWoEq9ijSjYYf04I8ayzj2 dO/tN8PJusi6chXHyRJv5AiKha7F37rZ2FVZbUyPFPCflY0qG9GRQwJiuj2XYfqqkVnbWrygaZEA XY8f7kJdv4BAeoT9waz8xK0hYx5YN+Zrn/ILe/3mWSA3gyCaa4plS2HScwZC78ZaiA5r1hEo32Sr Tt1/oiqhDJBKdUxLSmIwCERylRcu+U2MqVkbV3GC/0wCWwyLTBPm5LPJcRtryq1/kBdgqUov67Pd RMduhspp+sXRHrdnLb6U9w4xGjZEIvJl7XcP229Miw9jvOFUYNNmwemPgdSTKq0QP7rjI9ajktqv 2kDDIMr4ND8oupZhA7Eloq+fXstm9YKjqs5rR2WqzZtqv72KHlbYaOjKxRQh8+lTt3ZrP1Odfqb0 VUrJH6BO4GDX4gFkijLVsoIdjOMmz09a7Ef5TqVcs9Fd1aVMqeffWREXK2vVO2sYqbdOtoLshncO 5e5bD3gelRuf+xRMOQC4ghFOitpwJaUfk7DKo52jYnVB/zRcgguISHveZTcBLlL+j7EBDelb5pDt lrqHzieA9LH3K9YD2ywBGFy0Kpgih4Q2r9pjQqkVtWhp0r1ft+6OW1v4xRsCmR8SBIyVLI0NAR4k jo+qptUtX5TEQezKkHAe43HrzEUGkpLrUik1d9Wco22+EFdAXXgqcu2ee2YoMhEmeRh3tKyFh27i FNNdvCVVkHBJ5o7/Rnw12az2OamdR1mOkZJXd+NcL+CTy7w7mdwDLMgdMM/07WMrx2D4RaTtnJ6J zBBMu+BawhptXyopfpGYt89di+71OjzZ5VKvKJNlbFufvp5akL/QyoKA7AdRTrHyXB652DHoO3Rs 5BfJC6RXh5oPT4wmCj4XnZYsiWiyv6GrnGHuAQ6wfaF7/VR00NUX2Y5lJSXRBm7N9B8BzlqcFs5V j9SAo4kKB2hi5X8Zdm6eVSRgBXRW+ouIT9YhgYSsPgwgoBlnrMHqca68h99R9T54yH3EABORgdrg hKfriVNLUcg4mgGIQps6IphAWmgNzmylMXdC3hsv6nFZirQ1uAxzYVyxALq3OtKs+YErjliCMW/I +eyQo3UV7qT2n3zQEumFSQjnJTdR2SttfJGLJS+FpAyJWxDiEzyvXoNXuyWr4mEoNLW6aK8y6tvu ABLSSx/DXuMFhQdnL/I3jHUeyA+nvosXwQ9caiANXDgy3THkSKM5CFiPR24QVQBS3Zo4OZtOkVl2 u/qbgNSsCRcU3+ar0ZYGlwyy4NKnHsW9i9aVRSDXwiXDCG/wYM+/H6hxiGL2Rt7mIiPbyd8N/f3z B8aCFRtUJmUJUNugJKFq/hhPMZFLuAaxtjO+y2anvlBS5frel6zdPcSkrssqvHdKdDb3Xfgb3pR8 R7jWJ14zrwi0CkKkjVMy9DS+fFs/iFEU+ONCd/OH9+CL2GdGQbpBDEetSyRizQSrza4F2p0YPYXc s5N/RHFLRIxGHnaONZQglcwv5S5WfGkWfy5NAjrU2tSyuUonUSqXcHLh6H1CCRzb3/PPCUy7zhbM RVRg2s+CnuK3vG01mK4PjZTahmPLTGYuyLX1AZm8vfX4lvlmozRg5j9jCBs4dOj2Im2nGbk4Jg7z Zev7HlD7HMT/p8Ho+HF9kPw8kOcN3oa5bevs1tX+ZkZE2gHSM4Jd5MFKfS75iaaZEAmxb9rVyezd pg0BsN4UbQVYVEWH1hyHes8IR6iznNf0UyjnFMuWrXY57LpbrO4DxVYjA2xm+7/46ayNUuZ/Bs3g taLxaqTxYUJOsiRoxTp1dftUqYnHII1SS7aSlxNRnc7zX5yDvTWBReVVEfJOTfmF1fZ+Ipytq3cd kAUnZNfD8KT0PS1kNix/FA6TqO0MagU4OlC0zoZcnYG14svVW4+rSHjNuFYSzVByp6WZCtN76jcb mKFT94IN5BzS+KQ6ngqyDjzkGI7rBhoNppXH5ilCml6FBiqZ2diWDY5N2IyQ9OplPEGFUgoEYDr5 oaM6id0DO1fGH/J+Wr1g8udUv5LaedRsssGXJkjO/7X100hzLAEjPDT8Kag4tw16qf91W8lwkwsZ bDbMJnZWCAyyop5/Ec9L4t+E1FhqeHmsWy1uhx09cU/B+lJ4cyFjE6esC0lHhFg0k8JHNebUEibP 8Y0trp3qAP4X15QVIaCXQTGxhIHvIfPCQ6YIUnS4pfFa8FDiJ5mEsnZi5X5Zgt8u3y4KstC5AM5y r/kooolEb4R4HUt8EVFMXgU/RXiTi0axhjfN5HxksG+N2F4Rprzb/32hv23JvDU506zl5VlTaIKR wBBemkHKPmAMODdd2TGVZIdOzWheEuFKcOgMIB9qFNnyv97ppP7UJlkDpf6u8FZJ/sNSz+rhlRaI ZQQAzf+C0KYTSc2Wr46ukF3kyE+C+Hgb+OXef1KmnGTzvJOxAq8slkD3EN8GhJehtdJDSTIlRYrA SOBQPEXyTIoQu2izVfFehFkmQ4H5533Cq3yqG+LFcMDsXZLRsDyCaxhg1opp0VmPOWNUcyoY1JRJ Pk920oOsVgOTvtjv3FOzvcs1ntweRqAZNLVcqKr62KKAgSqmETIDFyzks027CGeAVrzUeWMVgUt5 UlpgUV4WIMRXLH4dFlalcRWyXKEEqahWjLqxSVqseUeNmQYlrw30GqS8WcUQu37FntTO5jL8ynkj 9P5mZJ0g+xG7y1Q3CUp9O27/901yrlbe47HmQ30MhRJuY4dseZ82QDRk+HA9LtabPOSCuqHPGf/3 MwKOPkTVV2zaaHIj1j0uBCIrbYoIXUswWWuS5t7Vc1cduF0OVgl+xAgtx+yjZB8vNIagOWCSXJFP JHISxpn2x0cO3ZHC3gkoC2yrUr4e93YfEqumczP5XBkEGrd3w3uhrNGQrqJaqOFVh/dz8DBwpbDQ I0X+hKUNn36/FIUcuFArxQY7uY4cjVgRZL4yyvGL9nSk77PEXCqT2AAAIrGWcg2sBviz0S0CIUMl Q0hoyxz03+ZcVsJw2TTPOO4pS1UnJ0G/hwYcg9H4SO6agXAbZVjpQgaK8h9VgEcz0zmdmhtcSyMS m58xbPdO29nlAKUzLSLTUsz8SCxWDY9ZJcPLv09CSfD2r8bQBE1RdONxtxZPs2hhnqmMy+a8k4UR bLZi6wTTWUimPQP1tr+qMVgqlp6h3BtiKHYUUkR0D4pdPy9yb2assTTsDJrixFTsbEd+Uj5WOgB1 yKtl5yTJYM0PFGFLexsOsSCom3jERqH4zTukLWE4CDOFiipjZJJu8V14QI9xC36KKJnJCBDaVEKk DhM7BeTDg6dMy/PhWK3WsBmZcNZRShJFbfCUEgTnZ+64rm7b+wBH+HSWsmlbaHTMqE9OmjeAAVre GSYYehJZgeF/UqB3dKH65bX7157bU3nc7xVfioI0pdQI96hE752CfsyWmLXzBjMpdRPg3mwRbKWs lES6fnfyPEBDqJI6XNtRAPt8UVOvCqSxQ57TemFlCt0AeJxu40q1rjFwzYkSuqS8IqX9+ZCHEBC5 YXR02QUMLqCUYeS2xw11YJ4tbAcrqaAecChuKGFHxXTRG+g9dvATwOP/6krkP0AH1nRXYLyVElGJ HoYdrSThmE4hEDNOzWE6O1u8S6ICJ/vi/55MYsAcp0/6OHV4PlZcLtElLj0efVoR4uMjqxotCi/A cNTH1Z94Ap4ifSEjQVkZda6DZJHjSt8iVuP9Xtyc/uXB4Q8C0J5R0sDnnnqMtETC/eLF4BLZ/kvY kqVxvEWRGPCngbJlmZG5jv5SUWeuSxP6rn3ClBCT87/e3YOLK81OfkTtev8tPlFRRhNkTfMcwqJH jQOXdb9THvHztNBvbmYmTaKwtEXZVghY4GGeT0NxNEEfDFHzNbM3/nHU7PKey8pbE6baC/mMTWSO AOy+i9HZAz4sQNzt7IVy7EheIlTBAlhEoxIPCxXLoN1kr8Wo1gzEAbkC+krxERLYMyh6sx00SRNe n2R71iknQNDHa1PE6Y14Fjo/s71KiS/SM983Shl9jqsNImBkbO8g5Aq2wze+PqwZwqzw2yxX65CP RRuCZ3FLgBLVPwxCA9BbossuUH/1WjC/uKpeywmGEJTY96CWoLnkUwoqRUdn6I6SgXM3B7+y9MSd /E57vXlMi9xF5uRbDg7FINYED5eAL42We+RVnBXCYOP8J4aBMcOZTWYH6fVt6Ie84tGd079llemY t/GwxpkSElaCNgrHI1VEDTVuV2CYYpdiN+0Man7n0ZDXtG8Jvw/pp95wncZBOtPSzlK+Hm4zF5vq lku8EMF5Fm73E+bnlYywzOcMLmIDLvGPZCmJBEU4tc0ra/pbI/eOX5SWQFz9U32zcVE/R9mQ1pg7 5deQqZatYORr6CWe0HEofpfl6gSfYpBmPPeAsyh9W8tF4sthkfO+4NSIjugeV/JtE0RLn7roUBQH IA62C0dOjVQLRLdlqrKUqOutn6GwlbvUv6JYGL9LXe9QfMbb262nVrWatu5ClGxUt09Xuv3fgeaG wXkzlAypIu1U3g0O3YmBuqM3SD2+83WNsPjyRdtUlXZDeFlMPivY/J0nGr4wB89LV2WhBixPZCEz d4SA7+rWBaeRQAIK/5tb0dzvq6RXSnFvwyHhBppVskrgJDbWwL3qz6Lvrqp6DbHPIB7vvLJ8ROSz dUMtEpJ7nMp69pmXTOn348wuMaCAeX3s8+QQuv+HVF1YlPHDFWO27yHREPFfpgqpvv/cCqLj9btV UQy5/uJomHHsLX1R79Vzomg2L8xQr+suM+2iZykB0uJiWivrGAelVGx0OpiB6RqtosifybT11dnK PAjGIwk3DfELhqe50Y3sKsCyRnhlB1EyXjbsQRUsTYp2Vs2UKkMwHq8ko05Bwh0Fq/QAJUBTXfJD FIAGFTlOtlguB0vVBmUW6B+W5NwAHF1JqVr2ZrnYJDjtcGLqjzzvE4YE90GOf66XLybdulBuve1Y SFqBGScLBHjRzzLhEQVlxIZdysr+0mT3TPUeX54NJcQEtsLFsf1kAxwPgMbPlDpcfKVoYKz+64M1 gLdUTCIf9EpBCnlHorcRnSd8h2vu0Vw2DoUmF+4NnZOyPNS9oJ8po9f+tggdmkvfa0IL6/DsKdi/ cRZskgx2AkvcLAP+Tm0QAoSt550IJNj6KfOPzvnSWOMOL7ufHdYeZRGKT/Fvb6FAkO1SHQb2OTzQ feo66tVT2OezqklCAur+SInjvpir7MIUewbVvjFbHicyvVWcXlPYY1t6CfLJsLCZxYzTwVdRdxZX kYkZJi7HOqVwIKh//GrmEBvYzARFcmLmyc2VjCE8PmegJKdifHdTAyd3nyrknNFnaGGHHStmgPlj Emuu4JCNeumYbR+71xmNsAifNGxGKIjm3bjUJ1TAwP38caKjvoKyhIenEil1uc7EZmaNt1cE5gw1 4FW0Owyk8JOmLcLOhI00x5cP58kWc9FgPZeC8thFzL5kyqswj9RqXDymVfldUOS143fHGde3WaqR RqG3YHJRELK43Ri3AUB4LHBUU4jYuMch71gST3qkv+HA4nNG3YyJgf6bCvka4WlaoJ7yKaWFxhcj hYMpIvs6J+SfhX8S928CA46fAcw0IXq4clsCROW9HYJ+nsBgaBErjNNtEBb2M6CSf7u9PQkpQdCI j4ti3dyGl2rLGpmPUJ2x8Uwkqz9Qw7BZxxugwiaI9HUjNeY3byo+iXpDCTpDZ1mrDAPqhfXmvouh umFGKyJwLLQu/p49Ms7kAQ1XhHj6BH0rne0gt9+YK4blkVzG3LDBIJTcoaoJaDu9a9/O0gxq6b+W GCdS+fs0LL6+L7neWR9F0hKuedh13S4Gf2LxymwFTWIGvUEfxd5xRzpr/jtdK+3f3FsewIAtPIRY 26fiSUZtl8SqNC29oluTuRMNEJPczP2qoygESHvAmC1hDiNBOJ5+qPYQy3Im5Uguxecst4OUVP4B BZbYmbB6CIdsY1FNIRn4PxncNviDTXdRPN98neGz4MCxppF07wSz26+OazXVQwlH5OHSGZa/Tpro /ohijUxs36DiLy7Jw+BPPkmI5Jr1b4mbfGamcfVWPfDrhTBIyeD+YcRbLofzv5am1jihDcly/OmE ViaT972GqBhmxoEEUEj2L6PCY3emt6+q0hJ1vgg7O6UCDzsgOH+O2nDuSoxZA4REEFiRewykU14O 0KwOVcyLaWOFHJPBHxdaWtjxolY+q3AtxJHMeDCogt3qSdiQ/A+WpMGVg8COF6r1BSteMPdkL6pE p+vhdXmuUJZwJg3/GdNcz+OSs+78Aduqmzw46C7fyQgSlyXSc8pou79Wk+PHs0P5fFLtHB+fwvkn lahXMRUj+lvhKVgWpLk8ZPSUjivajkMck84q3IgXiL6ATwhbaDYm5GA7aCsJti4ll/Igm8VHzp68 npeiVUGqzmgmm1WGrl01deoi1e+aQT+HbWqqQYu884fQfu0JIICIY1BWPXzoqYQxobCiQdBHriuW lF9P8FJm+BwWvT23UDIfId2CtfBKAOVoCgKvCiqVXTGm+Kun9dHmHNMja30TtqDNseBW3C7RSccY TCEZWzk367HGFOrc6ntRbmyrmMeAe+lQfr7IFweu/ycbwT9kBqIejWM03D/1xTEqCdI9xKTqJqsR /iyQK/gx8oS903b/1SFhxNUhmGQDYy89GpYkWhfkz/hTT8WsrqvQf8gEkH2uAo7I8xLsCg+lMxEp 6F4S6PDkMsB77vO+QKTyY262L0qNvBmip+l2MY99xd7S0tXwLqQXz6pNrOqbEV+o3f6Yr9WwYS9p L8L3Evxvby6GJVfpkOD0IHuVAxg0mMcEIbh5j6aJ1dI2/YXTaR02sh4UPPYQ29hCiB2l45UMtem6 aWSvPPJyeOYSrdAvmXqsGU0641aN9trYp4Gfm9POvXexY46BHB6VQ7Q1bIq+uLM60F2qw0XP2DP9 o4MpwuHj1Qt2Sd0G6Rh8MkaO/mv4qbvLVAGqX+OSTQEh+KsAM+ZfzOvIfm1j+itQKXFjIWoga8mS GmLsupkWIqQ8BlHN32b4aVirORQmQ7pXd/dlavs7f7jwDwVQMYO2kyHsQZ2BOzBfmpvKY2yDkJGm smqCGWFxZuJgEctwQz3pmtTQrHR4MrxGGIaknugkMh3fe+bYzlJCGje0R3TtYgPpGPPEsqMgiNqg hVJnDWmDfoyNrlZsZ06cl9Jb2vI6c9lsNyecaQ2EUU43wVKu3SzjoXNB67j2FRkuGqwwmY0uFLq9 L3GjU4ZlKUM0+hyrwI8TZDdpjeZZUQoFI0/QrCFcUy6+cAgMNTdzK71oXTh0CsrbvZPwSNOvPYA7 rPrkLxeFNlx5cobNNuX4TxvRB1Lsy/i18xoizXEW3PhM2sbZCwQF2gDDREPTx1rlm6DWLbZE7kxO gNAfgzXUN2rVEa8CQXR3ffotRrarAMVFf3FKRFKDyWLoKiKaBixxlVW2dKXQ9ckYSQNF/9dSGatJ fe/po6j9vCbTDf4biDnMGPzhj9QTermByUKoaMZOcHZ0aPjAEdgrSZDJFCw+JaBJhS//UD+CB/hb SgJMLUEsqKmQePOqn5FYO9wFXgEqaWjLFq2Oj+4DMC1smzZ4S/2SB6oUJPOOHYctFPFIWEnErJf1 2O+rMmQtrBb7mpfHbbTM103h7yhbarz8lCUXt9Ub2pc/kN0evENi1sqS1sE3PJL2Tz9510+CoeC4 S+Zfx9jtdRv6MDVydCkGG9tF3LVcgkFS9F2jwngUnY9VVF3BFlO1qlSHHCfFzqtHMiQrruYVYAfT 8AtMdNrxQh8+0BXzDMKiOU6OGiN1krlw1v1bGcoiZeB2/TNnPlaheKw7WJNM1Himq+9Tdz8a4mJp 2Sj5ICb8iyjH5gAjpGGKvFX5kJvt8BjBw5Wa1jBkjxUBW6vOmJfplATvowtijrYXOPxyhu4IXs6J p0GHu8+FbmwlVV50E6Gperj13yR2u1I02prI/fUGGVhYDJColmP+27iOj4FCSPamde6gk8GefOvs HtSwp7Th27wO9+aoibDFdAFqpyS3hbOXo+Q+Qdr+GIcCJ7DRl66c8vWbgm4wbmCoDB5uPSLENemS Jn6nwBuMh5kBzrzM01qvwcHUHXjsxLA5Cw4HH9MXanZDXz9lN/hn5x5RghNyVxAOJ38GSyMv0ggm e4/ytAxBi2fgONCl9/g8GHS08NATV8zmRUb+LbhGHS8QlG6TXFtaJI9zFeld3r526urHSqpvauv8 0zbQ3hkP4jSos+mP0niAHMfw6Cx7k5ls7H1vMVM4/LeMQwEN2S7IbR6JwGBjqfX0bfNv1jOwME5v NCAVBM3SDQ4QTe4KjpvfVS1zk09suCd3zRDWqHk/Q2zYjaeyjzgr/URhIKqtwv2SYy7DRJ0qfceM CQIN7TALFgJ+FfIc69Azw3Tusm+UWWWO117ft9agMX+mSxHhuf6236QKWN+tTrXwojGX1dntQdjD JUatQ6BN3IWzo3aqP3V8XuE9ejHB4enlVJDph0J2RWoYZUm0jbXMB9YzsPpGdgpa3W21XabxFBfx 4Khmg+PuNy2uaKyYcGalVy4dPZWP0kHBOAhPM2QujbwUkowLosv5scJe8iAyTTdm0i+qMUIpNxJK Zm+WHjdAmHNMjHXK8LwQtqFuOCW2Repg4CO1PUuZExqpdlIQaQszhV6Pb4ENStv6bdkMbTnTZ1e1 dpT71mgU9Wzx6+OOdC58mt0f2zigs6KZw6mi2xUvswS/+m8I61GJPZO0HMhUeP6sIOR27+VmJTZa 5iBBP3TPIDjN4kPOo3ZHmuAibPChCRcWV+8vx0cX3UO6vwGbfTdvI7CessvrDSuutqTaDQG3KNxS 1WlmTE7/hb4X+U05GpNSoj71YTFm3pwilWIqK+XVecKxAtstXCdOUmPwpLeJ7ll5HMWVw+y+Er28 vApBCJ189WMUzmRtOxl94kvnUMTVXjLyt83LqAT1E6/NDJsBRBXTZlEAtozcr8DH+Un99F6AdEue glpafI0P7zvu2ZYg7F2AADhE7aZfRrARFCmXY5rZltg+483pD87Nx52gU0y1ELP7snoMnFNqBIy8 3zde8npsGZERf+9IF4lP1rD73CPzfzyw7UZUq+KvRgyb3eXlRmUbV0CbyMUh3GM94eFhTThhJ/Bh cVeDQamAV//RvKoG3lwZsv3CM2B7I8IhTIvQUyDqIfRN1PuRRbnVhJYUl2kphTtg1ULB7LlTz8D+ 5VfnL6IAYWRGB8rb3wXMPuU1u2PLTEhoxCnGLTWJzp58RBlwCoRYOvvVJj8aieXy5Wp5CcZd78jS pYCajhRWsyvdykYdFHnvURaPmU+DftoSmFXoP5BApTR2f23/o4+xy9A7UpmC2ceFfeYU01N8ndnh DFBFhdCg59aKu57Jvy4wOvnWfkiGget06wXU1vRV7Hqw82KYnyY9PclfthqUggUBisEQuH1JFq9Q 9eW+M8pA1wGHdMDJAZVpcDgWqiAa3VbT1wC8Ls1m1AYLMOhXe+2Ptq0qXia08Z8UzRUVHwTKV4FE cFBeEkaLukR2MIuONy68cxjId+7kUzj94wjl+04LSZwXOzGlsXx9FRPYrESvzrwicimW6yU72w7z U7lLMkZMFloBFV85AimF5jZFsaFzmOkXlmp2X1zu2Z5iY5x3W2hpEmb2rl0xbN/YAgy9TK7KovYw Yp6aAody5xFpxg2651yRyxCiDwF1lh+NpduI4V1RBN/eApGhXrCj6lc7fh638PWWPCtn6+wGQG1t AMs3d2Vu+fx1tV5oDywQDG3WXJSNKU0W7XKbBSOINlGmNFnaWmiGHNzoXJwfbXQht7LP2v0UQcZm ZeidUHpspLnlVmoDmiVtNqLznPE7FhWHhI6po96ERqbb88sHHYgHzjtSmd/q/YSzGfTN9pCqxdvu F7aQENdOnQdmii0qlDgcym9e5jmymva9YLxnjMpG7DqZS5dBrYHuoxg4tO6Y5fKu3onD4nLTaLCu 1G1EkUt5unGcESEM0JmeH8+b+2kh7s1sEcjSSFWlDVR5FVATNplCIDomh+HgQfttMMq00/sM4yZm dHTEJyCDkOk9BUeDc+rBK7BHpg7ZHaKdlP6E9uL05wsVbYEY8r9tfr2b9n3ERkAesdj9TPczQrhS HjrOvRnu7fVmpSU5b1IXevGlQjd5H0YghVRwzuaLFLrEYv8Wr/wyjgB35Hf7Fw1ZhRnsgCe+h+FN am2FsSiE+/+7nsWJszyD55Jm7cgvq64YEqG8Fs2SXjg7Zr6XusdhoBGtAfVman7L2iYnPrRIch3k 65Avsm7QvFV4rKohbWORPsQ0DFQhyef+O1RgCCjLPx0TLJbuO5gzU2Iz/WPIMlzMfYbwlijZMktN sR4J+1H728XOrx4zPC7GWDg845DqJGu3T6jiMXWeb5BEVvQXdiuWVn8xoQEiBvE91dZtcqzAREgw NjT0n+ulaOqenZ534KG1OCmGx1KWgTx0n3sfaFWxExnVS2StUYzqOQ3hnDpZ5t6ejQ+8cPKvxKTC R7CXrkwF8klwLz+Q5TShHTUsrHP9U4QCWKKk/afaRe1Z7x2T4UQ2q1waU/R6HToq08xvhonuZMRW FACYIkgJf6aGCQhJMRSNTJYQXyPEWB0sr+kxYLA8HKjisCOD6sv+d3PKSZvZqUtFHhroDglxUOJK kEeB4YuvFXn00Kvns+6oirD1Cs5OmXi+cvHjIuODxL6Nqf9IISfIrThOH8bmYm5BmtU8xWle4Gc3 R6GNLuBKWMFC80tx/GjE286Ro/b88NfNdjHn2SUHFT+zq1JzAmlQa2VeqOZAJvZ6FQnLKPI6FHVU ZgOE3Br0pMA7N3oHaLiOwiiajsulIHM4ls9gL5Yiv5DeSBTDL1Hnylz9i8kIUNgO6U/DG+Q8AnMn F2nOVi/K2yW+maMHmrZNP9BVqtfEEb/UmF2tqvJPQh14mD8HT6dhPlGYJTrpTiyEtOofoecsaCgX hCmuDW1npWyVMXN+c8z997XGqbDsA61UxUbIdK95dZSYyQSgmH80mFd9lMl77+MV5V4HIl6ToTOw sCZVef938VuNdIBFn4hqX7FaPKwzBK7wmMXH53M+pnztRltb9L1Z6zLy/KMyTCt3IvVXj1DpzIlj cHdjaWsHAIw9MneHGYVtbjii7h2W3tItV6IGVUqDyE6Ev8TX9Trig7HFVXsg71ZsOd5fasAnPiFv tQ6I8jsVA2nBGjlSV7/ydfxOz2K1362S9h1g+cnEz5Mo3CobT7CMPpZI98MJb+b66egMML9rpRUj +oeoubdCSXN34hzehh6BfwFsgmkQd36YR7LSGO1+aKyRYfvFZRYxwmeescslkNqU60v0dKmOyWmS H/d0Y0vnMV7bAspWweQPYNtm1ectTo07vc2CRDnRxmh2uwN0v82jQ2O3ja4mWsbrfD3zJnize/pX k60OP3kuR/+kRXo7u64583DWvbr/pR8y3CVtZYCbj0VBZLNFvdcwgbwdzqQ83tKjU5kyI9Gytyds vkrbT9rgRDHd0S3MHn6v36SnFmmgobDAxbq8W5nrGMlQn6A94+rzOLWohZWD7QYYfKVJFL5G4jI6 8g/jEORy7wLu+JzjgPfp42qY2y6svXbwkLCEKGK1ioVCNmqA0dlkqBbXcYd9vTSA7jYu7OPgWvbg XpEw1zIaOaU0NM5y1zANtiphRnSxj7Kn/nBuLuVsc/oDTMTooSOrwaE8IcjzCprp5xzU5zowLBBA 9lIfv0cqXZxr2Xu0zysDJRR7CHbO9lOa0DOcvBNoujUUDTJ2h+7EeCxBittk0gyYpKuW6GcyJSvT PxlcdSBb4+IVjUzUIJYlxjFqeCT5c4JCG9so1fePzx6v2+XAmnNFrowo82f8o83GW9VTH+bpeuQv nemRlNIffVB6zoSDlm6awGzRIF8yZ5GB9Gvpac9vlx/icCy5QXsWqCBfju/A7WXyy9eAz5v8BiXm SPdmn2J4FuooYZpz98kk5HJvc8HRbLCfv7ZW1rQqFSB2m6I4t6N/M/3e546RGShgCsZsbiJZlL3u d4jpMw6KBhfPyglrd4SUOGBWjlnjskJuGhoU6EjyT/t12hH8DAu8SzUqSdYTfCTG7zAdi4FoJtnA VS6+ARVlvWfkB4jfXrCS+UFn1636Faj0LsKacsMjzRUWr9FbVpQkU01AmVJN6cr6NLn2qe0Y9O+N bn4aFhtiA84KEzeRGj3ZrsyG4H8wJrHP8gXBOb6MZ3dhXsAsZewBPuixRWDB3QPHti/0ZXjnbJ75 DSfsphBP5LqPY41ZBh8VooRi0noO37886+e0VVh64W5jrm8o31CV10zZklfOUK0nkqtD9m01crzV gFfZYwUDbZX/+5Jz+C5aNVYKOo5q+qrS878hryzSn3xRIph/gw3LOD/v43c4tdzs4IemwxVMBF7l Ti+M8bpV63hexj4oZYyyXe3hZPuRtqYyt0kJCMH8FuIoHSFkF+244CVWmNPCQQZsC5oStpXJe7Cg H1a6FvMfNx8d+ejxsFaAk8RiQi3sTqY4kTzngLk8tH5w0LUd1f2QCj3qW1+eIPrTe+5U5FSZ/rxL ocxNKaVG7mKTUY4aRtz+VmsLzQ1UGh7k0hqI6M9BWebLuNrJ+7MfDGcJacPxZd6TauRY3ZJofsWX gBkjuuLQH/Bj2bvj7yoWzZwvFkGMFHom3/fzXNiYEvv69QwQsWgb9zI6cBhhiLj0GgSBKjoo/G4s VOM/Dxru/pBKR3pWbjgVHYCKSKgm72TvxD00bl6cP+4jITReVFV3iEUaf8J50tyI06qQS/p7S9Er ZtDuYQcaEmbYVmneWXYZbVv7+6enheUKgKmDyc4gfVsPdKDCPki6TJwpg+gbioSuFp0I6Yk1aqsr tbbBNEVb81vGaxMEdbeB2nGr05AXl9ix5co6sWOfeZs2pmMPuV1awq2e+IKk06V9dkZyWjai6wTW hiSPxNDKAoCHC9wvIpto+rLA4piRPtqHBD4da+FoCvZsRJtO7kI+2vvqzhA6TwirjDuCQ/A8TOdX BuPCAD828hqUeICkHY4QSx2l1NIu3qCrjMhyfXLVFDoIv3CYI7EsHEoUJzwzhR6pb0poyOtPv55v U7rSAnomMKpYFpD3owTko1ugifLYDyLU2fnT01T38pp2SI7hvve689mof1LzISinK/TpwZHXu2cS J0ZVYYGHyc5r0RS1UGsOi4WlDizYyktDzxcG0XFE7S8ZltEeOx4Dqz5Sw2Jp72R36EkhrzP3tBoS jT2tPxJuIP9NqV9OGP3OwBO355gF8flh7i5qjGDMfXIIOmaHiLlOLYuFDzhevu3F4kL0DqBy1ym5 OpDvbCwDEzVePiWR9E6jOOfKmn4xiAFMZmY0fAxMzTyfic3+k8NR5af6sBuj48JiUJq7G4N1XMDV 5PliVhYolFNynLgZE0zOsHo0c3Ynl10XlVNjbPRJmIvJa0sx5mfSh23CY/zIeGBdTUZB/VCmefEE h0Fhg1/SMyeIElLF4iRtVafiMKsZ0ZNS2sDe2MuHijw4CEZiMrgQPaRUgJhnceBHmFD/oKb98e8p y9HNBvNS/emUFrmSQTjKqdGi1pLEYwtmaXi5N/G+IehstP6xUg8kgEQxDPcd2ItcsIB8SROjLqlg 0cNkAR+NhNSu6tIV1mgefeBRq/LabEeda/5gW0ZcJ3FpdJzi61pWa6kyOKttdinoe/OE1GNHyiv4 0Eb724vYXesHDC05RfWokjc5gKmFEjHtgIgoqN5B+SHz8iDWG3Z3pXA5nLqwT2wlS90QQ2o1Yh7v GrUQHf1tGfRE8eD5oMLUTA4e2EPtShUcoj4hnIfX2yn4Lm66yonHbH08tYt67RMaWQgnZvQGzjDK 8bXT+8/NavHxm500n8+azeVN8H19D2Fv6blNBMmSazEGttd+CnDF5uQE4xXKS8CXnxH5c819hsxb vlvEo7k9XNzjnQptlDJggg6NVW7oTbQvsOvtucqTpG4grc5JXRWYigDN0epQvZPQ6sBAQ6VWMxP+ tfKkinQ/qdhQs8rqPd12fvzmpdxz33dZHqYfuxcpAxedUgizJIQZffXU1wIB662B7AwR+EVLfAiU dGT0ZQgoatFcCT8WblRgt2TaOW5CTwtDdrq4dyd6mUCkgTBY7Y3KQfRlfdF823GpuTOHGsW5xWNn /Ol26JvzB+xDn5vwlFsDs1O6JRXRToPuOpmBA46069aR+h47gQ/FF0Gqvikh6Fw5UBQDIt8tjwK+ Hx3GWfcb28lCntJaymEj5Sfd6J/a9yptP185iA8SPEukGjn+RbtddnSU3UHnJa6E8ToGtf/4mpsB 5Ip066TK/Bjw7sMowjzz+ZuORvfSkafYq3p36G22noDMgsLCFwLiAzRrVsbN2WHNde3aesBFhLRr itbYCl0tcVukqn66oaGsmCO52YmnbcaZhSm6O6KICZOzY7bOAyLPot5LPuZ0D+4Z0w2Zs9evma/R KmV+8rRjq9gEAPhMTCCqVwU9/r3kGlzos/wszBXaOV28LfJq1iEuMEohkyVbHNVzYSFRbfFvNVeh /7oUSJRoPaF7Z/bZ+nxzYr2Tv1bSgKrTEX6xRv2TjBubkkbv6Jxcj3/JxjIy3iKp6WXX1y8vbKFU hRsMu0boYcBPbhSiTkikZU2MAPvw1BvO7D5cfoOEVafl/qnYWBJuO+eWnYSTdZkD2xVsQnzjc6HR /d2esBomgvY8vV3PK+FLAoXIGHwOu4PKNw+Ov75RBn/uEg8qD++rMm51DHTeVhcFBUYTreimAr+n TPsEKfnDILV5pdjsQvmb0pgUJ2GShXrurevLbgsFDnoT2oIc6w6lese3GffiHFYdzOlYzPuuzZht Dx9SLx3qCmLIxdi+nuCgtfVUC8YgGcDNuvT5WD7AzdLUfhuaxw0lRm9zet3j8PDSFSq77CGBK14a y4XtDP5VzXtn4Xp9jj0Kg2kqLNEId2+mWIynQVMwyVlBpXz1qbp6d+VL2g4m1UAuYee6X4t0EUZD HVMaD+MGKepKC/AEsfnwxY3C2fYIRQ3O4smF91JwcGbJ5o3pgr7ncotIJKiky0ePm7i+WvGFWdCh GQXLAn4VFxlm5RQSXIxHQIud86DpHlYrH5JQLHBUwp/iExkWNQmlycrRDX+RJdLO6fJ7mT1O/cFX ZFznEym1zbCWASxZYzzN8gVY5ke5dqMkFDSeFvqfogoP/JOyzf3pnoXj57ctTwfqOHhWlLykPRWp yCp0i5ruicY7dYFRXwk16ByFJqbhvpOKKQTiBRn2dUBGWA6huUUg8YrIxC5Xw7sRsxbl0vJ1mf7m ziiW2fwp7jNJGYpQ7/nqm+PukUJtlWoCzamb33WS2nYrzBElBwa/5o6UGaYnKuwm4TlVg2z1xSNO qoRwO3Ya3D7b24kzAZdvlckh1nH05duWHohhw4GA3Phnxu9aVu4c4DN02iBJkUAb0x2j1n/vfzbm wpGYJyZXVkfJkUSOz43zL8LuIscGjjYshy8Nax4xUa7qcDeu8kHCWMLCnXmhS7xOo70HWK/GA1qQ nTNpBd4dmGq9pSuannJ+sKkWpmQ+yl6smN5AvlWrvwCE2jPKk+8kyeAeSP+tdFqsWOfRydBGVljR +npVX/hyyZv8sF+ftgXhH2gOL+3ZS4uiN5j1eOH7ewuqzmKGQMcswFAZYgGMOUPT19/ZmVIVe90n I0gqXkTo6M+A3PtM7RAF59Zyh5lNo3FV41MaoIDOdXa7c39iHQ25xgJQyHC2yNG18A9WXzjKYQNy 4IOQfyCdbJdImppsyTg5JR8plmrnmlxeaT3nNOlxnDDOowSoM96gAz/i4XNE/IDgONXCZEfKsEch kL21XaT91TYm1GOTotmgoDg7UTSpZtcsi3XMEbYxuqdzqL911RxTDpR/c5fMcvJrTN9eJvrQoYHt G3NZ8RtVFVtwDk2jXOGHlEkrBTr2kN31HcE2OZ3PdF6VEM50h6DmEaTw2JCgImTxmt3J6HIqLSZI tHvZLJRc221xW4uhJnFoLE44WCPySqNarQGXhv73Tbg0ei9k1ZiLCTYG2SlDQ4mZJHJ7EdEi9JWS oyxT7psO16yoEGjLJk4X9VnJ7ANH2hCr6I/baED66xLPOLJtfDo174Y7S7bbRaXNAWNBa7wJGOTc YEWury/MS98/UREx7G5t2fCDPioeyyZ3wejAl23l0etFrDfUfgz8pF0tEcbM6ojPFM0y+Xgoo6E4 mIzfPkCZ7fphGMAQ0/kBphPOi/kWKdy0Fs4mASovL42rcn+Yv6AmxX4npiAmWTKZfLuaRvCi2mK3 Nooa0CLjVN56sQA7U6ydgDdkul8NbLFl1lrFIxrH+9IVQIy8KEctik9u+CiCD5G/0uP0QrLh5yp2 gnRGUlUT9vWfOFX8IGFuQBqm2I9dDixgj0pPAf//Vq21aG+VntZap++L1cp+cW3l+xubFi8GZUy8 sCViwGdHuIN9TFbeqmmR5UPjg7WceS0I4Cap1Z4wYUl73wQo1yhxcHHK1sg3JmglK/2pnjbEjVVW DObYic1jf5dwxqLuQw50L6UkioXNiZKGVLvKRGmvmecgda/kqzGZbkIbejxCsipNEQBOdY+r0RyL BdhyGUqL3PftGTcAraJlA/SGeVi3SqS9/wVjh0lOHGePuXMuALHUBUkDXhNMxwHAwZMQTeje/i1U Szee++uVPpdZFIDitI0EP7/7sfWWimituBkjBWLPhn19BUezcuf/RFnjsqf9c9ZELWxgujTpGRhX YCqgUp1aoB+HdynvLmJS5C8GYbkGkObo9NEIwW8VWStzbAZmd7Oo5cKKAnwjkEQhyP6XAZxfBGZ1 kSiz3GIsyqQtyECBoEXZNakowmmCRalmDI3y/XYXt8/gleuAnzkvZNkBp2RTI1ozZ25xlnsDTWJd GUIdc3UBGQVa7mi2EIr3yN5mT1bRuCIiqg5tfS/kNJCvy6iHUeow4ekCCz/8xrKLqFfvMcoDALsg PpOqdtTEIa/t0HcrjXY9H2ZYev6CgWCrtkGzNMKjzjf5+r2dFp5tQDpIHACCXC8HifeKLTSwlbZQ CiU5tkJL84Aaccd4oJ3A+ENhqRHoIsNGlX2AqB2Kd6/4elg53Qs4z8+66dZvt4KpEU1qmbt2ocDF hW7AMo6D1riQs/pveRA/BaDmrmhGFKEvwOUsVKU8Oed0x+EQbXHLU0NARIN3H0Az8JV47mlDnlrL WzzJLfldsrdNXYwHUd7qb7ckK3CirCe5L/2fHSRGNlnGjINlq2nlwiIgLt5J7CGyHRoFXtGUaICn /1S66WzB+4BUyTEDb3dMnKXRaCX3xZloUq/fvbOPIP7AG8Nk70kgHxUjFR557xWAG9wUMgeW2C+3 UDVyfiIXCOUEvKW+z/PoAq6UL8qjpy7wsDUPtGqgW7xrWs8EAaYmzehsZ7tSbH5uqZ63C2o67Nno nqCCzeYIh3spFSJZLBlfNRzPERUefFN57cDSdDdV43YIY40xPiULJDUAQIrB9iNM0VpF6FOngjvL g2EsB/0psxj8N5gf3SW6vGX+PewS53nrvE1b0L8CAT7QQuLEEdZJfAsqBkUJRPJ3NG6UeWZj/Ld2 DiRJ8ZUW74SP1eoMUXVsWQDStefIHFOf/Ec1frJ4IzbFuva7tzC6cfT27r2Xvf7vFW2E7/KUqnZa MiDknMHyc+sYl+POJGgy8pytEvoophgL0EO6x8oQNM6HTImkxCz8JpMaQ1srcHRZ2MBIcRCrJ8Ls 2n1kQP2f+UzyKFTlIPXPMDw0VQx1oH2Re/5zbkLGiclZWT41TSf0bPNpqJ/kxPFC4C7PzKjA3KBv 9zQoWfpcUiiUjWY8MyndOaK7lTOoze4QC4GohOz0ll0HW9CjxC1F8UM3l1BoQ0xpa1FKdPzkO9rk JGmd7+JXuEan9TN0Cw6pBPVuChAYleHq26oZDFN0rX1fump5AiSuouLCD9F2XrCnax42teaA5/zl 0GczyqGAfd/57iEDYQrsUuELtzA6cllcQsWBtjRF2CI/BNNSguKEX0+sRrVMSSaR3jHnf5tH2AeK prbmDYbG8Oanow11R/+aZQZkev/s2D0pVHchZMko+SIykDZslVke4ldbGXHe/kZKvjM8C0Q65hv5 +yplev06nlwaChBZBZSNDBd8kVynu26wBxqesHl3xRYydZiaXW6JySNYax+LMTfDRzkrtKBTy8yF Z1uSacsKW3wUliEQ9z6jWegJBoWHjAyjxWgrfae5eHn3gX7INDuPKtUhPc9AXoPiRTSarREne+dr IzqqkC14cxMnOS0yas9xiE+OyiYjUgFpvvlQ8vDyKeMXwlukUjIrGpvB2ASITJm1ZNyTnNSperzC V9VrokEtF4yhJIC+Xd23Q/4wed83kXAtl51PW33YBM+QO8kFWyUUQpcSBRzgX7uuy6buwQXKLj5X +t3XgQhWK5mOlWjBAniVnRQxnrlir38wVCfRWLLX6yYlJIRbSogK8zg13/vUCuOmU4UHEAPHyinM RwRVA4gZlwbKDoUZoemM+Jqg86Dtic6XT/6dIzN7BQTBbDDtiE/TZdkKp/wxjUynr2ypXC0a0fnd QN0kJsChPIlN8TL+4uAt+Stix+lfkPVpmnRs3CWfsAVjhLRSCGclnHSQ99LuROuYwKrq7en1qjIE hTXPZsso5JUD5I2vExTWvr3lh/OyTmjAQe1N8EN7hQXN9PyTcMy5VyWKH2xqeb1BFmsB8MX28CcJ m9rlKDqxPXfNyOrPF5GKg9xsQJRO2Gf8GrbbgyM1uFgT24EcwfS47J/qwcOb5TtXyu/dSi2KDLZV CkIazwcJJPg6vN3xsbYGDBnF/rHnmbNrJahoZYoIObt9p1djmSkes+TklM+aBX+q2e0jJKVi6wB6 s/jQIISRiSm/+96F14m6nY63DMww5kiGxcmdO7EhgyXTdwHKD3Au06rPX6TeRcAbKOVW99oY2w8p mCNY4sJ+rX00OEwdW7RLVxOt2MrXlCOiaf9I1qS6RnD6NCnCTTSds++ADXj4iDezqb9Yv3Q9bZDd vBG5ax0fRmNt1JU3NBFv7Z1IgcE4xzuGcXPoTiOPLh42pa7fPSL3uxzDoPaI46qIfOOCiXew5Ecy ZnBKqMDY4zNzeRmwE/dMPM66bwngWCiAaIvnKSH1hGxtW4aL7pFQBen1iduYXWRqBiehGsVjgldt mhWhQaGjmZF9nl2RKEzsXtS61sD/4XvXG5mN10I1GzMm1OqE6rc2t1DC/LQIbeFm1PBTZMc+I8BL WAkvvgiofmefI/f4dG/tuG5CLcYfRvSCLwIKat5mt7w+EmlRHQaHYV9fFrPfE7swfbJu7/TyaiKD xoD/a39RRggcS+gQWZCkWNE+2beRh5lA91owVA75d6YonoDj4/1+3OlI9r3beE9RuIGA5L6gGq2l lWjx7hbJG7FaxdcJ8CzuMNt35V12aKX/ijH8vP1i9l5rCOpqcite4CD5PUjND8dqsrRq6ZeROa2P p9pJDuOufBaUGqRndH/td3ciRXrIlZCmGafT0RJhKJ6sS7AkvSS9rJOvma3hzdjrhBCpAwljxP12 dp2iwA1/yTmOOebouhfP5sctnWCWeM4FQhatJEiV7R80M6INYJanQjxxYVEv1rjJ5Z0wvaNLyZtY ZmCdTNBho7SCrCjkhE0rSCmCnJeX9l/jAQMIdnAZs90rHwglx6Q4kUKIp61UbdBQ9s53E6EFip9f ov2yt5RGLZifXk5yvMOZI2Eegx7zQDxGuwrZrdY8K4UhLmgrl7zVPp5lpZYA4ZYBhpj8qlTZ8PLJ kxDwSUCVjpObkQ5ulbnk9LtqILcm5t+Z6mTam4xFqOfu2xRfugF2xDZDMHUJ/qNkwO+cSNCRslWN k1l3fM5Bddbz+6Nw2Zi099L6jwHBGF1T1dOY5jszgJ51s8mVF3vom8+tvCNjyplgUGHhaFye9hWd GSr2mgh0yMKXaEaBzKnndnVK6lR85+w2+3z1Z+SRxjbChivCcyB+B9sSVYr/HiH5eEFmxCYcfpfD mKacRMxe0aOpRtAWDb90YUmtlVHB+KwrIoEqg/GDhM45piG/4XXWRV4dd0xnAmYao0iOYJjvZfCF VTZakWLUvshLoN2LSchDY9xz1kRfrb6TAYvUIG+u+KfayNVjlkfZfJviUJcmxRTnfcMB5zcVIBfN uXBmNy1dSbHbuMzOL/dJQ4hTxYd5qesOchE52keZ03G/fd4A+9HSUxn8SFaTHOYbvZCjrCH8NCOg J6r9H1r3YzRSrbKs7MSVKE6t8Y2UT5olZGeDF3/iWTf9pekZAC1IgpMKhrbXt7wQv6x3QzjN5kuF fbdLFuhUuIJSHCEOvMLHJkZmugXMVRlCKFSoWz3pij5kN2VSTkVTbUrPxTu1JrWOMaRtqeH9zNrz lVGphZHLhmRsPGdadUki6WswzJVsfddDGEP1OmSJocInQz5vLyb67AUqUQpYew6cOYD9IKuo29cN OEN+TK+R/FRN/Jb5IjwRJprG9AAwyEIT3HQSMTDG1p8IXcm6h0okFvLS9G3ju2Ov9lH1iNatcTOn tLsjNwqnJun5vOui6XdWc8eSh7CFnCrGBjnE5DyP4wH/sNjzH9297vX6SYoeFZgr+Yvv9cgsO4Yj j57hniMKHZhn7ZJyN4693gR0ICsyMtTbj8qSlOs1E5dfkK1avFnBoEOwlln4faw3wmGbLgbznB9n f7QbtPsQLl5MmyW1QXJjo8GFQYTsmGJ8Aag8jjjbH8MWlLUx/nqLVtvn0dNM1VvvimvibysKng7v lzY6gfDl4r/024igk13AHpL0Pr4/CHzgJzSlgTJXIUyQipsch2TsYSCWTZDw4yBav175z2O5coki BxsfrUAsWSo0qnSCv6RyB0+mj8U2EtsuIPPa2XSyyv+/qxan74jAZs6Ovlu+wjgQZV6jN9naQGLk /D0wHDHWw212RMCchIm/SMAaSQ3xbhGeY8P7Gvw+isKFrTEMnwHXouuZFCyftleSzUb3sCZMp57m LvjvCbjA0lksZL95cmlsX1uF5dJe0vPKLGWRXSCKoRJGglWGDCbDDH36ZQgHCMm8FImWd19WwF// yTUHd8xBo1rdXY6Vlsrj6OhqS42Rbynplg7yowI6M7oJlFrmqIq3FhZw4NboHC0lBjz7/x5pNHWH kj0cnYYSTsW0RtzAF+WAyqANRdAGNHZ+BBdVqQ/i1m/doU6/8/g2g5sAkN8hRWH2eBqDq7ZPtO72 3zOUef9P3wBOYUygunYpN8BNyLVg7iYPqs3FBQcHP8Rsh4d5ZkrRQOQMW+SPWXVDCWAYZPrWf2wF Yzik16buD4IHc6v7kmwM73J/4ZBlJNKfDDM37knKMkDGyVRow40GGSOCOHdn4gea7z6nfvJNaD6q cSDyoyecH6KYX0Ry893HnlGdv3r8wic/vex1OpBpfw4a69I1HDozgkw0lbrkjO9j8ugK++cv+8QP TRK1h52Xt5qPty4Gsu4s1oGhe5Nci1C58SQFirbQOtTGNs8Nu+uGIYezivzjDPL9dhMCTsPg/tHM ULtO3/FFIxSL9Nw2pBN/rqeK/HvEsMHiiuGW8uavbYpCyXrsQJ7T8pRlxWShdkIwY3c7p6+i1EuX AS2lNAX8ghvuHEtd2oXXcxI6KiBhU40PlQzky2FxDv4pHtTU9l0OlX3hU8gsjBFlg9UcfZTp5pxn tOq5qvU7UX2WUD+qXoHYpamWzKkQgQKFrAC7n4iGGnD2qLn9Ui+hGaHMXzSBVWQnBiRaxFUiJeEB dr/EnVlk6do8iPD8vZC+UZKkWnk38Hswgr5GuoCWSv8ecRNOqQF5DZveRSutC/W5PQP7JoFNY+D4 tQxH/q+JFKqBxzM0w0C1AXHl9YaLxyZS6AwYC9m4fvMBLw88Agz8Ywg5U8XlrrxOP2R62lni4vuF YE1eUAQyu6FUNGBNgbO7bl1gz9MD9gXMEwE7eQkNeZq5WweebCGBgnAla5DwsSois9j/dh8Vm4/n 0sPrvBKnQxhOW+MVAaP06R+pAemNaahHg/FTLYQgRly/SSBSQY1QVU+yLgroJKrL+6GgVMjryZhh NhMKOghQNSNNakdlUVagNodZA0kwOOWC2g/QkxUPV/f3l8AE52I94vL5lLvXOg2o/woq+EVJqMcv FopFHoAFRRa8/UlnVZuzQGIliSml+TnLaT7AfuaP02byUWw22sCnjjWbG+CvGCtlb7GDcUgfE5n3 L0weVW9NVQUQAQfOmZck1iUNUjMWZLETElbi86MrRY1FUqn//UAt0EIuKfOwHSWFJq2pGVV35/Lz zRDKKD5Yl3eHsSFfsErhJZKPd0EKiNRwT2A5JooJJGd0Nh8iU1pQSbyjhBD+6Uo9WvTayHftctxV fnJBdtbd9HEnvMrpzq2d9sE0JgtzzbPbg5h/5TMTsfF9Q8F6jj8FNgBGQR6VlJ0BT8TMjEN7yube TQ2f8+5iqPyy6UClKrKc576uFd/KfTfvMArlCPCws3263AiaxHRdHMJg+UgAOsx8G/yidfV583oq 49qrpjjewTkRcNb09mxYGZgtf+wjt9Pzi65FvCdTM3arAnBUsBrClhypjfB3k0WE4a69dutv+NBW AvhyUAOh7OvNdLRqCyKZS+dsw0ElpvRJekWlwKTH2men0mR4kUCTdw/LWX1xj9yeyjM1sASmzvun knsRJ8Uwp6Vm3o2xqRGYLozNqH2gpziEAR8IVYnnOjNTwZSln9bJlIM0TegwbJiqaZCsPWRVeURR xknKMxx9M9xkyFYJcy82i5fear2TfJumqV3mghMawG5jgvtBtKxPnteNWWRz9TbTYQGmt7T5Imb4 bXa8T2ybgvpokZKm6yISXFUIHzmNkiCtKkrPlp45C4Hl0FvgHUK1c51F/Tyg++uUwwKAqzYNqBnP Yl0zchSvHSWGydIrmV3NVR5+D5OfdKxQWvzYrTRxCRjn1t61ufL+b42ESGs7qtbRE5NCQ+1CG/ZH Vo9k0pChNXGh7qtjlrQFj6p/VDOfnDV7W4PXW0UgMIMqQadKRPPYVmfWZ69zi0p3jSIkdJug7stY 5VYFj6nG5JVZK/Aa3GjfBhQ8ihq8ZGnR4Oj4U2VN9FATlkqdGXw3EVd9/1luKGEEefyXLxsXp+0g +Jr4xQu/VT5L1UNtzZnCU7G4GbI/61Dhb4Gfe2vcuhLoeYirveEQ1xejGx9g7ZVIH4TlfbjzBjE+ nzStxHLdfrc+xkm0Hd3bdq6Bcp8XpHmnGTqDhy+uooqUGjuEoZ8XqufICU5FAMYe2msLgwlZXNEv 9kB4Npj8EsZnowR8HYHdcSxZfIcgTOD/c0GH8XNn++vMW0jx1TMrB7ymeHBtvutKiQOyRdCRk33B DwB686OoKSRNZ6sSfs1ine6WrhmM2DxNUQ9fMoCOf2QhpMeaXR/YQnZEXxctAUM2GJM/sctnlhv/ yWR6LOByJ2D8SnXXgXErHua4cGqYcbgzG5cs5ezfjqY1hr27dC9zzVRtp4xJ/Tr0VlRoAEwceB7Z +X1tjccTKoSqbCGext16J9MVQ4u22xGp7udvfEidM5DxcehJGfvGksu7xqgQErs1Rs4fJ3XwfWuE /XJtFl4D4CWlKNip102da1tDq1h/Ds8/3jZpFqRIltXieq9JCP1UL98zmMgiyZlxH5nVAtZZxNHb zKvqehU3JKckWdGxVoR0sahHSURDKXGMj74119FECWg9jEmqbLCXQ6SSt6lKrqwFqH1dEUcVck0/ KxKN33DCD1kBxKbKONPuFrv1ZCj+LZ6kcqw0/z8hY6VLyzBfzNqPRJelvfX1tDuiO+9aIEo6Rufk tM5bkj8PgHwoOzKVrKFT34Di/kwiKmqiGpqTD7tL45xTeMyEx2c5ySWv1hqlPpZf9vmcShBTkgWh VCq6G1hlGgNqkYXT5fb54w9TGgy0OPwvI23vtGrdFg3UegwuIdHIaCiNhCsxWjn1DnlXqllvANP3 rYMxh3OcRWRIE2mPH20ZPiKzpgKUIH58vQoIRxfg0rf9GHVGJxlbBMzH1VAB4wbvM9tzU6E50WyL 0Wt9LqyW05A/tr8hsApkzcr+QSkV5AnsNhAIRX0vjQlzb2QvNUE3b+n7wSqDpN2+Nrl0/RyNvizZ xj7iFhORotGBACegT48fwVzeznhAKyjp9KtYZprrJrrVYGlHtqu92jrUw0CeJHVxvktNCibVeeUm C6j1ArpoRRJbLLhTjula55C9dE8C/usqMynWzIzN2kk5pWXofoMXkq1k/MUxBgj9l+uHnq7QHiUq CZx+Zv8To2mZY3mqwr4ZIq71rgFxJ9yYSareg6cplwPo8scIQxJc05N0kwVdkfvlXpKc+fDSu9A9 weBEnXVatbz8G+jdcxrg74oIvKqC/6OoGytkL5PH7ADEruknLUcu9Yf3Vu/ajeblP+Qn6D85+LiT 2YyhvDdEUu7/0Yd1o2GsMDIQBPDHjR5NrCm47xcn4l/COT4HDcoyvrPThC6f9Ts6BlmssvRwYLo7 fTF7I6wkCJTTLdxl+M4hXDKsXGQ6kz8E5WnflPaStMXcQCwf2DcmXeNiiIzRMI8+fmW7BTMWT6Ch 4SQGUhW48cF6UhWu3B5hCZiAxXMWrkzwCTKz88NGHux2b9K9aaAD4d+UzP2j9BxpnYvvpj4m1q4K dOLLYl1nZt2G42IeEpoUQJTw4hCj3RquMi6XmtwCqzPwpAk6WVdlKXD0oy1+qSs35kEBxzanyOq1 RRNK/OElvYVds23G5jT0HwH53k0bsNFbqN6bKJHjXGaMwgeh/SwISWkY0KncJwHuVpIx9mfe/VCO SPVsphOpslirv9D1NgdzZZ1gWNTbd2PHDe00Wd90ReBTkPYFPTk9vK6XtlGK43UenWSPw+qbLM7u ckWhRJZ82wwDWyKfEsj7Y2aLkEimQ2fdzr5mcznCm9wz/YCWseL0RdMGbKTPEAN07Xzcu4jXdGwO 3QfIbTKYQySH8BdO5Bay/gT+mF8NMe/WWJ8xuNQZ5dGGUU64MxsJzQPee0P7TSIvj5UHvVZYNzGC +bQ3QFxjP4SY5RijgWO40qpgtUZ0LH9snc+IW58rbOCuEuUT9orwdCYkoguvG3bSw7bBseGYL6+V 9glbDpWRtrYxIDWQQGKJcwyldJqbcqRXZHgnL4sh6Byn6AfT1U10feFPoYM+XJs0iIhueaX3cWcB /hH4WTCt0ZFB/hFx4HCTs9iJrmgRTvzHFCLJupRth219bl8v2l1mxHdYqISxjDKcshSPEgpeccUS wWY+WKkm4e+X/Pn40+WEO8a0q+wIT0lkrojdeKdycN1Oz79WCphf/KdrVKH66FlA4fHJS39P9E/8 lMLPPHa+94KHSXuR51obQu59cOA5+7nj4jDi1br3ofS3uPhnJF4GMmt+VF0OlGKvUCxJppRn/aWQ dajS5Pn7SMsNUFMfTdqpDhx8uFKsGNcOzsDL1mbUg8L5Ct9kDMjM5jl8eCu0Hgrd0wsaK4oD257d kehb1m+LmNxJx9KpNbUY27PFgTYCrpTbb4swxHAgAMFZU9EQpzP95XNMtVwe0ajE2rHoYMFOpoRP AtD8JkHDjWaJJh0lZHfNyynG+W8hfIauQ7xXoNUsC1tOi9N0kWolwKnaiZP0fPclBjr3Uf4Cm6LK 3lGaylozIhuaEw1wJ3gw7rDxjd2tsi87/Y/IZ/ys2lVfEcY+GdS+0871+CunEfwmrTVpBq2nok7Q Kvq/aUDTdClwmVnqcKRF3/gHQ90pnMZkRxOKrqDPsTih7kB96mOywu6lm9sIbtxXV2y8u4HDGuWP 6hJcyrsN34DhWJFkLcgNcjMbTKiQjeqyjovNjdTGvRJOQKDlnC8FYQ/nYfy0MCLWeUz6eW/gXkhF BGesf4CsXF/zeXwoP7gO97X/9N1i6JCSsi4fwWDDWCMNvc0fAvVKaGBY+SFvCNZZsMAafQWVs70t EDXLN5JENyZWP8sVCwV9Zo+dip8LtkaqWqabcQXN2i1Q/Ch7DqTG+/4iFBcNcmP41zgSY02F+ZDS UqzMKv+iIoCaqP2chrfZKyeBQEF9YbUQqkqSaqP8lOdFtWohP12QaKHVuQit96NnaGsSmA7tjomq vdycPpH+9tEM8W3qoGdtx+pal27KsY0eSvhPgg1LGCUo6pTKvIWqfSPpIxcBld8zej7+bCPr/Lht MpQtMV9f/XnbtgxAk8aRlxETd/WKXDcS9H7+bjaaXp/qZuVGfjCUV7REdot0cfp410B0433Eb2y5 n+ueT7NWdQ0xfCUDIX0J8qywGNkiDYaW1gIEDyEvo3zkP9Za3JYV806376FoJANl1fXCZ8QIUhtL XoGLb3EJxRmSlTFRanRi/ATHyiNGOCcXfEdPj5T30MhHT9sMRwwOsnuePTvYxI5Bb5dZkg4G/Gb6 XC4/lWgvxWh1I2Ct1GSPkaGambK7gs7P+Ub+EuhjuYXBvv8K9oAhTXX04oFItzK26qTEkUVWeG6r aI5qQfJQ29s2QdAYf6Z08AsQdUFsIVcrHHg0VgnrYKf81TadrwueCx4qBic9w6lGBEB3bYBOeSO7 Q1ltn8K7xzsiy532dxSYLj9SreCqqZgW3aCZUfdRgzX09lrNrnSj5tB0JFb05Hp7X9nG3WBOsd2A UakQTga7JqbplVSslxIowAvP5397VU02CVeQ5t6NTIRoxeMQp5UbjwGgBLcTlnaYTjOArBFdT2nc Cw6CZpK0+RqF4T/6KI0OC6LAd1xoRgqISSf2jEFWsblJalKQ2wOyZHRGb4z/r6I8t485IImVNlKt +ylTH+IF1/QlBEmRRxhlcgM04BVCq0W6QRwyIPtklfWDyuXcWNErfiALVNGvNy7psnBxRrv2iCLd 87C+F8Qft3ZkYdto/M+aV8SCuoijo65NsYCoDZmLWFb5yHJCz6r297BzLWsrQ5rbNSbAbwyJSY0X Y+F9uHzQnQEiOV/9G5YMko3ZeYN4oiu8jGaf0ZyoeyFcpHAwK3zbNMl5YVIyLidJMPmTnwNvIWui kbSCq5PBm6WlNWfwKhCHO83fwqOSTZCM1UoHl33L/dXH0m/gEr3MW7dWN/TymK2Ps92brgcwaQl8 mD8kSuQETZZ7JdacQKCwoX1NLMdn93uTmje/nLCu1LDfAPTHsmgl+2CT5RhidJkUl9kO1hlgktaz L3KKJTXKD+Q3BL7E3HfC3d6rdYVyB+0/qEz/WZZt6NLwSWSJYM6RHKQaZ3ecwVtkGkcFeHVOkFLz f2RnjIqfi930IcqpzoItQHjqBzcVPt4r6cFmu+pq06vwN2UfR1hcYHDz8Qomkcm3rbwGYoCLNeuA Q9ASdMGkxRHa0TumZ+vi2bBU8O1fXME2A3AsnLO6JPEQdGyDWRSck6sUJcuZc7wD5LYx4ZhO3p7O fNu9LHg9dl+qxgRa69QxSu+f7MwbuxL6uG7I/cxh8Fpt+LeHbgraETVgpJZXv+Oax5PRF5coN3ns 5faJ+Ei8ZYr5hwPHpygNGin9hiJX6sAU3+yEr4If1MwHh8GuGPckC/5YSmqiVljBXGJuSTNKqL8G 6gpqbNIzfyFwIVPtY/Pn3jLPlnL3kiJXrUmCuvIVM7vt01RY6tGNm9dMIbTuZsoVdZTHWJgHC1D8 gh5h119h07pVIdT5VZLz+ypzIcx57lM26WdM7DuwSV4s6KCFR5TM7hnB9qKkq+6rijDzaAnUVk5n c1wXQW75EGQnLf4cy4yKJMm3nRY1EFpg01ql9SPrtO2sIwcceQFJ4uDTcF8FnUQvYE/5TyIyIKuC T6sY3qvPVVV01IH9aSrsxqnp0gLgbmPT/lMxauSyYOs7hu4IBhJ7AVBHcR8ICqY8UxP7urAcTKyZ DlCjgHUVO4ectNzF2PR8AJr3J8kw03V+UVnI4kge3ukfjxUgrr5ONKPKonfCyHM+8T79ASIvBQru s1kjTsRIryO1CEQiLNvCe3HYgp262GQD1LESLyzGmDL9Ej8qVacuzglAUWVJ78bwUzrnPJLxffca ed6MPtgNLZ8c+DFpGjrcgqoqaYF3nrE0UoMmJ686zhd92F4EluBaWL94+9YJ6Ho1XK8MjOiZf9EG MOy8zPYKGCFXcEV8AoBACzL0hES0QJEb5hCRPCtWTVEqPgm4eAnGZW09zhbF1C3DbKzBUYpOdnCt P3Q2lMwomiF9T2i1xBTi1vPfmca/5CPdNe7jrsbLZutrINz4VMniJQuT6Cngs0Px1cISmdWsrHSz jIimTZKMZYUbd4z4F+bJdSL386N4zRuc14WmJVA+pcbqDsCVMqdRrNw7H1b2EowpnjNIzrgcwJPk tqC4y1GlkZMbTLRW9iMeDH/2nH2Ba7kb/iykXdZ7uTAz/gYa5KEUqlA2nzekF2+PD1ZiTefvO6Ts OAgcErBM+sn3Cg+80cbORRT2Vlt9lWWPTmQ9fmJ2ekRJBCF7XJPjtCdUM8UDLEDQpAuHzz/wi5gv oju7pPvrRdKuDdfl6ht77ARBsYyiPzjr6wjgX9gCRZ1XSFEX8cILrHbJqfRrvbuG67lP1HQ/uSBm guyzn+bt9zZ/7XbRIa3UYoz6iTbHeuwo8j6QVB8jdScpajE8CJxlb6w15wxOYcxaKSVoNinXy7ma Zh/IC7x4LorgH1Fyh28BPpCUOx+DWsk9CKmMtZrFKRnuv/SazE+b8Fd8fCPWKfyUuLLvKRLb6+m8 SNGxcYrWgaj7ue/a3GvsNlnqQRky++hT6d9do63w/tvuDrByU6LAWz3LOHYTQFpe2W/ebYpUvH/1 0WHq9HGhh4brtnSZ1PQ+K7d9g+HB5/freEmvpOqOxBYThUeJ53GY1cStRd2V9ZUsWXlqKYvKS4Rs OA1nqZ3PFCvgWdnvHWp04eMnx4eKTyX4ubA2yAMBYYSe1Bl+4YpiT5jhfO1U/xzhz6K/ZJF3JdQe JUDy8+8AejiMzB7Nhg98loBOVlIt80WpbXNQxsxBzDp3Qds1Rv191b+0M6zgbDYd2A/IVZkZ7+Uz ty8v8EiP1jSz1Zd8ACkyxgarAq0OrCS7dk+AVDlrbM6pEN1PSrs+TQls6a+vSUzW0wHVUuoS/i3/ TSwOfYiZ0yPnUZGqkku5RT4ODscVBi89bwxvq8Yi0S61fz62WFFUlGRBw3XRLr7JlV5Vrej5ayhy 6trLJDcEMLXM/DebVfoC8fdFycxJhTcUe0s+MNqzgFwsHmZmDyw6UsknOxPctO5AwWG7gzAlyyzP x2kYu46VcUeDUmw8m4ZIzbKKkTqtMN1lt7P0NuDtOBjoiCEB1Uy8McdL7P0HOLzOg8tv8WKkW+9y sqrV6EVE3NK4G0r+kWGnG0kPpXBwMKCKP4VIMRX/Ul9jF+fVrDo3iUM05USMSJlZg9I4Iod/iaYc dJyVov708h/nSjKQDHgL1MTwOIMqgvITyZXJJ7bN+YprpXD1WLPTyhD+ZvFsx+E14g2TIj05huBT 9jFLCqF5SSFBjrtpw64t2BdxUVob4krh6pl/8r+BS1WxHr1So/afYsr6Bm3YRoZGCY9RUDKVBzUx rrt5gSJ9befJfKb/XUXpz9GF/gp/A7uA1tznKsZ2NY9j5iBcl4zD8TJ2aOKdDC+VpYAuQisGvDkK N1z7pvTA68QYbYH/jLkywuJK68fRCxpZWi5R0ILIi9bt94yVVsNVK01FPJjA6YXdg4B7Uo3VHgXZ j3l7zZNB40gg/7o71QLgq77d3Ndf31G0OECAgLya1mWmnK6NGCFqLwPokkNnmi2Im3CyQTnjs2yw JPu/ZT9xeaMjkw4Mp6xLWQ3OZPmh5o1zsw8lCf23BIFIeBBjAA8OnjqdnfUIjvcuDYINvd66440f J9l9hiBzoGO3Rj9P3BXQO3LJjJk0jZcZpVVH9LzLWmxutNvwYUVIjNougjnsp8RB2aamIV73ZOXc VJAPr/sPH/wWkl39hHzWfLe28a9b2kWjvKjc2omx3vPlbXblZDBgeeKaSTcVC6Ue+9k8Gm2JuHES f3NBItDBgQ8pDUlFuVXUO3IxFsTKoI3Y4gxLW1TzyjMWSThW7ZFpzz9/nJDix34LRmFGz+ty9r00 gvnkNaCjH35DEgDty6va+77TpWY2uUvEL/34toVWiABKZu7Mb+/yiEeEAXwMkPxSwyQVe/3sQaCg elUpV6ZW11NCmPy7ZsHsNVKgXnLEP6JXZE0V7snq94iabWSdiNB9pFuyqgPpk/VfEd3ImpLLMYGI GAP5fr7n0cRtwi9MMwyhWdgt7ZVHoN05yyO9sOy2IyAK0Q4qgVt6T/yUTrVdBSqFCUkXyU4J3sSa xdMpLSXIH/1CwbpIYrEM9kCovu1/ASEiVNaCHNNtOvfa5zrdILyGqdkyLLrn3VoSosPDJ8EKJ4j2 VsuufqHNsU1zP/F5FLOQfKhmwjU5+VTZg3fGTqHyf+c69WD88kP0+ZNliOVJ2jQX8qVrmw5ORED7 AHpqsmbCpxRP+AfymSu60HkoNwVykd4f4zDe5t3XesuQmjMOMIP62zHMZ2DvYfyLcTpt6ttxq4SV wfmm3Yg4apuMAivdj0TAv/liwstwkEnk/iHt4NGWjwaSPKNJsLGMR3C2MH+PqmWQFFzjv03nZzsF Vkbhsld03Dfgd+EjHQEP1tOTAg+oiWgOY/1NMP+uqF4YHAsuCVYUXhxxLIuZ/yHQ/XU9JXAEM6KO Pv9qb5ta6uIAu0vgYyHa `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block I9MdyPZOXqeUIdO7ZlIIhG+OewyiOI+g+if/MqLQnR9z2BrWLshrB4PGe8iQTRmhhMK2AEx73nQE 7aN2+MC6tQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZdNWmZFAqcPY3+l0PvILykSrz4uBB82iroKw7JlVNk34D5JFcbk+/WzGdtr/fIokbEmSCNsjbM5m ZDLTBA075c9NSLEVKov4NK6etMs6UAexA259ne0l4H7CtrXL2mpflEJfoQXwVtCQGglp4nSpAFeo 6EwIaTkvDWaxnANgBuU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pHfz8rcgad5lQ33ZLcS8wC9hyUnRdP1F/Z/UvbxEMaRItbE6OB9DDDwdWUoT2udr/9x5Y6aas4ER P4Zs2X6wH91E68YTGxdv0llKNatthxXUXTWrFvjD2Pal7gcIEASn7GQ3PVU4udk5ifrFAMma71HP qpsv8+3pJhgIRoQuX4w9Rr+anRPywomKV2ty7A7sLUrUJpY7YHJ9xAPymGfmNYvodvg+O7rc//0p oMU7kDCTUGiuvEHsFBBgIbzqeEDRTiPEaUNh+SRuaW/LnH7qAhLKPASKEPoBz7bJks8Ow+oSkDGw csB0vkJwes+5GaS9rWe2eeXUkYBqziQN6nKYEQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RAngXcaD9XBZ2u7vnGzMm16g0PO01mfbvNu9/0WPuDswhe0NRvSnKISweFNTbYEHNf2lM0hYNv2h 98LFxLwSK/qS8ZvGHjiW+RxMMhOZBIfYfPusVXJ4WR5DfbI82hKV37zQ/opKqgRl+XnSq0SSLVq7 HmJH1UfVo49rbFnXriQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block htmGxvxRrKc7LuQtA4MLeO2xrHMOO4EsJmE13ZGM3YHCf/6Cdo+W1K5QNJKXHOVyKtgtsLVOIoZI u7MWbAXloPyU0s7DIiVs/3jDyBXx4+8rdMBwLzI6sakumCo/C8jkc/rzZKZU4QaVQWovXGtmwVZ3 fTs6TrmqrdanAyl8NoSLhIeWEZsOBM/XSDt0ww6//hT/CzANCVSd2WPlVbnPhsfb/81ugZbiNpZ1 ufeSij24qPHw9u00Zto8CQkugtjrIj6ZCvFYV5l8Yn9sv+XcS/IX/xNB+Wllj3wgs8tstNfs3vrM 7mCSAJZ8vKhDi7tPP+xeGye9lh74n1l99pJjRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 446496) `protect data_block PRtmhOjcmkQPHqGKRn5QNq/JwY8JnwDhLvz4zIM/fX3cMNR+O4COOmFHKnCOGGFC0SVnE7zpsrcE 26DkxcQyPreZ4DioqygteOTOv2NrYe4RJ5+E7Vv+3qBJ2MM70LQZeupiguQ5f0nVTGQRuIiP75Vx U3/Hhq+pP72qnjc88h+Bo9742Azq3SvR4wf7TsDZtTi773aVdFj6e9VaGSmdd5o9JMZdF1tb/xz9 lxy3SsP0yzV554wEauh9jsrigK0+y0IaUSp6+h01ubhvaXCkdHREexFl9BzFkHb+sqxyH2nwfcsM ArOkEx6ceTNRbWWO4f0rccJD1lYpz2E3SYvjbkUrjTIBUabVTG/tYgfsXo4iHServkLBo4kz21du uLODVOy5bk+57a0CCnKWB7TLnSOMvagKFOZjBjP8y5qk3Ul3p3JMCk6AA9cGBxnl7tHcTTFvVRIw KRsPK/M4xiAPJvRJbb9CkX4WKFwS8+1Z5bAWeCSTNWbiNVMiFmPuonOg56z6e47MH8eEX7BbECYA 2IZJCbfHdI0QmBe0oP6xBzMZi4Rzx/T49CUBF0/q9F8K+c5zYI3QpUYprdfQ81LLp5N2pdmrtP6n jDY83X/d/OL16e4IxwpqPP55nYcyHL44RVLsdnlbRouS56yAM8w+P9EGHKCESBbEjX9PvFFBI1tz hGROuPSdkM4/7A9BFTxi30YZETBC+rRq7kku+rjtOIEteGqg42bbtbZ6qsc6EV85Z6+PJXJluZ0C AjMRGzrE0b6aiF3VpAwFkCzcoFpJk03VZtpGpddB6ECx4P1hs8Y9aztaEPdUKiYifFfRB+GAkTfe XJ/wY3EmPtsY7OOybinHtFtVAjZN4U5VLo+uDIz+BmUgnvxJvotZEHB6HvO9em3cABd9v6ipuqZS VXFkZlLFxn1EgUDjcmOm0Zeyn8i8ToT3bX6NyBV7OVvMgeJj5zDllE0gPSuE4rgnZ+KvS2rEeDJZ TlLm1zIc2Lf0rresHrxoyOPlcvEHIeMvEu5K2CRQH0wVHRdgKQt01/7yXfiJhb5RV+OjPO1JTv/L MMnUqApnJs7bkNxRcYnkc5MHe4f3I8iOGG4OWCIywhOpl36SD13mEL8gUyFGb2n+CSTotVENS85u 2umG3hBzPjSCPYMGT6DvhIhu7M6fF7muaslyfWVqY0mQ0ONkQioX+vwyS7AuaibcX8EU7dzGL3b+ lzcjJIoMJLpMKqGZqJ9CKsjHODcPgn5+1cGUvhT7Bi6vtGnRCLWnm2WM5zzM4DW7x7CsUKR5Pfd/ bMJlpF4U2ZNOwMiZbDEuas3lJdhl/5pvVc+vdbmPPN4ZSv92clUbZK/1tvl7Ntx9SOoHHjcDCXSl PgPU/al5D1rZNN4nhU9abJ1TwdSj3DS9bttYoi4YXExtvBtF+ta/Rp2/aUodN0KMRf4GtDNQhOUJ QUhz/fwvOdXZPSvcnxzBOtVFmdeSa2cQnWNyvMGbCUVJGvXvBgnMYcQ3Q1wDdzFLi9WhOEV4Zl9U ToN1js7ob2blj6XxrZaYQCdWq+W9MScA9dcJzThhkOruCbIc1jvOEANJbSuBxSE8GZuQhu3ikjpo Gp4cgTzles/3FUDkM1/nq8J+6RxtuH7EBIRM8ogVbL/az62pvtglprlTyg+5eyX718tMS1ZdjPxL f1Jss2neKyikSzSaUBRfWBZ9hlUR8mCJ0LHu3eG3iXaNPWQ+whBFBNYw2As2yustZEjwDbnLRosG HxI8qZnNKs0E077HgB9hSSZ3PjsvrCm1eJHIaPAYh99kCOTOQC5BeJOiLJeR0HC9OOxGHzBtmcoD jU7V0SnGMzJgpvyVxvGz+96eOjtU0IrPpnk0tlpMl3UXno1YAQmKbjfl/w6kth9zmLfJg8AcpZBS B53iM7OuCD8OVxiS5gl8trMo4xPS78KVfwSN9+qt3amxdm513VzjjUyY7u7O2Qran1jlv4S19xEb ycxb7s85Lxy5JOtlIRph7Zv/CGGB38atkVN52WHoTjnJqr97iM869z24s0e61qFCHnROi3VlOvOy T7PiiktoGggoHBjqLU4AWxY5Knof8R91C4EM+Yjgv97PkHUGO33WYGxqsvzWqeRW71gowAj7Y4Nr 5Wqz1bWxF2Nkv8QfLYNcdLC9ekjfLYcsQ5zDHNYIsxseQgrOz4Z1tLQ9awLyYbLCUNX7OVacrQQp hNN+IO/M8oW5EM+0M6MqXkwZdjlIYiV36bPjRcxeD7ArEsLbdFYHv6Tbtk+PNu1eCq8foIsA+9Gr As1/X8iGhykZgXyGNcAlRDf/ym1rPyauXnBPIeB07sxJKOFNECPDfAXFoxb469RhXW2me0o8wl/8 GuaJFeEIkfP3HELFC+Uu0kgpPs1R7mcxUnQKy4TLvnmBj2uSq63IYzqdycG3XPy7BWq8ezntl576 pxCLcO2XhSVcLx4fz7YqNR3IG9pn64WvisKSf73XhYUVAGJVHPz4DqEqzBv6Z2FmpnPgbgBsk7sn cxSAVeowW1wRT42LKzNqoz76cZIS/BynRR+XYbV3CTLQ+6vMnxY7zcHVCUOsWMd799AGrLSvXnVk XbPk6x/ACQiydUum4aJb+xMcbcmVThgQiLS/m13G8yjAg4N5bkJk1An4lfS8nCtAnS1viwS743+k bJ1BzioDpq4V7BpdU9YT8Ii5nWHyJS0zalxl6bVzP4+sgb/2HStCiXGZpeS3HsnkPP/DMwB6fH+6 472ENYSA6iYgMleY0SKsaa8CwKJ6vZxAPEozkEfe4lsn5TBE6efs2dy2zYWBA1FPJEsljWY0MAGl QIU0x8sc2hcX6wk85XRkbHgpD0DIJ6Q6g0xFJgw1xHV6/Q/oEWioVyYTHVXjmykctAGnhy9BXhvi akRGLMplymL58/zUk2lYmsWGz/eVlPQ5k8tv0ijnmQ8LjkNKcZQEhkKWOJKCNeqYy5NCaVDhoTeo iNRTBnxhJVfmlNcjDVjEKDsYXy04webShIwS+MUOrs+xNiW4KlgEoMKZ2rbjqmxxVSFSvvxk3p+n T9tbcUsoU9FW0EKITECD0xiokEs9Z0g7CO4CndpUXNDwvbAkwIr23HnQOHKmwinlt8Bc055Jnvyn x3yaUtOX6UKfAHXbxpr5zBAaRW4WiYG9tTH3byiNDCf7nAm1ml5DnT2AhAMwOF2gW3ZOVv3uEPyp S184pJ7eVkFGiVVwKVzcQvk9iNvxB4MPeAJpKx/oJIwa1xMZjwB3e8jgZxdAl3qTa5t8qoX4vuUE RyiPASYa9TA6KGgLiztXe3ZoFzzVe2UFTi3Tdm+yU05yPtFI9Z3w+h0zz1VY6Ld37sUdATE6TkLH RBcbS/fupjoqZveURbItTwYQg1AKS1wXdwduk51A8bFVouzq5wwGrr+Hvos4Mw98r2/veym95LCI 8Fjr2Y2ge9vkBL7qm/xzi5/+M6w3ozfhY3C9/JkWya5dS16k1hRSd2mTphe83+bde6MgXPNWtvr+ OzmDRi7LQo7F5uzGmdHsxXr4a+VLcjcEIDPiFKmQNdGSxFEmCAtSJJrS16Yh7y66IJncehpZBNea LARPOQOzEMXb75pGBNom4fRwlRNyPxQ6IPqtyw4StfFqktXbDRhJPWOHV6I9xvyp3jm3Zg/j8FG0 io2ML28Fn6wWWvxYYFaxz17d9IgYcZKrJry7IWcxs6Rq7qElv2naf3Q8I3fp21AZ7I5ajNeAuIWM y94O073FxeJl6Qw+LdO2kcvAQUbvC6dITqk9J0lcmLJG02M0Sdt8H6J8njZxPyeyAwL/qQrHhTZu bhmg12rL1VtUK4uqHgBZlwzkdkVRUhZZ96mTq4R964exm2ZtdctNOfv6Stx//kBe68F6ihzdSIv7 kjJlq/tN1MFN8HgDV+6soADzgfOSr/P8wKAGjTMZ/Fzcka8YBEZVL82+bzOKTDrbA7yg9LzMqxY0 vBW8fndRn4aC6aIoiInzyKyOjJFXVgYBNneSvARYeUxk7n1pI4gChXAPjeu6Ks+8ao9DwyFMJf+7 tU19Ylf4Rxp7reCjY5Z03exnp/nolzfW1HrXHlizgNRt4Q+WpZYYeY4S9lQnBTgZ3eIEczEbo9pF Hz825mha4ypIVYgdZe4m8K7U6qJEfq85xj7mnC97z79xErxWAj9f0q8CIjkuKD2mtnZNrTpGxyf2 W/75xJVp9D4NHiAALMeg19bfppfkE0X3ayin2EqaYLJQkMNL6EDMsCVEAxYnGMUYLpEEz68MEOiX wFWFcs1oRiqSvVx0F9eBZ+x1RKneOThkxBNNuv0OI5DN236O7AFJP2kyTOE6ReCPjxHB/Co8rtoT suDzzrnBWSNiz9zgYoO++ConUc72sfJjCrVMwRUCkHJsUbX55aMjmnmKC05SSQJGEhacQ1tUIY4y Vne9DwQhfYTR4U7kF1YjZdtL2Y71iDBOcBQBGuCHsaDP/QhMQs0oKW510l4/wZwbqafko4/YF3zm m8soX/Xu8NTIkWZxUrBF4EJUa0tlu/84an7VkOR6YV/wNkjNoIcxuvWMUWTsgEfFiU5vrcLsNEGj q3mEMBvmruMV5hh4gXmsAjayDShQCCAzqOg+5feRfknq2oLrM3PYXpj8b+lJPVvrR4BnUjwXAkcC WHbTIJGS+smAD0COHvm7N77FNH9KpTzLpo0clHbei+VjfsM1zK7nhN3IYnufkHJdkLbfJ8UyYOW2 tJlWktOe3BDY2NJZIU0Hbt5lTt4rJQhHnoJAP6cZ05EqdbKf08iKzrPsusu9kAiBJf9UJbLI61hX Kffd80KFctsDuTFkqlcHd7mTAjai0lkHbxT/ijYBt85wO7Yojibrv2wS53bnB9bC/u80mH3LRvwv G3dlMhmvzeCnE3TQkDwgzFaVKgdmjAc756Gf344lLiH0IUqyncnRyLnenDuS3M5AQW3XSSVaxs+m Jg/YJULIDG68+0hIpgA8PBL3W4aC1765QeUYwCadU1vYEcGaflT/Vh9uRKUL2WDprwBv/oRvTF2v ARok7Oo/j9/qzNrCgZsqb0AY6kETKg9Zho/YjBQ7RDdCNF+tSKet8s9BUvc2gkSMQY96w4iRAkNt 42YgL8C2koHMmoX1/mfyo/StL36CefBtoMnP1/oNHJ0+sLyujMgZxeFTxD0K/yEuZCtf7ojXEDyp oVZRovRrlwsCd6d2QfQpJy+P7MDUV4xzDJyprLpHHxShOw0no6p7NZt7gCUQ5Rpe2DsyBDfP0ADS RRTiMV8ZhvGY3mXZZhKKRKQiF2hF4xbyj4g52sstwLCMloOLubHa8zFzlstKALgy/mwm+pLyGjJg 16q0RAi04sEEHVxdIRLe7nWWkPplHYhrlSxso30NP723p6Dj2HqWC8QcXfsYnHgCObEjJjEBc8sd arBYku7K7SDoXrYQv4Wgi6eh5Uu+gRWQl+b5PfGh7tJzNS+Nw0I3IaqM92o7Uwuqar1R3FJfgV0f rgk2jWzSX10FOgj8deD01oM7HGaHYZzEK0zGD7SCf6wXAkeSYyO+ggr3xvKpg73H2LC6+IC7GXcE D4ufW7BAqaQjBY9LiCw78z7yJd6clfCPf7f2O+jRaEggv7KKamcjjkK4U2odKf0DeTKsqzSBcftM ikzfu/wQn9gwY/19vGhBxu6zs5f0OkKr3IFd1OeDt8RtacP0Q3uhPCFt7OWMsWR8bzbrJ4siropT EVgmRl746iYmC1h67FwrkQfXo37unprAoNrBoZldNV7rd0yc5amrkEn9Q3oQVWTifAH2ZYQiJ8FE LYCZUelNuqzV583Nqg6AdzlGM2aMqYyfRxkS6WD2wWynILbT3O3aCMWJJeI2yPYTY8mGVA6qTPfP QAAzpE1x2sr7vCn/PcuixFq2dGH0Igu4mJTldDHRMy+fy6jXTTZgb4+Ma/yXiE+d1qv4KLE6YIXU 1encQOfgx7Y2ITacJhX/87BgdkUdrVAyTjdDvY+jNdhOI/AsWCPYaXAUazltwhjYG1D2VHJHckPI Oj4Orx2O3wPc8ZanD8DVzPATXw02XJGluDqQNFIA17fypZSGPDLvgJ44JYElp2vJqBr1jMA2Pgc8 8uhFsT/QSds+wjh3OCwtCd1cflvVEOuzptPGvoygH5XSJjwDoJv05R74fEms2gdgq0zn9ZsmrPJy WHb2gH0w5JVg+eDZwKgBmw9+X7lDeZ1/DcbLJa7VJCulj7pE6pzUQEzdCr9MdG+/BOVxfSOO9nYT QS3XZjeS8kbWLoYXnNSnMx61xcwqIN7v4G9f9DYYNBJaHRzlinD49EbuVEeB4pEqLUqmBxKpvyWt r7OgybSE+tnZzBOG3/x8UeeBI5sEIUqXJOHDP+pzi3ij7W6mb/uXHUpzihMw6OtryB1vouQOZrz9 PFnWp0MJBbYFXQbH9h9HAFgVjC6pgDxIye7jvLGpaeA7pIbam5ppudKTuQGOucTG4WDyJROWSa0V ClvJ8OTxGhfw1XWCYyYWM+IqQbWUGFdN5bdg8WqfDoHB/vHVyjgQEAMYcE1XlH6ZVnNVDzX6nAeI CckSs/ng8uyCP1jD/sKTpNgPExRwuDkBrOKRamOzmGJjbuB+eU/zYc7oAJxbS1M7vFIjRsStyqWx 3QaiPZLlLiBkcOY/SeVqilxfxQbh/nIdv2fm6XDvPhCrRT83r7vd3FLaKeVmMFbz/G3oGkXtKOds nrvRQuJ7Uu7ssAIB5DvNlwXnrCwafbrdFPbwr79hCn2ZQTombFYD0KLAkxhvSdgCMcFMR7O7o4Pz S2clh2Yph+Tps6tXQTXQb/hENQjlFJOKsP5/EdydTlJpPzgv0RCGaU/MxzHI76HMiBOZ/saabDSK +Y7o5kDQ7VyoyfR9M8TgYCBwkmMMls5dP4xoZ6dEEbZavDCsOhg33GDZGec+kp791p0cPBQEtCWW hqEzgJLiFoJCAM4EZReluSVQaqtGs+E7vVgIRUjJb4joYhQsq8k9vrh8aGvIyFGrFhovEdwFAwaJ IdhtkwA3X0uUQ+onZtIDH0mSflQfD1g1zo906+spVXScBPvuCI66i770eQU1fyyuR0l8N/FdtvDB Uz1C2HH7Mk9ZR24KHrYNFIzc7RBRFKkH6Mf+uNkVplnrTk4r7shXw6GIGWOpby/8XcXitvb6CjC/ GcNQVWj7rcwwWqD6C76Mml5hjaCFhf6tvHhy429uS0M0CgG8PKZILqsLD8OAcLP3ksTTVWoNi/Gy WstJdolpmuhBIq+j1RXd+9f41YX8Oy4w61oqIsrGY5/Ck/XGN/5FRNaADrJtd6B4cE2RXCej0Cc4 0tQYHIpj90a4y2zSZ3veQOW6jBM/smt2vrNTOoXVJQ9K1ZFiQe0sG3RRL9M1GYDNeF4KCz4cppd7 FFmaqd5AKp9iXL+tNSNi6eURSTTD3XEYKwIVPQU7B160uBGHLMyxvAfGamwwIr46284vxo1VAhjz RCcFWJmtibgVHF8O7YeguOGa10Fe8uDZYpVhllYyxKMgcTzKitJQRalg9yTe9W8OckG4lK3XDSRR y/DFDBLNj08gxJxfyeBQd2brOus+XhDxK+GubSiTjXHw5XwhDQP6kaJAJe9BzGuk9cwAdP9MD3Xd yfYFZwvYltixJyJ3KIU20U4Fo123F9zl21DSAE4M8hv9rg2LV5IHwdIXnMIEzOYS4xsuYLb7BYtB 8H3CuZFwNlg3wLtJADn7hAWPnV2nVLJv8u0JxcoAxKjtu8/Kmhg9LL/y1O9UtdNOLkESt4RaFdA4 zMAyHJx0N9UtqkFOk5GueqUCzLGvsab0nUYqD4P9CAZDYx80yNXjIKpmDMYxGNbaV7WkNZJKZ5i4 vfjj3eqTmI81pOhH2GOCmUuBFYVCTp92WugWF0h1BwkbXaWHgY8qmc7pGMZPudlA9pLNVK0z/Y0d 8H2X6i5HwG9BQaBx9XW8PjGK9fsyeqvW7nO4Fbg+RtN4WgwSc9tOrhYLh2jxB3ERdSA7UqZxZiQu ukpTIKHffc03NsYtY9/fhJfNIDL9y63nSSM3G9G82kj4CS/42OwbZ4ytF/gB5IyhhgaTo38Hfp0B IbgMtWvE8CEbU887KSNEEnVmXUGz5gWzYiBvmCqgWj+iHc00DywjWf4C7SzQJZaZzsRDfYHeVN1w S5k6qKP6ZSHv77YeSHjPh1ltWDW4Oy0ekfDyq9fcunr0wl0cA4LLpfeivYB6Pkxpov1g9I/p95YJ f26afglYz7I/dRF5nab3fqoD2yiQ22SmKTN+kcTs0iVghA7pAQTByiiVdlbx+jmHiPRFS0uPBCwg bwry+O/I2zVkd9G47gllkstK4Fyize2hT3ebB8dbiQt7jz3jK1qSJX5vfb+7PyJTf6qwVPNpMH+t b4g1oi2WRCvkxBdzSnW2N8KcMof7U+bkq9gqAJ/gD8uFHi6blR4wJw2Rq6rL3i17IhqXOwa+MjBh xOtiyzQY09n1H3EqgJTtwTdWuBB1QSkEcfq9wdXv21w5rMdqzJ9ML6t8Z8Hx9A3nLrVAMHZwSYD+ OfbLIF02cuHPBdG7a28WlFCBbtgTIzwzfh8pIMgPmY4yw62nxsmXtCiyudUBa01s+yvO0TIF5Ud2 JPLvs3BRk6T5YAiwgREEMDbFZ9MTpCSRCfxEJj2kC8ydUm7n1+yS3P6opQNuTxudsmGKlqq1aV36 Z4iFq47orF+mmWEUueZZXheP7w8O+Z8fUTF4R8HFsuB+rkCbgQpzzt1aPJs1E7u0AUsy959lVWl/ nm9HzjiD38BFQgoxLD5kMolS8K1JpElzaYmUK8qD/MSSqI7Bym4hGkm75tENTi5bg4AxcpnkQGJf /c2VIYhR26mDg8yW0d6eo14LddJXyT5W+r6QYdSYP1dRedtIaIXltRLw8nvbYca54AMqogVqQmt/ Oa2Tecupn5Oxkfjr5cJ5R1yx7l/ATP5GprwrKqORkNqdPLI4lzUcg2JUKRIk2M0syblo7thwrM8Q E/F5RcZFqYTxd75SaQDCS3lw1c19UJya7+6cwHVNDvGIgAEzQ2fEzsyU/EJvPBp2EFjtmUVstLTO 4my9rl/0JbnHjRp0qDqng+1PXv4SzLdU3jWkeePVv0ruUca9nqDeGLh05lbiU/uIueuMQTKnitHl INmIbLNDmjBIKUas6TU5CQkpgUzAuW7peQpZ+OxK2ZEEhJxsvhUcKfF3GqMwbw1p8Hm2EOQHxZeS gUhNH19ZbXHQUv/HSWlXaav722yvD689AQVqGBe4tWfBxUhPH+VDC9da4lSyfV8YGI8pG4c/nW9o nMuDB4h7BiRGCbJXZ6U7gCTyHcw9ITYcVC4bpNL3zoJWKIdGwG3SaEp+PBYbpNtLiBvCJRoG/QiT ojJUGCwlu2Da7GIrH2n2ywCcPbsXV5THkVwbY8RmgiHxDkB6a/QtsTJF+xfwpsQ6zjSscMBzlDfZ qW6NSMF/RH9G6bJ8l47v+Vpskey9VdIINJqFURdriK2jtYJVqInKzP0rYDNN4eIy3JVh6RlY+GnK REFqrk8v/sqHsPka94iubMt02/dtRzo2v1PlZOSCun7iA7cIqUz9iEvYsTVp7+vtYnEv1R2TRIE7 2FDMCStHjIOZSZL4OspQykXNScz5bzqxqfg/DKy9G1V/r7IxDH1KyAc3/37f8r+3LDtyNCUMBTEd XOYmGTEWtDczEVA9PjZ2RIbMYJSDPpUoF/1un43Q6vlGevdps8PvHTx1Tas7Mm16kLzBD+WNl0dl SzesnjnP52nVDoYbiU7jrLKIdus0c5iQ+Mp5OLPW5cLE4YIip1ajBtPNW9VRiWhryEsRs14kMwpB n1SNVSUzNSfkdaxEthybISgkFttWGJYiGJtuZT6uIQQCkgM8b5W1qrqrDb7a3bTlov3XZsNyNuG6 C9s2RfT6mzZmLEejcop5iSN1bY7FqZYrNx7uCRnGuuzlQAlX6W7wqsf2IGsBVKW6Z2cwISFKNVOx t3shWmSp7zfyh0r3Mkn4VfXodOthTSAv7ZTI4QlgX0pY9TzZHf1R39sJP5XJXZlqzmjXszE65weU 4rtyL3lsdS4Z+xMtlQmmPGwcrdMQLAtabaQe87qVTckmXugyt5InKQxr3nkBFwKiz1fnYTboGU6L 3HCRCLeSS1gWwX8/iURJoT1XNfRWtKUk289t/eONY5AHcyhoVJTkgFp4ECXE8WSQHdr3AupruloP GKJhSrrT9NxxcaEM9eIgjA1UopI1mv8WoA1YxeErrv15cW/nvIAg/crio7G6Q5twJ9BsQ0yVhiOr ri75TJoaVJLalwMteyH9qfyUJ4bDdiP66b2dLq+Oc0z6mPWBkb+0vVwE69sFcDRNtC69tqffQ6AH LBeccu50yjN894oK3SKFesuETt63tvG3uJzpdnfy9YPAxf+JUVnjc1fwe3Gk6jayg89EZEndQhvF JkxkKm2rYpPiYnPGovmM0O/W8BS+x+6NtyK4pW+csIQdFRmDBR4mjZK53cTM0Pw6rjmPM6ChLee2 AYL11PgnHR2rPrBxhYcmTjLKvuvxcw1ruSe0k2q9YKhjSZO2P2Kejpq4PenEPmWelLh5Augcqqf3 +yhJzAHvs7Th/MV1lG0ZqnwfEkYQXxTwb3SRxHsT9c2pwZ3bVhXUs5dJ9N+nI33nW0qIFQfrEVc2 FphxCTXs/FDCSPesrSHpoVvgUw3HQhAgKSOKge58AucUepZmu4Qdo6wo7tZxlQIEHGqUwE2u2fVE nhbmqya8Y5QwO/V7PYW2C/9nJ5AyqEDRoZB+KnUAot0QPe7vrdSSzyNtE451QzXWQE82nF9V6wup Zcw/+xZbyQivdG/BrjhYMJtKiKsfgVLz5rPBmuQIKDxl4tlHZDbcNuiC3SnXRejIZyOxuBMXMDS7 oNKdMvG1IHjFtJpMasEaRMV0WKyljwgFkL/Rwl54b2nMnLj20ZTaxwFquw2husIGYQRxFhtpqsKc u1ChlkuPnZnOUpo2Qg8Jrhpq+qNnkPAMnAc2H4/Mr7w+7S7mVguI6hvGQV6IkvW+b/LFPQknKIJa 8ldQMQE60uRtigLmP1p+c8BbJ1FY2pTKuyeXgipfzFgLvpWSp9tHZTAnCZE4U5LzyURhrXSXfktF ulJL+1R+yeAxCW7+lyuhADarV2biNDi3JMQEIUHrXnl7ca+qvm69sJz7ID8DlhYYKCUcvLMVdJpL +drbvRtoGCTVtkNomEjAs1Vp1u/MUrv1QcUZTc/6M5LHdS2JyANv12fYN8KOh8lildhWLaliIOmm hyewDxaV/FCLtOXiSA/+60wlEsm2N5W7UoXVkM16lP8QSR31Lbx6Lgo+qlXMUTAUkoh+lY9EO8DI yf69GMVjCM9UP/MJHpaSatGhC1LZTXpgNpidptZN0ttZD7KhDMPd1vEs2gSLu4zYk2OZvuZR2KRd m18VJqZgLr6MQfMSZgt8JzC0pOdWBUkdqhA6hHXtDGyNRVkUBYR26vlIAooPkT+EitWbx/uQQxLB 4HMNLlmr3IhK7GDmoVsXuElbm1ALEHi7ufgnu1llnTiKPEy59geHaU+TXVXLx8TVeuItxmidOhQn 3yVDvOOyI0uRLhv+LIJpRewxhxVs2wFWb0NXVBGfCFHtnpQI9RHRRJ84mboYP6jtXsmp/MDzrb2h aCBQVKHmVzixP0HtBy+s9Oph3fKJP+TFatsevNKkY47hsNSEtR/GvP+79GddD6sn4t4IeEyMCcrp rhtRIIXv0PQ/Ky91q6Ot9hLBDf4mP08SLndH05Yei9Z+TP++kwrHIovU+b24LYIqA4NV9X+CDI8o mVhFW2MX4OT+BUPOFw/rMOg59rBiqyLQqft01cM+kTvwQ/xKHXbi2lccNpPoSvtUyjfkOXx3sqJM 3AiGsM4yGjc1KSLneMBt5jFL9bTrQ+riPA/eL2IpLzMfNCgFn6yglNVJ5nsN/pNbytO/Y+ocmGv/ 6wYG8//AtCXwpN8HnHv2LC4lGZGf32sjl0UCelaW6WcWXlPX+7eGnlrJZCyT9boqhFBa8YRPfcpT 3qGeGH5RxUVrUovOVXaQ8pT5XNNLpJNOESm35KExd2X4KgESkGKeehZPCtBHBFBF4kPhcJekWi48 ML5QqfG86pyrqJERGZCkWMhwyzizUPevKUb+YBcLIxEalnzWNdL4Hx0irx1iUmQii7WRibyX2F/f S1qxZZVdZWld7tuOwQmVM3qC0DUtrDYZo4CNF1kYZQK0ZLVRgKlEBLaJEOxBCE0SXVKr2s5EsC9g 3F9ti4vdsQv2dfi49TMYfIljSp6peHLF7dEPANRkrKbGEilSGfbFOQP+qgYisP+DcPUDaLICRJSV tN4kxEbs1fJjK1Fzxf1cE8pb/Z+C6l5alCnfTDMcCcMbF4+fdac3x4KqBqhZApGP3cRaBNNQGQkx QcaEBECjf1PzPBqInPekcbkNoGuF6idbHHkVTux55EwJc+UqR6MHxragY2b2q1RWGLfxOy+m4IM5 tl+mqpd40IOrSkbnp+9iLRz/NdPogtfmuBt3ek49CZmeQ2WBM7TzkO+8/N+qop1le03OfoOS9OgG Iph47hqZzyo9R9bSgVyFvSAbFcUhtb9UpnAU6HqHxSB+ufGlR/HXjRE77+2joMJIjlX70a0d8SaB m9aYuDhZwnqEN03DL1gsvyAFv+kRNzFPoSWYT2JMolWZdJIkvFmmIP8f5X0eWqJA0m96uiBO0uhQ Oxcmk6guYsZ7WD+GZEQN6S898IMIZt2G37B/5Z0o4FQ7MPh0yXHzQw4j7kZEv0CH8ak25tvgZsH4 bOv917GAT1NXq4BeOHkRTBxQLZgjVJJTXJf1Vv7huujhEaRZUp9e12blDJ4xKIW8D+c4efBvrBhV D9qdH42z+wYy+0uGe88cKufjo2vbHodAYsAOwQuY6p1fCTx5Q/pWE3VD6EeLUP6oJ2FcMB9k93tO 4QMkmuLl0yoibzPoZGXI0OjQDHq83icKoiUWPTTLWBimiRM35CG3sbeguZAlvdwcU8BUDnpzhbBR kTo4hHzWY60bIIo9ksPlsr2pzjnPSkVFAcE9LU/jagWuwHb75pRSIKq5e/VDBufZkuYPLE99/Tgl SYT8hTdWkXe5SKEOwIEvU8o47z9E0Unaq3lWEo+gO/gWVPGlG3v7fC3YxNVTjoENp2Jvi1kJI9aV pB8MsdbSGPahHiNP29wNQI+Ry1lBPvzfIDiUlXGpc11thxdLNdATCeJ3dHMH1yd8EDi7DbHiD1s3 foJ91NYrGy4QlgdzepYuyVB9MOKZxHKurWKQ5JDSSdPyMQToc1WQHZDp38yHIuuDguA05dat+6AT Ewr59PC61CglRESPVXsNEiYmzQ/kwtXJFx6b65OhPX6HZ6JKq1I2SxoR/9lPPGU8wWbnmA/DG9rc RxCXjYa5w7sFiYMZW78mx06wl9uMguQE9LeprDQHvnf1jIBYWQWl7NxABSUsOW/vK5aIVU24top8 qIDLyjeQ9ZesregaSehdl/kRej0YCFlGPng65lnMLvmxo2yM6erSz1cuQ67QCdHfdn/s9Hp6sKtn +34/7K1cMdsP6TUtB1sqUKC1KcMybzXpAESqxJmblQpkdNmYOHphWzeMn4chKlJ6x89tlTEqiFpS 1sh+eKe75E9c0LsePlHcyojJ4/9R9KnSbxVsy4DBlEVI9KEHeVU/pjHP9ngSBxtHDBcNCnxUptpP vj5VILTFtDpDm4OUNWOgQat/Vcg10mQyvDe5gc4xQIaAdaazjCgs8pXNtrf0X4f0nb2HC/98WUiF afGuCVTW7v+Kc25eh7SH2Fk9nyvXfenhqFJyWOc1Nor1UmwcdOhXh8xYS9xRY+/1QZBqZGHg1XWY PuDlmFUqIPocTwVisX1s2C8nGoGt8mgrDvub+dK1GfIj68xAl9/i3h9oTnR2Y2hQK5fJqQyPvZC4 une96DpCWEgT7ZEo9flJomFjvIV4X07jH1CKdxafyC1d5tz7RpDRTMgV5wXwlJuO/qPRMCJeE5R1 34JTN1H4ZwqMKcfbAt5hd20fP85eSoBTP7w2eK1EQc60q3qTRE+hSWnV0zUaOojOxvkIBuMKPAeu XAMoTfUAa+3tS/kchhAivJZD5CW9NP2EHxTRtcQV+Jk06M7t0zF04lbO58sz1zQqARswck14CLZy qkFrjmJtUra6g72Gn+0V9Q2ypLY+7Qcs0xgeF2uP4SbuPeNc31Aeux3qRW+kgyFkGRg4eJCY9eRk Q5/sY5E31ozVqVaooYBZkObxkZN3EY4E8Cdjqp7CKPAFlONM8wSQnjAGa984zbDmO+lhJGKQMsVw uE55usVyJXBfXY9qDIZLU9QLG8YZLGL2Oja28kbOCA3oIsp6y1ICxIwXtZ4xjAu4+8PNP2DLSneY V02lTB77EkwD5/6epiqgrDFxBthBCkQu3koDlnr0lVnEvncQkHPN34tikDhyiVUOtxW6xSj4zCAO L3sCrrsFqsNp7kay77pDPUPF4Yh5U6OSyfvvsOeHk2jM4bHX9q0Je9tsCaZj95A8mZrL/qyoTPgK TgsiqjJ3zwzL9MIEvtjEpXMwZfdmuqURTKeZkrIYM2MvU8wwRg11bW/3ikiqA6D0ByG6+RyKQDtv c8N0nYZgV/esMSkaIH6xQyRVR5Jb8oaRAJA/5tz8a+iu5cdbB8bu4TdmZV4NdMfCnaDtHkg6IYXt mW608UcTRG6javvgySCcE7GPtccoShf0hpt5fgDkPak0iiP7hOuqff3NEL+0O9uFSLM4EVmBYEeR dpPcBNNS9Dr7XskIkW8FHqg3mn/z5ibFb80k9GFMQlnDlRVA9FKbc9G6iIWJ0x6hXrRzq5bh+AOP BtCtE+gbshrA3F5lfg1V7TXbtnjVBAWeg4doDlNdcDUc1K8FpAm8XtZ0aUSLBSzHgqzPVYjuvz7R IiJZIs12UnUNebMTRUfGkooFAK8vKqzYM3+hbxqUmK75aPTQpj2yr/2h/tXOeny+n1D7mwuwuxaD CalZQGNfdr/h9L4KtPnLeEwE+49OfgpOHgrpdTNPSS70vSKAf4gS9bIUzDYaVZIEaAOAGzffHJJu EYKUFXM2RQldWRDRBA37bINn1APnRyqMZdqcbxlC7lNt1/kkU/m6AMfFfmiiwbazNDxpU8o05KHK 1G8VQRuP/mMAMWRG5yAXacGzFGPDTlWxtWb3x6bcTNIgHsxUGcV2EQa+brCEFP/I02rKZLZQiq98 rHAH/mpDiyzcnXuKodshAiVBhwpsm8KQl/WEAjcGHy2gJIE6W78QI45+lhKgYIS9PxD/W9dM5DY4 ge9FBuXFs6Xo2FmqKDmWypurg8wi1nUxUjOUQlxPTI3PZySrK9J5PAV3B5DrV52YpUWcvfx/qmjO kttMcfVr7Ktixwp3U+ZARoCcK/eNnrDTmIYoovUYhSge7S3Nc956+LfxG3kWnJ5jQWDk5mIN1Dnl hYGlnF4yB069RUpPQMv3bYwcFlFfiTniKowK2TAjumWxH8YjMpT5c7axsDIYdiQPeVFkkGDGLlWM d5Qlai+37doLqPA+/xCzLBkLQ10mNWq7RYkX3g3czlBJ1YZzKtqIMfVNyo2OlNOgtrSJS1MQV4PR V9uKFG34ZxaL7qL72VIR4BEvGJ3pdbiSlbpQc6n/wKVRVB9DQXjycdIm0UlVnKOtf2p7kNVFV17P i08bOIQI0k2N0nzIgc8H3f1OGsKw/fhyRq7Q4LcymRue1O+cRHvSHEljQFfAYgLCMTrfXfgxUqhN 7jkUqutRHo0Bjbbzd7iWChw8Sao1ce7WPnhXOBeJNgQZ5uxu8r5ELJ3b0ISPIIs2y19BqxRPZz5w VqVzeZg0RS2vy537AAVfFk7huKyBnhtGyxytEcEPaurADHESKYYos4ft1GX8ph/nuINygBbqxJjq S+p07omVelYpZIt3B2pH34JamusgiOxHRmYINHICoqvWYKITKbwJ25hbAc7+Ylvw06zN6qhNkiby SVncNReLiixhqbZnS1x6cDBEoyEB4FXX8O9Z+zKS7Pie+S8MUu/ilaqO8QOgihK4nA4l+Gbqinnk DRdxT6U5QMY0gAOXfPZoAadb4xbJ2nsO6QEVnMfg2EeQR5io55DKmh/Z5oiOw9c8mRms3rS9eqKA IaSbINWpPzHD+mBnH+SLOPBxUIhYIZAY69HW65oakbTnlwlakxbmDoMzgrMLZOwVuK2HXW9ZM2z6 aDE/cTONcE6v6tPFHXuL5iVOH1NvSsi26iMKExXvw8o/unZJLhKTE+vxI3EYVV3Gb98mILyuIweC TkWCcb1wZRq6DK9sr5EtKXcWK9+wCg9XlL2/Q/Lz1HTepgZf5TmQ/PLY99MQ6MEZ8YsRN23qJ6b4 ZUP/CMdfeoDiUnkf4CBu6H+bbMgc1ItFltcZAeIyLXxnTFf388ZC5Kn4g6u126EKcoFu3atQyqw6 jcunQunravitoTZLJNE0BFCLCbQOz5CN0SfAwWt0F/UBvD682rY22GOvEuQUK6jADQrbd3mmoV+P EVy4GTTd7FTA4jOsSW0w0r8BVXsEcdWmLmiZmZMeGc1+uJpHa60thU+PWgdjjql30lKJQKDC/3mP wlyyHSsBjTwtA5uyR2gF9sHNw2TGOUgM5SGCqptqpu+wYy6b234zk9PvnPFBkq9hYyr5kB+0dN+P ocpIEIukJ5HAN5XzszsqPripG1B5PniSsZ3+zSAGW/QsxG9jCtZA/T9S9ra0rontSwgr9ft1Dj4w 2Nmd10bVrjPMKGCdecghr/3Hr4QuyAooLjHZqQ9czozc1U9bmzMlsKLE/2MXI1R3+NSJ7bPz5u2g ACcqYTpzpp3qcweSPCbw+WOr8JrVgLSWktSZ6QYkTz47YGtlAHt5XwMQlW78jgMgbQa4gTzfItqM UILLFtXo1SZnrUSW3+wWE7TDtaL3DOhvcoClXKiZLob7cGtiZ5j5EhJbUPALAY6nKjT80QzHxy0p aHag2lyZeGFP9donDniymP4n9opi68KpJIJMwL4qekIyppFMdpb8LcanxlX2vm6/GmLoJHJpDGyg XBDacpK7h+V+5DN5Eu037l/OUSn60UlJ7C8+4EOeGJ3igiJHkfH1GEuWkYFkXwjQp29ff074Rvs6 JwZ08c2UyHf0jFQKEy6OCKq3DqGUweu1FjXRMtumJN2xaOk36aH8H2/E2RErf7F4N4kwymURCAQg T9a9K0rtbKxGyW5cjMz0C5JrIOQxsp5k1AjaqG+mM7ZT+2epjvYTdw2gFmCJk+UUXuY5ZOaQbbJN STeGG94ngzfjzPJC3RcTFEwnNjrt4lkXPuBiEe8InEGyCd9Em51yQYur/RSyQ9mrmguuF8YvwbsF aaOf7YiOmAe3J4AKnmmtBImAfkOknJ8b4woCldemRwGAb1L1rOAJr3Uaq5orUFFrvN6m8GaQw4KR Zlr/oaXifDI+I2frZAmbbEWWwLgn2aMB39r/wWz0ypJQwZpXWTAJh+0IaGcnTyb+SSdcuMfGFT9T 4xptHrKnBmvmjuzLzzizBkYB8S+tUIOUzZL5PJWaWg+Zs5pKKEw7sejXpEOr6gYrm0crsoruu+au pgMmTJd/ucM1NspQAWXX4w0AZ8frUeGoN61pQzF4YHDUTCl2rcDKN7oixjfZf/n06a6v2IqQdc0A c+Ao8rsGz1ApxzWdJMrRew4nHKmNVUFXv1hoXa3RDqABKV2+3UQnjfJe+11yb+b1yTliuAkdbnCO ZuQmBtsL8R+U2T+NF8U1eVBu7dp2LB7FHRyGcFp1FF/DUGYBJpaH1ehRNBJaNkeQ3vcA0lcEgoJh fEm0erA9xgAQ4e2xQOsWrKIEuwoHb2Vv8ka2XOlqo/MwKw2xREKi0j4z69V3f5OPuNyteTMclzFt jz/49cLLEIvJYmKZdd1uzNA/0CWPqz7HAXMLrJBRigtbq8q6UCD+8NJGTb+97svV6qKg18pSvBxl w1+k5j4iZyAD4tC2x6EF9HAfrJNMsNDTGDugkOWsExJbK0q5IOgpR4dFY+Wpa+Sl01FnqBJTh59W 99wyw+1HwXVUznFHcFSMXY8HXECgDRIYZMWmaSwR/hv8JsgkuaLarc3wKjPa3yJJ6sqYaXOkE2xa rVug2rgecbfGjl8D4mNBrmk4o3HW826bS1qtOWaMSjSvodX43cZpO/VBFSLcf8a3xu0SmHYAnxI9 4bVDwkZ7YHQ+mM4nCXFywCVAl0n8oiarWwH3Q9lIREqDLrWmmVPuwKJTO1y9SosmtK/oSgN4elHS xb+y8/rD9LaLV5OFarSoA7OhN0THNADVMuSSwSLkmRxP8s7kJhyoETDKrqpqTvcnCHv3RYz0jzQs tBU1NS8I2UHQkxcOvn057Oua4IZiPAWEghca4QeFwRvhS3Ro/wzfAwxMh4h5tfcothUHK8hOpBMD QicbTagqDwi/zeH6hpjYJdOpzGlVNrAIHN1KkjHL+AmdHP+EWVJzjb4HI7FIiYcZwsnYM5LLcHL3 U+gIRz2CDYvCvo5j79Hwu0GZmkrhO4TyHSGH9MsgXOPTDGIy7526/3+rLbDmlj6B3z60yfgPvaXu 4+qf7lwqB7aIINsyAkJ/JuCg4mTJuoUi8fSy8ADGHSIfpTIJpIT9evdgf+032wf8P8Mezwq22DH0 ur09ry/ETm6c0BIX6SeMCJe6J1qGSeJA+N2SfBtw0IdzWO1swsR9XfKbyPMuGr+wcklo+xVSEp5A 5emXgUW8D2YwYEQpDOTQC/MubdIsLoUHOpwB4d1Kxyyh9SE3UJcd/HS86xPszsIcY6FTC34gBMAx JrcCXUOjU43qbr2D5EKj+ftsp3+Y2aR1MtdHsfL4LdgbzwYaGbJkWx41n/5suoZgMFL+SYqjGG9U wwEFms9XW5bXC8Bh1iPJjhUm4iLQurk68kJjoYJvNgDZQhYQIHc3lQUVQXvPRUmxn70vocKEJ1eu kAP7tqn2vZhSQ1CpktcrA3k6FJmGrN8o1AgmKmwsI+LsLb+y1LAgtt2sQx7OfMu40S52wrdaaQqf BqlK+4opy1jPfzj8SOBQHabyx+h24oA33x3WOvcLTj4RUtLMDs967df0pIo0f0iNWQ60QqiK1MQ3 bDz72ZosQ+pQuOlPmLggkAjCRKubVTywk5HRRg1nu+MHkVcMit1ViYjRPjzfkd1991etcmZ62ms9 U+QcxH4ynffB5dQ8oxn7aTkhsa942bONQsui9sj9Yfz75jfKgTJ0eEhVCZA6Uj9zVxfOXXz/As/P GQC8Y61367MoQuuZh7DmesuU75uNEe3UnmT67UZLgbwqeRqYeXlDYFHZIRX2zjnpfmcB4pvlkKvz /pAG0K+dnQi7ZnfnHtnt8hW3Vl9nFinkkA/RV0cm2TSVaNo2bksMgtxpPNNPMd+XCLYpQPPPMdbW FruylmPc8RGr7yhevsGtPO9g7LUIAlpUD6AthV2YVSIJFIKbyWlLnW3VtfucFmH4+/SziTp2m3No lV/bsX1BE8w/nnKUmlwzASnLb3omltYX3TfPg3pj3MxTVkEdho7C3hSOpVZbEOGkskt2f+gzHOW0 aBdE+z8c+AlgUjnLRIiELP/XvTpyXhUR5m05HrlWg/bQSF1ZpKN4ZKkROr17wQF8dsQhF6F1gJ0t K/16SYP/liW1OvkEvHAGlMsK05TTmqutaQsN1O9/Jk1CGmF4IjDX/vwFAAPhuJKe2F422rtjBiHZ m32O6cXyjR02O61WB/y23tdbfxsalStJUi5EsSFi0UGG9N+/HRGKRg5J3ZZ1WBzRNmtC6FgZcbji 9lbHiIOUNkhGyrjHmD26oL7NpiMQ6vmM03nSo07gBmriGWOqDr5BlpfjxLcJ23WeeL3pQuZzfGbE TTpgLLs0RRduAy8MAwmS/MMu8G4XpfAN0jM5/pp4+jl9h+qJZ8Jg8VAaKnhpi+dy5w1X0gfQdIu3 Qd4+aJaoQbMpoynDWMkt/0wJsBfjVpRa/3UC0vYqeKYAkFyBDhq04kAp1IKX74aEjtDE6Oaq5iFN VXmmPduAlG7GwsmSqKJm3vDDhWVbCah2dfCBTo758Cb8EfmDmzCZWE1bcxFx9Qb0D1DaldS1jPNv kpOKlCfj9bTLwWdcWih2i1Asu/8uBL5j7TQoG9Atfmg8oE9xqBYNxoYYM50igfUqIPhF56REaTsL X6BFXKHhQhn3sg46LBuuxd+9kUvG/p4FGWR+YlhE32+e3DNTJ2qpxpJULBL9j4AzCrC6Qmz5DPab tt+tjETgGYu+32FFIE7bYf2+JzYx2BD8r6BQmMtQ6jL0Z3ilyajGHBf1SeChrVtg8EESFNT/i8cF qDIanIEh4luF7Z9WUJy8irUMz7gSfXzA+jvhCafcyrgpVoTlLi93y3UvrPm9JHR4/0ZUkkCGQVxF 0TeODWhHwdjI11Hr1+QIOPtu55W3IjmerBUgi94MUOxQI/xeSFbDkdON0kwu8DjFmniRPio9zSsR N0Av0oAyB9yjpf1JmeRZKBOnAFCzKYpxcfVtFBWAJKT5Z6sRrCVINx7sQwK4Z5VqIQzpPOtWq/h6 thmvC9TA4RJfz3AJladV2XA7IYIQbDcBM4uUm0vmTHEivrtdM0pv0xSW+1s2SzHlgnRklqVfTEVi Dm+IKUpaf0oXJZ6W0r0WEGpPo2KN0iZnXc/EzXbqnUhGXs7xr7dvQRy4m7ees/ij+uB9oC2iFimH QravagyTIkL8OnvjWhSTdMfEpi7Kkfbj1mE9m948Tw34Owow779AK080lBXS4kEXBLlh3JVCZ7zd JdbbudOIQ0Wbc1lTnB3BJV0DlAqBKapj7w6EjSuxK04VVNpc8X6ZRR7sdNpRqIc/zIGC+uhbIV2i p34MscV96ibEJ6KJ0tqdLgbzXvU3irrEbfxXGX98naBKDk1rDwJyyhjXWqIuybWgoDT2iMvQhX01 5B8iw8+MUMH/cXPrBaNNJb2eLJkiJH/TymDfEVsTPMQb807TC6Uv5NFE+GCaoF0+EfYfFS7XPeg5 lK95ImMIfO/uYTBNvYHAXc0g4Wrp0N/zGARZ2k0qkSm3uSCzo/HM/rkhjuHbt+SsG0oljoRsYTqZ 75b1myWljhHRRV8Xd8+OLMAkUJYfh4ze/WqVkdFgyUOfAj0LAQPXhoDdv5lHy61YGeGntey9hzbr /RH3MraKqu0V97rB6jHo2ctOZZV3Li3T49lTC1eORZjaanyFtpbd5YbLq+HaXrE50OHYRWKyyOd0 25G2ABAyXZrZsaeVBsAb5HoEyLS7CT+0f2Z6kvGJd+yddqpn+TyTKoi8LPrRISmk5uDv+kDCv0Sd RwfBqMh2Db+J0UmM1X9u8R71a0ufCQxTnQdSo78jAfHQZh/3xza5y1fJtYBE/74WxOz5WdMX9OkZ YGUqnwpXqfRsUBPrNV1Cj+jkCbEKWWxbmKopcLj1LOMS4i3Jj3/slHx5dZ7hybZ/FIjv25ykhZJL 4VlxtuEfCnjMcuIL+6T5UnXdxdYu4jtiG7s4VZl7/KJUSeyqhMc27ldHi++U+w8iOSgz8B9/cAp5 zUNDdEzj4mnCUYfiAixtz9nNOjJqHGiSy6wqnYBB6cB6ExuE7kTZ9WPVGHnDXb8wxXjV4NpOEu77 6YOLbduOPD7qlgkBgYZOsZm6ET6NlIvzMdzYWd7JwTcF4RkoZEwYJvJHw5KJLtcIltXENn2W0ZLJ M2XhaC6QTJlfHXS8yY1VT7ZhRDvvyDUftVqGcuJNqVaW6mkJX9Zw2hxDNIqTtxAZ2ZlqADnF5k6f LnAKdPoJ6RJqr8xrhP1GGZHx7XqChMEyLgcnIQ1AFx6PX3j8LdVyAFCNxkeQ/qorqHYpBObEVPk7 ohiNvkoQ285mnfhhG0gKkCad3JHuXXK5oB8VE/SQ/PX8AZ7Gp5LN7ITXV0JOn/nIqL75YHlQsCcf h3GPgisAupVJ6L7YNjPRioepPw3zyCjOyEdUigf/IamFoGwkNAVgUrgEfVkpgdDb1k+AqbJSsZ0K ScCpdjbE0lIRDs5zcPTwdy+snta/iXA+nm/izmx6KcFh9ip8341m1mQv1Hp7hSF7prQVcqhn2k7p KXZytGQeRPkT1QovQEPg2nkkDpujel9l6KMudYFDgRLvjMt3GfoasZKnKsS48lFuVX3piEP+k7Uw SFYqxX66/kZdoeCKZhR7i48t+6q5dPY86efbI2xFynE547Yf9rN1Vk7cK58eAb+yWamI5aoa8N3E vvueJFh1Qi4+i74PVGMsEGrxA9c0If0v9fgiyWGHXgNdkQM9cHmakKHlzWkMDtRJPbx2YzFB9weh lxtt2/poqXLtXcioaar3XVw7sHgsKCS8GRTBqm+CTalsiCKWgSaQ6q8ejfq3VDUeg435fd+csSnV Yl2JX4NT162dDKUxogtF7BKN4GHT+DbD4NfibGWe2cQje3WtVWXPwv0h4kEuMwqfenzv47dyGR3W Mukf91Xi2b0KaY2r8K4I1GteHSADTZMx4+aV8q4fcQ/0jdhoj7Zg6UbK0VUy9nOqoyUfNihxAAay HDG5TCYEvpqDKxRu9bHqq4FFKkXWTjtuf+ve5nosvYCZE3jo1sfnJR0v8kf9vtVXaNfSqHmtetak iS3mysX1veWFe88/xLcO2aqDBfuzV0PT47apn/h/8DBznpSzXgxHRyY1PmxqjT5jO8Qtn5OMX0ao z/FOIv1zdaaOrgC4oWAv6HAFjjLWFewJUSRDK//wNuL0TLXjerVZIF7YEVW0eAC6Ajwhx+H0ODA3 GQjzSSMl1P/+ZJfgAN+3RTB1vFkNNNr6D8FWraOrdnKVFRnGkKxFQAwvUcJ3Xdq0jkPERpeQIymS OA6LpABzU0kAnffvH3wWa3qFSw0nON+4mKVtUlfy3gGVDcltf6g2fXu6r2y8ssfetXQICBbWuisF 2mhSa3AKZyVzD4vi00OZGdVZLB4BLXyYMA5MJiJIMnzEGIpMXHl59Ap3VOo/gn+z0hr8vtOkpDPy lxPI8hS9EOU+hoUeavWo4/kRa487WZRe716sWpsWAhDd8kmI4+apk7KrMFBP9HlOfsUV7d7GO5e6 0ws+JOT12Ek1bt9QSnj32HrnODzr/kyjhYmWfeCWnt1T3cQ+Wgwzr2TX2NPxL9MeqyLANtR2w9B6 rNi516CRmT33BGLEIWKbtAG+PcDd5jVAFodtIMirpmTKM9WObxgC148cllNbckTZZZpUVYn0aT3r zOo45uLotEFgYggszD5CF2iAJeK/mBoPn4tF420H2JBIOJ67QdsI1GE8h8+r2BCuFIQ7EkghD/Op ZXUOK+DP0qd77QueTnLmN6Dwbh6LrBXCkrpjpa8487jJcQp+H9THVATNXX/Dm9lBL6rTeqFgtZQq CqtxgT95LeKK6LgXchcoH553AtyRF/RXG1QMrLXsQ++kZVMU/i1p9Rj4/FlmdnlEf+DMEQjjfdLl 8UNAHj5lVnpP/QGe4wgnB4r/Dj4P5+hKfzB5b+9LUTR/KbVDqYukC7IUko8eU6uNKqdwAJ/Or9G2 aOyDMBhbrLWi1QLoJwzgMLMf+0zSMg3WCf+UFHa2UdNvDud0d/olwgNUYIm9WNo3k0syVLilEqZ6 qzDG2aTXMjENEob8EwZzEuS9CE1xBFGNBM+uMBWrs4J4fgupdnzLWd2qF0gsLKZE6Im2lQJs5LTq OKDRZcS2q9CdhPc1imUSiD2T+Ze9l18ZFaA6HLFWQ56ZVJou3PlLh6I7cm4R3l0pah+cq/SHGc0N 4gMHmaDkxczwFRR8XJz01c0iIyfCgsFVPu4BuUn+AylFqlU46dxvboCdR49gAVfpxg9aaigHSsBO b38su+LqT5zhxenu/S/o2Jqybi0aOkH4U5AdSYsw7SsXRNrUPvlU3SiUEAyznmPjpjFm4l79M9+v sOjz5K9eTpyjLG+oXhWNL84wUF79P5kFf1/l+Z/uiFxVj4E03ROBFZDWH/FGT4oNRx39Tt8uYsi1 oXioS0k9anpzdKJtI28/CaFYw+9BRKxCBTzJB37mxIjdXvRdPX4K9bLcK6vaPjKcPgVTP6nOhYJG wP5OeGvszG0jpemQl1adjZ9BFfH3zJ6J72zBMr/QLolUreZ457MCykwXKAPeluuelc1aZmbReRyy rLd0Wf8B5Fqq2/PmzC16Pplea9fATlvt5dSiuGjlPkgc4lwtekLbRQVHcIWVxUshN+Ze5ww8QaKY kMHgVNrvma0Bv4Zbbn+E9jssdUCgRxe8QpLhmXsJuyFj2cVtYIv5TLfZ87YXHPM9+TSpTJpve8Zf thdZklznZxzsPkRVoC2tt6K5FJvOnKsQL2wP7tMU/DpBlaJbTc9kfuRmFM1mGBV0MOzqd6d74y2j Lb0hvh46ypXKdtPzdgAlV3Hk0epwrI4LNV4weYQ9c8fgpZ0/UfeMMfSNBm5cAmKEjz+qcvkzuhx0 ZMpvXh18SGaX2ELTlFPhvsRWZVWKms4Zc1KxPVi79GVkeD3KeY9pIJK0flqli2P9Frc5lh/rLaPZ 7PBslbgzqAalyAToP1HSukGL/wCI0cLMN1KBlkn2A0rfxYezvBxW1mAdcKqZCO6kZMzSua0dChUV oqa1YGhZ4AeQ6uudkGYUqzQ71GulAbwFeuLS+031G3Aia4n7LbukiOhlSVrmJIj7AbM/TBboarjK MSn8iTp3tGxIlgWa2mnjk9DwB+R8OM+Lkmk+0DzF+LdRfkUaczg0XwbMFdeKDGw2NF4fkb/hwIXK hVhDQ3m1YxL8KCxwIBb2xogJN0jJ5rBwpuyyzIjbXPs3DXHLPQUfqNlMTeVquP5/jWwYx16ddP/l S999WnleeT/RXzoIK/8kKv0kQ4M5IZtf7VqVSEWlfN66LfsuUlisNw/CxxyarbdWF9BAyaJL0A2T 3k5jzOs1y38RaO50ua6E9IAb+AdRXmZkYrIj+JVEvSap0JuXLPTwS2T0E9JREOypyO2rB0cnwuIP VbwE3o01aXKXw9PW+wZPrLE9HTIfkomou2g0TcqFv6qdVh5X7CORVnvt2JgY21VVPx2yy/QqMpWg b4wWuY80wc8uCeEh78lrCyiPjHJG5+W6mIax2G97f7LNly3vuvMXDhDgNSwsXsf7w9XKKY7WzA5l o93CZv1yF9Ppqh4aRhmQ0JxqZ+Sd+yDPO8U+w2c7ljkL05q4wzNJlazQf5tw29cBEhdiEukdMjj+ hEnKMpfVcK9oARAoabMYdBQzphS3Mt0aDdhZHKQ8+JbmcAY83LPEtFYrlxdDyXHxx7O42QvSi7TR IuhItwWAvlNIBN7XLEGvhoAcCZxkOuer6gcC99XgI0J2MNa7pLEXhozTr2hyiyFvB+g15wlOQe3W cFLNKc3IHEamL7rkIvL3gGCrfu7OmC8/twvD3y6p4F7rNcN454QR2eTK4SWfmwu8YinQGEo5tvfH xnRO0wen7U/6lIYegLs8cQsihZpPnxqCcrD0mwoo1Yh7zSM8ogHv0TtNXoHJ5fehpPyIWzZwwr1/ 3dIljCTYXBcLyVtmpHYmVoMrsBfECU+m6zzthwpbdpLx2b6LJ8plnDBHiaSaMb6Juk/PnFdNvMW3 2xCwjvXGSA3skIvyJOG8a/kEkDoOJuEgp7NBdvwOTSXRTksRFHHKid+lW44WgOOegudXesziqH5G 3j7GvGbtFEkFUImjzqumHaQkpIFjH9oyXotsWej+i2rX+bRRcFE3Ie+C8qsoSGNaU5Fqwbf+E/Lz MHHb65ci3IJ40whuAii9tN/4A/3NHAcCWEWw2bH5L0LkKy6iib0hjitbtFlv+A0dwXBKD1yho2hd oFuuaNRI0PWHsCSrgUnlLnFLJRTG1GyQIC1fKdw1us+rIDthkDSIzrbn6jzxm1fERJ1sKpUcP6HX R6MtUn2qh/BsWfWgzVLFVrGHkvJ4L+A3Kr974UU52Fm4wgXbW8bX8bR9i+doIZyPs7braXzqo9A5 EhpBFBWV/K6I/l4LdnFfULm8tswx8yAswk3W6WFc/DIs2+H8McxmXkFGXLi7jsnOJuqbodHrDCpW /W1DKFdFkFzqfYXnNFp/KEyxh9xqnpviCTJtNOdDO7iK6Vfm0b8KnW+kAPkG52lv1Opdwm0LTUIa +n+PdDkS+8z1y9zI+0rr+TwnKNuuvFPjzNg71wkW/hnSEOJiPlFW2/JWuydK/qo7NZxABoDCrTTz A5sLUzNjZ2HrDofgtcLeZufTsVFHHVz4rv9EIYleTjuEH0gSWsKyOGN67p/eyx1g5JefDpyiz8n8 Xspm1hzaDooh0/aVhGeISdSYqz6d77cuD8MjYfbBAZtLFbIF9uizi5YSh78wxjgoBI4H8wg81DtZ KtCFGc1ZWW/OOaSSb4j2M4Yn7RH8G4/+RW8U/xKtzMkV0lOarmQ+3/PzcG+X2kPSnrAFLNljQx1A 3qOWARR/ZL5kWoeGLYr+4ng0AZBvrkeXzVBrOGTXq8Iy7hXjJaOPnSil0EfFQqDRLrq3zljmLziH dX1cZled/gWP2nIOlkQoanOJSChdaAr3vuSKf2BC+1EW6BnXwd7Guf3fUlPyHB91BMuXR7/vsPkd Cs3h6dFatLSflDVtwevZLahhWU/I/2BHiJuklDP7Cd1Ygaq31GpBltnY2UoTCMprU1Bkxv0/WMcS UEy7RDKHl1ADotQuwfQOutJvEshOC9bjzJoUzQsYUcwgIDci38xW4pt8Kh7GR6Mj/zythdyfluNk o8+lyejmFw4SbApGOgb0qlwtmjxu1lTpu/ocU+fC9a7rrgIk6LYhe9rV2u+DoFNYYHfVk0WZWJUf aQcOI4aVI9B65LhsUYxXiwrllS9mLPnaRgIXExK8EMKBbGcThWSbEDwqDIrGb+mUoTMV3KMOtHTW EZdOTgxXRo4nqv7MU2RK5dJ95NIuYcyWY1Xq/0CwT7OMXz319zPVn9Hqm7qC4ODnc1nOCAF+bG1Z UI6wrbisSgXDjkRHaBrMhbcnjmHxdvaqfmACIhZwJu1m5B26johwkIt0l3UTNK5E6MOu/v735Auc o65LzfvFQe88iKQ1QuSCoNMB+HCnFy/Lz8ozU3Ju7LfIIpa8Wo1xJsdvwrVFzBdciaHdo6dRjA0h uMd+mpAGMYv6I1syYd7yeCuDJ9Qwbhj+iAdPqzRkbfI0vjDqB4H+03rdPhV5i/G5xKLOA2UOgJQP RdC9di75ag2REJlZb8xL9RrQSRAWb3ckM+ZFwdYvF4PuVR0DasrKud3w//PwoZJ8I1VvbYOMIlBf o5lrcjRx8fQcqw+DKjHgQn9842kx5wF8d5forCFqBQibLYzeMBkIkCtGscZ5gXU1MfrWjpKndawu 8lo9JRoR66mYSQfMc4WUbbpAYEKAMzLQuxc76DpbL5EU6WjyqXeq/ax7Le3ichJ1FmfHHBaKv9x2 R3t2DLb2posPrUBnG7drb8FSK48SyOP6C0NiJD6fqtcOJOlhaSGHo02GnA9SFVu96v8gnxyDs61U LlnpvOQS3ic13eexZ/Jud81UtYWlL4EJZ11rcs4zY1T1avZ/2EdKIwY7GZBjq7KgScrDP/J21cBF qfD+ehc8utTKJSATXxhJz/fd5/QhvTt2f8ZJTdBFnotM3nbI/vJnXMi4Px9pddUWUV7raeHcMaAj Ox6c+oWlvs6y6P4REh4KmZj1mGOLGttyH2723gAegIeRu5Jci3Uk/mUPzk+FGm6Ff+wh6+j08DMP /OgFwt3QMjUkQnXBszNUCjYCryF9lMG9eHx/XQBAYZCkVtZvA2wu+Ytj0u7egX8FKs+D8heFdnk3 aTfk6v2cIQwBE1bAP+x6Po1KoJon8BGmqRxTv5Yj9/Ef1twslvMxWHcl1MCFEqmg+Xd2Arzqj2Kg MToJeSGS+QAcC3RimoDs92ka2cfEpUF4BhZLuKX2IAdHuSqEINQglzyYIO3urjMZCBZL8O0Ka2Lq NO4fR2jH7JYiUG7NM098eLzKFCgDRlBA1U5OwaKfZ08qKUJmSOPOFbbT8s3NNcNPKMlOyA4i6fOd wHn9vfydOCGCllGpORBnnCtbl+LHhqIYzrFB8Hzc3rjAbNp29qaVoE1xWwAsHoYuvGZzfCE/8DJe 2PF663EiEcm87DE6No5LB207EcM5P/ZJsrMy4i7rcTIxGjvyaeqB2CnB0x3DXyfC4Zgn5VYcccxE f9i2WnETlvM7pabRwL4JUivJi2ik0571WAhv/6Sf0fcRZLDcXHtyXdCoRzenifc+L2NBfZvtFgVx 6VCbKb9z/aOviVJOJcH6YzKQB581aAvP5wrB4PlZRag0ku1Nv1zCsUpQ3bg5eTx08Ga0EsdJVEJ6 GtSZ0AsMlxKdQWpho4Pk9MQuJtGDQWp43RrXP5oPeU4meahaRC7cpAyG7hm/EVyRvaDTSuntKPw6 CgyOCy5QP/PQPKspM4onjmIapENh+Hqu9exlayvvvR4qIS4fN8Xo0jPg2tH7DlVJYtz54UktjTHl AKuyndP5Ju9zvQ5qDlfmLCfyVT0hquyiW8AUz0nkoeFV3fT2/G/tB9AdEaJQu8PnVaT4+ZGl7RE1 APvpY77W0sMqVofuz66wVEjnMGpJjQIMqE3vNH3K2qhZXoFkXxvYL/dJDnoF26IwfMS7ATXYg5sM zbvEbSSbbBFASZt/bJ3vqkp+2Z3sE2dHzWPkcqglsXRiNEYXXQ9cif1q7D/9A+zXnzpAYY83mjAB ZycmET/5THxCFhSb7iTa347NhZlLKBHJ4utEmXW6OQP84aSgDU2pP7aXL0ZuRzChST8UgoY9oCER /WdAnz6u5DVwCQIugYhyln0xtRglDaPDuiDQggVWXuUpDu0Qgk3P1eYUeVWzYwpnC3BBaEkYq7kS 6QQIBNNGFtuXydjjRWgwhC9B3nfCgmIYo5Q+qSuxPQleP4Sww6/elL7hKyXsB4wX8MnvGoOs0ZuN vIXfq9nijpOYr1L+K54Ajgr4xvL4OeEPlHBCjtgzGz1lOC1LFP80uFW7gow4lr897NhukAmTHne1 jFbonI6GZrFmdO5xbDUsfImjGcGdEcel8PIjF+I1qCR+pHDwGCGZ+Gl+pQ8vKiyfV4TcWtfSc3Wv vy7wkC9QygVx/M0vJL8HmV1xCCj9KIXMLB3lUAbwyazNLRFwTzMGKxd8pyub93Y15rIWZF+j5VdL u53CZZKwfy5T1u3cTwQpyYe6AlcMLDc0EdaRvyD2FNIe22bmNtnSc7Py10Dq68rFdcuUa9Tivi+J v8k+rte3kHIYAdHh02l2qn+9i5WCr6aOD2Movg8bO6t9ZcjaL5XKck5kyNMHYBBTryyaSODP8QHE 5kHpL126Ooo9FYU692gfWx7TPkeKoQxM6Lb5604HwOz01CNMFumSwTUkWUgrr37z+DwlFXeZWX2I vHdXeHwrsCVDBLdGbcL41wlqB+9rjC7PbkGeYrTDDu/bsQu7RyKpFev/A+uhp/BAGziPwQKXqg41 hsoR5Bo3Z0aNYJIXExj/S6Y+pdc58NWcs6qfTDiAj4ujqO/yBFCJZP71YpFKi7xdB+JMVTu3YuvW A2OmLoD1sOpQp/q7m40SooxR+hzP8gS9Mgiomo5DKyINEuE1giPCzawrG4GLK5bnulsuMtrFykqf B83z9PvkdWAMHZR7nhqeEtfyBwAWwQQ/GDDVVPvdP+51WLL3FOR1beujOL7uSIcY8lF0LUs3cflX sTeNXPco0REXAhn45NQwLaNZfDuVK2OlyZ4vv9OWHs6xNQRQnrQWBFadX2oYTaDplx6k3JyINtSq tZeMDaE9a35eWSEp9DLsqTU0powxOgOFSR0QY3Oo+/Eu2gsn9Tgw0q2JW8g0B4v36c7GZ7Q1sutl dpFiOEP/xgQAlx4ntykGq2UUrXtSwSt2k1i7EuaPUvC9XHa9QcS3yzaRwh2riAUh84GhVkQPK6Pc BY+P0mmMCWZlkTIcz9RE7AXXx+vfzhJO0VO3flCxWP6q1afaReeDZYz0e5Lw+nA6Cfvs27zV6RyJ TgBPQiF8b4h9uPTaCU+Y61GegmZbwumbZcFVykzypIlcWXsHRQ4KfdZA2pO2xj/sFqGqHgDD+ehB rY+nZ5iIxI4DWnR3MKD98GBiT19pZzNyWOr3oCO4QAZLQUkV4hxEensQ6hxggAM7O9cX7Jo7UtJ2 QEBEf+nLW0B7VHHHduYf5sPgWEpDtOuK2WF2Q3jNtWwl4LFYrIdafNHDALVzXc30QW9vmWj0LoDA H3OICNCKN3j/Se3INHuxHMXs7pbS3cgyvFjaYi4K9l5s1X3nSkFttU/mFTqdLZYvFDSv4D80VWLW EXpGbC48Kr6UMqTSjbxSSfev7WUny7gJmX2D/FwME4RxzixgWYeE+tIBGYYOhvNQ0HcZgF91xRs8 MV/em+YLuQvkzzVnzzn7AQ4ZJWrkcjGoW125grNJTixQknPjER9JHpqflqkdRjcIQX1tCDfo33DQ GEMfoPe4hdlDNiZthcA+GnG82zcolnz3j+bBtu81QR1xPeUj2/YWKlTo3YGx+/4B1fZg8q0MwLWr KPn/neK+/p+sM2TQeVaMSF8WMF1a11D6JLgDb7H5mFG8MjzvthhxRpex8mR+k0MwaRwjym7oLHlx 82O0vblmA3qkZfOf/KfSsLVAQ/TnZA1aXF5M/xWUTA4poYEyP5uYuLho0wnMKOne1nLZhAVOdnWk mnFGJ7Lw/Y6ex/pr8w6fZD+0j2nW9rNkkY0EZHBjSYgoDeP/gjNmzT1FVlQjPNfFqJ4WX25MmnJG OxfFKB9VNxoirnxResT1tkgAU4+PpwrQxbG2cTnzCd7kelLIW+SPLW3xjA7GpFbvxYXQvRvA8BQe S4UovZVjztc+vdVl/Yi5eKTpIfCCpRIzm40revHsy+5Bktb2zfFVp225gp+lljG0SzzSLpAYtBzp aJx7IfbTWEqNEdYVW/XerArGdDzODq8iIhtj3vUcer+NhFg0j5LMeI1avTYcxXJd9XPnvqAsrknK TlfzdDNM1eRClWjezQ30Wdgk/v9LniXsKS94qacN8QxHGBNF9V8VzKtBEnf5UX80nQRqriUQ3d8x ymP0kCLUk//r81+tjL5hBCuwi1mjqpyq1EpbayIUWqCUlgHhdBLFPOG9xlftksf4AbXsTTjPH3+O VtnduO36Vj41A2b9zuPpFOAwBXyyYv8ESO1VLaB9PBNQswH7i4BoQ2CIjC8A4d5eO0brTD/rnCJm 9Hcz7XjCcyZ5TBMXVpjtDALoGmmZvcmMCsWrNIH8dZtzoIyO1cjz/kZelcrWDFzeA4euIGR76S89 kVoGGg/sd+0Q6tNQonS7rLz67C5uzEDd0YZsF+GgvIKQsy0oeW61WQGm2cUiQV8jA3SYu1BjDZZI v6Vt354oxi2Rum/BD0QgSNxtzDKHJc/GdN1zu4sgzp+2+aMQ79tliXjOwNkQtWFJ3/gYFWuePCTU +nQffqeI0Z228a5yAoSE3CN6FrcjrfMLd9yKCfiFNvsgYLlhjfp7WunfWXLG/VItiSfRiEN+Terz czXGZojOsdYVMeyh5C84oFyX4r/F8MJ/0TszoLIYYXLvCiUXCMNk4FI68C8dSi48V8Lu++OI6ouD YUdWJv0BkJrI784hhvUowWbpqvBhxRButAjtug1iBH/dog2tnElmDrezku2gP5xYq3Knh7eCkDux TCvhWsBTI1lv+RkFeFccMwoolTC1NTdY4RWj4k0gQrAd10rt4poZlBXClBoxaMk6FmA9KQwjriJN ACM7qNAKG5M/HydNM+L9qwmkHj52Q2wQCwr7pQPvx/jr44qA1O/3xkwTDjtxdqAg907lbO/Qvbwv jXnQE9mcZqV06KbSNiiuYGtBlOERfQxyXUjyU6tGOiAP2Vif5RJCBMNgaI06K0NNUW/TnozOS2Bs 7NvaWTWG7p08aIFxvOBfiOE0ThMalcHDtI7MDvOk6SJb2vYsW2W41YcChjcoqE6NZcrThin+Q+Oz UTBYmfaV+XHaP97McTRhzoLtqyZ1DZp7LehLDUk4xATunA9oYDsb0hVIGVKDX3hxqxDuZ8SJxlGx 4Q2GSM+mZ0oadrNGvEdtj5+v/Amqftt4HlX7SWfzBMVtTT62NCX3t19EwBH0uvrOvzdhtBayzA5d X+cyIhTA+SeIOdmrWwTDYO7jZth7mZApT7mSg0SB+NHrwcZaJYaXntKCSz2eUjjD5cQ6T1wPVnB9 sN4ELcdDfQ9q84f9+5qD1tOQgSzn5Llbcn+klgEVhhSx7qXLTvNPFOmAeO6WuqKMR+U3ZwKzBy+X 8oN+xhTssaajFHvo4dPIjQcMjserwz3Ym5nt7fyW536OA+eCRp31EgDLXuyfwm5LCNkNqfAk7KJx HnjTTDOkj3LzpSZwXzIfhVT5flTsYOcsGP1udL+HnXIjbQzXtrevms25Dy9PcMKaZrn9awJHMGLa leeeB9k34PNjTmV5wYEHt7RtuzUoP79pwWhoqwdMOGEQD2JF6MBFZLX9q/Ubh3qA4D8Ke1QJL2q9 63SdMlCMQzgbENJjw4DjN8c76MpmV0IFa6PX99GLNRchCEYrHIdQaWmkDWoN3dNptLc3rMeKiQC3 ymECEyQZhzpHYIb0S3VW6IOOJ1eCZH1SKhyDgsaNWqKCP/Q3Wy3FrEGDUqo0DppOGV/fL8XQJY90 zYvyeAj8plntXDA9tMh2D0wCaqBKp55ZegIhzYT/pZIN8P60Xi6cTsgBKJPrGA/+NNobp9iGdr41 TU+5uoivvOcxZSslSGGHb0XlL6sXdzwNKjYlPkKFy57TLCFt6dHPHA1tfmYlsaBuMmYVyb7GAmBx fx8dw2xVkDkf6dbNcZB+uxtc41ZfMQGW7D8QVfbMVpr/W1xZ0Z9PFLZYnueGror4hCQNLWeSVNkj ewwiSi2cJHL1R9rrIFIy75ba8UaRRShQaU6iYFMb8R5UFSnC7GaQ2r5XkkCPBEM+fhtAJgrXhIHU ODsqIqhgRh5TALi6P3ln8kOXpcSgPfij3TkB6ArBx/PPTVtLnK2tlatDx1huHBlz7tHlVnlBjFRy z6d/r1+EgA1RvNwviXvsFHKlIHsH5yqng0d6z/kKKLj+pUSiRqtbdruowp6NeB8P/AZCi2sw0Itp vAhCKK7yCJxbeRcnYe6fTnDEtZZa6pc/uEqudZnBx2ru/67YWCW7i5+Sej/IkcRuuqYOyBIt0vM1 BMpjfGQLo0zSnA6ybJvA1Dh/a9qhRe0v8ODH2cKAQH4+Zn1rlDAjCTgNuGhUioxsG5ULv2qG7Khv u1b6m+Ia7NKW+aOB0e9mSBpr0aNL+sb+8CLHwevGU8+N922eA9TNouAEtzCOahPp6RJeyL+86rf9 HDgAF7GXqKmPaOc1Is3wukg1BPU6fQ/Egwlm3yuygqVHjQUvMOh4FoPLXbb7SsdjWABI+0rRYopV xL1qBWVHqDj8xdfuvINULRiTq1VKQ5FEFp2wOSxjDP7ymbqmyIrW3twaaTcoK+6qtvUGmEfse6Wk nQfKgrHznDe+F+SC+Etfw7TEACt3OwplimmhZSpPrqI+JpRoLjYQse580epw1VuxNCMc2hyZ3vkm U3NmJXw6XfoH9mIuv1GQFQuvQUkBeL0WCg5vGkt0vFAd7Kw7QHUTjD+D5/1t5rdYmHXjWUMjEmkv GYVJnkkcnCmkgPrGL+mCpRQJY6jt67oWU7qhbmKqvaizeT5UG4YpjZx5kG+qQVwUDqzUmpdW/L+h EhClRoRPw0ayI/ycxmNxQxUCw8IHEYsik9kTh7jHMnI/pfxLeTGmmb/EnlWRb5FF9LSul7Ns4Es9 2+hBkoO9dUjkR62A8bpBt4n31fVIsMNyYvqk8bIGjEFfhbWpD4kkm8+8fS+BZpZerN5O4QnJMn0a OL9bONDCv08rLasEE+Sv2YtB/qux1MsohoeOOFLctw6UgH8LiwxL6/oqK36kJwuu4OIpSN6lIXRT eZVW3mOlXtCF/rN0AgD4lqDFQpAcdITzGIEkCrEJDwrYRXGWl0ssWTC0Zdf/jHhqGLfsrg0WSPg7 eMYbSdlaMmzvQ8GUYfVLJPHoy8iNVElxu+JFrBab/0fDpCyi+pv+0Xm+fttdICzQgYMR2rGronGi YMdH6bFajlI/zEqtOc0ozvaQ8xMfTbWn4XQqzHcqz7Zkey2vj2goQPBoLtYdeveDLnmmz9narXi/ bKgTZcbN+yA4rl2LVyDnk7pyRcuoqj+6Xb+yrVhuxBPV8PSBAQxjUQ2XR1C6leCICb/HnnsPMYGL WC8aPcUOKIq+OpbCbjJJkukcAJzvctRF9FdjqguzeCbQQHaZJP+/H9FVREypORPK7nVZG1W+1prC +3sRQicGmmyZpeafGlfqXriCU0ZitWviyV1S4e+Kk9xCY468Rl85+O+/YQqqBroQ+fVSYEaWHuB8 Ji3vDt0Pv6ksxmqhsf/qUR8CMKdlPxHB7yEeit+ik2rvP5b/41B0BwuMZYYDlZ24w2vzLZhPycys BH04PDkf5zwHukZ0l57doeuRHHB3RQiYnULnFjWjWm4S4kh5Vc8Ra2jVLsFDkaAsOPx8ysaEocMz oPCetp4PGh4qH8rYmPx8mPNBn66xA8mohHM6J+Z+URPlmkAZHKMsca+WXD2IRtuiQj/0oXuUaqIn trnGWT1zBLyHK7uRBLGedPH6yzvYY1/eE0247UT9stS18xZo34I2oa0MgTTzUSzTNRgKF6Fl6RPE dceeQG37MU+BaTL3Ot8w2MZOLO0BLXzA6C7C4I3Uw5ObuDES01EunLgkrHMQhJ9OkwWlhwN7p0sh L5JULYYoR3ayRqKlZubOg1PYAQIatuUK87V27CjG/3CB2RB7279fuYMOCRMMPK2rkJjz/oZvBeKB w20H7MZPdVlUqtj/tnWZzgYFaHxZXHmtJy7BitHOy4Mw4oAzFFOqbVGSG5cacHv2osp0WBNeC4mu YsY0mZpEcuPOg1ZrJ0LyS9ngkxqQ1vftl8p/nczUlJWTWKbVLU/kNsHn36EzZqFultAWGHYtZxDR rHDwdTYnqr30u2mQ4T2JHOLxDrrllhippQKy6poXj7OY6OGtaWPITlJcZQKBfeHvgG7z3EHYTxtM bA8DA6bKFy+wiIqwYGEf/QO4oDjwCIV9Z0kaXSY443N+3yzm3k1rhYMCm5ZbFWTgHVLxhaA1bMfS 2UjRjbg3v+aWNu0wGW1XmSXAtw5AwOQjQq0g42wexCcaXyMGymCTa/6qEwhG+5KHdcpTWpzR+SLO CNnn9obU53iv7v6mxHoIL5QPm20AEtwF7TUcGgWGjoOubKVoXTweMhsEfCCmrCWiXgZZdNC4ZJlO uEpsOKiOzRZbAOgyEnZGBeItrhxm1k0JiQnsXXG67t2iNyjpdvHRyu0hPAtS1j+Q0B53LhRr2wE/ CBqiFK6SQ+zQEoNXOJE62piD2sbwA+4nJqHoOxrsyX9gOLrdfnMR8zdU8iWieYrE8BEhZSZpYKWX wSB3U0PrkQoSKn8KtTriyWX2CFnt56btOon1GHx6YxGmkrP9fXBGrta6G+LLWA04IyBqy9oRQM0t UILLePtNcj9ZenZI3K5n9H533SL7yhmEROGid0/rzJpcUY/Jj6sNIEOV2ebhcGu8WMkJAQAkPTHy zLsBNSaqRGGqTSsBBOwkWHzBZZxkXuShssyQQd4cbp6RwQ8LH27vbdqR7howiLJarnw1lDPcgGa9 LL8TSwg0ZJWF6rgem4Jmb36bBbCHWDVWohbvs1uWvKT5bO463w1RFHNNz1018hOwoyGjQ2np30H4 8KLN/uKy8GlioRBl+6vski1k75uJS0NcS9KXVB83j5ZcPGwFuWa/gFy/nO03SiV2TwOL5tr+QcjR Z/fZsM3MFh4yCk/esL7sG8avyHma+QDCti9941N5tquE9lFbZczwj0kbnSQ1ggbNRZyRJudGeDRd ys6ilociZE6DYpc/AQYVYaHmIwRouOJ1xzz/v6UQTJg+X3rspFptMy//98fO2YpQE6i4XVkqAuHW JyeICU3VCcs97n2lzuLkT8IPeWFOKyBxiNzaU10RTPSYBv2mVupmNPp30XK1h3H9as9q4eDM+idx dKzd9OxulCzQl76GELWim7Soqg+HLGGDbdU6x+SZ/a9/NABYgitGkXo6Op8rcGhoeBbz8HPpIBje koU2Pku4r8HYzQOlSjBzcOffeH1F5Qt5WS06q5MPh7ByiQOuh3xdtrgPV8srdQJY3Y7fr7c4NGjC BG+laYIoXveuHzmAM7rj1vuBDHpGQQ0ipLi+HWfHXMcBcKDvIDR1d9GX6Eaxg98sdilRe0RaLK9c LFwA1cb4bSNCdE1dZIJcdjJADBo+ZMmPtNZZk+vfuxLSIpQa4jku+2j0EgXW2nyHI/lbKVyORc2N O7D7+Uy2JgZAc+DZUHYc45TDijhg12Ppnf4zCPtG8t9qjyjC4uuT8I6FM+iLp5UrU/d2eOVclXsS xOT/YO+t+VRzdvCjUvAZtpdW1ZPZSaT7Erzzy9GEo4qOFk/Bz1U/s8H2zOiGPV32W8eJChkqg6/b rLPDeZPZYOmVs8XzPfvTMP1yH0SWyYVepI8mOuUFw6PcZg7q+fF9Zr8hVaFBkSzWaGryPFcREwSN 4Iha+/l6eGpDhqtgUS/+J/feZwdFT79VaQqadFq7KSS0EW9l+D12dfjGKc21m/0INVFhGzhRhS96 TSie3//aGyHRYaoYWXEX5/C2mq8cNZUQwKYgNoGdUvCLvECPAJUEksz6oylg97B4U/hEyWdm9jLj YyyXQdp+pygynRkxBSn8mAyw+gQlzbkdyEsnzkXLfCjUZlCwsJlK6WfeI1QRzA7cLkpiKUsZn9qn 9lyQsfbbxp3SQZzPyJUArYH6bkA2xWL79imrOdJUwbo9VVHBUoRO4bQ8B3gKSTOrLIZ/1iBUHopq oSRYJyC1gGDxC6CER4B6v1x/+2kGa5pJMSIAGrGzXHHyRsInXYDpWs86neXj/jnKC+eXAdEGYCR8 V9r7VkU+h32MQnG6u7Hg1i+bDRtg6ueHXqzu1Zm8AjDFAAd3uHTc8JJxDdVZSOirkE+f9y5L3qCe AkVDZUmgQb2pkGt9k6DgKmxzmoKcuAvQle0gWXlke30Aq0bGbw24dFzLjMaxt14w2jcWSLmGRKZp odwEM6QGM1BLk8rApjxb2gvAgGwxJYKM2dVH1edt+Rt/1FsGHeSx1wwU9eZna0qbKUvei6dmFmIz 7fCNAJD4dNffCfryB4HIDkIOBV7ew8xc+JthkY2CKGxtSoNbDA/nHTInN8TTpdSJ0AGywukm9zwe OkquG83s0g23qVV4c2d9dEGVSylvD3g6bXpcBYJMx78MEbyI0aMxFq2XhTvlO7mgQU/SrWcPtTVc eW0DifUhHthzaTQporqIS4JkHU99d5tkP6yHDrHmmPfNVC9eIsRNmPdUidsVgQ2OVa4Rv/o//gln XkEvysJKyV3Bb3IUiKwZsOF+ndQOY13AWy8IyKqiDBQIBVfudbJW3G7Rap2DxfVZ9DKN1kXWopd2 DePRd3R1LEtjXaaq1gyR6jLdBXKkCOXkUUpEuvu3IBOGK1+aBfkQNGV+vTNkHalf3LXExaNz1Rc9 064mLjUv6iYVeva9RTZKaGlorJ4epqOxaO1HCla++iKpNALFvPJeYK8n9rhebbjmf7vySIplVzi6 wLHkMf3ctAhlnzrobNHM1B2GoliD84lAPhad4S7ntaR0wrXICDa3/6RVdmvBqPw5HjZSgly2mPGB juLY5QBJcQT7M52B3NtOfoxF1wOMs5WCOmwS87XVED/M7BTMRqE/gQNm6FubqiLBYAOIe7AoUN5E IrOz1DOA1Nz3rSVHxqYetDXF7sMTEMWL4GWlunnCX8TPs1PFrfAblZBxwaXP6LX9c3Y08VWzLKYK pFW0Wa+rquFwVRsmSckaPSHxSiQI0zU9YwZ8gDeDXJsAdt3HCJXgUXswbRgaEpsRq44dHzFSVIsS cJlqOPLcliSNdD0t6crrx6X1V/0EisMbEeeDjfZWsvtpniWzV4s12eeAwI8S5ofxJbSaW2y3XaOh +JfHMQ7k/Jap8l5n/T1AiK5M7e99DOxbGvvr9gEmubFOrQmaphDb0wHFAQWDv7KLoErSaEQvXFnM OHRpO/1Lts8FYfEoRgkF3Ypob7VNgkn1NbhNDJ2B6lOOSI1S3i4AP/Bhls5jeq0EUSaH0YuHfhHZ Q3hfVHE3rcvviMBIgAQwhq7ADbVtq9BZmNKGtiP2/y4ON9PcupvWgxAEBMt7BaCwTYIvdtd+1NEw InUOGP31QxXSYp/Z9WsaVGKqSx/kyZdJl3HLXiwkInSy/8fdsfIGw9uNqGJpSjTIVlNlb5dVjFiX 7jg+tjzAlhyViKHpXS+BjPd9E/p1Gpl/ucvzzP5Zt+NznRUl7LDTBeKqY+6tVXTpgsM97E7f6ERY SCmebjWcD7rZT1o9KMw9pgS8X0uyLgUarrp6Ty8FdLeySZrDN7+a0DUO0plNKZgBhk1x8OCdBW9h V24ROT+YHuwGRZcvey+sUxiG31GRTgjVy4SFYSzFKqsNXDdtHWblVjWypbDTg4EJ0rfnFgK9hw+p RHAudLPHuE/1Vxz9DcoHuuFvVGca8+qcjHt1lPO27+9bIJhj+bjmPwTUFmnwoafJL98Ag/MjOoWG A8l6n5kHLbdm5UepzGtOMck6VtO1MvY9WU/S5hUU9pE8lHIfpsBxK7iPvPBs8uC9EJelfsbrUoSU ZoHQR8un7S4C/t/367+KNjmpKB5fkXFGMBjrfYlwpu1gOX/30+0N0QOvrULTv/ngDB2NAo0MweEs uoLBeBtthyDSROtfsMhjd02K9be7yaa9SeL8cV1jFNDl3g+GMxGseNgnuwidEqCYeNpFzDoqK6a0 1SPbe+vIg02QvMTUlL2bYjDawouwVExS7zSe4nMCIjsUWZMuRnmgVrEZORqwehBX0hAsSqh/3L8X w8Si7nxN3/pJ+0uZGY20X2HE99qbYbElKbvs97fOUgpvrw9ohbgBsQ5NenyjZUfAq7HVS4TVFYpK 6f26viHDYtwoabGOUh1gGYGLfSod+8n1gVxnudTcoTLM3/nD9G+V1XrGMaf7VJhTTUsDSAq+z9Ph BYoSUhImrc5hdaUF4HGjErmGSVoRdGWtXPeSQKODnMbXWedkgOoO0bQgn+T4IGCUzzTXrFehCvHB mCkI9Dw8PI4GE9YDaSPWiTqxvhx6UNc3B0zbXyEc8clKyhBowwkGLPfrDz0OmNA6JWJ3sivDWF6C M/aUIB3oMnxgEiDhhD/husyE0nDp2/WO3CQgJRdI3yzpnjMvidGMcHjtv+GRKRa4Xu7KBiv2Nu7J ZIk02pjHrLcg0q5bsdpyAM3WuVD/084c0LDLz1CQulxyRvYiqaLTTHskfKXHbImewPB08Se3vZz/ mfpKpXroLWdAOehA9bl7bwkGuejihVUQZ/sXbr0MVhM0DF/1SLOnB34coz24Tb71lcW+m6i7Nkd/ 8mXHbxnNovIVEmoK4MGRIW0X47GExUIUwkGYN0FhwB7nliPkoKj5vxdjsU6/kvD6LeWJ0XXBBmqd eqGHzKoz/hDFqrjaCpH5YoB0lwrrqbwuIhNCIZyFQgYQDRLhE8b1oXIo7WJu9ev/6UPBLP52SLzL 2wIqOTYJ2uOPJqcu3aAmh5cz7P8PTGGBHQG9FMjRNQ2CKtY/IkjlHcS304Fv3QckAXMGtlRShnhk Gmdb3gi+eEFIxUYgWLkeNopSLfpec97MY16aF/qpGETGS3RsKm8FbX/PsSlvt1+4v6rI4RL2Og+Z KPUv8FBizDrERlbbzYNa3wapJSHMSvxFpj8RwjBUMh2cZIa0RRH5kx1xhRV2OKdMVAEQ3BUPz//F 1T2+Y4+fqgEbBN1Ruab84XdG9up/7bmRU2Af45rcqGT/iVPc0EJb05Y6/PJcnNcOuKcNGl2G+Asp xIQz0v7KRtUXdlEd/Zc12CFMmXbhfAy64EkzYCZbP8U4BcaZYbgxDsTZiqMJ4Irbiq4gvJcU/jLz nw+fFkpqLfDsfIUXlEAFOXESy8NNEdPY9CUiL2BF9936eSjYKN9QQYLz/N1AcplUxv8Q7+hnE7QA ArOVKgBSTtaNJWoiW+CRTYdjsTPaOBqvjeK4uRoRRaaRllI+FIKgdjEqEBDEf1RFudYGzgPL3Mgx EAfTU4m8htlR1sx9kLdHzEGYObPFrRaIq6q05Hq7T8cFurFKJzMuADyqHS0Ru5elbjg8OyVAXHmL /HK4Pw8xQqIMvEW1YN4stXEgNOoO7fjdfhr0xa9mQvvV9KAW/W/w4hX4tNOQaMp46ygvGF8o4II8 gcW2Sq6euDGE6eNlUknF9llTDwLzUThunaPAuVgq4Ng6G4Qfrol5n2cuV7mbD58Wl9jBmP9861Hc mezEPlWNzJs6n8tg5UXpGbOlmJJTwKlR8u2YZMS6xxcbTjLLfMdP1UTQR95FRYbz8p+ZmUUGG9y0 ugdniXJtk8GIduO5tUgtmzmkK1ln/vGGWAgcSv0+S34e4DLLCULgDFODDTvPTbDR+B0ip/udPxU+ wPTnJnLgWaADa/ZPWUZGF8iaKZBPbe8xrY/8+pjdIfcgJFojgo/64v6M2cpNPS882SiaCtDHjAX/ 1mtwG5kxPkJG7UcvqfNXolsiSvtG5R0uyt3vqIjtkWoUaK42MW3ZrcD3L7LKcZwkQlxHmCA3bRGY 8lD2Dgl55W4RVQoQKW+WziGyK9MPU0umrop/pvhSUB6WIPS2NVTiJHojKZCle0zrJqcwYoLTH4DI ngeNlnq0Dn5QR4XL2DmPapI0msPVSVKO93EbxxBVEaJARmgQZ0mU/8TPPBJrkVdpxq3CbWOb8/LV cyNIMpkRv+wuI2dFZcKCWf2s2gKMgtxmE8mjxInUAlzyp9uOn3pZpn7CWv3pWfR6qMwo3p4HB8AR xa599iLNrQczS6AWvNMFw3YXxtLeR7PWfJFVBo3UKvKWzy60Y1zYPLooFZYa8d3P792Hc43oG1kE Q7k7x5Sf0jgtyUSeZFffGiJ1yUWpZYxdOldaWQ70mRJpjLxA57eyeN996zMS9VZ3BNBawl/QiXX6 MJa/kv2KRv4xzumYbQOJ7l2bBXlqUfqPpdDIvtvTUprNP72fLpJBRwZjo+ywbqzBga+YteT9FAgk gN7WE1rZmKJ3N0z82QA8RW9QyGt2BV2sP1fLllskJC/v6J2mXOPbLUOhdjMBVTo/4xeHsh3tEWvO oBfeb5K0Ir+Lgo+MXJQX/HjukSPTxSUslL7xAoGjg7e9XJzCVp6fDjVetPrislsBxSqtleEpYbWJ PFusLIXyUXD7sqTCV7zEXwWdhYv6ICDfj/fx3x1PeCyVb8DHj4WxjZCI8Ej52NoZ8GbthEALymhC 9vovqjBFGKnmmri8THDfOKdjEi6U/AbVXiUO+G/YpdmzTdjRJJpwIfV2HB5Qsvj3aRQvAiIhVmub ghowaDs5r9Lek4vgDLiqGtfgrRo9g7nsKUx7uhNTFOBtb4zZVknFwgm3Vn4WGiibUF3sl4bsDtBD kb63ywKMJSD/dzYVAsOgJwladlWjEopkw95nLM9LnpDT8VzugjpiWYb5gwB6h6udSM9JlirOtT7r FYmnvb8Hqx4w+pbA7hucJMfL2S3siRIMBX9wk9qKe3l/i8GOwZ8+4mihMIkZ2BySEZIkBKTGjDG4 zVE1b6MkzmTUqxGevHyml15j9gjIVprtbIL18Ow/lBAfNcMsJSRRxWJOKMBY2TkTa2ZGYfOEVBkm LwWc1g8IqdYCYkqe+cpw83T+xtnz2XvPAg8FOhsl7j2rB1COL32t9QimGgYLQzjNtQ//08WpFyHE n3H9a4mqk58ekBK3AKmNGKfKh6bUbfCK4ysDK9/K0dtk0yARwL5BDF0mbAKRoSOSb6qr/NDrLHZ3 Ikz4CCPgRpl5UXS3bFeFe5RFYXcAUpr/BWPBoDarwPS07efWiweYe137MCzNSuIJzeDPoqH9bPJv i6ndJgrSO5005sN8PguSpB7tAFyNyoZjpa6OBT0DzXWZE2/LhSFLEPClzUE5aPLx0ga5rtDurECU aWf2PWR/yYEgS7OiS91Z1j6og041uBQFT57FnvvjvB8Tk2xA1t49sH+rw0P08YviD/xnKZBNEssx JMwNB12RR0ZU0q3mBraLYdltairtVjcwcgsJVG7oQtDZYG3lUeZFM1AAovSkjfJuFlpWd3AT3g9Y bBSqzriQwRikXbj1uTp/mVbC/KZNXyfWWWC4N/byFHF+Ly58HS/wnrxXL8bHDl/w4aJ/QgkbgjxJ zMdm9+FM2l1iX6egjPesqe7auSNyVIzb+xOvuIxm8G8jOeMBAQqTJ0oNf8iSHgQ+lTyuw9UZsT5w FP5erF1gZH8V0KzltjIN/MyIoBw9+ouik9Q/bpP9N1M1HdFcsr1A6cTefSgnja+9yktyvjhSqIIv vJAaAGa9rMNK07GlNV/jvTIneHofepazofNhEhZYfPkz7/O9FAazjH5i8KqCAlxHHZpWwj85FtjU 8je7w6YKe9y3e18KTIlW0zmob9jj6Nn1sTVqwWKQu9hVOKTw8NnLoBcQHoq5SyzsK/FYhqDTokX5 Am4MONIP85PH8LPV/TOxYC8p3nus2CUDTsmIxhTfd4p57Z0YVemnNT9SO4MQH9CWxvEDieOAt8HZ z9SVfU9nLF6p4sY3TpIHlBzIcsRULmI0Q4569WPT24ebofemEzV08dVmRcA5vKMv3wbjMePSFcBv 6JPoVklRypP006SZBYL+cfNhcpHRPlMbxhttwcROL25y+QLb4Bcj7vJQj+U6Fyn8AiqvAPzaDVL9 MKnEzLg7gsc5arjjoBNFrafM8LLwjypX+Zl2bQhgK7tTveBCVJSoCfITKCbe4xzX8iGIqYTlzy9g nHPlS4OsohElkDs2lhUL6uoZdorjmfe24l3IlP7EMCYf0eIdKwt7Y6FFwtT+zBbvD2t9tLS+bAoz 64DDEtcAh50mkRV4DYQgk2emcfX02EUdbvgmhCNE/dpBVxE5UJB8hj6798l3wGQUFtCz4UQn5Bqr edKrsaYqpWGIe7xvLM5kZcBb7QR1rYDAersVS+A92KpD5Vc+4QfjzR4t707hPQho4TyZZTlEHNFI e2i7UlENtqMibXHugghCn5x/rjfaxRa0pf4xiIFZQGFC3vzMc2OeG+7zhrYSsBQDSEx5D++WT9Ik C1tK6gWLPblvwzTj3at3GoObaeU3a6jEiSfMjvtMLzneNIcFv+aYP1iuGJSxLJklnI72vhMGcOoI jzODv5ZgkriZsUs3MrehT304lMe9Yg6SdT6+4qVCUskMKy5gCoSshG7F6uG2InL83bjSVxCR1gN8 QEv1ZlbZ5Fo5efmJOVIiPPUXLD70H/BaCq9YuS4sfjeeGryg0ocziLlNE9JnI9IPd+XfO63vTjXm Wg1OifTi84teqxf8unLwF8a1XrFKQaDplDbo3ccwTnfv4HuQtvSv3Orxr4XUt71UVqUzjazZboT+ ANyBIh69sEWz2x72hXOmZJSRkXo2Vd/b69nNYUnH1/xJohzkLmC5zFdlrWCnGNoIoJxhXchJSj+4 UapZFBdDtKxPpYneeR1v7LgEOsFLc1/n6vfV9uDVqd1q9F13VAjCD0MkdI+ZW7Gvks5e1csT5skD B4f7mcPps/Szoo6iYPorul8F21IjuJ6qRz6eFJX1O6bermf9nlfuGrAeOOtxcVhJssymL0qdpIPc UvBjqtzziR74/wF7M7eUkT9JbjBqD30hEh9qSsw89ZvNFx17oNk+HH5aZcMYit7o7w0cTSpVP86r /UaW9+pndVNdxfpx3pRnH1Me6SwXZvIXeNnE0oWjsBRtnRY00t0lEvZRZcx4hcOLjZP31vz8XXHQ MtA3wXInlcGQiv7CKPjIBYwsVK0xNHfJ/Ms4oZFonGvsgLKgRDLmAl8aiq2hFmUun1d8NxUP1y9a x6w7TFtxuP9LnLvdaIZ7J8T/eYCavG3bkvo0tZ+Df6Ff2eDaZ2LIMsVFey/GVWPhsk7l3KLPjurf p2IAK7r5X+1ChI9CYf3r59BTgFqDJi3dS1bSe5t290ASe911W3WzMdUOM/D9QcEwwD7p9xsf1Qnx FSfsWtU/zN+/0V+Rtfdzxs01VdIUxVUTe7nRjaS6vfpn2qD7K3GNDHd0bkd3Gri/AGyiQuHcIpZx EwXCinOh+sD1kklhGd2xUh+Qe/YbON90pPo9YxEKpM57NSV7YrvrK0m26puXzvAlQHK4rn9NrKVJ gQvZ9oMHhXbBbtyvoioHeQjXQjLrLiXsJMCKyhcXyoqRVnIL81LyDpvf+zdNpvyrDEortupE933U zbx0rv/6bWkY/cLSzP0po3mc19HCU4znqhGwhvtjoByJhizPBq4knG3NeZymnk9XFPG2kJlefE2S EUGoAW2KTfUnoj/Thbr4Calg/FTB3oExVkCxyJIj/1oU4XGfoxIz3++Zib4V0NcZ3gGRYsYgkZVB M7uwaJUI1p8JSEkf8HjFeN1TbXUTIe0exHFzsmAjU7Mj4iMkR/SAoHpytahjEYBNZ36F//LKwlL6 Ls+CF5vfVj2SanP9LLK8MVI7jZtLE/XwkXpcmHkdGpbTpPoAOwmTfdW0J930oo92/wzCAZeTuaYd iaEnDFNfv21eYYS9iQ33QyhtENy0/jE1G20XwJLRSFI+5zfS4upaXOxZ+51AuWnEVeB2TuOtFQyD iaDSIqKBNydVEC20PlTvOUkMfwgLiAx7VZnxkk7H4loSpLw7anD8FTTFhw3yk+MIuCn57xpqhoz6 nppc36bDLjDkHX1qOijcC4KfHE87LWOwauh5/t75pB6x051cRUGdcZ0UqVlldrHZdkCpbaR7Mi2V E1L7i8tUzN+spjO5RFtxC6AZcrlisJrDM7dHhhWLsEoNx8/t8mqYkkepD9pmyTqNjdKx+37RUJ3o OOUlgvO8fuctr+//grw9quruh24wzsuPRdaniheNXTnFnvWG70v1ZVvaqCs8fVRq8V4CKylNorXQ nhMgoGjj0Ce8MbHvoghSzhvZ4r8JDaE4MK0CiG0op46P0PfuarSsvGjvwRFohCPPHrZKjoa1LYes 4m/VIgoF2c8MQFNNbQ7q0pDyuklT47b+YyL/PEKNRkENcz0M0AP1MbT6fNYbQk4RiboFrX46de2u I5ZGKNENem7y13NXdpc1ZW2ME32oAVc5IJabD97axHTbFVD5H50Cfy0zUE1sKL7oRugOXLfKQQbW ONZADFuvlRMagSZYePJk+2+Hc7IaX2VP5tSEqf7yXdx9e/ugLHjS3AaouRl4PrdtV91euu/yZkq4 Zd/Uuks00lDDxqYLuMcCYCSV/x1PeuSskZUN2NKLi111KOmAlHvgd3bkMKR4d0gIIwzf7T9D7FaL V80fx1SZ4JHJ7jnbUBPQSSgx3VWZO8UgXUFlXuXozUEqHDpSJzxHPiGdeIEGWLNnRP27RdaBbeAH 6OHnULtZd5w9Y4/aVUl9cwYdFVod/AoFsdGadjcrtGLqjst38s8jtadlevsspTt8S7CziwFe48iw r7qwA8jDswsGkmlnLt9VqXoKsbSiRwlbY9J/E1LHXEqUiD5tFyjGM07fjuR5Ok9b3/jij0/bCAtV wZGqX00AFc8/+nty9XNojDRfSkZTpuXoBFFb1/0ou+Op1ql6ABU8fQhv4ZmPAFQSmJqVT5wg2/2x HBoX5lnWfKtmFY2P8XAqVQs3WTpqZaOYsc1YXns6fUMkrWoPUPrdsRA8Fzy+JycHZebZBhOy3u+E x9FlJdMrW71gcxgOyagTkdmqrsGBwAtIGc6E7pRPifsaivDKo/Kcq+1imGRBrM5CDSsiV+Z+snsX UFd8bNNxpytazV5FqkcSZaSkX0Db27z3aLZ+JhUSC7RrsExzFdyj+4Cittr5VpjsXBAfOxQNqUot XrZAkProIZByq1Kye0wC7woO3aJbDemC7yWKilEhZZjSNGRAposijHmwWMjIY1pqU3l/gv6S7UQd dDLTNvvqq/Zw9zqegRgO+zpglH4gFAis0DMj7BU9YZpJY3gNNskTlpshC97s0GG8Hs1Rjge9YaVC iyo9Xrhfr3UfNqo/y5aUOZmCNh9+OAq5BwhNR1oGNoiGLkaT9DTLgkjnR1gtUbTWr2xd8buXrn/6 C1rsFotE8trgBsXMgc1iPxGcDiI6k7eyHOBi8ctyWfFtn5Jbv5H3dhNcg0JwcNz8j2WFNpCm63Fi 0IjbqSOFYCJtWAkv8Oc2M36JluOBVp+wpR6xTz7EPzwSOyYohT807nqeye7ZsRHdeqwGm8sbQpeu Gy29i7laAoEyqC+Nwqbp38rbDFg3oyAoPeX3TpWlNA03nqzAU3H+iu79lLtmOMSI38h01AKorjpR eq2A4oe+OI2bf+t+s7eUeFmnd18mTzB0UzOc26osTYf04Jpyg7YvlyPNoTGJRusjg/SMcw7Depnp 9q/WFQGBomqfY5uCdOGCzLsxQSxUWeF8JzHoax99AtoutHGe1mklAJstqkLnaxvP1c6VgOmi0Tu1 R+uY377O9kAa1riHiPI3uFj+2sWdNAVqSdCZdDnIyY+A+6d2ExV/RkVxT8oegImPeP3zpGRsaxwW TvmtHe1kZa7nr/Sfc7aVjOeTbZM4kqWrH5VZupmVIsAFc3uW/j9pHc6yxR0qNjpGFVgMK3yhjda6 rfVY5GnytkvdDfx9ro1Yrk+P83YddxaXqPIXDSTM0JtsPvnOEHqGB4jxOyTrWSRWvSBNp99kz8sy 9x/dhGBBRMgMvpI+LIGLxfp7V+uniG0Ur157nC4+qyZVGZPSruUamsHRMqBfFBu51jNvTSE6yQaV L4sRnhHJ7SOvs8HY3nR6uGmO2T0/gVAv3C/XpV+tW5DYCOs1ZaNYUYKx9mgIrZA5pbDcO/BxfsXk YTHibvmKmTltd2+0Or1b0Tu7tlbaZothF6RkfvQDefeKMWMjRjECtOZ8O3YndAZe/V4XZxwtshMY izPNvYjK/CfnOQ9zC+i/aVWh8s+tj2k/GcDwFPUUGkXzRUJSVtI62LhiQcsHY9o1TEvjxY1BfWhb qQwSJaPSZ1Kx0BgcUCZhu503V1QcAYSZA4XOTU36t/Wwz7WOZLIaDsyS83ju4CDS6tnr5mFR8Vjf n6DYfJZNbl8+9Bjme/YNhtHCXkPDUpdyuB20s9+X4nxA8m+Dpcu3ASxfjPGrOyQ1bPKfvKQtIKE1 ccuseQtYW/jJB8V/mgo42Q70bQksUxZbWIkhA/bhWocyIEEOK2n+6W/6qDQJVuLunI+DiLyIC5Ho YcQathraMBXkj0RNU+XCxhhY1c2B79QCTHL7xJdAz0DSjRjxQZXo8/0wbirYtza7UmQ461RFreq1 nROg0v7QMnik1jANZj/102Pnjjf75r+n5JTH5u4MYH7dEt8aRHBHBqr9PE2adH4tlzcptejOwi/t jCgOe6nerp+UCc4luggJ1Yrdnar9OAWyeTzrM3X+xsTZzPcJB/dkG/9BEUEh2juHUAtq+F03HvJQ 90LpHvu/oDzkH5LEgaKqKoXtLQaI7a0EA4fVowvFVYvm1XJ4TySuVEgHS7omkIimBo4gEi1mm8X/ aS9FiuetKUwiIH1RD12zhq5BEtV7JSfFbcpkc8LB/bWsptiAEFrbP93aJJC5Mbb3wjHbTl/k+jLg 0rw1suQCe3YLcay4uO0S4veARkxUDXTkCfvurDzaqjash0Dq+9nhR8n1Pmy5GRtnGzebAKyW1/Vp PeuOR/CiLTSfeTQMcVeOuQlXO+NhKhxdbkp713PCAIWV+ccdLv/gaSqZdS4h19PKwKor+xzfm/V0 ZiWpjJ70/Z24l1gbs21yd/S6qyzF5H2p+oZtVYLYigEQ9hV0uJlZw1HR294UtU6SWJ5ffm40zPz8 6WT3HBbEDucsRX54PAB88ig5W9zxANwrLxC7vYV1467yLs1KEDwkqzvUedQGCcNPiCYcN02qxROF 6p41/Kjk4IJdJoKAlCQG4cvXTJ4/iulntZaNihxbIxXbmp9KQ35bZatN0wbWZ9AtjR6Edqs8VrWX 8NyTc7K0XKGzowONrsOmNA6uovkbmcsN8y4e2+Mm2mY92Iwnk4XH7cSz1K0H2e6k3RIB7Tvw985B 9XYdA5ssrsrDRbmPEGi7zQgIR3bQDsz92MZbI/2NPt74r/BG97umr4Bu/2g3ok8ULSiEg6SHcwlg yak93p06LL781agzwbOEKbjZyfxEHiKT8WsbdOPosxfJr3o7cpFyXUdCAcOu1VeBGjS3yf7O0ptW w6NwLzCXpAFj9Df3tkRpQaBOIWa7eg+5O8w5Rmj3rD7BTrTnx3Ij2KYNrGWKtMQMX0UsEwYAmuI4 fc7dnamQeiq8wNnMimRKniobZdQqnz6KacUReSMFv7/6kx6lDXHkhAWiAlDJvp/noNPvUSFCpWFF 1I/1vJLLa9YRUS2KRN0x9gWJFwuM+M/o/FCaQTaYbRrUTs7cX8Yw9HwZFzsV7tznhRdUFyIs3xEM 3m8Jj/5ucRrkvdssksd3U0dfRrLAqNslMC4pS4U8WuJk2OU7uD+KMu6S137e/vKEgsGHJuZ7p60z c3cbnc4PLMZl4FJa+ktR5ub4jWEKc29dHzirYTjlfDy5WK9wEVzQ2oGfPMJd0ZZgmRZDXqNKwagf xTkfqvlmdYsdTKS466Y3DGUeIL6STvnoLGbjP0ayDTQW6PsWLH/96Mo0Z/3HF8zK7hmCTocgTwbe WR1brT3Tl/E45ftm1NeQ2S1xO0C83F/etdXNG+f6Nxqqr+eNKo995YPlV0n0J4VRecEJ0gL9M0mm W8jkpDDK9aMyobfmGrm4byifVxOREJCWhCiBsqRTs6TWbQtAizLM21Ffw8LZZ1Vk8i08bteFmx90 nt3ow9fcQVfy4Q9ouRbfCvtGqTlE4o4a5X7eCPXHNMFFfNmRCIEv96Csm5u/iJqJBT9J50uVO/4a Xs5fkWK/vJUoIkzQNa91Rq9ONx24MYUQjfb0t4Y36anCeFBLaKybyVdBLviXMdLqyDsUTP0P+p/D CDfKlaTC5z/M2Wm7PArCbbvqWH2lJ6K/XW0k3GA5782up+/sMuz348BvX3pkZItGoT+AmXz3kn6G 64Mj3ocfhw4oliVlClUA15WHirVURLUqG1pAk1ahKtB6MPx1clqsbzpnMiww6jDLheL9fSktgSbL sfJItn/oyQzUMEtYzMR3mxLtny2ZBxJMEQ1R1jmtrKanvBwRaEfeyeqpsWtLGIM2m7HX6Lr0nkbp AsJSKLAESRS9awZHrYbgthwavo9xeVpA5dUnLmoa9LkyNatnZ4Usu1QXK9s91VXxnwdQnFmv7D4B FVCdPGc7IpQGqz3KvnZFm2A8gtJJsiwEc8Udqd+u0/Ib+bDmb8Y5XUGthYYkEzV6Clr5m/1ReHyf Du5oEn/DR4gDco84Be0vrWLV4E2lEyoaQZj0R8Fa0DjlZPJSi8dBaI5SmfFO7OyJdySOXQIHs4cT pMoiI80r8dZd2/uMnOpQnyB9Crq6OETelbZxXkPtfKJN7oMOgyzmr1VDKyD2TMcBsb9z2yUvmzxG AvJLyc/KDi2E8NP2a3bfDe8CRXou4jar8WdMxL1KjdTreAxEzhvrkXEWoiDJkE08OMK7oUzujNcj AT9UbLlIHanPiTxjCUiJQVBgpk8IQUOOuTeU91lUNarMqNv29Ac+R+Bs+yGb0ejb1g5WIVMrAOsZ JFxcYTvdUvG9CLcNpcNUvStkXUvyi+aYBy8zDVPSY2wVPmIjJ3YmKTZyRneec1le7P13IgUmZlJJ kG7VtUsjDLBodhG5Yu6SwkBBK5yBCd3uZKyq/YsKZIWQYyyz7yj9sZtpj2hANrBf/UzkzcpjOLEJ y+nKoNo8T2pgivcf5+nouhWNWfV+kBWhQIOqjp9Y+MC3Oop4QiJOWEBId8P1yurCQ/5L6UGG6keX uskAQcaInjTKyG6yXeRBphZDc5I662aVYlxkjMvAc1u0OejuCgkouHL1AvjncNMo962qGNxJvD27 gs8kj5g4SPtItpXqyfdezt7AFLHC63Z7cdpGyGgRAwXRZXQ/ybMc38UbICd/hMqGReQQolVEnaEB ufyOUxT7cjwrwvnVti7sUx6YidOneAVWumTeSBou1ctM5vC+bHm1wnztMkKbVaZq6dEQF/R4L3Ol SYDEMRyj5H54jB0jugB3x8LD6O+tIDWnFluu3Ujc0uobbB1daYNFH2mawpEPPX4u1mQH+4SDDgut Udj0+0lKJO1jvD3w+l0X3WsqB6hUM7ukMWjAdipDYD2gO8bCDhx2+/k4EBIAiR02WcAM8mrHp6vE CFAjOTQHHkcWaLf6E+DzYKkPUL8FYm+uVFdeB7K7nIbz8Qee9DJ05MsYTgAle2FSmf0Ys58Ufd3X bF/0f4IqsRKMioXTwlD83TD9+y+bIxK6YoqZ70VVX+dmTB2tOBG+rjd9ko1czrgEFhhHl+PNQt8+ 0FLTE/85ni3JHRj/5xjJHg5Lp4TXI4O/TY5ChqArwVAZECymO5E4APPCjrOoFP+VL/6ozTcTpxCg ATscAixuA+BBJ3irx3JWebS5g2rpPQQTX7xnxfVJJV9PtJDuUB9R0o3Td/bL5LtVdgKFutbNa/Hu hArY2FE53Ex4VzqGnSWKJDmJG8WBXxYUvm1ebeShPbUfDHzw/gWmWmin4GZ8vdgWGo5SCMoTKf1P 3Nsn7yZ1Lq4rPQDvZmrs5+Fh/lTkUG91yVd8KxCth56J4vOfHWiVIKow1b9d/yLw3tuwyZT1wMIP XSo2LhP0hg6Qi4ysewn6C07UJUDxdZ2QVpJXnBH98+5+Tni+D9ttpop9irNxVME8NAvEBpqvvBK2 ROoUgTfVmGGQS+b52tRYpnu6FXpPTnKM0DNwLyKak4sBEmTW0bNwmRYtRI6v2NkoV8YLD55yD90b EOvo+2P1p6LHYOUWDImTOZtHtIwkSD7fhQ2uxl7tRDf1HXon9Nf2H4u9jWPBTpiK0Q5JiaKj0sJW shF6CGBSBg1zND4IShYAAP5g+fd8zh60p6JTjtq8hguhqA6xqNSPyBwjpHhbZgM6jddO1PvKIcIO BvKDPCJuhcj8JCweinETgtjDXeW7ceLMma2Se9iZJpZvGOn1WdglPNbdrjTH/RpAne/1kfYa7SH7 sGN2wforF818plrNy7Gg863Qr/jGzuQ5DiaUcnO+jCTmVH1otBkyD0gAGrgt9rrI5gUfEKqJEgS+ jTI+nP2X5Rez7X6s5TX3cMuM0pGFwyUMn84FhNUY07tUuUwu8R3Y7Nq+19JGfFC2MbaTZ+6Wr7Q8 Jxq48ip8XNwE7BuazgtM1wRP08j4mqgpAbOSBhp/aIqvhFB8J1U8dtT0ZZRX0mRRXg3CaEdnVIQF tF1NZlqhyM0up4XPoLWt1lN+Nt/mI6EWtRZkJnfFJlRSvK2J5xtpdITeMjhN5LRukiYYuWscKNGg ajY9JSRjtRXrcVfoKQts9eHNzYs0NX/Aqd+KM0eCRR9hhtO1iGKU/NXDUCd5SvZNZFcbGqcTkMZD cQe+nHiio1auBrmgpkSTgeSTYzMQGBN5DjA/IU2GrNs+Ew0MBZ+DPyzemHH1hhA3O42+o9okFiEa Rwh2EEjzcAIMqb8VCQ7xgYhFCQPzH35zUmQseiT9zUIyRxkRS4/yztCUKZ9Atj6BnGvPM0Ef+7uQ t/iEUboN9Lfu3sFoB04WUP6Bm7+S7IdoMraDdP2AID5oLjVeoa5OezodmMnWnPFyi7wY6TxiGwo3 qEGkO/QEefmCaT1W5hCFMUvhW/vKDtPunVOpUnRx+BIHOuodR7gX77AvFmAeds6xi4hR+a8k+Xdq qgG9Mcc7N54vRRBpRBqQ4+7fROm+tGvlBsKMwP7V8vyiG78K7skXRHiZkIYl7zixsMh3BybYpSxW ud58bOllxYffHYN7FgifIKvpugvl/5fUjnJFgXE3KWT0Giu0uyW8uHblx4Ty/GVT8o3ABQS5Q452 t6RonGXWnsjeNO+lQbBzWr8G7E/c4sC/o7ZvkQTqJoRd2CcvCEvgyv16Oul0bsPLysTvQcsC2wRa p1DEu1hE8l6t2au+iHOZzmFgMz9UODqu5mR2hbLjRVgCKINsoC38Xev/TCUGJPOgpl4gwuQt3uk+ 3g0QwNSu6f2X2FoHpV45ioKgwfT0bnujL9HyWGTZpQsUDrsGW8h1enVgik2LGbNVVT8P7TUM0dvm 9JtDY1LQhsWiiYBuqnncAj4I31QrWvV70+KdsMMyKoJGuB+Ljfl13E1l8+QUgKAvZnvhhU95uFUV G+/kAVBFpd+o7ZE6SUdlC/bYAufhxEEfXk8ujjYnoB21EFtnmrT4SX+EhybvPXy1WFeEeHrslVBE CQj07L7k6Qnp8LLauoMh58U6AmJnEyzeA+pFAK/unHmrIpFLviBRFbQGiJpwFNTxOJTMeahNixSQ mvki8OaXHc+KG+DPy5pxzFJAuYUOumZbHy0KF70DlF8rfS2bJ9kR0v4H82ZwhZgnkIN9UiI8DDgG a1QMbmtK/FuaJqiA+hO5iAkfOGrYr3XSNgVRC4pxBjhzFmJwcQmfzeSc/JB0B/9FvTFoB+9yPzfz WiKRX97d7QeJtPF+15ajBtE0Ebity58IdVVOQIEpy/WxPTqH4atlf7QV+NIGWdbzjjFBAjoavviV 02mypn2yKrxQ0hVjNV2ukUehvIciX/ieTKu22G8Fa2JbuR8y4yoG7OjMkyys13gG8nErRIh+1SOA PPcderDMx7Jc23v2vEQpmCOT98er0luSUdCZcNvHYLENB/PaOAu1zYJxAX2qPQhSAQhvnrputppy 0Bu9zyXrCZPIAn4XkmWmT+Y4xVoDkVgYjLCwf52te4Kl+4mACyqtjnHhykiespL7vvw0Y4f2VRA2 OoybW+r5F1uVhDDqcqdivgD5yhRrmzLTbhPDvPcVJkz2t2JNchHFzcWJEabtZGfmsL6CdkXCHQaZ fX/yk3kv0N5MFKGx8pr9EJNSBdbtNLMGqGcxEBZKz4KiYWCe4aP8lk3tllTtqzuDJObugIZsnLMq Qafa0jZfN1RVLyltjsiQbhQZ4FYmGHzgHAxXSwO6yXHQ38u6V/+rCKPixBL09Xm1bt/arqumaMtp rrEaCvIRLQ+JkAp1JPVqZ/uz3GuHssaS1bVLUABXTtNl+L2+ZQbGwk3k9sa30OGFKq2oXOYgKOoe yWZXB2796FEQcQkKYHrm0DylqZjMGygZjOMhLoLlj7MHc/E0d2M+z/AWXXQWjdPx/9oc/EcGLU1M 0YTZsI5sKRWkmW4tqH9nEtaSasSHVNKubErXhRO9dH0ho4CBe0NeZLctVjKcaD556yKUnERVe5Kf rn6as2/rnf8nUewzIKZRGP1b14lcmgCaFRSGnZyhz6xH7ozzjgIDFBz99zpiod0h3lXHUQ0KuQ9T tLjGHy41omamOx2WGlezK4A7sUmOjYrjD3xowpHt9MP8qq9QIvOelKOXB4JFfJ1ZBBoNhvurtRI6 im2x3HXyt/X6K2MHI15KGHZMjG03motiV1yavjulGRGVkOIPH9CylUu6/CHKwpRT5Fj6fhORzdVc aJbokKgLcMK74T5ylWM6mJclMLXV2Erof4I2B9qyIVL5YznWBAXrZPFMIwY47C6g5ILlBJqcz0rP eih7Y3soHJFAMHpY+4UukVrG+EQnind6k5kJYN7EhWkRxkEk+9hDqr0Xrqo4cQMr6Dalau73bIVM RbAZZ1WUo2rPrrYZqzd4slI8zwDUE99KlfcrTOOtB4UcSnuP7YoIFKmLo7J7YeeEcbyc/vJCJPTM B+8AaiYRqBEPKVRBq4aFDuFRbV6up0FONqjSlRoiPi91OELqHYFGb1iQOR+t/HCy1naTVbdIPLii msROhJas0LNkRRjfNuSWlcrrRumFYqEwYJb4NR1P6bHfbX0QfG84Zczkwnjpp5o0fGC7WzEbSMnT srRmdUtZqflOjA9yBvdVp9heiZ6iaj9aALgEQcR9ltpt1/XhHNM7lyjkYCaNshANDNEgM4Q0yEe2 xFqhPv5pBeOAS5Yw94cnmf30KXr2kEodLc+DdeDHVrGyWmXKuBgEWl/U0n0ExTNSowtmr8SFVH1M bD/Uuu7jU2czpXJADUjfUpecyW6BRGsvK6By5BmT1UhV+k1nufPmK3LkWTPtWQjhxd97g+2pLe3x PSThATwrgEyCdIHHiUdnK3uk9ClUS5JEvKWiG3AcGtNlUWDpX1RuTloSx8W+ghfYJ8Pnm1gmO9N2 8ip0oU+RtW5gaFEX82sb0DU1obA11kHawK+cY19ddVAVcDLbU4DkIJD1YXHHYC4Ddq/1ky7xu7sy YQwlkGH9y0C0bkc99VliKVKigSp6smKrDaa3MlbFOxrHJhGoFdMMXKNYJalWfvfASMFNrP4hbzS1 UMMMEnrdcLKCrZkiSsc7K5AKBWuH02W9U4r2fz0EzUuY0QjxNQ9ibHBD/a30J5RZ8fAxPqjk84zM wjzX5j4Bpqfq2iIL7dzNf/mgiOeq8UbHtAhJVSOp+lZcudmVTaGQlUB1ycnu1OpCFF5A4PBMvNtW P630tzz4CDT72I2VHgklZRNmZnCHNfaJmCtwSlELT7bdkrqMdw8pwvOP0Tc9ddR1+Qf7tyQVgMyP e5/GDMMk+EAa6tBBZHr5kH/VtEh2ri5zHsEmrXl+evR3lI99IsLyCwip6FwxY3jyySAFiJq2iQ9X IubINSjFCsTrceeY70XbGPVDyFlflm8Ob4R4DRs0hWWUXgN0BHxu9ExgFDPwUx8cJHf3g/qpRTOK eEZ1/VIB3iKwxqnyIG4eStZmMzRpiv2zJ1nkmI4h0ZkXTLscWT3+2Cm1mrxvrScz9okd5cnySaRh 6SN5/US4gT34B6bxDpOk/1zePfoYRkaFrH9UQc2c08K0iUaBWwm+tytI+SzbT7yOZM+nssg98NyK Kzi2sc5p9A72tlH0fA0V7RGHrka3d5QEvAFO0yHbMKsxgsgO+kw+sR6M5BnSd1g88eTD7lhf6j/d 1unQmPG7rwMlKV6neNdsKf70CBnOyDTITPJzckVQGh1ctTkVHJG9yCX00s8SHJ/TfMo0NLy8t93r hfuJeXrySXcfskbpRMUhHJTN1KAByexnXOYkyggB7MwCz6EhK+CYJwHpTKknkSzvRfDsq6rMmPwd 4JaqFUoMvJ/XrZ/r/6NSBDVhnFqA1kiF7FMfWFE+3iDofl04MuvSUXs3q4wW4rfBA3VxQcLWlap4 nVIoc39UCw8IaGimJhJckSaSCt5Aq8KYsMMr4KuouORh83HRkuoBVrTUkvVBFHs61rHl27pkVncv j558KDRFc41rvq3IRDO0m7hRnOSKMvLOcCCyK8AdlSKgqlVdFtgh9icc0jgb4Sz0w+yJwsTV0Q2o 6Wi0Eisw8iDGny9iIjvbEi07m39L0ZBEtM6vrrFoK6+bKRIz3YAVVMc/1CM1WMw+1V/1JnMYRwZS h6tbmNEQQj6UjM00DMQROAjrlMUC0D+LRNC06J5pP9JQoKW2N12kQsHkN+mMqvOJLpxUvdPgohYO kDb8cgVaHGPKtjPrlHSbHGw+3JbzGhpELma/YOgFFdvOTK9aVnpYpldSxFcaCuuxwC7GpLjKF380 JusBE74h+CarGBiONVRkOEo2d7z/Kj6kZQjUZW5Iq8dePMZtyHwmk8uY2aEjpW6iQA4i2I5jHjyc COKRiCF6Mdm5Og+b5fm54Da3HFvivHtBbUMetrYUNRSAOYnMpakxSyQCLhwXvlL5ByAOrz/2kYot CKIEBzGZV7LYRxTfrNTy0lWag2jhfxubTJ2GjWXz4xvk81IunevubS3DfN4W5kqyMFnuz89sQcHM +XZObZctaLpl3uZlKYUGineima2kuljJI9lH1LkXJceX84DWgsCjw2BWQVeyUUlCd9PzyVbgP/ZA NDOeuMqkw1A7NHXX6PDCFMbsI0PVSxkg8Ut4+8crUiKHKm4Y6z4W7Cg7HSXqCktdr8cGwinLkoFj 1aydMjn83VzbBl0q/3DSKnFKBEfiq6JD7tmYSZnupL/5CxLUEWvv8vZGI7AkXNl8i/ljFzNLHmdN 1u1NMRI1EyhphN+uoeoiByMjPI5jLmGrkxT1xeIBF2IDkVU/R7YBzny8um1RoeRd9b34vk7cUBhf UnqQNldFbkU2DXgJi0PKWdbdMBBBEOnUyS+kXc7Z03v5KhdN1DeXlwAG/t7qlu+2iCSWs18aGcX5 oSga7MZQiVYSJmaxZTxamW0U/cWkFAjowiekg41umJ0p3q1Tg5i2I5PDEekKKSpnuNffXVzMJj0Q QX8OWGKiicGVwB7m4pmK4YPDSRUwhz9BO83q8F3YC54OcgMk0+CWBVaHlE6hgVJkXGkjiw4rSrF/ /Vuc9/jDYMwBwB1aoA2RsIa4Wz1GFt/ouK3WLKeGlc8/xKEKOJpqmwfiZRIvMP0t71dKtRlgd1tC uBEN0VuD1St9xOZzxhtDQEU/iMcR/QQGvlsG9Nic1X0UeNDKPsR0+jVIYFnrADJf23U8M95cTsWV yYbCKx1NJq9pUcd0xMKQZcJC8BNelm4mfKBSjJD21v705EAqeXp4Fh7dXBO2IVkCNShjE4wIdJx1 pCaH+eLpgHMsWYOFRRJtqSamHOjnEfT9swyYIT+9yDbCbnsvCpre2zL2sSbkFBRYL2tnqFjWkpHp s/FX7UWYHmddbaxmrZB0q+F6OUCRLBI1CaJdT8ji1XU09j6CwvlE4uAOFo6WRfCNUHVa1EoyeEHY PrdC2+xKrqOBsa8/wpxos5ZZB3wNdi/uweF2n24qyla3MYHxfMMcJvFZYlpvR1ICeZbmNQEZrxly 4OJKRgQirESAeV6X7N/lOVlzEgj4krNYqgRgnjPd441WYMDf4q/BUIRfd9wCXjr8+5tphGpM6n1T KRaEM2GA3ulWcggx0qoqMjygt8yod+Scp13wbmfTdCEUgQ4/eocuQhfSeVl2OWQhELEFRJHqha/H wpQ5c+HGAEaBErOarDKStbHWcnJjUjL6EcprLRO7E+TLfy12r0IG/aVIszUV01y+F7d/P1y31dMd eU7fAnUglTpyUQfnG7DSYlO1qbM27Ne6uw8OTcgSUwcbx8Gtxs6X/gBntEAboQB0MYJMf0f67bVF BvfInadoE/l79fAqCevOhOLt+FIEYtm+mvZjLNjL0SoYUv20OWYpZ9tQOkjNK81NBl3Z5FGiysa4 A0KVGP8x8iLmUfg+UUjJCrJX6dKRRKQNNCvSmBFHm4lXMFG1tDohovmWNCejszOl4yKF6TL0FmgI Yr//NANtvh/eC4y00/r1VlsbWrP3271+RlHhtJM3IX8U4hnlr5ZQ3zgTXzFQ9NsJcGOJxx67KGzC vr2xHe7npkz3/7SuLG2bn7YxjYlaTA2hUgqmY/cs8mREcgq1dbncoQXdhPOn+h52mUal7ll51UiC 2o3A62L9Ms0oEwYnIYnH5HSRwJL/gVvG3jYtVffhjbROICTt2xZZ3787APHZBVVgD0mIwVyufrna HTA0g0nzybGIJHXQ+e2BuuP+d5bXejAo/NPtNVgJPpjEQgUGB3qz052ad/Imbh/hSR0tRKvsO9U+ i8jvvDsAQJwB43ueX48HludVkI3znsi2iAc2LHpWLSRYGYmey4t3S1ijMC65CLqNnqAIhRNDa63S KUrK72cNqyqOTRlzCv65PR7lW7FC36Z3ZqVgm2Ul8d/mIKtQGcw7XUfcYi5K1MGdHMRnMYRgu+JT bNqJruLglR6/pq+Unvgq2+nflGa/N48oEOsUgg2r9FB9yM/jxE5EVk8hTe4fJS5jQa63/euMH3lP BFpYHdMDALob8jSiNnIxnfb/tctElV/dCrGImrIIziLB/l0B0xcBK/MFq7YN0gi7N4MEA015hkb6 HNPM+XtVgWxyDs8gNOIVXwDKQqVPM6RidjRxHzPIh6ph3mBHYysMb9w2/sP1+tPm2tDW5BkETUN9 8JyiijhTo36/Udp10ZcTTBD9fugDT4ojUf2twrD6ItXMw4mRrJuW79S/vakm7Yc5iRnj+X6bFtyG h2Q3S/bgcJMNkBfIgBKtzfi5pgMlsb1v33+ICkRriwJf9JLf6Z0LvJdM9oZIJitiLItFJaheVXZK t6n6AGkDNUa0LYQmoiNDl4sKNplXWrtGcodr5TWA39HBpswi3mUPnWJS4OqBmWmLmeuo2URxQuH/ Xik4CP66za6MzuVqBhCDqzrD+uUUoY5SjAcKWLSKV2s/yKYOXtaPBx+M0s5m8vluEE7S22is3vVH qkf+r0LB+BWLegf7MwU930qVY83iTrC/UI/eNZT8idBisWK1A2atzxoKIaxhxABA0MeFj9kKcs/X Ah3Ugix3k8/92kwBMRKnT4fuZMgDFUmcD4bWOH/+e9rUZ19qa1AMfs2/gy33LcJKz1MsCI2ytznz mbuoa64JCvpG2VaypvLiqIQm+czsOd1Hw5VrfSFKbDkqVu55E1FvzcIwdmYD3z8bF77tv7tVGdwc ust49N/gDBI9VWIP56PfbC1EVY+jFZ8XznBSMVrlM8Wj0s8Gay/H+DGdbiOBw2rK2aAEKYpRCObm 12qeAd74jaeYAOReNETAdC4XLcv9IJPv5zCuI6md9MteiqeKAhb6b1r6ey/a9jezPtnie1fjKuJy xMG+HmWiKSbDJOeN79s03z7dd/QvBHRLLoSSj1aw0edYuldXJR9X2myvOi+XsDPU93IGiXiTR8V7 pzw/6OkFoGvPkDEyX631GvVuEXgkTnH8cu7PRY9eCNK6u++UJoXkInaidc/EmjbTyktPi6blps/9 hqm9Uhhal6aPblM1GhCdgvo8ZHUh1jkUHnvUOxoxVwxoNdvA/2vjAnvyJidqS8L6oViKyLQ4UQv0 akpGgKLOH4SQbjGO16uwc1fAdXDYteHXpBtDXNKrR3Ad/zHlL5lD9q7Blb+uVBfvMhUEFaQ74XAW WpN+S6wyhxJ3kiUT2UGfkLdQac2LiubVN51FONxYOkYS8h1D2jcJ+IyR69Hwa8luQHuxsdAbOIPE LTFKZloo8Myz3T87yi4pM7jTWeXDBTQ8cWG16CVtPMSZFJrqGXgJLTYop40xRiD7rZubpF99EyCV SFeikh4avkDDMhRCs7XdKggP63CcqBOGKvaEOx0Lyil78xCMSnwrjik21nXY+MyPPFsS5r1P9IHk r11zZhqX7nc1HgUHYouoe/YUP5cKOpTACVBMP8qzpbKKkodOnVQhj8rSNPf8l7yhOipeoEwBF5dK /LvoORCpIR4AlgBVnZfPBJR/kXNnfZAgdQyHI+IRkOpuV2egiuem7YJqNPqmKpiBcfysNJ7pBiUd i24GdxjGFvLX3wB2GMOgV69AnSuc0695qtc3JPntFJVpnVVjbHbkS490vQ+TymlPBxQZrPAD4tmO kID830p0125T68D/j8o8M45fwu9yoqrGghtHPa9BKyzxZfqYv6XFDGPzw2GhQZDkMxwOpfB7Dz8K OgpP1+mG2aF+/hOUdUSTEu7cCh/OhWJJza1KQkKv7OIDavRW2XP2bN7LQctSm2ElPfy/5m5nk8Qm 7oM9oKDJOwKnc9QZecLciMMfZTGgRB62KUVUz38x6AyOV61a3CS9xm7fsr3vJRux3Kwk92uWUAhf 4g7OUNSQZaC0EOA9TcrtkBblOivd3KQbClGdD4JKQmOyqb5Pv2IFA6BKv6XDBSRWDXf4ItHJ5t5l X7+jYF67AXSa3mXbnzksUNywM5S3WTKpVjmLb1BA/Z1mYw/LVqhkqIRP4YhPIzPiyfiICUtshxvk Zjl3fxRx+5EgbxqYymqk+2aX1XlA2r7RFr87sD41nDxHfcyvIEYQE9zv+uS0IRbPD3K4UyCM3p+g XWc1yE8m0Xj5howyBBQGU0wJuBp2V+3LUxHrPi8m3Zt2daR652vHmu6tUAGjw60cdddWg+jjG+kQ MLYPb6RTzAdmdESa5BETwMaORBWriVJtes3L53AcBBIIPzi9ULozY6qstY813PBk9+Kk+6di2TES hC3jr0cj52atcik39fu1Pm2vmCrN+Y1g7RjdS2y39OnM7k3qGjuz+QDG9yacue0V1X3dm4ZvmxLq U6XVFn4JhzXpAGMm2MpOxHEYUa/ud7vDTTkHLTbmTaxVab+J9I6RtWRlFf4bxSTLxU0Pg4btZ0gV TIqn9P0raggvU3C8ehxi5dMK/1xZzdRYi4po2l9sb9H51fxTHZgoRbm0rqMh/2ivFO+mVFxS4alY 07sCOE7d/+pk21+3RhYy2v8/04eZMSo+1KLKyYLiKz2cvf1YL+geD8uOx+oeYGfcGerKI3YyYAMc 9RnLf+mRKDhbGu1J3TLrhFBia4JvI5W7bRGBEzYPBqOXu0yNt6XHB4ghYcw5B+Fl+I2vgZga9P0f LSXXCaOqFZD1GD6VSU+EDM/hwvdonNHf1l3fDxUcPZzRKQKwaVlXnuLvUyJnwGW4erc4v0wKaAly Rf33H0DIdRssaZKeygIUh1qfyZV0yz79mT65PNaf6oCeiae5bejeAbRem0Tw20M9q9CLjRIOvJCl TTBTRzOjm6itmdimaHldnai5aeNoBOVdWx+nivJ8szaO7EqDzgGNNJt+lN5xdA19uRNJO2FUyZQ3 ShbE/dZpf43OXuyyBwQe3gpsDHdiECDmwzCdd1sndf/GNSxatkdrHNFoQh/NXK/f0lB8Iu3Jav8U SAo73ikwtCtxq2edFlZOeUT4jVIK3fXeyQCIFl8EBky0Qq+I2HP9e1q8/1NhEAtbBCMRIJkvRoyH 1mc2+EhbWBtC0Ok7+/p4IzYakz16kRdPrZj2YX2e+Q4EO9rLs65DF2qeyc8OTZ0oMO7s7+OSOlQe lm50NNUwj+2Sb50yjq/xDdIDy/HzBd0qjkq+mIZKtfHihUeDuM2h4jtb8qZwoBDIn5pfhC87ssaH PiB0+WsqWPc3lTox4+j9BxpTtNilN0fOlgqQCsWzaShd9SjKlcuA8Dv6BhGvErpbnuzeg8JHDce2 jEqz5nFBQc4lf+HTp7wg1wy2ZW8L1+IrIDEPis+iJBvxTIv5oZ/zSmvuuPXcm6E3fxe6udl/yvDt BK7A+AgQX7xh5DCmGs0xQPw7dGhIiRhpo5K9gMf0EioXN+1kxhGum0C0rp5ChbNYidtwI0B0DRUk mMX7t72uOXgDQhZDwFYBPGZbuBidmTI+ICveinZtJc7wQn3ETibcvAH8O10P5K8FAQucA7IouKYF EJLqtH2DGBoNBXGyk1SIoOw4CwgRQu5rCLB0/bawEMZ3bEaDjSjdgsOeHXwbv7fa41j6Ve3x7udF 6diI1ZxmNHPctfaQp/qYANbANqPHVNGbSTMJIcbyZe+X5EGjwwO/JxuHXy8+J9GSO6FPuK1em9Ii 0gNdO/lbGhYmO+NKZBCGo0S3YybOQ+1gEkRkQdtkJLBbfkd2OCIi4xUwZjBezYbiZpbNW4zGoYQz 7K/vC+gZ0BXB9AKGp5IQiarESLUZat3ySkT29ZRjRQqtj38gu5tH+AL1ZPyYNwsWE/GI8M1i9qV4 6cKlnQI4lZR0c+HURW0CX3Fg/W0cxn99irH9ywm7ry8q4zTBBhKY/hmlv6kD2m3i8tM0/uYd34Ts UioUW01aurvUfHfx8LlbUC+wm+eOmvnITWkUPvHRBzZusTPKrU5V8ISsjLlTBLmSkFMWu/KjQ6vV Ef1zrhTOS7x+XS0AOtyUTrWvi5yKPHF3Q+IFNX7okK+FaPEkDpf6gecfgslB4R8xdZe2VHNLQjAH FRGlimgkGHVvSPwX+X8/Tf/FhFfGB6go/Wdrgv5ZEzIbphH4zWoi55pPyblNQsGYzmQ44C6FaIda 6MsJ71ttobd5r8b8MqQGLu5yEEBq5EdU6xfzu3OY3wbbM2MvRZ/Y3Kv/Z/FANRh43p3LB19QXq3U hWpd7MGPb8wE/sCfQRGaP2lCj4uTibfnBLc+oRx9Lmk/l9EInhpIZ2B0mBFr1B7izqX+1wbz9qUQ 8Svql3GDrA5cwID5TfwsrgOq+06KLQKbAk+oM7o9h7ii9bVcBQ48D1A1IwIzTthIhPBRxVugKQQ8 JYko4tpxoRbSrWrxDlm5dA/iHSFMKmmklZpMYIAtLXi84FqViujw2x0XPu9YyXgM7Dk63fGHj5xr kFleY6V3BoObomdf3BRw984qKdral6bM8FuA/AdumYy6R9g+/tmMRyt1ICpPjCDc9qFmALnJw0aO JI2GZOTPqpv6kd9oLJ+uRlf/0vzeKnnGbbAseaG6TlZQDnK3ujHzrdqb4BJuJqXSUNLraX7ui5v5 f52ZG863EfICIpJ7YrRUU4wT9PUFR56ztOfyRltxddjIIKbntF0HndW5DgKdUiXr+i/fWtdtRArV tZMa9ceR18P0yQdLWYjZDCP83vDlwsJDlTB0prYswOwagFVX+PFn4ZKXAa0pCW7BJ232PAxXCEvb nwY/CL7MlBSz1WhRHFHNJrFg9zFt8IoOCb7fn5J8TboH2bQEZbEij+0nFk6Y4A+cd3V+9xmNP4mc 3DRMr7myA1EVkmx6MqJHmMAdeq+/clViJpX/dCQNpgiK7DNUw067v9aqrT16PtyTrujbJ2B0ijbk Kpc/D+w5eGyhwSMC/Wxn0kmbAChou1LSa+M9ONWWigI8nH8yniRw2UihRZA6C+0Y4vvJfIcahZTS U8s31Ijcu1YZEFZOryXLGcpVsWbo0iMGufOfa/JX/rAUtF3X6ZFIKi7gfeXEBzI1s8T/0X+Mj1qB mNKGf2vs5vn3ePbM8FqGT4Gf+Mjc9tLbRmiGwUAIklb3ggY6U28I5tBREBGdKicyh25GW54wUJe2 Fyfm77lGh1S2aYnKtYp//v4UGU5dTRM2ewC9WBpx28M300b7Hc8TuGOdVvI6yQj7ywT6R0v6ZznO 0WFFsEh1A6cAZ4cOWHAPPwrI8UcvhWoNXT/up8EQDz8FBau+Nx/QYymDSb/55+5nct4j9g6/sghY VITRuZgmMQBGiNfoGnGETV7TXckY6wOq+6h8jaUSWjFWHs/epA+fqKSAtbOO/E8B/0ZUaCn8S6Z6 bN9ibbLbZ/FftIQgfWgBWy1hEebJmMuG700gbEma6VcG2A+j4f2GQX2MGnhh/2DQBlHekGBTwmKG 3H1sFDyDaqOPfBub0/enSe7O7njFgWhDu5ITYjvBcgoCni/dbKI81y+haE2J9fWJ/8VEpBbTZVtP jfe2HS3jWZe+6ucHC5Y5++s8EDnI30UHgWp4sDooit+Cr+YDYl0OWjCfvkVTKwW3bWrVX4wDycqC 9TlM/7BHtLHYfLO/yh68VfL7blKTqrgVJx8NUTFR8uGgxopv9RWefXBnkz+SOYp4BkxV5kcqOJC+ cbmvwMWuUrccbhNXQX3nVY2T19i1c6A+Xa2Nea34e+I5/6mSE87AWzTy5JICexdWS/Q0b0P04NPT QEZyDoda+4Hez4elZcoyJhkkBBlB29fS9MSChNF/fXvhHTf8sxoco79G7/Lc1TQf5SWtik7fwtCk SyXRG7AZJ9kH/4EURA27P7kxu36E+ZppoIvoCAnqCQdhS/EtHMGO8GmJqtT8lhi2rzyQaEmcLwGn //3oKYisleMFQ1qceb9yr+WZ97RlzFWzPBI2W1p2BMwBgSruPWFCFbToNiiGLFA2OyfHXyhTGN80 +CmtCEtDuFsbLOtlG32F+5OlS9i4lXd84BsZ21yLs9LzftsHsKJ+qyTrbi6hGnflqkR9H58pKoUA UcBnUeNTB10QNKO3d3etqQPkuSJplBMkn9/Vns9llobyHHaSTzepn7tPlmoS3xKwDWQEg21SOkhs GgyerjSkGaAR98h//qzMLM9SpAuADhGsjLt6JtiD73FCfrINBRa+seWldURM7wY4reH0MpzUIr+9 VZzl62ldymNwF9GIpzTb2bXLRtTxS1zE3ofThhjlwNK750y8BHr4E7HQM8GPc6rB8vnof375XRL/ nExkzzvBytAnWgyRl+oPeT6BKjwyFqf/vcitTvR4oWD7mqcfrDPLKduATWKppHkbleG9nIJVz+GA IGpQqQqCBPVUouzzTlNhZd0U6+xmcd5vHrLxyUSaap78QdoCecgYUVjDGQgtDl88pwIVX/8eE831 pxiEiFwHIvhQtwjd8upBqiM4PEorKn3gvvRwxRcxLa1qiQkJXi/rcoZlx48f/Y5OnjeuXDfZoaFt w67NFEuhhUkL88w/yo89puD1wHZkfmWkXxrQdFLfAM3jrQbetyobfFjAjIHXOP3QEtSssTfNDUsl 88OB67f50LC7ZMSsZfehJSR+bFYGGQ5vUGUAxEouP8qtxOiG71NgxsiO9C5l6zLXxiKNUjhqyB3H 7/63vqUsJ3eTfTTsmXeoT0gqFXKbbnnxrFBe4q4vgfSKryTugUq/I8yj4lBE81ZBgVoz3TcQa+ac CGDUK0p8Qty5/rk2dT9KHd6c1DSxhrVzQJlzFjyXj1jBuUo9LAJfrlVk5PkWsE4cuee6YYh+Ha9D nrXlZWK+zIKz4uOiQjO1xl8osZWClgF9x4PUKwn4cvenugPxawYbJ1QqOg7Z/gNvptSWupaqA8lX eUk6A7Z+QxQhNB60KpKit/cFTEn7xYWGAvMqwB8mh0H/7B4jUaVufJuMU0MltptVPLSmKPj529q+ 6pELQoLarVukg20BKzUAcgz4zO66Z07FHTjs2Cati8BKEk4dwloreuPcHIP2Qs+C9CTg13EdFLGm M/6JQ3BEoTmAc32qYOnNmF8vCwfgwr2MsjE+IJJz3EYwW2rL5l75C+I4HfRCikaA+mRjH1fLIvEy 1gcG/LGlpsbSIRES2drFy5ghqg6RXPEfXhLIrvwAxjJmwoEdAOHDqrkEB2oxpRzMlZDmN+SZxvkk XRMrCiztip2thnS0qBSNd11H54+51JQ9I7Gax1aVenRbhcJkZjRtAzz5rs+Q8B3CY7zW/UFUinLu 2/YVF3rW9p9kdVN0O8LmHuyWRoCiPww0oJPjOO5m4uNx/hKsqYYzY+mqznQceugA7RwdUC1uj+v/ zHghf1ZqYtOQyQPYdPsxdWb95DbXf8pIoCUTFBAsoXRl6bhibioSLHD7/HTmETpJWtQ2FxpR6eKO ciwh10QNwVbmxQ2KAu3bg0gf4O9nwYqz/zTM2H5FW8EEuAd4jsUH8vBM8/lZylLwLhHU2/20fcQ/ cvLnlFjUOJzZ/ihNfT/FUgnFN1UYsju4G1+MW82T0POmS9N9KFtyi2ko5jQmdhMbIzyGx8u69Rfs kVyZ3RX5U/+SRZgG18AYm9MMl45J4oLwSHKU/79GnEIWw/aWNUMS9KwrPw64IXYDfswgaD/NrtYj COCvGwVqoSWq7/SmmgP8i8S0QLO1OYjJxZLYyg5GtpydJ3ChuWl+CIFUIIzugVAiolnv/AiPsgI1 BhXV562K08k+F229AXudwszSRg5gJBRuDvvtDAe1TfAFnCy/T+U6rRXSimuV7F3mPN/JwYg2FeRk vkESySHdMYdexipcPSOsdX/ic8ZLq6Jis4QfyFa+0+v1yvk3ClcPs1r0WoqF/yp99Vg7grI9jLOy D6NWg1xFStDDHbtFaZpdWFz3C4BgKMV0eSnX/ArgW1/qgVtQqnGHGONmuHxHl46Ayw3BW20VNDHv VD+kuzeSumSiT2VaAzE+EzcF3xItQTtDGw9Vd4fs0cDuAYjcrgN0hnSz/Ov7dBnAmEiKLOIMP73O fOIC1cXUSh7YIzsM/ZJ+kgtX6sb7kQ7IW0enqMWiBtvTUuRUIEKUJ1poMY4wS0VFXtOyRIr8vF7/ n/xH65aCODr367tIULJnJLSfjKDZGolxvf0+bb/ktnJ+HyvAzxadZzdaY7C5K989Kn0V6vuPTDFD 8cd2GopUqcBgeHuSY3oe2wHSeT2+YImHVy6ByF1L2p+5lz8fNDPF3WyXkuHlNXgWdnqTfytI5pRy TWN0jUk1A6n262vFNMGEDkE7Nab2K/S/9GGxV3wQk+3CE8KBea4eLJTSChhBMO3UBgwNzg+LttLr IMcHvU68BVLlLJf0OzwcGhNSQF4PA2VkMUQyQ9s/NQrJGCar4F+Icq8rgKJoYFMoqOKJGpg+g22s TaXXAv7TN23eYK3A3EZ6KF28OUJcG0zqHIxJbA1ZbXjXBWq1snd4QF6k+iyl7XMyGRRQi8iRNvqy kKkpU0kdXxbNTkFThl3GXFS3u9NjNCA4dmvj3Sg9o/hcS9G/p/IPj1BvqxgPVYJQZgtlEmO8pP46 S1ZRcHuJbpjnIxCvdxY1Nn+1rAV27Nj+NDQGOVLMPDrV4yK8S0hJuekQ8KgSNpRucIdv+o630ybh ZJPBg2m9FdyiUv0O5LR4zjhgIefPqs5KcKe0ikL7PP/E4GwBlkdgVhKp5jCFL5WH503tWOKqiWaz C9yRm4fyyYof2QOTNW/8HHn3VxsJZPoHqT4QUghYFB+pYIFqw17ZiJYHvk+bEkCg9/yf6A/x5IgM ls85OwPBMynP14CLyU2ok2gohBLpg0kMJYd52fgdtNUx+yISOac0Q5NLqTQx+j4zRtZgxWxV4hAw R2sdvKCLG8CM+sPwm8HydWds4emybc/pvorJwwXpoJn2ypKHBI4GZwhduxh84BmcaIMkfuK1srJn FlpEcGqsvAaJm23x1t2LYRcUEi8tfyd9LHYvp/Ovag7ZuY7Ivp/oJutUj8tdPr9ITuf3jJxYy7hs qFMuizzLd4YVITXjfCe/BTLf1kH7ymxTfqfiYy67DLiDE86jUztwkcYoFFs9q2hD0l+XxIZ3BzWi ZsruCDl50rMvl8ym0cG0SLEXHJqK5kGeTS9FQiIwfXTmLsInhoC4RZph+yWp1b1wb6Rf7uQQh88P kj2L2fWWQ1NxHP202OlScr/vsyv/cjGoFw874ZQN8vlnQv83usRGxD2DB6ltUHn+AmEMjuDgh/92 BqfNoWK+WGPCiwFKmCCH2mf22DYSy96Bu7fxAL5PL1Ka6rPFbfGFgI7sCugkqbOX95yURJJBHa63 tfzaaE6cjLoZNlJUR7CgkCusXZkfneZrMKxx62VvsccMwY8f1YWGsDxTEf5CP8en4M8uEPkw7NpJ YfzZ2RiUIEJIk8J7KUxKy5qvGF5Btd7GKS3InGbpw1N3y/GKfex5VUEHKQggyx+a7MdGncmcwfuf u7HL/XzI2NPxjGiG6JGot10XdnQ7/pxTo/px60Ry+mEEzvX1M+IMiDCXpWOOktGQ/7z6weHiDIuM w5AdUisg9NpqBo5PR67lxJF4HeW9tGfEUK5Cyv/O8G7s/ktZ+iQQ454ByeIaRQW53/L00O3/dpBZ RnjexuVcq5nj/9pQ7Eq3L2aIcEtG7YdxFZD/6IX3+yAfCLo7nc8izIzyjC4ixQxWfNtQPh5TD4+c Zt8X8q94Saerxu9qhAcmfsOVhLem+9EG9TD3OoUhM1H5R8PybbG1dnIsrdCEnoJQERb2SgKRYMIU wpUpOrA6401iS+sc4CkzLemGtEV/yKJq5DgVeu0XK88sATPXK4JkruKCKaWeioBTxN10jALcORru IGLMVmztE25zC3egsBK51nQIymAqS3WxnA8CfQj4BlEHFwanoaQ5nJ1oAunkwBAd8QUcVTcmYtLi F7HDePVRk0TWOvSUbRGtch53Zkp2hAAzeJvo/spSRbvpSXuZQWQ2uUOcFnk/oMFaznc6ghKL5+8S wlk7+xDZOnvLTj6nI81qPjN2yGdP+R0ISBvPxOIOd4ZarypgRYZTH1mTZsQzKpOe/TjwqZ8QgFNF B1wlXTbJc/7pR9o78RQFGjdWXHAWebrrh0JN3C4fdyD3Ij7cRE3hNUCCLJd7spuycE4v6GIkB5pj 0pRofNoHGpP0CuPHleDwtJG8LSZEzFFsoG78IHgMR3ZifTHlyT9R1eviDalh0lm2BzbZdix1Hhih kllAWhNlcJwCbbH/nxGaehu3fS1NyLLEO/Pxmqs97iapiycRKdmKqFsEx1O+RmiHgjMo7qqGb681 A+btN+LCrsMy6LsHl1pvzgMzqkMSNu5xTK/0+PpGL3xJU7rsAMtJWoMV3Hy5aWnM3FXVLG146pGz rMOVIVSeOwimnMSUu/omlX1QiHOnTsCVp+fb+C4NxOd6UNBg4Y+ZcHR7GzVzSqSufm+wmzKF/8Pf FzYZW/4JDoZmluSUYf/cFjpg6hJPXHTbxb9CMZU7u2rncAJamntNV4S/kUWAd4G6Hbb5PS4gIxJB p7ZXOrUROK8Bn46672NKRjIfke1SFREam/7eyjfQRAbDlTfy0Xuj7El5MUwuhDs2tLz9WRsefcy+ 98et+l6DboftGpojK9ILudcG4hVsn/Ar/gMnCjaV7nfR5WYn5rxsCzI4TLH226Zn2kDx0x3tTEuG KKmmVVJiYfqbnefMNjag3t5zN/Zz/Z+EhqKBpDlRsO2/mSVe1XDUOdeea6JCnEAZslo5j6e2EzIm SxMTk3C2K/48mteI2JWKis+cJAjDa6QSTNTs4PTVzicwHvWEDuXXiMKCi34G0L76wjAGvAAkOPNK 5zk6mAZvNSBzv5ZppqoOKYCwQTec72JGf+eeyRyvxMw+sAgOuVXHmXqTcEetECoDb/Csxvzz3Alz 4BaDQaW2C3VG6UVn4CqXDHsiCIgUQCGj58oqDxX6vH38G8ZkrnxwohhkgjPPiej/KAtLASktf0l3 eIpA+NNlhNtQRJEOG2l35zL+8puZhD5sSPCVTdfsoLofGUS9WKpuxAS8GDsF2oB8xI3Whdq8J6pk J2BTGoNbKPRDeqR5SklzrFB5JY7xXnncVe10++xgKBKyc7G78/CMJl4El0sZ+rwVSvKI1rDSFbae peCumTMAX0dEr4jG24ZepmUMdr7ES1ysaaI4strYs+xvn6SQKo+anpPzLg7zIW1hK4tDecnvC58R yG5s7LdeOwsKWcHzF6MT3swfwxHi3zcqaAn9BMDFUH3ty2rWb6tlMIDc2UJnO0/i6SBRvZHPKv8L vIRu5t/SkaQleL70tLNkb8m6ZxQyqAUeGTZdAKcT9sUtQabzx4cNuVXCSkPgAB6NqPJ3HRMk2Ru7 2p1c5MdNbITp+XRTzt85dqs3SHEnlJLTfB2hyQLeQlN2+YrNGqCLhX55Cm1zvZA1m970qfF6bb0v 4T4wOT/Sx5K/g7HrFeiOECgPld3XgVfprOk8rBo+LSdKNfuln/KL/Qwe/4HfkdLcMSQvUBPzqfdi wlXyVrJhi9IyxiA7097YAsZ+d1GXKxXDJL3cTeEeIArAcu7nZm2xeaN5AgIqIZIQKN+RbH00T+ck F2SEyS9KWFurDsYY5lGjmnPSaaijsXm3PZqaAQjsf62QrGP8NfufUYx+nCT45AIqXe2Gv+Kalbfq aX5s6Bg166rPpB9DsEmMRFnTQSfreDccl6zmtm1obN8WWdUgs+m5fWTgeYN+IZajHGVu/tpNqJid 5NW8cZ+E+/+nHRdAn0x6Hbg9Czy8a0o/b/qIq60Zgznzb0L9o4hbjdOICBn2P4hS+rfJn8p/w8ai swGLtq3RMsM4On3lJIVIXE0XPYj2kI9C6zMzioxON2ENEoxGOt+X8r5utpg1qH/rx1mfNLVote9q izLnQLg+v8/lxQ6rioD6D7pGUIfBq+Utetw8hYLtcDndxcD+EnFb9TRFPwFDycjJln7pNfi3zAKA i4FYRb/dDUXZP1kQwrq7sWwkZzDYMzEFvDlIli+HXZWe5C5S4ejyRAFeC/pO5Bh1Gk7ZlROGSJKu 5cPrzL8oNWvfy8Aay4e+is9smh6XpfOHrxWFxli6aC5fI/A32UHRo1i/eJ3+ZJi/S32Q5t6dZYko qAX1w6r2AH8SIX4iNE6Q3rAJkLgo+N//ob542sN253J/78fMSWCEE2dA8Q85dHvlA+ZPdWCXhh6A kAow8v60LvsL0WnCEuSdDqP2wvIQTTpPyroEb6d0JhUoCz/lXrcx6PClhQx9Tb24cozJ5WLsMuu1 6zrzRAWPnbFaFCkkKawzrWEbeAqYMhvGD+quBqjcM34aMNFGBcIovKUn6HzBeJ+NF4EIYzM3cep0 El+Ohlmr/qNIx2pBD1ox/MlgGU4FH9JirTzvKWoIDalvMQrmmTN7ffVtikgMXGCOzr4HOxKkmJfR wJTXoTSAWM6qEmyWv0pramDFlUrXHrGGsANtybV3a///6fjYMYQiN9ZC9x5JvDNkzytu1Od1Fnwm 6/g8jwHSSbei+DvbryBr70O7CljYbZafKNZvdVLxivV0NC/yMj1AuAq0IdAbSVjHtd1zSEITpHzV +n6r8A+HxTx5qcNV1ZmoLaL5+8lwVPA01UvodB9lxbSTscIZI4Howk1NBuhLYo/jh9Lx/EviWrLP ZDq/Gc2JTHhxRH/ZKamGRTs8RvEbeBr0E4zvvYHEhn6ClBTUD6VuWGdaxwcXRzqdzIQ/rrhmHo50 Wbegxw4KbPT38ICQbwn5a3U1C2UOYH2ahMyTdEt61r0lyrXCdAveWGXg6d0muVoCgz46o3+IMNvM aRw69RdW1qYKfdL4VCaRRvIddreTDwVrowZoks04nZG4zXWhSgP+nvlCcvkyyw9dIeWXW2ereOln 9BO9v51UwOrvzE7HhhX2ODTrJdy0nzfcsXb8PnexAv5V2rVYap6CIE8AlffW6lbMPCcyt+iYH9/5 Sp7wJlskKnd1GvM7U5TGiMMjApBSqJPu1UBEZ36XZAy25Z5JpiZ4dL7ye6cEvt6Es3FH/q89Bo6Q Z8NJctg4uHVz0IPBhhjKc1gRCf7Qk5u01tdQJMoCXCNjW/mwHV+LqKv9hgEHND9ZMCqyNpRbW+ZD d/F2yAodsXkFwZY9TMJlAx0JibVwpOQQ1kybMGK/i/FD5JrNw6GKjxo31rZlBalpNMyopYL+XvVb wFlh6eERLjPXIPmnCFkq1kqawhHe8ns8R3k9cgq131tbrYlpfr6u6CH566LDe6UtZEIKFhV4PsR/ 7ZbCp4QBjpMFZY04oltVdtrhi9DHw70Hht7vLjAJFuvpMjZOhwElF+zHj1gvY/8Z+vUmjPLzt4rS Axs7z2uC3LNqkqCW7PRwE58P5N0smkRGrqdRjCVgPEgGQG4HWS2VpnlU4BoSRmgsfcVbPjPh/DGi B3eL9y3SkDKx3wAkkc63qHSffudTAF3vkGjfKCkYL+KfHLwy9I5XTfvRrEFce3YR7QYxocGcVv6V zl1cALNe+VIGnvnL0H0sJ5tmtwy9Z6BAb0Mq0RBc4MSR46hx1srxUFEwcNDf6bn6zaNkZ2UrhZYg 87VcBzNFl6rawt8rdM4JrSEzO2s02mWPmksYE5pyDZDdcRSZfA5jRZLIKXLrjmstIrIZ29Tw3Cu6 hRP4xWoUErmUQxG952U6JDa8WqcbvJRWpgMt/Q7upaV4QLrRTIKNE26qoZEb+cBov188MjiKo6sL M4g/Q9LRmN8Dtbti12i8dYXa/GKxQKOvWGtZ1k9ENwnjWK3x6qU8tOFpibCLcyCwnOZpHxtYHry/ ynZZbYK/qyP1XSGjfbKPSJmNT6zNGSdkBIIqNNjRJDy+cYLpqM5mGxPwV6PTWsbxKtNowNs3YanQ qqiANjHEL07K2TkUtZB53fAjn6rZxqaLkAyfpeif9bh5MX46mcR9wL35J1XpAA05kFcDuJk6ZbYh wlZHqL3XR1ID9sWmqSnMrqq2r1TTodFJ4OeTc6W0/3tdAboV5DgI4HdS5c07/R4g9gjEVSBAzvYE JW/L4Tas3ZlkfCOksFUXf5bkxLMqCYj7IbyhilSnKkIp23LG980NBJP+hLKmo4Qa5OQcGTiMQZAS 3P0o6wuzgGrhCtb7+QrGcBx2cX55ybpOjTz6492OdwXzvXoN/5HAE+DYFJb2E7vEiQxWtB6+REqZ ANvH0PhxFGBvierJaYaa5NyMkLuovhfafgaSCOR9v7RgccsJJCQsgkDF2XL6X9RuSugX5QmAqeL+ RbQYUENhluSsUgFHJ9+KXwvj1JduNj5uje/YTPDFGnYAWAlGlJfEQVpS2xukDWJtyHmD/Dp+sACa btZvIQz0A7MNRpCdEq/yS20UwIW0qpCL/rZ0urJ/4q60wfPSqLUEuverIbAC/34CGERZyQurdwlm 2692Omn/dwVrlA3FGRND+g+UA+xbDOnAs0EARFiMGayujlciGsHYz0/Crs8fY+1bcnLduqQw8RtQ rM3P1zZSN5flSAzmLwcJMEfSU5Ullr1J2aIT6xYt4SSb0iJx6eslZLIh01qlr9KrRlCnTAVZPs86 PGaLgHOEkHlzKoW+eIRVNL0JKIqngn3lvG8id68WBtB2CdxAX+V8cioT8oIZZ24Y3dZwaimfFGcT qA8BvsCDxAFx/lfwRYI18lNPOp+KBa7AinC8k5lml7dNTw15PfU7n2HDQmJiOXcg36YR2TNjmdPd BH9BUy+aECbvXAtRq9pJxG6cUDqoC0YHwoUC35KuIskDOA0PzKrvOJOCwyhIHP3uITlQ1BU/wr1G tFBq8qKh9aqJ1T64FePxv16s6uw5cf7LlrRqxSwhYY5wPQzcK+UF6Hkqs/BrVK58m3ggynynhKvl aLkruoLLHPsuLKdhApwocfQY0cyOv4rA0DY1GOcb2jjmOB/r97oNrYq96u3jZcBvMHfa7X7lymMs edaT6szq7EMNZbmZ2BzZrhhtcrKzIOKGFljsrNjoreyLYM+c+ydOWxyBO0jW5iDawPP3INqrhJv2 tpYx8/7gNb8zaxIEBuJoJwHM3Gq4FkFRI+4JydWuNkGfQ7F/TA/RNyIOaQqvVMQrjwd0hphoSapz WonXdA9y0DKi8H6zuON7a12Nc600Ct+2fiKFOj07uanfAEcEmwerE3tVXwCrHQPZHy4/zv1vzPyh JqjKAmCsWPzmGEeho80Sot6pR2ejWcI9mfzoDBxcJ1JFBs/GT+h2DAMQlsRCUYC8f+c16rHkmSJU Gy49U0HTeY0xXoBW2DKpCdiWCvon7dL8gxbGeQa+zASrOxVA53t2kZKTW0E045F/Z5//+d8W7r8u uv5SDrYV1Yx19yapzvIHpPJAj/vAnQ4KrUqukEwbI/avojL+J3Szfcq17XxpK6TXMBd/gy0ZQUX7 0u/qd3zblkNrU+zcQWofPE+la1LnsoboNk/Z7FAzSOQiv55qOoz8PPasSO3bDk+UEmU11PE0/99T B42PQYwLZVfJti5LUw1j71qE2H8Gj1TzS/86jhy+Nb2pMaG+aj5h3IB+nOaFgmL9nhXDr4qGOpOv 787V8sY6yMjWu+I8kPE+9hyZu6tM8YkQXoKcmdmtmwwAIO+K+rzhvK+ObAzavmVGM6Z3gZftnUsT bVzw82eoGqiXQ/7uC/nueOoFdVIHoqjTSP/yI1NoeGsxc1rYirZlKjJU0OTLYDwr4vFMP6s0X2/R Ag3KueRISZOQ5RJLAJQM8Beav7ir7cem+2N155xK/CpB2tjqPMck3CuzoPmk20KbK9yFdm73gRvL 85GG3uC2i6CvddWVu2gciXNMbcEy5NDnb/t5p8p5Xk4vF9sPcSHfv/V4bmyV0rp/AFypmt/xs69o hs2/Uv09y6SbOjlMMUXDPIq2NNf61iADHrBVzifEp5ITzdOmNUNJW287vm9zlQFIAp7nYOv0xhJj bhua4za+QR+vFFtfxX46OsR6HjO9CYZpkfHinrfiOajaTHdYf/2GzjjU9rt+RaMKJr8BbF9OneDq swC/OqtxN+Bu+p8hI1Sto4itmrGW9ybBZUbMWwIN5Uf59kLjX1NFFvA3UuMwWH2m6XDAEOpy258w Qigl+tNWQA9A+q3Wl9ZwsteBrzrVoJ6gKb9kP8RvUAk9ocVOBBjYrmDeJkCaQbRy5odDbDBaDuhu X9BDqO3e7mr48uNT3EC1FzLyLdUZ3uSpZYyPMscEd9HEVpYjqvBT9ywyK/SL2aa5fc2dFK33aZs3 NWW7aFkGWqWgi4+08QmvF4gXF8FG7ogRAKdSBGryar0wRyrOC4BVxtjmXLh20OzTYi6c6F2b6w8i X0Ns1JtiWhSsHhaMs5X0wsZ8WwLa4Wl7oXwEfWaIsW/w+luQ8I3l8Nv4laHbT1nhZNpoEwuETrJs yMbt2ntm5Wod9k480ayOdt5uOXvhdEcaMaasEJGbUg+NmLUT4Z21dSPSDyCdWRBdpjWRX41sptt4 kqWGmsqv9Ehr4NnF91G2aQnU+RAPR4ttg+rAqeCftRawAK033523XDpXAZG/Wptq7KhDAxH7yKcT pFhLc1d4Q2C2oD4SZLNw/3dvM+X6Up35HnUW8i9agqE0+A96qbP2IEAnwJaLN0lVEN80aDAMuR/4 3rHnk2U0/xuzwR5s99WX6PEE3ISDsR6HY5P4xY6m2npoMKaqQQfwEz8xSBAyZie7iyiYhpvLzgun VBmeWgyyDpnGnDs2t2H5hWdIHHtV6XL1Rh2FdkRtyUhclyiBGQ0z9MTmRcIAbU7lNG14NgcpOLHI B7ajTFMvp6qN4zN6QrUUsi/haswgXW/XXHAzpbDOvPHpBUGumvTDyaINVObauKRGDzVdOe4TFSPB 1DAvmY6WQn/msGMvFQxNSnxyqxGCJUCMMIuYd51X392TrYUlZejiGQ0ynRQwx8V9ut/U0HOaBMoM 7uoSFBPt/E9APxUIbiT5pMZkpL/MENionXyLkcT4+wIIbIuywsnKi6d6dZLaZpmOF4py+9BqMB6f WuZvFlxszeakKEZXbBJ6s05U2qNer54pdGNC2ickmhRtZkFuEFeUHDeEhXNbpb7g6iFV/lysmyRR 093Xaqkm3zuXiZaIzDnGo1ZUflDpB6Y5iN6fiXXfNTrfszJK77IGBLcYbhrUyGv7jC9E1YpmXr+9 tgcBPbIJLIgahaOksf6eSOwpITIxvX2/zJi+TZWByBMOtFakkIeRtOEQ0bIVMYSludJVPBVOU8kC cC7z5BW7gps7dKTEfLwoh9EjsfXKe8Uw9JH53l3s018dTfqi/v6cmKs/fgCroklJrsYa97HYPZ2S IrHFFCq7TSkO/nWKUGKlgeJyioD6ClpJKyYXw4ZJ3qtl7H5Ex3jru/4mbxzAlTcpk8dlPA+c4zlc g3/tg9EOkfHBvGNe9P+ETNsx4LKWl+w0dOk35TBTVZ79xf0pb9MUFRy53f424wL4Hf/g26c+ATt+ 2qG4DXrWzDSPGv0EfpQmfo+HBUL0p5I2DH4AhWle0Mbp7yS7d7APyLpvvt8LCrUN/py2Z8Yy8gaK CbJ/pEDsKlwhpSFlUnYNiSJGMhBosFha9bGXfa+77K5kCC34lYq3fUW7KFB7xJmO+m5Qk7Feck8B 9iN17B5RTGwNtZJl3pL3B60N/eY78B8PgXKZhJvdF9fs6vUdWXSC0vVAFQI1bhn8vgq9icN9AsCY KIPhqp8nyy6WDJcdF4BF/ZhyHZ36sbM/CXlAbnOTxKvXqkRxAbF3VZXZ6ulH939VaNd5etGVK7a9 BkhBlbNjhtD5sqmI2l5eE4ceaeffl19mi50WZALe26jxxwGqxf5CWJgfkrycTiMNG917X4shb7oG aXXa8prpTMFT/zVfMBxnHMPVboGt1I/caXUbG7KxpvO+wwshi2j0SVtrJXr7w5wuwbrd0MeGHwC9 BakrdpC0p59tW5/quRJqJzPwczxfjHQHRa5tL37p0a8IjJjmnGhCk+ecPXe3Qjs1p5qvf8ZYYb7U +yH+Wn6RHyKeVBzPjVcdpTEq2hPyRh4chfhoS82OKv8gdk4yGtYO53NPBkVTyb5saev1apQOPy1W W+nHTVg3dyKOU3DZ/Wwe9nwWigmeaBK4knC3PzuzCSzw/m/ufKg6INuiCrOvcs27rfe31PTZpjeU pv1e4PS8HCVKbGo5in210PTset36IjfbHKTvJKLKEkURrYQW9DJqOdwI2ilbZdCoNxDenHKvs7rE Sf4xTdVHnjWZN4iCCts8avg3vyOzqKdFOYsYmtwE+4KMMU3I4BepKLopw69YJCkuez+AAggp0aXl pw6l6I/0SU/oDDXkzjjqMaJddnTXC5ZEv7YiHkmuaAfXxzuAEcE4VVu1WTZRdkHf/gpqVeAQpTtu FHl83End/KvMcRYuX1kzgLtcjlMKzno0bl59kzS/bxWNiwSugSqiKQ2WLkK/VUs88t6wZgebV9vY Vb8uULTzFbdmetYDbH2V/KfJY65yUmgGqdB8OPbCVxyMt3WxiPQKdX48ABTKtloGaGz4tp81sPSx iz9G41wNV/BZ5UB8efyUoaXVaakVyHDfwlFZq8UXgmqDOH6wcCEjhgf738peH2xmMZ3jfTbp/Smo fKx0NfJH0tEfdBM0Y+WOdzOqR/aJ6A4sgKWNBuGBeT/YZy6TzEZjBbOyR1ALoRbEw8p30EfDEM9x W2r+QLXJgUqPi7MMBVRxRGFrqIJLsOm0mC8f42BVQXy2hzm/De5L7+wUht8UB2WlX6iSnfZadh6v 4RwwGnr7ow8IgyNR8Ks6WDYLmGMau73M07oPo6Q2W/BsgW5+Z/HP9hnSylGuE+MqWRhMQVrdGePf qdW5lDGO9t57DL+qu6XJml6S9skOGHbqZElsMnCoJO9eOeMRP436PEFz7x4hmEwzOvTbHvIkLTzk TbZiG+fiGIrjeNfPg5uRCNI/Z83mjRUvrknpvVu14fG99yc9TuxExQxekf/MmPh1R5eYg3v8GJXs bNh/TQ4t5BJoWn2DsaCSxE7f7tNZwOe6AdJSMfZTBh04A7NDMhV0rbGeYS018dKAhYcLtQ8zlMWh C8Sq5z3JcYsb97hHfHxPx2fEzH7JxQOjn6f+wG2/gjVxpVifDOECx7eon4NAc5HP4lMCZQ6E6i3u eerMbkUrk7hK43YEZlvMG6l60Hfh6kEfxAffOBaapydI44llDdgUTiTKfDvjzu+aWM50rI8ffs5n kEU2HViP4t/uyidWHWX/0lIR655Xu2xfL+xpcXqVyYa9JKGQhFVqWVrVogjpuT4pmpNvq+ynmRyZ 0+tHZSPQ6PHjQSIbROzcGDnqfLTEbE0qhVHjcc8msIF9gZtSbArwOE2HsCzzNQflu0BxEi9if/Ef /KK/GDcID0Y1T6sCdOsrQL+qBMQpF3i7N6d8Ckn7a5BKJfr91YkCLlplJZXGsRx4AwVs0Dj1YOKn d/+sQx412t4uIdWnoU31GEZUKwFpjHSnzFKcMe7VIfYCmCg1VEE9SiKach6dFPU6nvLxyJUqleda RKFQ3y/HYGyH4f9GlTouTIac8fGIo6pg4SsDNI4nRw6Rj7a3YlWSsrjv/9aUhob34QIWHBzi9I3S uSKvcENMleYSrtaOWX7U9eCwAFFyhz3+hZzT9Jq4GvEJ4fxX1WcumpU0neuCqq9afbT5k9nOxVml KkrAPHDoGf3qo8npmPJQSSWxu8Wb+OklndfwELhNDBPelE3wGjyxQsh0nKaTeSdj8/Z1IZ1uwR8+ kCj/E4KjR4s+klMbnSoyYJgyt8Ap+grJ9dn3Z4v32z6Pga1tSrK+qhHBFLGGqVnZ89/3QXSns4mD lE1+rzU9GXqiaaQGPLOF4LQcM8rO/+J4doMMCM2htXHz0NDvF3YQqgfg4sT28l+FcqUUL0znkZXT zlbrCB2QBNYVpeao+Dp6qXLJrlCJ1EnHx02ArLwFOYbCQsxiDOv14KDDdptuhTDMUC0LfRBhtu6I v3BxKgciYTatq4448Wckp86wSCtgnO0vklsMXrzTBOpgmcRxvS1MHweql0UriBfsNsZpEvBLlbsy dvlPTwG5klo65fJWT3AJxUEJ8UhgM6vBKT452oeI4dd1ePrQDlT8+Gj0CRBxhP1ba1aS5cjIiVBx puLh1Frrf2/eoJyH9kvWNrKBbMcv1OPreonAMFI5Gr6VjUV1ya391toJt4HdZ095ewmJ34jjGOCN T1GrLTkxTa65nmK2HXeqiy4QT8UBkTrrRNtbXyIe3cNQ5xUduBMz9zfqz5hQxRdx24vNi6K2ZAOl GveuxE8/1i6OPg0mTAy57gk3rutEUEOFq2vm+nmR4TDsm9lAk1apKib3wKc4ML7y5nj99cips6vl 3KheJj6USepNdKKi9py7H/sqO8MgW95/RCc9e5ADmHq6ISibjWPE9w82jrHbXQAGsO9rVDzMYErv RLihN7yiwmWIgjug2bV/qUNPsPjoafZhj0rZ8OGVKcE4RKDg4uh6hIg2kCLo+TTFgegPzpkEaPxp rmY2FmYzAG3Eo/k3gcmyF39MfrTgzI2toQwkSUFr0nuPSJXqhG1o8PRX8aoNvdo7moxe7d5GjV/3 UF0ZVUyCigZ4QiTHEQ2LnA/VCW+UGb0nvLfduvrxeooJ+biqur7FdIQ4AAowJs2ZKtxCHjFfJFZ7 GPgC4JtMvS4myziFP3+me+HzJJ4KwZQ5D3m2xR6EhOL4YeqdA3XjA57GorfdB2bZNj0GzyqV6HZa 3w09qDXmGd26c/HTeJPW4JhuOrcPqBFmCgs3H7x3S/8X1PiGoahrV0SMuRRequO98eAZKUoq/zdx w/K1FujDvFWF10arjFmf91tgbPu6PEDR6atBjGStbVHejvkLXUsDsC0Hcwc7cD2gQMYoaICDrhi2 QtdGm6rfisICzNBLnXtdWhi3VPvTipCS9sPTZsTcW6hS4Dir8BgTGNYxQAL2bzFORkPWJ6qpcm/O unGhr/FFMzyeqGld22+C+/XFEZdtiNkrRwp3wOh1al/cvtBixTKufWdiuIGexjH+sCrJ4qwslOCM W2UadN1G71ryHkFq0imzIxo1y8PUON062ehEoMURGtlhLhEhb6pAkQzI6sJ5rf9R2wL5XW1L1L5U iN34yyO71Di/9qKhy4pVyorIzfs2v9MO8m8CZSbr/O0VXEP3MxuXpooYvRJ/1XIDiEkwMSf3FlRk RkarzZODUa85ZCRSG9vOZsglZzVbMsOika879JTr1XkFiJ3VbBUxylYYierDwu8h/l5MOA4wnIlT hQfe+YohBMuXcQYh6Pyw+WG7IDSO+KCcaHSrZ1bkz6INcblWPcIxuLdFrOk8Cu/9s5Jvt9nk9jeq z1NVe3eyESkZD+ICiobn/h8sBVxUL4ahvv7bLcgh7b2FAlKzCJfn4yVuuOw1mo7hpn6uDv0DajMF OYzc5LZhrbwuia1K4iOFavn3ezSp0eswDq1GEN4My75M6qWyuIE8oS7g4gZw7xOmYMs8kblXXah2 F1C/gU3fDSbMLPQ4yF1JK9r/3EzGo9dYmgZh0PxJIMIw1xIXENivo402PEeUkEinzvl+zmdp8vFL DGoDHvPvLcOVtFy6hxPxLhf0exyKJz3CekufXs5B1UTaavp462uz6TvL1pNto/QJNnI3VulTmKlb qugb/TRXXnRQg6GyWe0tKW10ROb/BbPHMdubB5DJ+cUDDoJHLr3HsDRiBGdKWaOY9OrveHhev5eN ZQDsoKFzlr7l5I4v5RYY5LQ6LtYKnEKTiF2k3r87kkn/edKqhGy1RlyfpCyBRfIWxUW6jGgtx8rT En7QhMW1HU7qJuKR+J2XWY6njKpJ6oFqS3YDzW12s6EkZ7ujWQFFZfWcp/a38w6p9mEh35ZthJxQ EXEDcv7Himz5JGmGpjs5a5ZstUnrYd8Kkpyw4ogjcVBYaaeyV9/Q98a3VN10YdyQNhJ1sIiBPsZd vJee5K2IOurMK19LTP5OXOvEFhyiPl0iwHskcE7ZdM6JLGwtSsilZAXaTeFQp/+aJJJfyAPV/otB RXTIJfWzjESvuNpU7S1j1qOO3bDiNeNDIAvejZSq0RhJ9uJBqXp5S086kHkUbjEDugQErQJ7YlHK pEVsocfl6MrzPvF9Q4h2b3KvPAhXXvSyvtxio0Uzr7r6o/q9FUvfOzAPo3mMi8oiUw1w+j2hIbw+ tlyzTj3oe1x62FgQK4VRYsLgOXu517Vh1t8NpQ+WnTVlDpGewYS+6nglvnwkQnyLMcEQ20PjIixR FaxP40NjT5ioyQy7bx6gAP749pNu4Ri9RnwfAIcfMJ3Esgp5Uf3fnrstI+8wg50D3vooxHzWMDN3 1RbGrth/uOGQppFFJccRySoLp/DC5wAaUUaeTwxCh2IYYsio0zE1kbs4xSf/kXPxb64N4yWRKIf7 jyCCVRnBcX1QRdlJmz3pJOjRK+b3Ytej8bxetTO6tAFvD8WrfMYLdQ9M5Td2iAnKCMtpa5kBwIWw 2JV9zZigIEj34p6xUWLoM4umDfhJxgg+dDsT1f5KoEYPZJBRRPdOSj+Xmjvhc3oGm+/tb2UmsC7J EhrFksFhto4eXXQo7nZL4M+xXOOScCFkxff4Fp0631BtLi5/R4JqT1ujDVhKE7bQTyGhwEL6ORCW 1hBdJRjAfrkfZi+v3Trt5wV6/yske9XJy6Ew3wVqPJE1ygdTnfjQ/QnX816O3UJf4CI1t05ACC3M LV90Gew1HbePj70db5citjv58IymDXuUENtdbMONOrztQXb7Ua+lUQpwQd80ok2iYlNGzEfCDs5a kMRuFwC++ukKSWMeonKgNVS5xkR5k089ZaKby+Wv7FygTY2m6XI7YfKqEjsxpYXSTwER83RV0LBr EuCDSEGZxCDS501tEo1WhCjBnIu3vu3aJD9+shB+Y2kh0+3CV5ndC3fJvd9TKXpGX5x5w4IqS843 ZB8HG1Hgn8GYVnWPtLJXAiWoVcpqFTBxYXRFqASCUTY4Z5mkjMOQf4lU7zeva+kr6lZrrm19AfO3 DpCgQ84wPGdRqwKomkm+T+Y3UGk8nk9LFUn+QNZ4bcW97wKrFZ9vE/dGP5HAHjcSiIffJxj/AUTI hv4ENLoYicaeWBiw+hXjJNY1Brqk56OOWvM2GxtkjsRqm9GpcFY0N6ohGf3cp2dcZKlUFpQ94Rfn gq7yet6QyVwLd3enSVzOFFmrfnVOttzS9Nv3NykDjp6JhIajlsufv/ZkvgZMXBP132Lu5zES16Y7 HI4YUvS8PwWsVRcGDL2H1W3/4aPFNR1KcyhLE2o0vS89nAUGw7zyyZHMP4VfRN/3gZQeeKm7N5DB XFqe+n9IqE9btxCUzCNmGH6eIDgCLuYSa9jW2E9hXFRsrUHzxR3DRz5X8v+ztdURhjEhwQMxqUHB Stqz8mH1VJkKBmXZwCkfVy8pbunYsKketyyNZJ/rSH6gnfqtqfYLpdTDBrobr0xs6VA92vOK/Lj/ 6tgYiyqsABnHXPVqKw4gD4oefqsAjLErnFTvDMZ+JZ1PmoJRCfBnHOGQxCVowUT8OaxJ3dDOaJLF 8VMEd9WzQ9N0fmUyMba+HJQJbMXoPDYrLgvveWpbe1v3VQ5pDr4pNOKWj3I+GZ04/BHAWnYKZ0b/ GGB/1JnWbpwuzY7aAV7H20Vqsw0GnRlTyUnzRAs+tuxVJw/lk/2FPUI73Ru0jivEAFwkmoDHPecd IUyu4WtVQZH46RHlPZ5pOhg5i364vhF6jZgC71u32bLIdqsPSTspIt8bCJo2eLdbsczjSBBje9fI 59vrYYPv3vyYkpkShYzsqDlersGuQy+0bT8Gn3gj5hFUsshf67KtxviXYoDSPQYneFvyxV33Z49G TkfFVKN6IrhFktp13/3DhsH0yxyQJ/V63WysIPu41I4pDtRZDuCnUk91tavNLiD9MhcZMlQwDp4u klSgHr85Yuye6yLgTIEpJjqieDBZNDdP4lhH4QaANQghZkkmb8EvKoOZNv6wSsgcvrOtrwvAP6ek spGSKhMbUWE2TxLHMFd3CQUcu2wzEqYLGXCLPis1zyzRx1Dzag3GGXVMolbd9JhdhuBsOWF6aw6y GL2fkQDShFcRg0yPMYJRSfQFz+CQCTEqnOPG1S2FHvyAEBi3rjnz1RjGXff2JN+PKUAHhShFecxj aeDMfKk2A6+6iSKZk1BRKL6GMoJ7XhVVmScU/W4HUGtoBWZUWHV27FmBdxt5Ix2C5R1t22H2LbAz hMOywpgeJ2YFzZn28hvs1k/g5TKpEfd2MImC9j9UK/XAEWARotfiF/JKW3ADu7zYqVPeclyaPttK 2yXbqkr2N2o64y7Si9XssV5n2CIfi2uiPkPSmY9V8vBwAXjFEoUBJQJtjfdDgyy/wOnDxAWMNI3H eUE6leEQ9rKhRKvn8vaoRyvnxvMdqLJNsEvIitNkwNrDX9hE+kJYUjfBO4I/I3WEIRk8qmwqKOn9 e+OY8WAsra1U4U3dU95nRWxoLfPhnkA67nlm5O91yTuAsI8ZnRr1Jqioet2F6UAy8azIJGEiNViU +sPM/MUSNsdVr3BAM4bJAbknYFZ3IVi+gujg0AomKUaMSZx70mWayNWTjqijWW3JoxCRO2dB7WBp dNBSX8WGMnG3U/wVQ4hbkHsgmWOshvbt8nWaMOZpGaBTDG9LDkLPw+6B1BtYZ+8ekONhXfY/u09/ +OrPacoZnvaHzXZcLio/77cUE4gGDrFw123g6fuvSZsX7PklW+aQHeJcvLYyEpYFhcWc/QlR0+MZ XHLv80LRbS/4NRJI+YmbKsgaKBAbPYELGQVMca2uxnczd02kmWVGLuD1KtgVf1dKHBIPabUYEetx GWMpj0k6FvxzD5edmbchCvD5uzUBDOxTQEfzQIgOiZDGXy12cIGG/XLUEHkXAKco2Z/6SVi93Bjw i658gaztGUmqF2WDMLvEUj6vk+6Gz6d8P/RDvXZgYXoj0zlU88cdTnMBKbGOgeUHxvMfBo9zJzap FKrxAKcfL6xC0NAkTYiBCs6Vydq5r8NeQ3e1j+zVxBM7HnA8TwPWevy0fJY0xWYvfhtApyRG4RzQ v6CWPWHVQtxKUOlPJ8cTK0yHAZMfiakCzRka8bXD3HX9zwVU7NguPT5jQvzpDPuYdzLyUMtIMoYV Rl0JM/lY8V4L1z9AXdQwsSDe1agToQhGjrJ6FQy3V0mDmmiKt/4dHUHBTXXbGtuQVI5qu2KxemvO yfE9ZMXIFRoKRC+Eftg733k7TBDsj6LHZEbBNr12TK7exUU0ThkPp5BATT0nD/5w0QZUYlDaCHN8 Lr/2b1KVEyAYtOohVOrJrix+kH9QRvNUKfqGXGZikwZ+PF/cPKAPFyZagMUjj5B/8o03lCN5IZy2 718+KQIj8BNB8sqd7tqRWCua2+/Htx1LvZpu42J6/HvoJ6CMHCzSoG3ZSNIuPREraSf0q74rsSKc l+0u5aclJc6PSSsaDQ1fuBH+P8PMXf37CxA+R2YF4we1XP1xq6ig86rUt/rRECzpMCAqZ7WG5ueo lJvOsC23oGvrK/8gQbQqXcetiO4Jm8QmnTvE8hxKfZAm3v5xkWou60543GywZ6aKN2RabdW5t4V2 nFuisOV6lZwVKAdDAsq2/d13qU2TtldZQnqNAciGTb0h50rxesBRbFSBx62+Qd8VPiNZzTFn0bTj H4r5kBYYxw4SbCZE0kL7qIs3jHIyRICFU/B5OPyRvx7iaiGmh/G0+ABIgT7y80zcQp1gsYEPPJ8u 9upR/zCCMqLbg1nj5DgTmRTCQkR+Rser2L2Hes6IjmRYd1PWe77D14pGuBqhauFk/rFY15Rq43HJ 39CwEAYlAjqAyQhdD9tvedE0eonfQI9SRtz4i8rmEsh0MjhECgB8iTKHV2/4zIkuXTiNpW8FU3ub 5IzpS9E3x3xzmJabLWeHNkBW6zRECcRSg/1x4+WWBChlD3IlzGiQiDeLpSN5cVIVnZDZCJPHwVlO tdDnVIJ61fCQ4C//CMZG/+QjKIJ8LCFdrjx9cwa5Zwz2e5dsomnh8vCEjEpS0vpgZq0tzXGMTjV4 G6N7o0U4SqiQUQkxTvqTVY5MRr5l5TvPss+BzUoSmeXEcnzzYJSJjg23a6KVDDm4GhWKHzk3IJlM zHDosS5C5CmKt9/bdcHVNyIgVHXYEIdeTleM+Qodnr0HqNxsc1OU/RqkcE5sXytXZGVgv7QNqqAc 8ULtabucVXuN84NTbqaUUKD5LYuGTvJ7krlP8wtwVriAhbKqLKihiJHyzDgHhp3U5EoTQK3CE0A/ vFmwj9QHXXOWtxz9emS/odZdCypx3L/1bHVFHLM067ONFDyZrkC/ismvo/Ga3Bh8chVQKCbtDRws kQxsTayj7Jq+NVhntBkBxZ/OyJmjedNaqPO4U8eb0a6UkX77PYYwqE0FTr/CSoTZ5ZoAwnyB+jhK BjB5F2DPb89CzxqscDZW4CCfgO3rBd6lZtxvictvZgqrfhV1mS8o+a088V9rn3TWgAcrOc4F+1E8 8kOgwv9rxs6kWfWM7i9AxP5Q34XUALx67G2xecOCuoBDRk6Ef+arOBMHslfrfQEwRIwA1/fLCmat ettgTNZGo7TajjOzV437UA4BfmeqTcri6f5wjg9vjhxurVHZ03AsxJpZkOxZ7OOmxxmgQHfbB8Bh rEKzxTJSIZVlSjbSs4gkQfMDnXDvsNacnMi5jmotW9aTa91j9SGSZAcuP+TUx13GLPr3N6DhCknS vuvfaIc/aRf/fQr/R3L/oOOqlGaX/yWlxDbKE8cJ/M/gT2otWCZytYaURe7pTasG/zl3p8pGkbE3 BYj9vG/0PzXg8YmG58meFaSd50FgyUaoPMiYJjmr6ZKtNbuHKjl1p0BkxhvLEzrR7wH1LSl2JVpi +Ueal9h26pDJSweO7F3l5pLD36NdrVKC0xGmV/8n+dBu8OqzvuaDmRBwcucSZ6OvGjnS8psi8BAW E8Muzv3hejC2xActP8uZP3rBPhFK/oi73Jzw9Y5kZ7vsGVLKzR6jyiz01a9PxDQ1TlayQmzC8HQ7 XgOhxFvMW0PLTR/3Q/fyVgm+Bsh42YcllSHa/SBNIbjtN9k5RxcijCeWAmQZlcX80b7bKl8WWmX6 ikyeeRCBFeldB3FiWu+aagnuwoD7QEKULAPcPmM2dx66EDy+Ax0AMLjnu0M8qQaF6aI6UdhDdF3S pbGbTy4379U67YIy4DqFbYrmQfq5UREso4CcP1vt0s4Rjtxf7vPPXXQinl8j84ardxAUK46G7zMD i9ekkhQrVk3s7lkHl69qjpnF9hZquSr2lMeByGtVPhCofwTsr2XDkKqTzfowME/it0YO2VgCANjn 7PX4Qzgfl490BPIXkJNgJwH/4VWZS54/Dg1T4RWif+K+TIjjRiU+T+4w4IiX117RE6zair/k1use EaGmvbM4ehWoiZB16mrozHrIUOuGl0NUT/8jPC0ZgaARKs97V0EoHCeldxhZtezWqYJFnmdrA68T Zb8EGhx+IGxfeId6/Nuwvnr05BumoOxGr0cPmKy3CQR0Q82Nzol0aO3EbyfQAU1qnw0cK7sWQ8tf BJmAA2ZoukbJeZ2a9nu3KWYw1+GkVzrEW5j4NnLOUzs6yoDNgSfMJS+S87UsNsSgarOcGxmkPH30 UQ3SiuKS5L1W3XHYwGjxdGYGpUZUxS/BezVjOUknXMBxqemAg5pDTy30yAc8I4i2iUl+BADSXRrg DaKHLaIAk+j5iMiGYmvKkYlXRS8sWsaf2dT7BKvacSoyZXTOn9AKhIniXUoatxYQQQD0u0EQibGH KitZb02TVDt/9KV0rl2t4nsXYpDXb92tIgOOESFCwMbeyfymz4DAbzcx+cAYdq5rVwgQk/w0GukC /ebioqFtl1MYLxMBZdoyIJVY2z4emKiWiCfKBCihV55nMY3DcM/np/Vn0R7zlRfrB7vZpx+FZMWv z3PLHt2NRdLzQ5EvHssYpB4TzgzeUTS+TnzHf+K6K/wGSJxcjGmq36xa820FK0PLfGssjRnmMB+S vnDnwSn9luwH5/DiRCoyHWnvgAL6QMNo4tyaXpgk2IcGqi/BLHYgdkVxrkpCTcLKFMxXhUodqMnd JlIn19biZHQnrqmiN7sBc5e+UF9kShAl2uEpGsw+lYoSHduoCSWqEy5km3PchVftzog1SGUKWfbH QDNZWROKIxsYC6RPwv2t14/aPIEorCB3etjbt2vxK6a7Nt9W84t7anB1HKNrToGRr4WHiJfImnjC 3OdMSCPcgSLCyZJBAZbJih+D6Qxd33SmfATVv60Ne9p6vGs0NoBL8/mn6csH9tsC8+hWizrdnq3s 49BPbhFCrk9Pz0K+NSsErCF7+j/Gy3sQZqLOiqgZybYYd32FVohcwMnZ8pOM4ZPhKosJE13W+NYp gAaQMhq24ijup8oDx4oZwlwQ6DdH96rXwH/SZaL4U8w3gXzuG6hI7JLToHkMLMSHvwpDy+kKfINZ FdX03bMDxZQtzSmVkVKDrvpmDgvWUOtFB45cG57wYYLocOjQFhMDbGzunt6gwKAWqTgwn/946WWe HKlz/SMNLy9VoknAesIbcHZBMbc6bKMkzO5rmxuBjomFQbxwjX61K2Xb81/F5EBosH2DYsK/5H2U Ami7VizSpQViTLMASBtPih0/KPe53FiaU1IzsRACG9h/LlsZNlH8LBtL3UTkTscKu6ybeCNPdySR 7Drzzr+hKijyJcLa3Y8U3XQ/uI6SxWhVAo56hV3H4Lz4bV93cDt2d8nwalsOJKIxucyn3JS/fsve kakvkQmSzWQUVGtau7cUBqelCEG8ccwfYaxmIRP9PoLN9LN4Y5ba5fegZ/JyjR2rbAI3/hPCP23w /S9IHBYpEo9mKRg2zi97k+pTLMGIQZZhFlTW2d4BVXdRf0J/ZZZHNK1OUlnIwAnktS4wGa6EQsll RRIeU8e/vsbfaiiw3wYThshybGOqPy6rUu3KgvNBW1eJnO50s+5kFQn/uVnrmi0bdNSlN1GXdUMz uMTCvjNFg3n5NLT+ZJ1UBdUeT+ZGjHMOOW9N8S6Vo8lJiprLSXjA9odGwX2VRtZ61SuGBMT4kZt7 +1rcerssxk/VwRSErnEMKxI1uGn38Cy6aJz3mGO95bOZuHjn7YFXxMgHf0QtHwyxLLdY/rVxgWyt B2bfxVHxopPjlUiQEZ9IyxowDfsQg05dFTzWXCs2IGS1WHxa2tMxE7SLg+I430YaexXwyfM+cjBN P6A7kCRnyb9gHC7jVJ2QpgZQ0ROUCeayURmucPhW3uqyrWxRGt4AvY6na5HHf8wx6MVMX2c2vDIn HlzVJIkJfYUfMuOqOOvMbrLwosGu6Ganpd2MbqYjW/+DA1IO6HNoB2/bY0TiFIe7Hh9fYRcDP8E3 cknvnEAH5aCKff4mMDxBjQHrHRWDBCLBN0/C7vgYc3PlqhT3fyxWbYBsoK/KMK6k6+JjRxgi3buG iKrXuizOuOkg+5gCm1AMjxRREobu/LOL3Yy7z8h4vx7kEd7S4ryPVesJYC3uRV+FOm6QTUnmFylo UhvaYLziy4QZDwHQtuSpsH4I/DQ7PH+/PIBI9zYlhyRXJ/UY+ICE2BLWJNyaquDrsomkSCzSl9tY 9oNlSYWXx4xKrQcLQf8k1JgzjDz9KCuLjgPtq/CxilSWaqK9bJffHH5o8zH2EcE++9vUeBg06b46 X3EA254aHy0+qeit525ihM3HCqkclF3SCsYUny278C/d1Ku4nYC9tNeC4BrJo9AiQq8eVfE1N/b5 Ux58cdAF/GUZSLh4Cqom2L+twfAlx0u81A0LHi7vJu0yqZvwI+QQFzKzkx92fEr4/BT70dKHyVct ctVxZtfHwRYBdKV1xGdOjDAY0yGnvb/zSUlzrFQ+mmyCIm9kpZgtGBVkuPGCrFMJ1eNo/eob1fCP Uc6bxnZ250xotBZIuo9zMArGGKkMOY7YcRy1u6QOYOnbrZg/fNA+IuWBrd/XE9D0e/9lX3SWGij6 BmEE3IAzcrNFHP6rphOJSv3wXwTuAlTyaACTVWwBX8TQauY7OSMRqFqnY4iQT4kMydhdKKVKrPki NWQjFdDRCnrTNivc53QNQqeM3UCGBIKEC4Vn/LY7RgtyVBkptzGogCr9E/u+NNoJhD5U9QhkxszR IPMF+jYQ0hAmvk57yqBdxKQtK/b6KN+y6eCGv+iXC0kFtmrCi5W2OUF/yWCZ8Hb4hvr4tqpt3w0W C1isetka+eBPqkHzYSXCSHOHWpsvKybE4jVDSPUQHoLRm4pRP1Jz9G+ZcBaeqnIAmNaRj46U6r17 tmQx3/+WlYQDIX8nY5yAEv5+/mzqVIpEjiBN0DqrBiQdLoJ++p47fiGMwOGv5opaE84bT1bmP7C9 gLVXmoyzqauVyy0SMaCtksnbT6odk5qyZCAQ2/LJhZvkMFHmIn1lVtgj7bRYN7DcOfwQnFomJ8R8 toT8IrHR7Ca2O+ErU+p5RR2u2/6qE4zl40EoYn9o+U5qbzmSMzmTc96kPiEZzOGLJREQol9Guzaz P4K6WHDsFUg/xAzGK2Mrm6ZCGbknHqvle2sh12CSB3+oiJMKjYI0d+5Pu2pRHmTz3DYxkfwTQLTa DcKw+XdP0oFVGOYp3NZ/lp6gpwrZwHUc6slEArUTJA6lU9nN5hLZ2gy1acVB3Vf+2Ey8AB9WH5k7 955dnPM3m2GCS27RxVb5i2ZkqV9rY+vHrqACKrG5R9usxpK/x8+9ZmYooDG3TepqWPo8S6U6LYqv pGP2Z1wjxQX55BsI1rMVuy9E96MMcM+CAe1zYU6hGuf4MylTISMhf5sq+D71DvvuyEnDrmWGrvwq lt1tG9wSCUZ4qiAp1J16AvBi6GMLjOU6i5/HMNcyLyVxq95ScgqYFYdlP8dMGDn7WipNEFBOTrY9 qvcrViYkc1+g65MVNl9skkTIBqMCVxc7dy9OFRj4Zb+Xu2ASgYpzhrlHiGh2X4VV+Gu3/k5xEuB6 fZrWRQ2mYTU3+41Zu+IlGYIhjH8NQD1/e0lEg29zB/OlQgnXSynmge3GghBHvMPcK+/6yz2ARHDi cvYqSH3+R6KlR7CPYUZ80GSBgJZRVS/UszCuEbY8ozISZLEKsF7QhskAF9Y0x08dtbbfftVbh4E7 gWbSk6+LvCLlRI4//BPfjAGselxQqKDfwR/goJ39/H+D1Tsyrhf8TFS/VeZgiwkK4uVAQvPp9akF EvIeB7smSL88RcqEY8118kL+tgpCzMWhp1hQgKyXPIyMXBPg2dDZJ+OnQuIaUVl6SrouxeY1u9dl LXtbYMq3oaETwVGD4UvlBdOyBrMGUoGRqzjrerbAVPlzaMULw/w0wGtP5j73Pch+AiWkvt/lZ2bv g8XiOc67oBbC4MDNg/1Jp3MVGoxadstmJxWn4w2yLovceMQ+PZ/6FXhl6NBHXFo8dDe60vFh9yM+ 9oErXgWqQwelQyNd1pmfVulvfJFhLdfnNJaWKO2CJJBHIVphPoROGhdSrOM0U0WUzZdlBF81hBjR 1B8cx7s/dmikbMnBFpCGlo546DyvH7eKFlxDMk+odIPa/3asyalwealLDr66O4NOLkndYYWyKCcj +MieJCciVNSmHmkqJiSL6kDpFb7bPW3h/lrLx+lx8vPgcuhV/8lJeHe0T3LzpIuv59Qf0/QLZpPF EQZP1E6rwdxd88pYFYsXZs6T/jKJWNnYj0ycixuhGB4YsJ9rLEQ1GIod2BBvn4GS72qev6s5NpgN yRhQxYnnMRCkarfbsDKLQXJb5ybmrOho9GdTXDpVy4oxeBqZ1Lng2Bx1Z+fyHYSB37b6hZlyLcGn 7rng/QWzIrpwqV2+ER6Efu4vv0q1/VmUXnNX1idxifN11P2NJslgOa6aqcUBnJERY6w+F2FY0odA VlfRofTSIDuy4y9mM9p4EcCT/6yLQRiDyAIUgYRJiMTvPeX+PVRtuemL4Q5kzRdzBXLtAL38jWMO opVEjUxRB7WbLl9sk7F1M/zcSVP+v6pJW06lsgzz/yAKoK2SaEqGvHWWiLTsJ9zqlv1Vts+YSFjg aYMO1BAqphl4VjjGgjfwsxnkfmNE59UvtPWeYKZ9QgioxLWFznP4aKwKTXoHcJ6cDTLcomgAEXiD KhHADkcWS3xHHXFEArt7IDyFU2RrFdDxTs+JNSVYcG86ISe6JPgv4usn318LfGi5GAQpleNq42DB qHvHQWFJLtrfxxSkij1twLBSKjPdOI1fbON0ZfVpupShNYc4xU/xcswckZpfAV6rewHehetzXwhJ 3RVEjLeNgd6XhZgVKkSA0aVXgwpIrWQOwW9+5QXRjSVJXgN6R55xOb6Ve1Xp2zHN937qboWFg8tF tv05z3WwZ9LW+Frk/bJ+lqsk2bpy4okT6lue8SCFayKlFoiQCoF19tui5TapzyJVrAXJSBdz6I0s 9n5ZJ5n4qW5F38jHVkg5ceoofqHPKk8oZWSwRtYsVz+Gi9JbUvmgmoVdtMUk1psxNJyHJTcwxSCC rbNFfVCP7dmVHKD4Ab95HPLGZNNQ9YkoOSZY7Zhb6Xph/lOiQe88x9kcQNbqq7vocB/vvQIYhmXW 02yqywn0iIUxiEGbfrHOKwgkv4Bvdm6hx0TNdafk+K9aL9j7S+lEi2FfJJ50DemvXfzQ9YWli7eC jdtPmeePZ2K1jUxvmc9qmYo+H/G3HNt/YQXtZrtjapfOrUcBpMRZl4VyLQ90OGTeUBaM8ZPLo2Gu 6MXAsRtdtXH0t6cBBhdW73mkmCDrm2FF/BDE+fJ+KXv63x5PXmkXUrQoysoOKNhAbrd5aF6TgJbx VqpUvpRGWnq/H1YFgD+Xlv0ackcKASpMBAumSYFOw0CoF9g9UcrmhlVdYUKcM5qwrSlp6F86wV2A NTzFkYfkrIsYWaU54qDAuCttm4nifb0LIYN4kcHZpYDK4jeutWitGAGeixYj58hcBTesIp2ocMJk SZ+wOxJbKZWel8ssG+NAmIYt/kxBIz/1ejRPdDrGi8RVpEtViGoAhOUtrUxwK8lNzpYpggMCPRah kmWPPLtHOP9ydQQRbSxZPnE3N/7DQuaF4Hl8gIdtAkjtHjUVdrFQuHHn435dDNNS6g86gl644z/z e0xnB6F720J6phtjiyIz7P6CbckCn8fEB9H6XogY3KvkNdguSnfn08cHDtO0rUMEh1bXZUMPUUAV tC2UeD3IUZSBFCIfI0aSPislm2uLWoFTcPgiIsxU5pnnGBAg9YCM3Jr50eysHGEqWRGCvRceR6c4 J3X0NuFHSyTbBPqayYXl/y1Ah8sdDOwIy9aEhs7ONfHilDeW7Oa54uqcEPMlwskxNtBXkfkrykyH J0M+MVjcgAfKyySbhkBOKOLozW+OMbL2ImaXFp/0Fr9iMT/f23AI0uAN6RHTCrTy9Sc9Rx0Gw5O4 UPUd4QBy2aOTq3e+RH2MTSHxfqlcy9MgWnjqVoOUyBT+FSHp2HkXAY/0xrSRnewHOP2o3gaWZm5h 1YP3AcCoDNz/8gfiMG7/1fMMcuZfPigtBKwVytUP8T0VyepnOdcOoxAjEcD0urpZ3Dv54ehYJMv4 lD21cYh9Mn2glwtvjQDdp2Y1RRJJCnYKUpRCVIx4uNo4vvk9noht7nASAEz85j0Qx29BPXK8iVj8 IaMaOaoQWAl6aAG0Ui93ulAc5IPpsN0PkQ4ms4HuITVjEGDB2XmUbnHV/KyvozNt3HaMFHHYi46h ppUdq48/M21eQAR+Uz4rv/+RbHCx2M7M38sBwpqDxcjCzobZOIfAZoI5vAbXAS85hxzu011uLogf rcCudXT1K8SQdG+9yyV9HnPc2EgiczRo/rKiMScBafAb2EeK8/67/d3FvgarcG6Uh30ArSqs29TJ IpCpjzWKYhKhm8Cv0R4QBOz9shXUAKKX3htW8mRtlksTEBaaZSioA2hUrLkgO1R6M9ZlpiubVWnl C8Gl3eFz66kecAbT4nk5Re6hPWFmsLo/oKlq72yNL044mKwl94fQKJfdHQVCio4ao2eYAqsL18uV tr8IKUvY7s5o+i4Xe7Umu1fOiKzmWFF5Pi7Hj8S/nQNpjh3caxo6x76UuonJrHvoaPbTmEPQCUxR Fxmhqf8wrocKoGkY0URSdowHeSNCKl0kSEK8qeu0yarVV+Mkyl9L9mX7esb1uILWY2m4ovYk9KKp WkwZjyVohkpuw7yBJc0x/ttPxVqZHaf7jUlnzyDPsoBPEPN52bgohaV3hN9r62pwMTkxHG6dtSzY Dg1Eo8//hU7tBMEcE3RRtFsuAiY+pk0RXa0HpTkuKGiIHs7O336zB2LDPERk2XlpjXzreaHM9ozx 3jwcTr6jADsJHq7xjlelZtupZ1kpIIuG83/+ZPhEo+4WgMKySqfAEvplQK80tnO3HIlj/kUcE8IK DpDeXY3rmcMOIZ3GkkYIQCuFvD+jWSUWUU1QBB+znziRxBbbk5SNjd3w87cuNR3fJoQVtGnuPbdy lbRZZ9pqF9RRAhvVFQwhUDDTObQbge1GUvK0PVJl3TQif+eMLPEpKQSj8PUfE/4K8v1XjxsdxQRR YuwuzC3hYrfZEdbWGXqcPPF6aGWpXqCPh8bdJEFCkFlSWxdlueZj64g3OFJ5pmHBExN7DOI07/+n m07ckagAJlVFLVrNCPxPUpuFbU36ddoA6hpLFOZD19TsjAsRaZM5o9RpGh9YP6cJEPn040k9qYp2 2MfGbpEWKZh3nvzcHr98EeiiVW2Lwaab6/sTr1cKE+6t5GYHrNRYCu/ludYXhoeut5wgIz2xwkEK YUUmcstKVNGPlgAAWAWwi9lCWxC64pkYTrBgTYypwhJEgJ6jyLToMBVmNWidWJ2R3WBZlAjx61Zl jyQAHORu7s5Jm5JLsKJugKHiunRhMDrVv1T+rsF3s1sibn9AO1GdWILiYyXDU1aB1CUGW3vQvrtV vArRMw1KWZdbBebhYT2+Kt9YtbvUyAFa7BoSvJU+aYItTuSW2CbrfPTvEz8ivvAO3m62fHvUrvUO KagrsizUCnltrl7sJFKapuaeU6qZfpKxWtxJcjUD7mrOSw6cA7QsGTUBrJY8WzrBasjihPLix04q dCNRlBEX8VuXQp8IJRr4E6v2M5/FLhybktNaHhu7M33k4itBxjsnXDyeheekhOri1nQS3YWc9VVv zddxmxVc2l7XtCRtCsGRtmrU93Qk60GRpBrEp4L7c648CZXvXLap8YsnTE1w/50JvWUA1DeVVKmE IRJ744ZSqeGUy52LEAEaGwrAwE1Be5CUeX7TK9Wsb6TiXLd4IYuqY6fLw/fiNq1kw5+GH44R0xRT 62vgPg3twqQmUFV/Fr+tWihOfK8TpNDKHI2az6hjvNgEXyefa0MlpQrOwc1Wt2WsoDQtE6QmUGTL ysmgADivOVPPqfKxM7BFDnP6Usk5RzfmQTHWRBKbppUzRJ1bPxYjJ7xgEpYacbKxS2mU6TSnUsMO M4iucwwiMcaNsrveJu6N/wbMSvJul9WI2AVlu+PLEHaQh7nbJ78KsuABtRtD/gEO6Zdsq5O7Ubi7 belskDjbtCT4LXN6NdAbqyEnPaxX01JxvIBgRIDSceCHbRae9ZCrrveky/BwQlDTs/kmXY4XdVlk g9Q9FF38jmr2iLtLfQ3qYHhTPQx6NqJwz8T1x8VVXRu6fPQrZnH6bWnPptPW06xjZV7KoiHZrDBH iyjpDgzaorhb7u2i3UjOvefYQ3X/liWbmwF5UFTAqOsIm/LqqBTQlQcDUcunNIkKjtahgww8WIJ9 R2+S+pNWKpy5A/nBih0uUb4JYTJHK8KMijaRtPCEdmFxlirNNXQNB+AP1BVYVf1uK9IjvZqXMTu5 51GVxtGE7vD/qO5rHgW+pFTMhnSN98RVn8ANOlowLeT/lIJspjpd2PMSVfjfRsVhVs+vSn2ByGmj JKob48Aw8H3lOGiuLr90kZNc4pjHShhlgYagvXEDXxM2GJUN+L+DQEzpGJAMe1JBFAVIVhO4xxQz IZczmpp6pyVtUspSl4HkjqzxD1oQyhbTwzQetH9tHtS/U5+CyB5wTRD/QmjmkElGAdN2XRhnY1A8 AQryaAelGaVwH88YZHggSoGqegZdcXp1iZvkLNSVfqonp6bNQFPHjwVvMwUxVqndgWE3dBxrZpBO K1aow23VpJoAzTRk9Tem6GVbl2yJJIHsJeS63VprPCqshh8r/dh7CPFz6K/UkobH1Qoq0fewDsRk 3DVhaVrHuZ3CddxcgfNwTsjbp8ugRfPuwQ05cvSUEjpq4RAEEXDRIY499gGIlucH4Sl66ZjeA+lS FSxqKZrQJgNQz0vbscICfukPFh05Bytr3Q+8TqyyADVZGV6jpYqchspQJNen22fPxcBXxBIc1aJ+ /9D/F20HsIOjis1IwbMZ9gZhIHt9gbl6wE7M0wuG2DydFh1DaUxVIuUqAkNswZOHB9zVzrn51raG d2ZVq1fhCPnL5Yl2mAzMACAxBZm3t+3qOqfZHzoZB8QbJKbgAt+jCyLGsm418LweEXvLe5YbBKUG uRIZFQRuwcTu52qmDoThuqHUKrnM9E5afOqvJodiH5hrMHMR05Npvc/wVlVZsGZBFANE+tdXoMIZ sMoK6f1FWD2M+mR0GYBntAXKhgI7A/zhv45YeymFKYhXxl5VXzKNfYRyXOs1hai5X12ccr+UlvKM 1ekGbv1jj8xs6AMQhIcVEP2X4xhbgnAfmpk8SJqndBMYt33PJzD9ym0RL4Mm2QI25dZckTDcuu0z yJJ5OWbr2CIuBhnUCMJL65amg4jflB4ncoxO+TmtekPEEqJg7s9Z2wHGWVkLUatqOzGLt9sYtp2/ AuvFb90SbjrJlZWCckkw3oAqgqFoFHjwwH3u3yxpvGlSH6/bxfsscTOxOKG4RbAebegDCmJx74ko 4pqpg9jG0/UqQTpUYRXNYyKTpzwLSqAoFH7i0kKdaVeXYHBNO4enX7S51/XHI2dulHwtNYQDtcST UmqRG7ZaF0FWQ5OSX1PjGIOEB36R+7Ofxo6Sj7Zj0w4+h1egC8+05Eq2sk9t3JBLhG0fqvxl/2tn 2htihCwodaDNyVd9oYS1iNHjbYZu9D5m9y+vqkYKjRm/IL5VgRBswezsAOKvYgbyF0jtHJ4pg31V AsKytJD0axjNBsHsC4HP3nKZWNod/+ScBQhVKgp1bkIQqMrSp5yeS+JWfyDrZsEALqtnrXO8Rl6s yrea60JEt/mt0rnu8460FrY6GJlIDHyT4DRVsRJsv4hr/KKbpKoT40BK99QbF3Wi6voBoiySTA1o phgp7n7gu4/Kx648LWOQOub3zA9rcYXo2dpaJ4lGfu4JsIq3MrYdVWUYDsG/1dvXnT24LyZaXrPo Q92AJ6C8BQwJ7PRiViniOHT5qitLT6KMo4P4SOYGSkKeJ/2lW71t+qadd7fj7qNP7qCrxDbgFpqy QBIOnjSvtibRVHzNDPvUNGUuStHUg/JG8vZnWs8apdb7JEKwkIqVzkYgEj/n9Dxi+fj1/G39V2oz RcLbR5vLf3xtOXHiGFYfEjPIEMsT9TH87HbUr0N0GmtpLLrVkKApwIpwEDdYAHNwRiuTyokr0n7O P70WNBOJ6lO4lZMqaaqK41xl5K3CZrGG8ZQptktymsqonKv6ZeXK5HvpGV5q+rQ+Rt93peO4gS1D X45DRWDtYN/ZYvPL1Ho3hHX7ZU4av6IXx3+ZDjTIaeNL81xnHzmXXmF4sfcRQOt8sscVFCPhGxFm ic4ZTr7F4pqbrwK370gosOUgZ8Ji7AwJCFAuRLl/XcF/fvrAzSbh0ZymdHE3EQWLP2ryeumxjPe0 ZRle9UEVAokHGOOcFGX4QlEoeZotT+X4TZYGDEKWRRhmYE0gtxUgr7XReeT+eN3iarme4xI9vc2Y lJFESzC50+lNIaE0ZQUqIuJDGoqd5lvSzegCn41MPQF6eVhlopSY/2kpy11pm0EakuaPBzvMDgYS LetDutabwZKgghEJt3Othob9wZQ7UdzG5Jtj7w7AEWriGBdEDNuShP+1JoD3kG1CCybJNTHmAzFo 7A1c2z9hx7Cw0P5NphNTwnJvc5RrOTusxN+07yjaxEv+BEk5jwRQBdu6doQUChvsT4QeOtZl+/eP JpvPqQJgh9okhOwT3fPf2mpvgB+ixWts5AZ9UE3mVQKlHwoTM+CzvTEihjv1bb5KMPP7di5ka0Tp M6xJua7cnuAUMudvBFBqAwDCU4q1DP4pykqcbi77kDAVJhJuN3EIjKhVbX70Bv0n/OueBxPCAmk8 K7lKrdxgMwnQ2qu2tr2yWxXzb5ah+uzbDn3Mc/i1n9/B2MwsA7dGPKUFONLdqbJGYTcEr3jVZgdD 3ZCfi0FMJyJJorH4CDioR2AnREbAjv02b1RkVut2LLyxPwC9BiG6GTTfEjMRD4e6okgNsB4wGb0j SgwGyhy4i6zrutgJWSUBaC/fYj9fNNmuhW7p8wMGAn5Wmgy67sGrTtWFwTtjwJve8t1MlldxA/a/ D2xqASZuhnPOK6VZY/HehF9rEIf/sV20tdhwq0Eu70wnWoJZzTL994YmqZAbG9naQmDkf1yB66I1 nWbPSzkO7U1JzeSpzGDUksnMQ/PlwD2PsgyGqnx0Hx8vQF8y7kQmWfrMYzcJCWm8hugF9kWA2sDp fqhSK3AofuMj4JwPTYr0g914TdZxpHKfRW1Hx5HLGvOYXGq0NIDvoGbR/8q3hvvXKI1g0Mk0u2yk l5g3OqHXPsfAoBe2Ha/ShqmpsR9yYjDWGE4bHKeubyU3OCpiX2vtutehTLMUCMiAIwLhvSE1gZ6T a+68OaGS5ZSbkIYg7dH0Btfg/NqSbYeoVF77HONpo9iIZtAQZ4czcm+rKCkn8twDwLQjudJ9tbbj nl9jdHyO7peIxbumQZ+Sck/UUAZtbuOdD9soaMP3Y9mDkt00sqTGgYrnY0jRldLk/q9+WXnnTq1g 1vC9Su12DeHVIwHxqnWkGCsN4pX9n2KfD5/7r754kxhchOJ+eXpmTcEjgeiSuRIFy0C8E5lbeyfJ JxZr113vyZg7ci/BsYxoo3AzaqTyZqLj4xS2yhT8dFr22j0ljGPrVk8su2QupFHremiiLDB0tyny 4OLb9vAkqTP9FmwZQorWMEV/g90a6j86CvF+gjrbq88PyjK+1jxkQPrVm/4iW7+cN4JBgcLnbZN4 X2ewHRW5aLktZWTojLnsqSdgd+ET6lCSGdL98MbyJG/eK3fZ+UTPhTocsyECX6n6DOwY9SOPT2h4 qPO9VNCIvvaAce2KQsrG1gHsZoaKVlRdfQX+spzPkTGDzejZuZtjqVpq1abj9K6jY3eiJRVk0bLt 3wBd36goCBtBW95DXdbXSVRyYhDCYkAyL4+Twd9ERbfAU4TORCsBsOyvmYC9bPOJQvY+6K2gtmHs PLEDZRzzkmamvEpJmL10VHKVCExXp0hFBdHeJLmQeYqEty2FdMo5k1qWoWvaTcgmF9aHICATCs12 CA/S/RvMKY4l9Ymby31yQLm/1lvkeNv5FqoCVliCwoLfWnYlRT6rffYpG0k8Iv1RxMs+UYH14Rg5 /rbunFFEQ40paPtXjBkCaq4w/m1hmHsV6coBIItPoQWFAMKF8343RlKXSsKhbprdeiy2rRSXhzl3 0tCZFzxfEqfjbF5/IVL7nqzpI3ZyKlxigzojwRYhlsvUNQtGVlgPJjajIDCnM61PZHDcuacKomzq +x3aAH0MhcPZZ9KxHsNLVojchskk/CRsvZeigvofYXuVCN5zrkSbOXNx7zInOcWHfGplRanGm0Xv U1ttN8l/pbDgCrB8exwtaeEWbEJocRiI2J4GbGIXTDfEJ3Cg3tyR28+YYq+Syuj4Y8f7s3mCEjb5 M3Bwl7jrOcQtccZuKYzhMyHp0INSiIZztQZ8fZm82OStp2mP7a+Q9K8SsMkElUgtkBnxvwICcVd4 kXzluZgedI/JAhe8BkRoGXhorQeVpkKmef+hrOce/tMUCV2N5NgZr8f2MJdatp1Xy5ZmMnLs5zhj k6/a/AgprMtV2xvrDCViKQg+Q8GHHvn1C9yvZsua4laPsI6vyXWAcp3ZM3wReh+p8fOEzurnjSd1 E/SHz0P9Q70jDNYlCS36iDIUGfGdpp8cbEFGiXbRF8QKNlhDbb3umMbVOOT8wtKGwG6cg8YqGlo4 BZCWlypcRy4KPptwrvaEKunTxG8AJdmF3/gp1esaiphmqmthX6mdse1DwWFfrKOjwbSZIXBAGAE/ GU1JpARKykRA2DiFhEn7HGw21mVKpKlrqhX85OCUzBuyYNxy1/o2wW1Za0AR6BAB+uP3lWiMARi+ eNZTTVPgHJZYU+Yv+EXx9Jkl980X3ccemIlikQ1r3NZDnCLM2l2AXzVMBA6oHdLXcnQJ9XKqY2dY uCeUDgAwPZ5BvWowXnMwhHd80ycPQLG5dIN++Mi0LLX1Lx3GZs1dffhjq4DfXDIiOM0W4nFzwQuK Ps9Cg+3991d5kxqvklO5WqsF41+FXW75Qv9rWjklzbOOZeBBW+vieK41TQRcscrciritcZ+MYqBY IWkqjKaaVGdSTbsmKtUPy1utwRsDzGhFt/HbiSYj2KN0b0c3wPGFGX1AHginw4/Kl+FXvlCt8n7e vila+au97Ctz4zamgmQHEUEBR4+YM4IoiSk0iMtq18IEjJI/elb4zEt22xZrlNavTEc6Mz5T79YL fyAQJXNXE/Je5UIqXr8F77ID5oQ8/t+EDC5SUm7hW7N6w0xIc27Ru/lWEJQGgNP6TPVd5bw0We6D 5h3ovJYSaQLx4rIo7Nz8tX+Lknlib47uLfdfj2wJn7dXfMP6EkZa5GtCsPwx91Lej0i59uP+sNrq 1emIJqqY02rpVgYCfD7CGT3o5Klc/ICXWr9coOX1xQ//AzrXm0eySDRM1ZefjXLH4CclBW1GDrUy Rbus20sOW4obv865yWbDSSPMDP9oBeDgKNShouhaLduY3TZ97PwLjoiGmcwS7uf7NsbdNKQ5zav3 hbjQn9/FLU4ojbaLQwlyNhRm6iezo+S0Ti8S1vTbhNZ+lP7oVsW8XHtbPAL4x7/Bo8DSYKHLVSGG +DiO4O9N3gst0r93tOhqB6W2VuuqGHuPe18d9KQVJ7wG52xvxdvS1gTSyfeRuYGqIuRF/aJYYw4f io+agcuIaPs5TgTMNnazJaByVOUkXj9R821+voP7hDgb88Q1Dw3h922zmNY/SiN20z+tjmanQ7Yc 7NcDAiYxSbBBR+B3XAihS/JUiugOx09h5j7MS6mj/Q5d6kLnZFLzYHhE86MJJzMJi6r2Zorsua5G sHrSiu1b98MltwAgHs/s5r4xp8/HelUxDQ5+m57NYtLNsJ8x6jnjt9POAvZe8TkbR2XvO45Jo5oO i6izPbonKBL38leQYAmOP4bHcz+Z0tNuu5j593utYXsDI03foPHShpN7EjR4/Dpr0YuBWruNIDuq 61VCuKGY4k2Z55oXnfk7kSPqsDjrtUebE7eeWVsLZYG5Sa/P69TEIQdqqCYpLM18Rxwwq/rL1X0o NJZXmWW4Z5JDxtcihveo2LDF2BV7l1+bCinaAv/TmTFwn7mpSe8hodgtDERI6f8doKXBlR9OtnLL NXYFFhbV6VEa66PJiNwJVAs5BjtSQ8BPnEjfizNyiigq9nI8hEYDhTskExBrfMiFUks7qOtVqayf 6B9Ytt8LNSmUHYQUu6WtRjGpYgQn5j4jI/Qiuqs/iAICdm99mEO3kHQb2fu79mANwrjMlCzYBoV4 zmXMTMRGFldU01cu4ox4FvxqvBncogm6NNCZcUkGMLVRV9GhGuErSmYHp8Oh+84R9KfsKFL9hHBG dazUEGoEYNhsoHwpIhrZT4M4/+uU8z8oWx62H1SGJnjQoFutfUeQSe23Zn1fHsf5fUOiiXREMcYw pLvInI0LPaVN/Mjgdbjw0jON5uRr8AmxSvBPDXL9kbxCqIXMg8bS9wXeDn1ZJK1ThTXSAB52nbsh /AlGmCKVAi3hyu4cvMxqiDfPPy2ngdWnirSE3a8wn6xed9yLO8U2co3Jg221Sg+8KWrHSkjv4mWU ej85j/xNfpSNKRueSNN6Cu4EqXlwWo8mxFfkotKCIH7c0nKM9OuIYjZxNA3KFmmovI7hJnuqES4X Q7aLIMP+tpzMlOz2sJfT+tptUFqr74KXW3VD6M7bzwIeLV8Aj0dAtMMDQnaR6iaYLgZle8gIVekS oUuZ/koHC8JNiSmNO8uYZMwMiaS2SgIwIotHoINyyvfnwSD1QO7gAhZG0ASDh8vK/IPJ3P6ld8ya JwxNoasbsqbqmrMRZ8gNp5kuKQzUK+TEDtaed82pDavNubzw6N3KwduqBmidwgv3XlAbXf1lt990 9tybvcb1s1TMeAMxXLjsdHQBlTEnH3Z/6HyaLU+Tm/QLMff+MaM3BPboH+rsZuhegOOz5nrZBFXp pPTFUN3gP1JtJZembdCuGdgZ+66QF6CXodT9lDt/U15+j5eSo4GBCBr8eZ2fySgFqs8fqYYx2tAf K6sv1SHquJ4UGDOjDUbslOSsogxZwhabcvuapnw4LLz3RpsDPAnJJcrzdJeIdEsaHE7CF78I6ZTn kxDK4rZZ13zdvMM3Ehe8N530p/nf/++6AUv9clZ1SIeiCpWlwxwtdQtdSVJv+Qs/cyzt82Q4AoCc CmPJxbnHTgaLbVd+2KlAUeI4tvHA2w9DEGe6fYnAzsYJcxL9sVw5cyLNv6/zfAyHxgwxYLhS0CSc x+R7bW4AtAmEP6DiDT+V1cNrs9dW7My9rJo0EG9ADln2owWAq/3ej2uA4PjbtM0M/CxRPVbQqTDs qtFV2pskXOdWNbfSJP02fdSsztFF2TW4Eprlt8vzi6vArKZy5jKW8JMqRelNN0ezZhP8YyaGGpYy TSbpMliOlbmAxVOsUvz+XQhhM9m2CfXcW0ci7JtIf7kWqs5Sm2ZfCRmgCnzwyz11VrHCjlfQ130f klymjMZXrJLG8J1sCEc6esNjtqHukRqfkPvPWalQN31XqS0zCmdxDIS7e+0bniKcwm/eOSKjmH7P bTJfVJZa6PCYnWL+xd+ZGvUWDadRXp7C7k/3/MgbOI27Q/Powpy4uNfBl2NjlPlpfe5vAWfq32wp CsoCGYV4BYI/hoIQ5Uoa8qAcUC3E7m99Ss/IBLu7PS7rT9CoSVn/fv9Fzrcn8lZsQDKR/YS3sOtk LvS04BrHR4BUNQE/A4RPjZCg9h9lRJkEtBc+dkdLc9VTFtCDsUkVSyL3j7RE0WhA+8NXE+szFGkU MlSNG69ImbwaLhCoCtckI+6HnzRavorOdEGhGZjFIrYbElRSi6KyEfJMzSBsrlDNH1ATC7H1J0Af W9/QzKQZq92R40tVzcC9hqxPfb0jK1OWywsBKhywlRda2zrI2PD4YS1mZKzBj7b5+iyRtnyORd+b 6muAQPJfBukrwEy809ldohM8WSPXOWl2KfMF13UuwsdvpGOAF3hLCQt9LWUms9x8umypkWWiZH4d XJ0qfE2M4iywvwz4MAYgI9LIitYRufUOgYRzMn82Lk3vezvyOZaVs2qJLfOYGzh4/gN40MBYcIev ERCc8o3aqD5PaiDi2xy5yNykONVy0SwaBgfe+DJEEtG+6+Am330v7kn4ep1SsyrMqJHOwk8U5hS8 7RTnJInzQd2DYWQIPK8S/bQCdM37Q+oMlC1XmC17T3/JIaUYfh4IMOHIVY4GyA97dnirqsRu0hOx tsP7I45Ka7GlpTeHQmKX1mCoUinj6aOPYoDNk51IUFuJAc0HoPc4RY1oHOx8WjqGS/b5QiGvQeDs 1doH+Rah53r/C1VLo7yeYVihZfJ4Mr5rKApGhh+DG8/hDyq69MfIUYbfDUfM4EIY8lqqdb+N6L+e jj0zGDXmMH5o8wBO3eQwZjGHg4di7L5wNYgkIQ2WRr8VbbJHw7uZ+P5n91V8GC+xOX1ikudXECDR F4pX/WHgVUtJvXZoi3esDlOVCOTzzgfvTz+K01OU6uV4FMCh9OQZCblRhDR181Z3/biDVDXZlZXA RDCpcj87iDJGt9tDokg+0trkpb83932mikBozNZpvgt2xFCbOFLA4YjyJKZFTz9uCrv+WSTZZ7ye ZwnhUm7WYMdtBEYXz5cnmc7x+9XUvNLeGXTW9SEMg7z7T0e3ESdwjAozjQv4/wOLM8asYG62bUDl ryRBC590Ca+53OES8bHa395m8sHr+OY7hG8JDpybDaKhuOU2XdWdG+qpOMjjyS11R1Ketu6h0K2N msMT18B4OIKt/9NkST4/P8+7SWcRoLmivzimihL27dG584DY7WuG9OOH+exs9kYv6fk1NuuvQ9Vp ph2d8gO6FZDH1mlzLtE8TwIkNdL8MOdgnoHxizBBaq3BXEgocl1jTwhAGPJKR83m/eeHgyG+MfOn rlnYJ+6b6y/JHd7GIBXSsNwh30QoGw2sd1TgXxw9vZOykzCCxSb1P7cD/80o2TUZVs3WF4A0H/Jy L4pqjrEDzweyEZGn3yyUQKvhgx3Hcpmxyrj9H/MASKFOoFhPhSB4X9oGYktdWigYkQTSdvfyY1Aa tbxTHuHGG9QVN+s1ftRd7V/RFNbx2EL/WxqLKJLr+0yyXAnyAYMMA0hH6FzVwsdWbV8DUMxulLfX EblxCxrThjCsKk+m3ht6Umj5ASJ6VFIlL/Fj/lG3VoIdQsjGh4WyitOX2qHSb+o0BtXnDGZobzBp v17ls91mkdbFAm4lDIyqkXNdU27TcG74vqOPH3sTJhTplSV5ReiZRFMaWItie+d7BRbmAE9alL5W mFmW3+LUn7aYqZyrGBSXL+27XHelZRjLGTTQTpsQ9+5atwMQhQHTyUrTMUkaKL5g/l7YZmebrUlm JhzptuDJTLELEa9Sp0QBofOQH4UdQ06F/Zy+02R48kW4RwcH+2bFQzTFlhT5DDXJCNfEUGWraIVr 6+XhOSJleAMJFAS5T1xKyI2vgj3MSjaH/O8EHked9pY9brwxZniYEwKt9WGP0STsLUoENba3T9In PcPiKuPA0XWZfZzBdZf6awgPp8CpnijB54RN7Fzqzyg1fXPOFhxLYFGT8/SAZpu9oc86zsgzfj2F pBI2sZjtprEbQCVypxAeR9+HPxfYmn8D4aGPGIb61F/CbTxaVLvIm1AoaFRth/A0aFd7lddDfnEZ 9kjfAk8xAvOyflk1cie8fdp+DUY7O1Ep/BI/8uF6xPR9OarHBCH2QAXcQnVDPRkO4pQRuXJABE4N Ddmt9oBPoMzPVhwOiieVtSE09JoSLg0/+tXQ7hpj8YAwhcLH+hXn4aiD4ioFIhd28FbVJ9birY7G JaXEMyp5HpzvIdKXNGdtRiDxkfyOfJMy5m8YLNFJ5P63C2TE12Ka8NB5BB0V/ZXNu9xvraK78tKs MZ0xzocP//m17yCs9MnF7TXqic2BzHjinZJ5nk0Nid7dnLryjgQxe0x1mNm1sx6tCuRObqvWu3qE m2yM3mfR/w9uYfKifsCZBdukfAJbnjRVvIY6GT6NSreejaZva0X4nGCKo++jvnT6DjoQsvDyduNu WmgbTWQwcnC+M6qUJcGx6ZRinP1AfDLv8U9Ol64AnnjGI3gzSj255vmYG3V9dUl8It+IDvrITvNW 4Z7TXhMwz/CGuy9AsZHiSmdmdi0W3z9lUyQzX7Gbf6VO7m1wzaXAx4UVY9ZF7T6xrQYFgHJwr/Ht wh6Ik0IbMKXaaoXhaOzGXYpSp0EiSK2+sxL7vdNt0jB9tUyUWoqVpHiDC1rfp6CObBGXPZcE/zJC PZGtLf9DvUzRruFcd+IjhuGOufbyV2ipA2tzbDdbmp/lNcD9LThoXJro0jh0RtCRlb8d3TQBuMmI vzQhHu2WjrK9wuVnNMX3cAsmd6fNfVuI7kqZCk62AeB3s05Xv1jPzlrQALgifzY4yK/8jv7jHa31 cjtIfQxD95hZ4sZK8dNBTojGniJZNm57SVur3UFnhwz5k0DaVT8tHUwkhzp1CFrP8/I37LDeZzkE pB+FcYuGfAAJ9sgYVKNWz9fBwcX2K3JYd97BWTxf4SXn67ZWRKmB6ARWfWBUvtaL3fsvRwRUnBrq u8ui0+Bw+qm3EZGRgF8yptoO9VWAzJ/SKq3K/XuI/ketPHWVACu9gwlMU/hxvNCGIeE/w0gNgJI1 OTSb9zwMtjzNuVCWp6hiKjCkYvifg7nj2m8hei6kHB/C7LO9w78GHu9wxk7BQV8YT4aNI81ubKIL 1CDAeswaRcwyFSfd0IWFRUkDkAVz0bZ+G4VFl2yNgTmEcDsr9O/R1TyLNBC+EWxLujxatrdbSNLX 8Xx4Ga1hoVT1y8au0TOF53V/xVNDU1PWcWBc8qEMd9Bo54RyWoHTGGI7+7P/QpaUvxDinoTyBSx2 xvpV3my3721PMxNc+V8bxmyhs5hLvc7JFGuUe1HcfkLe+m/JjbImBXq+lGsIOcFA05Cw3t83L4eU WmKiQ8yc4wbVGNBnYji1+70TMHZOCjOOM5FCnZbn8zOo85dcAA3iYnIMBJeTVhWzsdTsPQC2uM0b r3R4JK8sEeK5kkgvthZdwfX045Wurf+7WvhHUVSD0HX6J5AGojkUzOZ0W8YkqtiAiR32muRdhU8f lmT4Mr1JES5xUnScWnwUCBK/4JBZjCmBID1Ly3RrgbmM3p59L79GaPSup3c8ZoQIWeFY8MZ49AoI k/fTFwuqb4LXx/IGIr6seQJ/Q+SbvmAC7m/hbsX6xE0iOyUU5DKZok62Ej1UgwGueaYp1XQXyjze igio4ZZ+9tjnBdEWQ4OUZFE8FJCo6uHrbu+lF6RK9uxXgFowPdlH/wWN9wsfwLzkfkC0vftXzxY9 XXEs/FtBIproO2X+8S3vmvWlaqJPKtPXxL6+m73d2UE22pu764XqzIRJzyp2nJpg56CKZRD7Z9KI x/N6g1G3Ahf/fjy1O9DpTc3EwUHIuHtWxX76lJ5DrbiepRB86SUXhvnBI1Q8W41MeLLDKJ3AOt6p RTBxGbpdCA3ujoyf/SL9GkWMP+EP1FkS6h4+9fO7HQCSAxB1ZD+hjbfZfCVm79S8QlZoSx3TxX9q xfB1TlVSvwcMtnCiH0jIsJ5MluTMgu4MdLvOrnxzOjs7sfunJe1dPHSh3RMN1Uuc9E2YWdVuLQy+ CEQtPeGwErBZEuze+6a1vLZM1eaPvVJY2P2GzFv/6IOiv59wJgkvt1q7UupxwZP8evBihH4pHC7A wQX8qWm//KTHQGVmsuTONWyB4adQwhIgeodyrEAhubeZ0t8r01ZibB4z0owubqQYow7SlaceYI4E AFYVRiXryr8dSHuE0eSqNB8FutJxS5vZO0sIcxdJsxA4yxSmaI8Q0wziBIrbf71vwtS3engNe8B0 jrTUmWpUiqSFnzhghV7f3AsOpbc+hJipGsN40tC3Q7y3E1y8V0MzPirtD3bKj9XzRUnAjXCqQktv 0BZ5ooTVIqetIMq47HMpv5NChpaYOEILgbm4xXismTDXZrWb6A4WPk1zJA/+xuzxUAc19iwMJZkD lPCL+pq7YCWDcj1pPVsrg5Y1j2HtnFZoyq7eSCvWm/txpyKZJKRZ2moJ1zNKl1Y02QRFum9EzC62 JHbzlRbI6sCrtOBu7LmpbzktO/3mFWN0kmwl2Op5M+QbijvqmvobeAxmdeC6mh+DDavdtkHSd8+s WE3L1r+qt3Yxmx2xl0pMo2n3KFGtI60HwyLjwxsYxD1FgS+3mVDCsMpUi/vepq2rlnw4/nJTGLgQ br2lkWkhvUYah+gjNjpqPBuAkeEseUH9G+No2hgxCd2G36b/00UTaVPRFcq2N/BDCwyI0hZmt31S xgL1yewVRvRPPvLU0GpAyzO6qks8J8wXoXN/+n3QnAhh05YsiWLKYaZ7Pn+yxn+RZFtsjAbI6mVL Vr74EZ96q9z0g8RkbbUkgxiNC//Dd37B7h+OXFdc08sf1ePq1LY4Vn1q4kVL3TL5x0QiIM8kKWuZ iBlNWBaY/LmRWIins2rxQM00RUY5y1k99WAlHAIAsZKeV6vGaWmbM50oXhyiHtCYNGTY4PgeDj77 xKWZKyJOX/ubzZMh7+rmLXaLKnPCl983/PC5eoN3YJk+QVvG0+a0amvN/R8+SbhkCBjzgtdBynFq 52EnL1PinafgaaYIXT97ND1e7hAvJoiXFnRlQ3hH+QJyHycsPX9kU2jbnAIA7+mmMf8mYTfutldP P5S2S/FQOVRXBg1Z0Dvu44NZirJ0VIXCQ9FyLej8ODi6HvUZwaAZ3VXCA9YpYncltqdfE51WE3al h/OEkhUYj2bHWT3L5NpwbWduBp2uEJ7SbB+Pkm9bREixyOMazDZk9I5bsE5KLEnhzKfVGY4Up9Xd haoNTwbxGJ64EuAGEggisQwNPD3nsfCN+tq+JI3Lg0KecpqSgbYLDN5JEvHGw2o8jkfeiR7m005z c0k/VKDEAQmRJK3xTNpGnAZSvvMyXqwfA7r/gxzabMaLVBtsMuPURRllnk6novL4mGTLzqc/pbST ZFDEPJmbe8ozgQUU7RUklurkbHbp1J1VGV18W/YTmRBtBJXI+jCLyGcIL8sVr2+pE823s/e6r/Z/ VZxE2s8Udh0VuNFKc08Md3qy/Wrjbnct7Fu1/wcdmJnsNK1HEa3tmAxw3pEJGN7Emo7Fe5YWb8Z7 2y8qZls50X5ucOfPmnjWe+CTEWmS1aiqB8yw15g/lqnG5+5jSrEyS4hgoDg8ob1RShYQqcc5oIb3 2M020yqR9mdo1Q+BMp1aDPTELWosMPo33zQSHteG/Q5YN4m+8bL0Nq6fB6e4NT2d1iRuwUbvPopr mxeZSCsrZmEQ6ulwq0DGQ/Q8I93ME1zndTITQwiOxcgu1h8L2NJLGxcAwOgMUCZ5PnP1qC7do9wt em82Q1JL6HTM30hvB8L/jgjomRH1zrjOCoUQOXNBdyw3hCs/ZqGDHsZ/yC0gizAaYAvYCzKYKb6W vRRHMnWZgMGBKzSN7kAl/c+gsN7ZbgerxbyPWXoE3r6tczlExwQR0LNIQHcXdrUYARhybAGEfVRK EhJs9R8hS0UIQCJ04SG5/EZEL4BVnJgeUoh9VKxkUk4JHfFj4fSgbLpPOLtkwkPJwNGKZHkQC5+B /ZfWSP9Niojn0BNPhBJw9FDidrA7fcYP+xJI8CC1NhULe0a3NUmHU9WD3aDQy72jDAN9lO+SdiKs 6y0AlzpHqWv2nN3tSe3z7m66sidbEOB4DUafdA+EpkEWg4tG8yGLvvUB/lkawbXNeXSvSEo9BTqU Cc0bFl1FNjtYgqd4b0K5Nzc6CS1GnV/pEAxGghBC07bZkwCoGhZS9qI8czXAH5ya1rcpVgBL7nxd Ky/uUbEAJtlEVm3AR3zwSOoBz0bvrE/InQFExyLuB6bNfBeTjXEQYHj80TH/QjlRdkMjCGcEFJIu Me9NLisz9B9Stw1r4vaVm1FwsgQfvdMFcz+AJIU4b23gdyZtgHfsw65BOwzcIdo/tc6TPkrXu32Z IdsI4sm0PyWRQBweXDQiF+DVOoSEtfNPHzTAEZ9vn4ocfq3u2ZKclx6kvD0e7mZ5sWql9K6RIDwV cY80Hafsbyw8NIY4bzsqZ8/33IEMzzkDFKgMuuHAu+IWQ6DrB+i7trvfFWAc0YmombsobaJyZOhR wovc9kEJsabSOIMKz+BPj9fuQO/0AiHBjKdHXwCMShag0uE0yirrMEeMR28TlD+YEA3hCBhKVmrK UVQRqXRh2EQV4PMxl1a3Ei03RwgNAnxk5mT/p451CmELIUyMjIPRnT50cq7IZUlMOJbPNbp1xF6h CmvF+iEJiy7k399iqqRfZyumf0nypae4ptJ8837/xNPbjWgtd9ZvpdVXxKQiov5TXA/duwuDUFLj fKL8EhRh81Q1SEahgY1hAE6hH6jrMzahju0vmTJcyWCm8VT7gbh8vEi0DU4CdgaR8bhoDyiMJf6I EoKz1oEFgaIwT/QlGCpNx8jMeLD3i0rQnGerXFddF1XBtySukDhJnmK91GD51ERY/Ylr2CKYipNy yrZOkA1QIYckcqitdaXCtufO94IAAH/+IXGev9oXAgvGENYc8wd/JtOtzOHrg0JUkkJ8GiNOvm4y 1f4n3nkytvKa4oF8Z6iVxdMlMKpi1jQaRIW+xo+Ywyk167N7tMtfvKAitZjp0iBkkLaAohhza3W6 QTZLZcCCRoWy4LlVjmihil4QKzQ1jjUO4aWB+lznnIUDUtmEW/Htsk+DPbkIZ/DrQUiIyXQfX1h6 Tnu3YGJm7OF5xocpXwH0flFGEEZVfp+oDvdxkT1q/ZU6rVEwyS3HYNSCk4pit6xZcPss/qUwTd+v xSzZMxn7d+jSv2Zvll288rSJuPtc6ySp8z8eR+PI6GtTOPMqHDN7EvteakmKMa86kGGtBek5Gizz SHqRVScaVZpUhvQ1C4qRumzntsjOwkcGSVs9PQyM2zyJzWPb/D304acoo5Vp+oXmK4LvGjOeqtlA 7dxyyeVy+6tYTRgtKKewFxaA5yu2zux34IBjKJJf3OOPP95FcZRnuFkW+UKGjZ72SP4hCM8bxvA0 b/n8mVv3xTyToB1o05aU2c8zcgYAr/dJFYH/mSnO4xdUPkGPvyLxxNzjeV+mc85cLW/Blqq8QY+s ORdzJPDhboIQfH2vR3NilfSuofr0EhYF3fvQLrkZdeyunVNZh/wAIMCpXqoqJJ/P13Q+xGBls3L/ +5gwtgPLwrv3yG/OqTkWirQk50UnOKPL91lZMBd9SCt7gmxkSMK1f4FhOvO4ez8pc6GtmdVvQBnB jVwHr3eeFQ/LyK0SiqA0tbH/Vi63rvQOjKG8uxO1SBzdx8YV8ayg8saXFU+2fejuS+kF5Xa+jPj8 Y739j+nfVl+XupcqHbmUs904I4w0gI+bhgDu7C5mKZOf7M4aPvmDP1ZwQzJRUUmlc9wY3vjnOxz0 HxX/IkARrcmeNW/T93K3pprj0iEsfc397FlQmgMi6G5pTns6b+lJo2/8f2i6xcbGAPUV9iomJ+oQ fM155YwD8km8kpgGBdPQwkSotBz8NmJLWR8UdbnwVgme3OpoMg9PsEwOoMgMFX+2K846Ly3kimLN q7IV0ccziLp2vNSyalFApNEd2m/5o8AiJ0VmHy+74w7iXU53UPI0PspMj5WX1ToM8XMHuz6l8O9m z/Ban/92hCBArQdW1WlNX4hlqbCyeuaksbxAKM6uNxTRDGs2PTHzTYKLvFTrq6uDceWm8dvlK6Zb A6ozRgEghOAIt8iRjrQilAdMHRtEURqvGYLATvCuc08F7cMNK1HC/dpZr3VmqOGoxM5ePg2EHd1S GlAH3YIFVEvPZMuUuP9+zbXTKaixQpxUkIagoPKBXU6MKmUIxXs4wH44njhltVw7Ny0rgijdgC2R 0kK0NM920iWXHrs59QKr/YjViFFpXcptdkv5LpEXWcSKgRl0Hh4PIyW9oK5aOkM/JfDqvtvSHK27 5szJ6GIl+TpDOKHrF5/RP1eBFCeevfUcPDriUB8uxO78lwDg0SzSn9gZZwSHR+8k6l1iitQVvPG6 KMWtVQM1j9mstgIKcyRzkE3CKXow8+4VDmq3cVQx4aPnq/vCbtpw1pNkcs7plYj+UYXuG4+db8iP caOokYtaHP2M5XgPwtNjiQfEf1Ko7FBiUHzYbTaBY8Y2BmEXbs7a2M1Azc4YfXV96a8TkjTKkLYO LnKAj7muWOJzk3jKAuj42RICD9KoIUqofE1PhnFWdKAmxhwvXcWkec3OGiyd/ZKMUdX8Nlt/iRSs Z4uZR8Bqaq0nrPJpivW+kc/CUIlu/xr9fZvk/xAxkS9bN6QcxX4d9bPQiuvU9vkwD4U+3wHEgQOV tnak7ttocDfhGvaJHHsbHFgKoMEYVDvyamvWjtzWDTN+5Ygfw5NBdYCmpAnl/EoFj6p4JWtdtxno Htu3X15YcWEMIOLxSpFtabUx19bnSJE6VEvwd02WAfbXladpUpCawKdT755++oc35xH9JOr6w0IY Yq74IwAlN6Z0VXMn3ErnGmywWdfVAJ+s1ytjSW0aGVHZlCOxrVJBRPiSWIFG9DbigyaQH9x3T0/m yCWQRn+8oOqk4CM0PyuntsGKfjGGHMkzE+22549W3rvnNF50Pe6pjp2JFmbg34cMbH6mVEq8beIS Ur54NiraLII96OKJc/pAYzQ9DEgcU8wZ2VlOtR9EYM0eieZuioVtvzFRyvNXvna9cfrcs50go2km p09l5LUUvMhr32CPUH9EWYhvZ8AMnl3rZaZePKIz37FhegEHuPrZr/J7fs+i/rV8uI2XAVNlT2fc U2DlizLwVLBQ6357Y5MTTT8NS3GVQ9157OddVq1pvg0bFkSeOPhgFwgpEhewtWRUzLBgq7lN2njD nVJMG7GadbJCdifZ7WL9iXIQgEhg/tHbzB8mwpsNBeHs5c2Pl/lIFFhuZJfnM2e2x0boYjmW3a7H ox99ugwT4nLhwdDqU/TE8OMb/AwC5fyjE9KQbbJkDxCflwJ9QvAQ+toncPKp3tgT7UMtwymE8uMo ngzOIev4tpb5xVUkLI1uHeDJjLM3e0zqWvmG38Y9PA1X4ye8NDEs8fpy5hP+Rq6UmlrdqXna5wBT QbODW82QBbfltxFqTNJcnJRT+HImCdpxbfmAYsrFnKM2fY9YbXeMkNmZPoNvhWBOf5difzpK08m4 +I0x9wPkQoq4v882RjI5M2VmYWVjVwXujV53za9CRBgLOb3C+wF3F1CVqs3VpH+KsGn1D69+Yigu 79SFBkTXfEatvbJE6lIg+y8gO/b9IRKyDmA1/6lZAo3yAokTh/qERQQNP4khx/vl89A0E5fGsvsR hvhRD3KD9sOGMiP5slXAVJpF9zUKQ5NDzRwEsqEgL0GDW6uPh26nWQ/DjP9zRYgs3CO6JMPUiXIq ReOlrSFWpeezfOjknm/MeJee9KpuR+u27tZNDSc7Z2hOT1drADnlX57hmgRgGkpP4vNvP+/YtYmd xrOM25BiJSNTK3NQrVOyDwkUPglPOTvCKIvIjeu9Kv2ohdM5v+Wa3zUWuaTiyZuRywpdz9/JTVNr /ct1Wh417F3JcD61BD7vAAEiJ28omto34YP9dfz5G0wwoI/fDLuh/YpA+e6NnWnmr+WqKFEu5mNK 6IHYDLFvbDiW+BxzZwQV6WlK9FD1tMX58UvuhzlIH232kZ/JH3LHLScszALATALFYBBo8DKIX7P9 Sz2179yq8auR4Gg+vSxg4ABRjurw7wdadnxdQpzw9ah04RS6is+UyOACcm8styzOHMs+90xoCI8g b8fFvEUnkQFa4DWuzp7hMGl205SLThUb3oYs6yVFLJJDtbURZ2WaV3KEvrcwaabvNqvEndgl5Sju 9EwO6gHcu82ic1aBayI99gt5IBgzzbK6KY2YhO5PM5R0n3IkuGX8VyRXBzeyaf3zyjTEo1T+ZwQA 370k2xj1sG6HD8bHWeQAo2EGwsSfVFwX8vEJfFtGOjhl4o0HtCi54XQbXO8AHyGcSx+StDmm2x5p eq/GPMDLGtNTZSzUoobjwceMQze9t9wvDHDKjn/apsvHKvlFSmaXQAgV7c2UHb3U0Ww4vkCnPI3D fC3h2s136VRFzOXlPZDfGmzaD+b8CIk/m6xipHzOxSCnAWsHIDjRYshhKyDqYRhwY2+21LaYCqcy I8AP21kbF8oM4JYeBzhE6GLFYAe8giFlxah+4dk6iHHRlL6FW7GLmWMZJuRXS5ovcZMQCXsO3k4N D1vAZOAFZPDTWmrGjkcbqHHf4rbDOrk2qJ4pHeu1vd1jZDSMDsrAqBIBdsz6fsdDi4kO0Txqh71r G2eBO7mDOLvhHiWKJADAghLsHzPsmCm787HyF/xSQ423bgCXJ0aTMt9dslxM3maEupsctq/gorkR zQsgMc1HtPEYeeHZfDCV7mDbasuLJiA6MK8SgiVXwn1wdILU0y0pKeGdU1GJhVjkEfB5k4XHGtkL kJgg9esrPiddIiL5XlV595DsZYbSEId9zsf4qPZ6eQjI82vA7qv8tu2HbxmZulBU0mc8eL5Yv2aE DD2imzc84fMGPTLwCwaBkxAJESpZ/4dGEsRnFrFIf71aRQS1GPGzoy3jL74WUUNVTYsaurXxqlnc 2cjjceflQjlEphja82gdTosmuEhVsAVtykMeufysTE8dq4uhn5ksE8VusaGPVwNluPUI7oQg5zJd WM3iimno/EZINoHft6327QeO2z8PKarqWzQ13+ItHiNgttWjM+5q1iAXvU+Dh0JQPNFWwPC8PVIC eqyjdR3t+QUYnp0yar24mjQ/pZlIAnEGeigfgjK3WxIFDz8kPwm1CCFmx/wmWx6dw4c1LdYvpLC8 mobXNyiCEsnrAknt651r9j4ckiXaxDiJzF7roBmHKHzO0S/AjCWD2djFlovNonV69fbX/fqbCyuI iFqq1/xhBQ6QpxCG8BKnxXiQlDCIxLJ73KANlHoHP+4WX6qZmDS9sLlV9pg1DYC67UP99Hcgj1ID Ns1l1ZglJqKIXLJ5V0iYDTMM0DAUYq5DFdh1DlhJA+3fx79fgq1aywfyI2ZE+/pg6p2+EgBZpZmz R+QWLm723dSUyE97aTtnDTm+jow8sgw8kTzLy662HC8yzVewGvQ3pOQaBWN3Fcxij9JgIHTYvmkQ fIowRwe+kMCBJ3dob2CKFAa3XRYf3iC6pB6b/AydbEth+y714yuDuKSpCfIFKP4ntwE+NaFVFr3g tasxI1dp9b7Zv7Cj6DGJpU6SCUwLhWo5AnUW9MSmmw1VXpdILmLKglhzdn2BVTyTemKrdizpPS0P dNrnI5yYwW0UHJA7EWulLS9zuqWpl2bJXU4JrLYBqXkRe6N7yGh7Hjm++EzyqlKDGQBH/BKQj1t4 z/pubD4r6Tm/50m4FR3DDc3onbIYx9tIG0LYxBlBt2gLVM+6Cp/d/O27h3BDGbttq4MISPlIhgXC 9r7bEOD6o18RoDC5V1r+onvaMes8M68f/vC1d90nvtoLZTPgMK0dD7ZM54lGjvPqwpHjU7sosYZy pCw8e0tWbAHFTLln7/QXDZwvBHBSy8cP676asuDqYisEsc0B7gpA2wBGI0OwYVDdOnMzk9ONA9Nv 6z83j2HDZNgzekiArij6t3FxdNQyfK49qYeHfWLYi3WHmavXCLra1zVSA5AVgqoMYyXCKZjlygSP uFHdglml2X2Ysv/aXQ5fAV7YaCiiu8uQbq2X0D4W0dalcMYnsrlOWZYr+JyHcqitkfHd28RUH6DY PImaVAVBpuwGIBHxk2zURE9DjOUMzuJvUfeLm54eMQFi6zujzwusyyMHoaBgn1Uv9guflio8PRRQ QbZlQFkXWoHm/opVadW0Tm/1KtdmQ/63Xzc/88joPaRznC0GYg7TggBV4bko+64khPzUeNvOCJvK AAUfAOtJmT4OysHyjsCp1dwOGWIuZPnZCcRZurbks9kUxiE23m2aLwRjO1fwWkTsLZrOlL2Jl42G loxrBak8G6k67VWI4ievcN4vgxJLp0TOPaMLO5E3pRBAdKuHCEYHsodS7njMyrJN4aA0y51j8340 XICvN4fVEkXH+xDfYJEGkGbre9R1L7x2bpEACSH7+ojXZYJWOC0/gl8PQ/nDz4ZMRa+Hyg3e6Hqr VkapEbgBIDqdJhuA3AcB1R8RXdkrGwSiMc7IUtjalH50rJVtHM6a/CpaXEzDfP29tWOci6a1HmT5 Xmxe5ds9rLRGFKb4jOzamuRryy0aKPRzfVxdULdftiN7jWum7hUIUC92ePoae/PSrFiOUODa/8jc NISvwT8L2hKayP4DIIOzRwktvXvTNvY87FZOzAns6xIFH0fzTT56tzn/3WAre1lQ23RHW5lqN2NA FPnhyYbkkvESvOoZhQBWcz9b6JJwRYwRdmNOsVWCtDDp8Gcv02mLcZqT5LPiQeF9TsEhmfTmB0Am Eu1Eo1hQxZbi13sgppCwqK4NA18W8LP4PikCA+CVWD+tia462q287qqBA/MHazmHoGmHTRopUYA7 z6bizFoACJGOEHG6Z088VwIM+0NDm1+noj5elRgCNpOfKZSi4oW9O8+9zpt0j4L/tj+4ixLfxzUW Nst4Pyb1hW09E88L8m0xqlCnJcvzEQnb+7azaXpTn2ygtv3nx7gXrvbJvMSsAfPBCpzJUQ6xs60r Adr2G9Qm8cs6Jnf8EHdP/7cVw7cdUh//HYV/4k0RQ99artfEq66Wse0BEgHfdsEnQMEKCJ7r3yjs Hw4XKGafuMYlkPhrZpO4QbT65AaDMaQWQ7RgOtZGFEJPSjwp4PdgWTEWspImhqlD2m32LWCiYQXX zIrlPGcLMrXKyLbj9fmtrE8QMNKQbUvDD4ncWHHbkW1kemqyvIB51PoOi6PdmPNsSaScAm08dr1T cRRzm2fBmSJy2hIcrJ5ke+rg66vxf3pnMiPfE8zVy+SA8ft1PVmqNrJ0oYgkEwhnZ5Hq5/+dg10G jmpd8s6IksPkTIAJnH63NFxvqWmUJ/BZ4IR/3THYYdBafpmJM6IyH0x5/d9lbZhRWxXtBipBq5EC d/2Y2SKXivfQjCyY3Q8wMw+J5O0WMOxm+BWv+fDYscoUpyfBTQvPR/lIhOtMdSo9V+YH/9iDcbzr JpE7BbyD5x/5Y862SHYLxCcNOGyY83z4F8WYwpAtPgoymaLCoza1WokI9clKpN7X5HRidWaVmVR3 sVFPJlU3wT1HwYH+oXm20zAHl9iMMEnLdLD8OnlZA3MMJgXxlwh/gF4f/BnnbHjuXk7gkHhgHmjY L6VT7O12VyxlUhogKAvC5d1Yw+AoOpj+1ftSAICYlYjMGWCxtVEbjATMXTTdU0oM9/b8b+LFPs92 fN0b0BeSOfdfWpfeOrXLqjFJCcPgr8sIzgdoI8q/GZ6QONsu2JLA02iHUO1LzK8OaHpbinyG973N tnVsH9JpJDEeOz9I5UQN8gfMvlprfoAe5oAWZ7NlIzprQi9S1cyRIbydnZx/6ecfdTfq3ZQmwBYa Z87li8iu0yy4p37p5aaHFwN5bn1zVDUYGFJRhvOh6Vhwd+QTLHNeO0suSFRL1H+mtoB1BwRSl5mC e8+BP4eqL7qcnU+wSEbC7eBHjFjT+zV7b50toe9VgOYV3wp+NrGrcvQGZpTpD5uNRyUpvn7AsqoM BDNFJfn78+K3t1RIm3/6ibqXN5sbv2DcOLT3XrZLpinYHU2R/cpm8yNlexZcK+irhEFWSrdwFIOq UczWC2cNkrRiaXGbhe5tOfRCzhGnp77SBVKavduyJyXCoBY5Iuur3ROcRy4132LITumaMHxXUQtT cUTrSpRqJh8fwqk01evZq1CTLtHDJTaZ0kfBp20cY6qyua4k1yhvhCO7mZsRVV7D5RKXBZZsMT5+ vU9k6WRIhmZ1uTU6oAt/jciV+ksHMDFAptRvcZSCGksNT9JSSZl3C+9i2fPxvi3WyCbw+dMxBoP9 scWbYEcLsgAM6N1YqzglJRwA5+R/BaavKpH12vDw6NpvkMhNJT9Fy3sfWLbggY6vKmnLnaGVe7P+ W7R4stfJc/CxxxXkPkBwsR+s6nSoWOOYV1Oy7jkb0Kv0dQyEwtIc6iJ+IqZdRAF6afq3HV/Dtnyk ++hfbOZAFgjzNP3EpFCTezFwMf5Hvmrj+oJyYI5mwKeZ+fjER8Xf6r30lBiy8Z85GKRJ5FIgEJ2D NNdG3sh5ulc9yri631E5EtiSG5UvJbKdUtWpHv/+BVg1509afe2MocIVYRDiB2L3U1hIduX1ySsT lMmSdn3qd1uFqjui5BKtaiFX6Q9NvaOzKdMvyHcylhGDIKmuEuV+Q1WOK682kUvpgk5XNj5hEj6B LEPMeAr44q3+py+CsnpkAxYSdKB9g+E9JH3DCIDL8GNA6ZZ5GKlXMooZGVkAh6pTnsvqChlP2wjk gIfLS9dHRtKfasNq531vfc8QP5/6s6PVscVyKlB6J2QznxJgK07Evq4kIKqseXZxy3QUo7AgOy9K q7d2X3K6CwBid94yFtpzhBz322/Q5nCv0S3VqD/Bi8ThmARUHeWos40ZDFXWYfl8s0ugTWjHMffd Erzo8ekej2eQ1NoMXJz8/LXkT7qDw5upOX8mUQXp0gKqpsA/HTUAOdba2AB8hO9XFtmskLdYqziw 4eKE4etkO/eD1nLMiTdZe6VHPmZXqnytSQiVScm2FN9fljAEnKXfCYXacApuGtKiRybPmgzbQfcS F8fhtdt/zHUNh/3pvz9paQLZVjaMCffofpcWgiQh2W6xLF5ZwHu1S75JydIFEYYLfkMhKahbO+62 U1RgwHo1CfXnnPdg+RcOawKdEaS9EU6X/JcAGn4EBAiDDZ/Gzcq6CQx72eV4cqCxzixyf92KYVNZ m3LvycPp7IJnin98qYJZ0JHUGvZfSOhTPNJRN2leuWEvkyQOVwNQTYgYjC3bxiFjPhZYkR1wcVf3 gRVhTbUvfyOi+y7vTMRGh2rQ6V2x6rMZcp8tdWAv3rOE3DtmhZOaKxSRn/pXpZCFKLIf37vaiLe+ t4kVRvqFrgy7TYEPn+fnL5RzQJfdy8cOTUKIqlXeWQyF1VZMKH0drMUXYIJVzT50dHCyMj7S9ZUp ZjEIzNs7DU02lMxWcU6MhNM4VMGiuit+eqL5qWfD+IGrhUG4ZXRGnSn1ZMCtZauMELRVuvBQQmV/ dqMSHnwoMQzd2ZvWQDrl8AFmW4BJKF7wvWYanZXj3njJuScVaqPmcK4xxDcV1Mc/gZREixiRjfPo 062qsgLS22Q0r5Qn1JYrlmLf/izOOlFxVK2B5pgoCADpazaidBgJqy397uUuHZ6EfZh6crVhct1j AWTze64/2Ps3aCCXKM6VRWitF9VTeXPSN71xeEAFgwJRheAWuuKHpsIB3ITxM3roTAH5B2YZeAoL x4XzTVA48Tv8jwnvWl2EoekJ5RiMZYQ4iGKSZqI0T+jHrz+f0SkWLq63PBhv0c00ydmVLNdX7FIy ZzmshLr+rnlYCLXLOZoRKFaGlcMDQMx/q7Lm5/Yk+izEiHaaQbgT+ob6amubKW8R6StZ3BRaPNC0 DggKBSHGJztr//vcHCYgjz3YRZxbT3txs128+CVomBWp6pIuzfXvy06ynGbhx973Mmgr9uPLvhB3 cR3y1DYvRLHrGOunTiI5LwoJDnDWsoHqO9+rO3ukFCSpWxUOXTraWwbss9+uvwbhGguXZiF8LdPM qpumX7AY9vfyxWKB2GkLHcrJ8V17QZROvurtxQ5bTub9tmq+7Z8+hqbuV/+m3tZdS8oVm+Ys6EhT OXjHs5RvYmZXEq1Fh6bB8A1gahETQSSCq8be9Oy8F3tcrLttG95gd+hNV3ShhFSVIh6iExIxf4ye nkKldaE9Zmx3i+82x4Ackglrp7bv5lA/NnGZSkVt6EbRC5S8aB3h8eyDmfdco5gk0j7j3Ma1fo8d PrELBaim3o96F7vUB9HEpG0hGPBFN8RCMLMGFB/q/Dyk1mlv1mCIxLOSBLYS1S9AD0kTgSRFEkbV uTcfARsaGdtB1Tg3Jcxy+RH2KDmmU7tdIHNSWh2Ddc3uvSpxzu3QRIdw/ODmyzssy+S4QF2GFAo3 sMngqxc2o4oWO5cT3l1XO6eMysRK7OXYWiYejVm1HD2+/Fg/g/T/BitrX6TAQ4j1ZcbnHA0Q40Tj h+yg3rW6GY0ZbidTI/dBkw2Mrbz6zLxuFEfpsHUemcPbw9VFI3bMqoqX23NwGbhHjYCTrzhmvlgW YowQwzzn7m5SKcfdVCxRemxJOIUVlaWypGyvc/jktJ1zN/Rd4C38/uceqdN39C7UjJS0pda2832G /x7QsRLdBgDgJJospFQNF7hrCfU1oTn4/MGsHcnHA9SBdQdP5ovKZisL5be52Qpow1y0HPO/Ovdp /Ve0wjWqtFLIve7pC5oyFBsLURdz23+cb/x8Mx5uXjKGYEUu5+5C1oiFIYMpg/nJPoCTMC4KM0Pn N07nJkq40ib2J2snHWoxRAmRvhgtaYHnNGgLOFmqLWslv1Yd3YDKZ68haap6o69b588jvzGf1wVE JcTzDsB3SFeW0LNtAykTcEeQUCLrpfp3UzNjzHkj5dmGp6aX2GhXb/a9X5CzTAyGLsCZ424I8ISN u5QbQamJnJYpe8YgIfj+vl3VPVDlE5coZAqhOmCU0W8WVoJ8i/JiGA/Q4v921dwiVpQ86jdRhm0Y aT6m3TF8iXJ4zuUNWAPLIxjjI8XPWFMGRP9feVL/iinSmAoAlsVGeqpXlOEHQHl0lctxDbSfpHgP LDoxJjtd60PXF7boYvjp1RtgtOrGQFj5eRp48g6pdv9jPo/iGblNDUpTNAhng0CXHIwX2w94q7Qh YVq4ZleD1JKxPqojmF1Iw2cVE3yAlyrbsdXBXQ2+2LVraNIuGZ8VGI2E2bmqNmckoGTpNOs8b8cr /OHn2pgR4JG3i1/0q7uWdqnbLnhTq+cGi58z+8aqReQMtV353K7dPZfsaiFITbCvosVD31jnQAG6 JShGwQmN3AZgD+TeXTeRBOzPV6M/dv2Hcrz9acMVbNxZe2Z6KbVfUa6kRgOaDPvt0yCpj6mvNqG7 q52v2tvnADj+vnj8Wqyt/b0AxVQ9KsR53LRmm5MrZaE7LERSmMyPZ2HXYOD/Gp5NOdFSfn3YytRF j7cHJpDIgTvNq8QCslcZGzx4kz7D39/zOIRbkAfzN7E71QtsrTc9omWkn+az+ehAQoyvOoMWOKot 8SuHEkBvZxdInFMGMInlynG8YrX9Hz3oyJcavEf2BNcx6Ll0ztuWVkpJpNmR9g5h338K9xJq7zdh YuyuUPPraQmrD0NibFVOc5f/7iO3vVDN9BFXh5kQ7YcqfYTkc/Cab2bVelF86ITY6b/fgyQf/9vJ q+oVk5b8qrqpEtUGYqjLgUjLLU3UAIu7sbi0Pn2h6DWmFq7NyLT+pdTCRIOkMYFJohqrYA/fyg0T hEZ1AtVmGAnjo0gO1DbYN8XZphNFEpUQTYTNvEUM37/NL44oylBZRqGWux8QEkoABAXKcMkf38nZ zXAZikEoPcp6tfSNmcAfjeebI/piMZVSkZ9zuOy45O6OqbwpGLDLyQFtausTS6qXMAVSo0B82vX/ ein3hNSLfD9EtD+JDP2uH9XDl7refJNf9KUfuPJUfCqg3aJzrCLPcgnmOFhqtL2iiyPWDJKUTheu +ex2yFcZ2qXMuD4fNKqrXUKDB3A+f7DICeKU+8vRKqKgRQUm9YSZhs/dLoVDmKFdSU8VljH+qS2h Xoft0UUkZCYNxEHR/1l/WnZyyhEbDnfPVaOMNxZwH7UAM2lXxqUmUbDyVnxaVxPNcghgHmgREv3d uTwLAVgNQrCz1U56DGsiBLLIFF92xGrmIi+jFF1ReH+RcT3OXu10DQcXpJST1o/SGNb3++SzXAJz DJZIEuLb4eNaYO3/b3jT6vdsb7zMIbUKD5ko/pGxW7ny5Wo3PKWD6mglEISyONegQxnse/ATGnye g4fHPDvtIUSRIRn3TSl+AJZKye4KoPz+ac7h5Yoc4S6hAF9MQp8rVS0ewFhzz4/q48ywdly8pHge Sp2n9x5Ld2mZq3/NgsmFUHqCLHT3GUceeSrsmIGc0Wc8hO8c9o1ValFY6uIakikzALtsszjO/epn 0bwhxxjk9ibGXflFb4m21587+l5Xr2YQw381ptSYkxua1ITYWI1BZJJEWnfVVtbaGdAHkia7jW8P 9hXTWR1j1TuD8LVc51NcYDW0s0BbS3McGLwHAehLW0m1ee9Al2JMDh9+f7RB5Mhx6pGYXrWZ5kA4 kBXAGf90vwYBm+I9Mw1KV3ZURoIx6vOF34OjRSEAzjwV4RSyoR0zLLJXAIdXfkDm5kQsmSTQPapf VJ4300J0ICL4nm0HipD6iUn9PS2YP3uYyZxkSFSrcwkCx/LJwyUma6um1IXeNZX14CudcuEsc7py jr6MRbWlm/Jol8+GI/SlSsrqb3eVp8gI0gOo6PK2pnTaLaRSIdNKy6jaQfhH/6aMHEahDvQkS3aq SPoUe+F+WY6T6id5tuJjNMXTCuKVgIC8TAtgw+dbYazyrPNJQUZrbh6huLTa8il9LgjrfI5JgLYF Qt6AlgH9pNOLV65rPEpIM6zS/+RChL0YFPKRyFJZ5EO6ZUtP/z7Q8y2tnNR9y1ki5cl3jxTOy519 HhL5s2XmVu0xr8iwK+I1sKCQPZ1p5suIUHNsNJScndKKF5CWzjX6pqWmES7NVsoITZ+QSnnlbQls lTR+7Gg0Lo/nePxWL/dc1DKMbAxrdqneFPVQK+YA7q5Y6zWPLxbmtdGVroCCkvIGFWl2XtPjP1+9 WODMopeTcbhj1XFRD0M0YWHM6CoWDmHNRvao7jOvXzUgnxCXPg0H5GandA3Ix8bl+3QlzUSkQpd2 WXqCkSKagL12A3cap3DDXMCrqa79TlbZIRN32iILptfxDA+0PCRiQolOdiukEna69vkzv+Reb/ww 4w4hsqiVgYicJDt0lCAsBf71Xs/UVNuXaUTBy7ZPCemsdmRxFaGlI7gm9YYuKN78U8O2ozaMLLHQ GEC9SxV09xeVJ+OKQvZXTIRZmnv0iga3uSRskTBm3qBPDX0OpNVXwAUCi3BORqra91LSIKh0u37s lUDSB8Khl/DKDoS44d5dUmoeGN3bqshnmGDAuyiIj03Be7oBNcfi/nlBNxhfV8IONXD5oWdJqO/k aHPlN2ov501h7nDci+3MkVRZra4sx1XWOIfuYI0H81/QqsWRAz0v+BlXg5jrNWJYAAY1tCGzAwF7 EyKQjqy2/Qa7+PXTFc5x4jlYf1bmmm7u3N1YRzMqcZCVrrz94dgfgkm4S2m5r+3JZ9+RzYGFW7uj ZLJ88oYUQPqJ8ZUgwpY8giX6KW9ISitziB2w/fgCWHggym/1L8jMaHjgtPOipgeR1EvaiciaY7KZ O9cVLTZKu6yIiPWIg9sv12EVsZ4tni5odxxNbhrFAwQ77zNcrqwq4ZoQ304j89s1orxhQrXqOt5R TX69pter/OthWokrDTCIACbkXnZy7nfdEAoQ5uW56P2J+vFLOeCgcTTfQO1R0O8qa1KjM65eU/JF c70DBS4/sEyWI3NOBglFuE4Xq2q/8kOz+Ol6aKeM1Bcc+aF4fr7Rr7S+0T1M9uAQuN1gcIgyEE0K ytjoxsUSftUV7dBcfb5+XU5zrhSI8IpBxebTIh2ADIpipu2Lopi5+eKTtxDj7w+RgM9PKglsxGRD 1Dq3OnoSigCg/ULYy6SB9A+dqaQjrJpiWl/cSWBqNLxST9ZG6ss0gJ442zkn/mRorHUEC3ZWw7Q6 OZnvKQEKQ8qA/vM82loAitxujvT8Uqs5kg/W3SvHlM3rj6f2w6OM6WVcz4nMEXwOK0DB71hQxBLA 0awuGwjiptn3SP3ivnkLEgaiGyzzOpJKKr5iKFqxoeci200wyoBzvkjHbtnPhUHo3JxEYQoXEE2a SCnQ0sbNSYFHu/Fq8VUV2QcniA8u4ITrcLHqIDq4LsBtrP/+OYitkTgw+SDxtYrVKW9xy7GmXjar KMBPxMMqY5QHuQ6ldfJWR2HABrd7JMnH111O9yRC6ZJO/5k+xRQOMshBbcmfemWz6OeehkQv3dDl 0HwPrZSJyVmeYmF9Av+L0Gz4C1PnN/SaW6VWIHXJgDQ6bZgaaLNjzglcq8ENctJqbEFFSWoXVYCB Pu3cPn8h6pCQQlZPT6WIrBmcldnGFLZyShj4ftLRd038Uc02k9lm5hpol7LECgEGrh3TbOaMpUKb 4gplTKNJDrq4FhrAu1H6AB73XIjI2WhEHbPHP11uqzyJFlKywDaXjSWeWWVocEbxKPEQabUC3pm2 nd+pAf5TvPqKbTiHNQzEsgB0VkjmlZH65FunJUWe99nB7ga+VnLBZ5UMRitli0eIj3oelBrCiDM8 2Qi5MlQyizY+jn10/lOkogLjzfCfml1/y4bxgF6wPFJyJ28c6qUtjavtiJXEp1mQB/c/jfORlm8q nteiykjx9TrSd+vHcSqdzt11qoNXGAMk0QBgKTQGs00wM1yDUdS/7apiUNrBdraAifOmih/hlNbs iMG4Y3uodQwjdkf1J05tfpEGZmpYTlPdwBAzR0XMdSYmZBfYVCjS5FgzPDTcCdKXywYmbYg/aNuI xiGO5hs40QuVNzT4XIVa1orTS0zKHt96Dv3z5Dvt5ihiLe0P0qf0CGWboSC8ujtLEFfZnF2Chhuv tsDvc2l+a9qktohgI/9mKXgy0kYs9shNDprfAtJTPjB5MQSvyK3LPv+TUCNr5z1iffdJ2SuFXS2V 6rvkPsz6aofXnSuFycNh1hGkXS6nAdR7L/mKzl8J46WFbVyE1x81fsnL3eCajFhpROlJ4dMucZd3 NPu4vjc8qOhJNldtCPLRiLqufr4F7kX6psJmJZTEXP/36e6s2ui6319N1GAG1M0UM/gmA/NiQDCn OIPfD2aVhhZQQBl2F1iWm1gZzrtrFy1cdGcIwhnHnPnJF5ZjpUmoJfyaXhyCqgpAICgUkoVljbLf Cr/wVsQhquVT9K1Pn3a9eelWQv+rrJxr4QQUqM3X+v3DcLog6m7gKvUqZF9sUnm4dGh8nHfw/wSk KDUZlsb4LoIAp7u5rklCMBKkE0Y4ajLKuHk6YdRzF2c+uL87g/pmKc7dXlev5qWJtS4Rlm3F6p8j MnVJ8C0iwsR9JpFdr26grbdDL9bURU75Pmybos94g4wwhexbJiAaySHsG7msZdXIljL4s9/8k4CK ojCvQF/xohsPtgxRizSrSJmK8GCpvEBU1xG81iLlPREOcStw/jpGetdDfrxYzAk28aIDujdWwWqA /F/7ijrgtcuotmk/n4bEFubkEQWxul0Xw+3q66eBSQHPNuBNsCYg/ZHUmQPnnITrnIY0pYn/IlAa S+KLTczg+mRllSsGqCEf1TtuUI1f85Crpktx0Ooa/rxw9POmc+aCuyN3EIDzft52Oo8FIa7VDHL8 oxrAZQ9IBQAPhX8zMcnNmWHuV9f63UGB2pf+SRDlTETc/+pIifNjrPEA8dvMxsNl/EEZfnzq7Ifs 4FH5SrxWMbPyaZOG7ivl39RrthUt8uTBirA0+48P6EWuy+MkEI/vM1z2vlp91ynGx+13veKKAiHv MQSstXV9sVqC4hxEA6UOWRtP3gAPBF+GhdI9XlG6sWyTfpNVhO3xXOr5sUjXWGnxf09p38HjU1S6 LAMh4M917Cs3+bKvqP4qBx+mF0vhDgVupeiz7KB1f3558AhVyE21yH+nyHt0oU2WN9h47dwBEL7Q MFm+6hm/e48bF+Sba5HUeZOjY1irEp6UgQV6MYuUVkLlYTwmS9EjECl9qdQsumxil5MSF9zwNeRv rCEyFj3SiV3sEqBbhLGb0Of3oUxgCXKEMDxpSzWYNPmIayyzQstXOcqd8u6LsYf3tOJ54CPGa2Ma OUWJY0+b5ZM1nxHbM4RqxG0T6QmnrRyA1sCvjmSmLs6+Fm6JN+Zfxw/3p5ciUE7/XmKhF84E7G3t FGZSUf6oBhIiBUTRQWecLKiz0IMMI+/qT+I1k5208tBGiXUs6PKVJSWe0PiUHDYvRMUGlLTr6Baf O/fHv1kYgB+FvMDSKVgHjre2jSJOvCLnsa4uzafQ0258qCiWUC827Dt2H4zEo6Zoi4yGf5B7gFkj bt0WJvOuMxLLpZNLW1HRZ1wkoBTdwyOPlA9Y8t2psayhUBrjs0Cj7477nLOFxgkPvdsurFVVvLmW WFsbOTtcKFGHQtobKKbHHOpR0ame6qXHUIdIF3sCTHJMKkmK1vcd8O17Awi+yFFH31a8NOH8mLkY BzdoZ9NAPjgIHEDMM4JL8AeWOACJ+Tbia+ToX18tcvHATbJyBwtjeT9oyFOl1SJc4pTVcUhhVGec 26YL9T6chzJiBM3RpaN3Dcf81zzQBbGh4ziMKOx3WroGVU4ugd025N3WvI8dm8cTqL1fVI+AUa+J DtKb2Ly9TNryUO/bJPIxL/BsGqOojUGeRGbhloN+h2+i2Y6o9CSvk+O6nefeLtvR+TI7P8CT4nnD L6tz17WtrPGqM8vqcB7AUamaaU1ozGKh9Q22br3xib6oYGrKwUvB920uyadH5P5M0+OrsIdIhm/r T8eCdvpSx+KQc2FwQAMo4j1Ve3QkwhTRxLnmYKH8MucebSgmB4J+M7mlpYzoYL/l8ySYFb/CejRR YnmPl85HzHVf+Gdfpz9eEYDNS3Q3iKzbuQf7/O78bL2z5FMO8TH3ia1Nr/3iFWTZSRKp18HGfOrR /vrh8IZ3H0OCske7T29Qcpiha+ZFCCC277Let86wpsghimLOdZpWCWVc/hBaLPNCRBYj3RGJTKL4 GhuZKidVJ/2Cmw1usNDYz/bMRvmGFehTykrEaVnijQ1/+ciov280R6Xgd6Wq/fvLtHFF15X9Ni9F eo6se6oe26Jc3CMi5U44rUZYbxyL7SAi9ZZBF770FqGU/S1bngdmZyhwPLAusvfsuQi/xRVFDPOd aa/ofcEY3BcuFCTnwZIJaeU83FfaIN+Cz1yAY8zSUTtBgOvEBbygbQYMc/EbitJxaulECR4kaATp YZ7SqCggbVizuNtbgVH8pwqXP/3rPdk9c0ATyWSuZEXX75vOY0uKcq4BblrUJvKmvTOGXpGU1tCk Ds3DEf7+0zt83syBq34xcHmLGUX8DfZGZmiRQbVsR3WQYFN0C32ydLWtZgnDpeUfd/XoZ/oZTwN0 9RGJB6bWpCud6oKcuZeCKf2WTkYhPoveTgPDpKMhq+g3QsY0priugBjwBgnjMo2F6wVdpC1G1MRx HlEYjolNrxcxnIET9XIPMiAIvXu8A8CGfb8fuJahenR0JpXuovlPyNyPYTbYuJw8oQ9wgn/ZUz97 XKObpwzPSJ1NZl+7IKRAsxs4jr1T4kUIU7kZjAJjmM55tu1Wjp9aBuinz3K6NvNvFEOGZoOAob35 vkOFw5/37jWlnnKDnAyBqnyVsz6gkBIN65H+4nqe5zzGO8Wy/I7EFt5o4BaAa+pO5WPR5D/45z/j peUgs7cQN54aVMocal0pBcZNp2AROkME/+TTkrQ4p8vrzNFZUPkVvzOp+J6lCNi6ic71SR+GwLwA UqU+5YBMy+6PDhVkSTMiYxNbU+UHRrJCPC4puFO3oUnTwXnuwYFMl4yy0ljxbWJXmK6gxLq28vfb JJjeRx7Eu72CTj7tuiz260uYTY+00OZCX5LEVNT79YWEQat8MO0DOWgZcuKDaL5UhXJqTdJ+vq2U X9wxgszhunQ44VwezpWQ8hxUSOBMmTZGvFH0RFZUnnU8sY1HTDYwRXHULg4DdrNYeUBSY271U+zp QIfrRxASzl812j2n4YVK24WjXUiQ1D/fRVgV2GE/kA89UYI8U/h9ieeJIHOMUQmucDFZKqkT05KU u7LC65Nkb/DCGWnmWKauz+vx976TnTxQzW5fzD38f6bIKQOJMTkH54Fu6zvrf0Dre9R0eqmZAkOu vYQTbdc9nHhLFEGeXWs4stdQPtljcJCrSkj8WX0FK62kKtyrKHUmvZUWZq5E4jX5G+D2v0d1KhBL UabBI+AMcMNVAYL4oH6aGs4acVDl+msUVzyug2DbjXkROEiCkSijjY1ClbxN9G+TYQ7OKEdqo9Si WCOhBswumfw5s72jCINQK2IqYMwy/RFl1PkjHVLctG/PXgv+rujhWcxkZo9A18/U6VRxYJGiVL6w H6cYFM1m08OhULdWIc40Lji+oyNt35h3QolobomicPs8Vq16eoTUYEbxGPZy5KGmOpgU7nyL0xqX EjNux0VfRs2AYxq5v5uPbqSCNNfaZEkzOsbjkzthZrPz4ZeR/3fASk6figbsgIfA0JfHfDIgB5lb O9O52rcOGTawkFd92j+6vznryzf72IgmyQAlIGBuoNKv5cBk7Vwg+wtK3Co7K3FcM9ptn2lf4AuQ UOvLbBVWj+MmvI4r4wYFGZrns6zAs6kU4TQY20EHBwJHp4pgr5ZgqXfQh6PZ66ozg8vHtGTWjNB8 JcnGhYdwpSIT9sylhd0LB5VnSYuISvmNcAt3IdhksfR/RfyJ7JkNVXoWXFcsrvepgRFg1YDOl1TR kv/pvkfHo6aZjZdalHJHCnSfgihskR3ka65dnNulvUhgAVG/zArIof0fdegtlRpxLMX/Dl8cA5Jq S65kqpp32Qxw/ePO/NDc8j6XCIvNVHNJ7qHGrK0ojwE7t/SMiXHxtywKYuTcvzSigfjsNt7Gv9mu Qe6iGJQPwhURCHvV6n9Z5S7fX0lHL0xh8F7NLXiqlO9xuw2i4LcR/Bln84O/nnrvEV3POZlfE3LR Ze8A0Qd9ihnFur8wdR8gKxbAUSw9X3r05/RQfe8rURSPdJQ4u8diPflq6hMh2JT5eqy0Ve2xE4Lo C2vtLOX5H/jb0/CkT+uX2x17yUyei1awCJTx2eXhws/06aufYvTRBxE8hSjzlKHgNuYAB9u8ZCOP oWTFOxo9RrrXQh4oYVsFt5SvdZNpXlr5kApoDUjRSf7+6zE03/7Adnfs4wHkgqeFVc7UhbanlbEz 2FVXI9g8100bgv41MP/Hyr1qR7+QgvCkUl+zlOyG598Cn1afeRqUYyOhU1o+3Mzn7jARhowIQcPl Ro8+XanITKakssCZk0As2+trcA5egAEnOB+/ifkanqjevDzSJAfJrANxy8TX2pkkOowbE42WVJU7 WE0L7b/kXOPtd7l+CqR+kcm2lfrm2DeOyUjqjZE5Y7kXUb8KIIrsOCXNnrAyafLeyCe/RQbUK2B+ n0TmdrZBJCCwwKzVRsTp/3lVF/Q43MKzwgf6mjp/htlJ0aMj2VWk6iALXEPU3OZ4oHfwaz22Uvef 8+wp7ZCudfZFTqDEdlO8/EoWAzNtGnVRut/d07qiAEJu2ZmXeirmvFn0zP97R9tRAhVSHngv4/Ep egeh39YZ0H0uK+bYCn+fAHPvzci/yzfV53PbYHLAv3fMS2WK1g1yPZckbOfVYtGdGIwLKhGDlWMK hEdLBKeCiQJZzR8xf4YzOtuXWs5kHcVCvkZ/9MDAJzCzKK9O0UBNA3Y5/cFkdg2nbwm2B4sem4jm gaMUBCyPZvLRMlH8p6u1JSrWUXGuFGmPYHbk9KEhF2svaq+NrrAgyaBnqq989qqHJRshbyUG9ouT uNNAnLzFWzzbBV/gkMNXOiORNqb5jBorrU5tOPAAyk5qsHSM7WrTK1OZ/boXgXdLSYw+Jj8etuUx O0Q73EH8zEeXXaLGcU9gIIhCuNurJsL+EQ9n88lx7hheduHD8MR/2jzZkb73jY6qeQkdG9NiZfL2 LUzk7dNYlwMewTf7/j9uq2j2NeiJ7LlO87e9XCHmtFkMo/DARN2ONSFwk1X3JPoaU3I1rSBpb1OO 7DXyoGnNheyVJL+6ofkKSckcsf1KX8Bo1RQx6nma87foCnyGcaxS2regRzQKFa0ThhJpY9IFkQbd 2FIqkIEaGZURxpodtro1vluMT97w91y6rhDyJ7URJF64ZHySbWW8aaBtsB9cN/4gCs2i7M5GpDDt qZOszfyzWYWwhUKR1bRyfs8lxrIYxhq0Wbt72Ud0sDbkaU+k2qJSFtAHET9YwLmTLIvz4JqE3+W5 39gjNFCsTJKe9EKfXExNlYBeo1RD7TAnmuhEUVvyylKTD0gDW5twf2Q//hLgJr0nqyoltCBz+7z2 N73Plm/4CkXMtBTNKRFopr1so+xiphjZMvln86dwWj6m4jyn8AfO+qqlQTAzxIIlk/t0zPwNJ+w5 E0Atr7+l+iRGpAe8fZ16ZSk8Rm9ge6tVYjqm7naNtAPYi7tFzjj3xJh1Z6FPXha3Is+UUtvioTHu m4qbHAjlWd/aE74954Vs7sntLoR/RaN9yDLbMrI+VmVwmzI04A4jFXN+Ogm+le8nDUuYqDPPo2NH ioqDbVE/4SYeoUrISriKGtjgjBTsMuWhUuiBXSAM6nXLchF7zjIidhhriBR2L7nykfBkPBKO/ybB D/Kgx47Cynt204Fa0Q+qkk9ht2zrPolzACnsSo5pDHLsn6xxK9InRyHVj5iSAebeAUVdv1+wIT0T IrO2i8EIsqjhUJPTwJqFTWcRn37s0Pwr78OCD1S+YrXlZ6Hi/LcA8ZcEXUqEpoKSf94tWJWhwrxP JOGc+hXh4Id25QCj7fBz5YrQOFWvmQuBNB7NLp5GFv9avsUuejuVEl0aaqjd//QPBAgND6vuYzQ3 29us3+adldNy/6gfC1IhJpzgIu2oBXabIn7mI7J0ihTA3kKVA/gaRkje3YT0lDPRDKJZLKsOhIzh jsMVVtYV0DTebVF6UN53/KiqicRoTq/G8LTjhqNAVY0mqdZSjWY468O9n7I+q7kBHy3KTcgYYH5a uob0tiWsCsnHHY2mOzUQCJSS51n1U7PnMeGWeocEfyoz1he8tpM2dpITy9bAEtRGGeH+uHuxP3qt QtEjQJwjLQfkZrILGJ2XJ9p/EXPbIXYCpaao0n5RfLz70OJwezD4XLQpULLintydlSkUL793Q66r K/9ApVTALHvAcjrvgUyY2bdTk3ATzKukZNDgyivJUuqYUFJWaCvhm4YYkglzJznVlGy3JI6wta40 K+Bz6JgqAa9Yjn7PFTGTPTYOuybi8KUw7QZN+4Bgw3BpCQPzT4JxxIIGXZfO/DjUtqUzvx35Wxjx ljiRsHJ+e1xA+BfqwIikYiYlKLwgNT84kxkZEjMvVo2k5wFxRP74JMSMCCCLW2otY9VjJ2w9uCfR W5q9z65zEY4lcEOHULRaJhNtRAo//SIhn99fh54VOwku37uyIa7V1jyT1m8TZDvjHRK/lfsBuZjE ULNB7OPapAXrLVL2GPS2gdDrp3p/w45fCPnsegdE4H8bUCJCrbp9Ev+0ZjRrEg6U/GiRIt3q0x8H X4m3xSsSSg/jhtRKMg8QRfwa1tguD0eF/6xa8xkEMChRPIfyAWCW6G+FiLD/CHhRxBvpJVtqHsZN 0LmBTICA9n+LBUR3RERnARYvRsEje7/UKece+wlIHTSgfMPm/YomdST+85j2b/fASHCQGEwA1oRP oQ3oZ94ZRLfFqrCp0JF15Vu9bSD+ceE9G0NGRSyGaB6NgdXE4CO5CnY1biKL3r1/Cveq6nV66Wrt FVwyWDH4yTlcHAN6mLYroHGhEnPFYgyorcGV1hxSjtBa8jmt36+ifAS3FMw5He/x/pYTDht9lYCx xJKho2CH06/IGChBuu/VPLYMuKgogo7EimRCGDZv5mt2Q7lPtT6mrvQ3blSYHU2z/73awJJmXSK1 tCbQeFKDtDP486TSb7FMmkMePODpRelNGCFYoyxCpbhUvgVmhOY/B7r5jIWRjDoW+zXeqzfjWJ8U 4apsavX603fqXYltnozRPJhDDuC6EfU08Gzs06Bw98ziByjFvoXyqai+zXfYmkq8mH2AvSSIdes+ eiqfPivr8LA5b+7Wz0ULUnQUZuqHtFilOCTC+YrWERnUlikR5Pl6x0jlKNtHHHozQ+dJpTG2Srdz 8QtClP1fccSekwfZh2RBrMXBzl8wFG+DnfHDXn6j5qpahcFns61Pbtx8WoMbYGnQvfA8lVJr2+Y2 a7Qo2/PKmz9PzO1zU/9iOlxi9LjIdg61cKnwkECPJpnybNyqfa1Rie8IW5Ml4v/ikno8WIb9V2ri o0AGc6XrsoIAxWkqYz2T4YpZV8bySK86aQTAdBIhsUqer+XuAXVWVKpGfn6F+v02qVTXXUq3AZME I4Qty4NkxH/VeVULoomCa+fkN9Z38h1j/57hdqmDXsIHKFPuMH1w1QLTj82h+KPCl8WK8Tny3bdH wypKdRZExYhdOhINn3MsIinDcWWztkUkPz+tBWSb8u+GcULnBbKTDPmJHbF8w/YNxwkdCCGT9fiv nCgcjAGLWEWYiBdwPTYR2XLPBfbpu+4obFjW7jsM+tKi2WY9qYb2E0wA5K5SgHreGVGrFhUDLINd H+CWIPSoPuW2HE3vlDjGuvtzv4+ep4wn9eKFPpG8/IoGC57Ovzv96Wjr+KIArIlU+9d2/QIXKcF+ jVwPED5MCAJ8KSOQKvQNjH3EWYnxk8tPATIULUVABnyi5lNXQvoge+qQSAXJbJPAt5uv84wuS60F 5VXb8CD8bFip7vGOiTt2C/21/v3yllQ17fGno/LQH8uTEqH7W8eA5sIKICp17beEtW/MHXmWrZjr Z+vSiX+LY41cZoLOTER/6M8aGSACRVHropOouoxR61cCPBI7al4AijB3ecBzkIJX4Gcev2CJHlBT 3eVeAQVWzlMcGo7/YZc7Mle7pTG3dswxQXvu72PkSG/b9izecq2203aZ+U4vqJUPHLCCv8+0DrA7 w/fab5qM8jH0DH4njNi1nCZDcIdFdutTIZwlxGQ4uoJm0RBjhCkAApYxYW4aK9O2lel3O/UHDGEv rlnCl6MMdWEQoYeUtb/qKk7W7ZTvcqgh3NA/XXCRQRWG5AjA1mrM6uWArSpxw6KNhJx41/ww/vj3 qnVBvpLjayPXtN8b+Jn1RyoJLDEwrjQJOuie1IDRjo+qPWx+nhsO1WS14qbk4wB7k47l8ofAI2si 4grLFwum4CchCOtU4im9C7NkPqrFDot6JnHcy2E9QMFmErGXvb6UiltMtUKgUrPDv0AAAKaRWPPE C0E0hzkge7ZZ2GvVunoRDffYDAce9IVVKjWHqvXmAFgSAUriU5PttUqrAA8/K+2xjxtna4BPTR0n //j2/obQ3f54+BHXAyuDy05jbxRUTOhJv6JywRXdsou7Psjp9+CJa1DzBwylJyc9hWFlCx4WbbwJ OlBIwn3r+yxxXGCedvCIrAr5BmQZZoRA5llkU5TKxvKzrfGkwBMSoAex0iV9xCQfIrbGHeTD2KRk p9Xuyv4uQtHZiWA+By+1gXSxMoKhE8g9LPZcIFRHXh8GNamf5Nfvr+qJSqujpM180eA6MKwtn92H UNU2iNGiYeLrP7Am/QLL4cXuyg3ro2D0kCrKV9ebzomysNLqAh6R6yuWEfsjzqLmwMI4tNjp5XZw erboGCwE/2kNaE5us2zN7lkIo7pLbeCFVyCmTJI1s7Kzu9McRKKsvUElIQWZUiu57XOajjg5aMd5 kxqxeJm0UnwjjaK+Nnjm7u4/5iBBmtCbDXrhgePT3sHP9q5+Ik9zjTeIXzc5GTzWrgxLAgrszUmY Ker1rWb65FBb9XakJEqKm9vCxw3mh68ma4pf51zSJzHa0KrQB4RDvsBWZjaZnoZUj21Cd4Ngwi5S uO+N8oDKJaG+vg+G/3ONi+dv/GmR3zhnQ+KYacsMBiAbs8dEVPgGwcCf4rAppgCIBlWNN1T2QzJy AtmqGz68zBKM6gSXDuTzBxfVbqRx8R+y6qQ0RS6NLpCpqz9ae5Utp4t2jp3e3LQLanextwK7OmxO PGOn2BJMHkpkUkD2w06yFz4vkBrI+sUktTTh9opCfzvfNCDkHLkrTOKYPUqLT4WF3rsh95x7saWG rSpuWJ5OkAa4GrdPubCbjCy7PcfqLQBvgYFwqhJYuioowLxffyIBJHIfL8QTPojizE9Jgt6ZCYWF b3z4sLCpQ4fk5CIKVrfMcyA64VpKjeQXfa8je1q1KmmI1XLf6G67c3SI+q0sV4Z/cmoha5c9cKrP 9hr3eIRhFzITxI4nHV19XedKsouwAS2WHpQ75zHl17E9nRCqi4NXhY6GWVaI1MXUXS3pRQlFTBGA 6YUZmCbyJMlh6rR9v9orITCltIo/z8CkavWdSPotTIp14bTyoYDXOWo/E+8LflkmWV+jP42Rpdvq eWNN8+WqH1lyiPiX4+87n8VQR8Cx3MJJ2nBnvx+FDrQQqlegTP0iEqdynNH1m8LewmlAOQ5y3JZW Tr6QRoNHo/HTlTC8ZDQGhlyXmlDF4gKM81de3fZne15grvMm6CMH0oD7kGGt/kc1yaTwsWP41+OJ 1PsiEQ1eNxk7ooa5FJv8OKfsjaTuVJPYc6ONv40zUrxwVPzN7En6xVF4L3hmQCIYL8yCvVV+N9/h V3PYGGQGgrWP5JB557z4N/nHrm31nzHTDEt8oxL7hf0hUhTuZf9bQyFoxOqrJQafyFUBbCX4o3Wx x8XrYwraoclL4oGwGoG+oW2JtpAJSHsmWeTLSiOdJmOMiyqlN31o9vTTMZ3DnqVPxxwi+JV7SUD2 3Eut6EU60tUXK2YlOjWtAVD5gd9zUw9n26L2uzn9kjDqGfgzgQ4OkXh748gkPWAAS61c3H8iA5wr VIFTd8I345Ss1H9g0Cfyq19iWRIK7tjvsfUIU2+PowMlYcsAnRySnQYw3fQnSoWB4Spb0kwTVw7A Uf2cejTRnRDfk4nu7T2yqYAoVQ7GsRik+3d0wqXdaC/R8WDEcxUj0fiI8CR3Nc0V6RvuIIZ+RftV zHMx8zP19365fXGCHK08CoRJu4jCTx1I1yC4fgJxVSMI5mKZqk5JROZQ4Cdfla4GSIoGRvracTUU qQXCgpevK0PDsrQepOZ7cdBNMGVHAb+kbg4d6nMVwN8i0tBgEKLLGreaQRPQOS0A8AMRAESy0jCP KM4JFv1c09RxZRaeoy3M8a+NLee/KYsTLFyDBtcGFP3AMTXR4hkJYk+73TG/7WUABN9OlLIJcQHi EhaIUO6W5VjmVR47jyTdjoz9Left5F9+mQ79HglRQGVf+K6WWzWzSfwjBZvWNnLHhH0rMm+QsCu+ /edwx0f+yVvBGWq8GmJMwt9k7Bi/1DFpZw9cUEFs7EB54TfLeulinRo1Z3h9PaE0/47FKaJSqjHe g6TgbZmKkSJQDJAT8e2Z62Ogg/s4eC9vDX+MEzgZDbuWWQYd1bn4m162CvXXI2EUsbJGrUmME2Kr OKypUR6e3Z06tpmffCmddseq33vK0aIwqv4+7G6daqYQMxo27Ws/ZcHFwGvDyc5vVUd14DBXUKH1 ROT2hOq5SlzMHHImbYsc0/m0iXUM9O7iEcHL7OUEnTbKeYncxQ2SIwZOoZFzxFiDu2o8LCxb+5XC T4lw3m4sU4BNFEWrTUCmoKVbRy1MVz7+KG4OwHr0BYbdJCq/E4M0wviruyjdOTv65ZP4sKNOaG+x jCKjdhTXp6JLPlbnF+WaU+/4ITa/OLMPLFUjzKMEOdBkNAcGY2Mo8v/4MZAQeNq7p0MeRVz78DlE 8MB8JQvZV1YxEcXWwy2LBcxafQnuywIHFaf60lHIkV7tfKPpak36LcFuKLXUmzvrwNZkyPDPitRD OmYVRBtZDvlTikvJCn/Lqy8Q2vbnbSfKZU5ywvkFBpdWQ3ue6u7MamZcpro+AgdE0aSfm3C1+B8D 7zpWP7W1bvSOzrQHQs1eOzI9qItnpUHpwZEcPc3Fs/m/OHvHL4mwMKRZ/fRNiAru1aj0VlOLJG0o opwYsDZad0UBEvuOmFZzbBhkSnOOBwqdY9RgeuF++9NC6k4dHGhxRVo7J5fnQDLP+vx+cZkQdX5V wSMmilHP14MZxyUO4o0u59gE89SVqyB+/4Z7CgAV5ZTm7jOiCL4JlJubgJXVAG9CUtnOe4RtU49f 2M+pbmGzZw1opmP9+v+uU49FrsV1LcuvfI5yyV+YbFdUKixAzz2Gd3zNIem8anfHFHgWfj9YGwf3 xxgx9VbKCeKmCqykFgwbvDYsJ9dEXB8aRjJr4QdmRgHjXSafVbsaEZ5rXtY+hd3+hbIHU8ejkNce Zt6uEtKstd7als45xTNPWdD8Dxi7zG0XxPvIFvvw6YqNvlHh3/7LVCmObAnRS/oTEZwDnf+lciXw URKHNET5nkhNK0dUdeNvRc1Ig55Bny4z2SybEtKtzfrk5e2UsBLhUNjUouz83ZLUmY4vbN0rEb7q ttPdFqASqXswjVCRfe9NADcXYoRztPRZQ3bfgecY7Vyd0TRIXFXFVr4dRbyM/JskCYLJf2CMmVGP xAFW+hCEjhvUnScQ5T6jcrbjODZr5fBNFEdneUJIV68MzcIkuARe1VDm+NfvCkayi3nvZGzOjPIv yCxmGysYlxOQRwhG7bJah6V/TQ71r/RDdH+l/W3nRJ19Ge99RelJnTChJv0vqRdnX1YExw0EsEVv gWNxWddAW7zy6pBx8rbZzdX2l+whAwyGizlPLrgQ1lhJlV4zdBxgElXNhf5HJZgb7WXqpXONQA2J +Qrj9C3mCV8Oe7w5OtmTNd+P+vxTf0SzFHMJTKEO3FhfqJwArHT3L9L+81i9bQjvXVb9a6m3xUQM iU8EkuZLL9WHjsuuTMvDCH+bP7coFSBO6/ovFeDU//fc8Si9mtQbgUI8krZz7x4i4/UyVTCNw4Fx TQHTB+mYIlUaZty98NX+qQN5d90LPpI6MuWpjnL/dSq54yfD9HTM78yeZBqO2FA6EQqltRhex2IJ /wVYiME3GDmGLURscw4S4GEr7ca4Lo36OJ8Oni6sfd+bnfK3i9jQXtcf0JcIu8uCJiWLU9ywb2KL kl6EqSe6xq8hZG8Ln777cv1oiImk9iYDCKI5ytBVYzLopnENWVWd0U2sDGXrwsiS14PQrK4d7tmr m5D4H0afRJXQpyZKNjU496g5OKVvaFHJreTQkIts5LwMKpK8Drq0p59QbYyvMNmMTGJ2u9gzJ0y2 Z4mwNEj3X/DI2gLzR9ktd6ufyNi4lbvnMIUbJhJg8MFRa5Q9fekTgK71IXBlz81umMrhL7uBqsFo ne/CUeBYiY/bDVYEz61979S8xSWbGVe0mVwwPmDdTjJZdhTXG/g1bUmi1rEzVrzTmJidlU7o1DnV 8Yqr3DdaU8mAxOymodVgk2oktdMEC9PyvHKObe7QPDJPvckuL0DdLhZ7kxOMyg5jlk8ImSVIPG82 VlVkELFr75g/TiBqSzjMAgO2QLIJRuHASlBxBucHUd8eIoXGIa0y/WiUF4DxdPbK8x+rSOrv9zbC Gqn0VuPOJ231XawmSCCX5R/cKyfH7lZobCm6Q1W3xUn6uwMAeeioAozYUTAmMzhuYK3XSbZMvTFD vwDRCaLUdUFMl9HDI2KCI0o4YdQGQqehL/DHqRpijb9aELjWJFT14vASHAc/FGCuZeQcIeHDsOQN ziT9laTsBJCV5n/xMJ5VpN/wurqOhJfnzUG8GuGgUawBCeyP3ZQvnsmGVqkweInsyKQTkiO2SKzY 4zNkEffYBCHxfJZJyz3O+00ax3i9MYoHAXgH/LL2kQQjgnCIfGqtzuFr5sspteF12nS6yHKGgT23 A9WxLI79S6wjB6S861I8cIe2gyhA8TzJFbK25SxJ2z9J2jx07SNV7luA3EK71dGi1EnzstVy+a6a D61HV+UVFedx54z/3xL03CsPMEy8bb+o8anBEhKzflspQ9h2G5enC9ciIVD6TVXlV7T/4UaXmeEH j47+YPG2gPcb0jw9xIU9yMeRI5774tDsHKt+3xg2rcKF23+Djs1HuXnfOWA0/C3mTmrveL1mvzKD xnJxuvJxPpfqhs0P3jlDWEMART2o/+Ycefzz9skRtEaeJy83CP069wqszeZVDWEW3FtxmgPRarPi DiOmVIX28Ieg2eK46FWNUOGBo8Da8DC5yHLvb6Y5noQqNc3wPdzJL+LgaWhchHvPPNyNGnT8FiVR iwFhRwtUtYZnRHUOlDs8pq30t8IfrugIEgMkkPaVGmzgoT2UpC+xO4XYu3dun/HYBYDjVv9ASg+e uI4xzV/1cLDtfLfxWLXToX79kZaLb5rcooTyGdpzsMp4WKQYshcZLnKM8T57TjlN0lGiG8Sjfsay spa0WhniNXEFh9BjcDGsNYPvV6ArLfA7rQoQJg1a1qU/WBBmDiiMSF4v7bp8bdycpuSQp0hY4oFg rnppxBTi2Sy/it/Oy9ar7E4zOJ7rruBLFnAucNYz1bXz9tzgwFnStn3aJAJm3Vtgx4G66qUykcNZ cvH3q+6gTx4sfnfMIZWR+LNbkFUdSeK1E+VAWt3DC8ZOesHNOYXnd9Stso7fJSrRQd8exutzPnKu 3C0MJ+WHu4TF58EwvNCeoixwAEPMt+vkWu8juibLkxzpT1Fs7CnhvBn1lrFhRbWT1K0DQiPoMWQL 7KdExrNMBfxGtD7GlaYCqOoriHFV4QbugrQrI4FpaPL7+bqFrg/qZFLmQiyBHqRAyygSill2PLrr xVXOilyE4uGxiqAbZp6+7k830F/nux+EEAdlNEgHkuNEzLzbgQqLl/odME3vLAHz1QWtzt37MX0X PL5Zl0AkC+3zNqaf32+COs8aj8JeL4shXwp9PXPcjLETl1ofggsa2qYQXPS2s9AgjvnVWQweBXOi IGDWppkaYVindZYtmypJPnf/2wAx2I4g0wZK45scE1JgsgVO3s+rVhKEfnxJ+wcKvOF9pjTLhYgv 0Tl6chzGM8yDMp/ic8L7dbKOfaFPsJRj1pvm9qKaEgFsrBXH3TNufA4LgsO91xDCnF6eGigHuTFv 3WQ1oRqLIFyZ9N7VsQv/GZaTj8nbVRx3VsSQN1ZIqh9aiE7Cw1se4zCp/lX265BGHHD2Bu3oWTdd S6Eqtdgrxcek+wH2UICJOgFLMw0+QaJ5vEkWKOCoMX38ojsfFdhW8qHAQZc4fHoM3qx21CwInrD7 wEc4lGTAeibIz8BqnnvLHtfgRKufRa7K4GldWOA8Hzns2aHg7L7y+zWshaqjdJtLbmYt4HbWcNah A6C/vtKmzWVHRycwjPZ6pWv5RCXFQJRZowyOJffsSxhoG0Ly6zm93+vZleP0xT94pXHTXFxuQQQ9 iSBfpgW+ae21lPCEsGZgx75FfeaAR9hJbNDPuxNmxttRbhPu5ZE1Af1m5GKSQ7gimqodthwzFQ8g iINfxiWCZM0Opr3dKoeJ+w9+clTfVCRFxnf+QXT5eqwqEDYP8Hq+VuFFOH1Sssuma7zlkiCGpn6o +kmQfCCRe0+faxfTOGP9PH6jCjrjfPcGu3UEejsnfwDXhwC9sOEmz7GPOBvZFFEpfvEIeoBG98ts WevcixB4195dsstMNvd/c10dCHkXplCqpT4kdcvfcwX28lWjWdv/qqmauiIC6w7pY3fR1gEJ4xVW s8tpXGiNi8dVD82LvzJ/8b2EqOf0BDMj8FrymyQgu9g0VuJwK/E/kYt+bqKQy5NxKMuUgbcXkJcT 8X69chZEn3PS6Fr7JMbP6tx3QW/n9Js6PlCr/f8K1VLfqdolZS2wuO9nXbIpx/s+brZBk4s63rk1 THlWYQzU2X4BKOToF6nfemDe3sftGPxUhFPLdGMOayhAzigeG9tFJs3twzjujOAUon/KrQ6VyO80 HWSMPoQCUzpm19YeoaEeFRfK65aKzOVSXItJA52JCbBQtwBoyUdQBwh9e+PATDpSfLSXHHt4+sQ0 +JqpCcVM/YxI06l4L7Q/AehpY1e1RsQLOsa3hUE4NC+5/dyK2uVr6bdkft1vuIigbMus1o05t22z 5XlnXKFLmJ/HkfgbdY8eJyys/9jr/YgGr27fmOpznARDee4oKvnWUhypr/hZVxrBTtnTvfgEJ1Ab S8QCvHSAZkqW2/b/OFWOpZUnEcDtBTkYaIrENv06xBxvGTMmAgGhu7x/gKlfONdSjy7UDI0RjZzE 6KofbCNwdHhXYGY0uk10PUPy43yxb/kdwfuzovSJy0ZAIZO42mnORWixJQDiSEHS6wjzjxkJvtFE hG5M7frymcqjnDBtnQKo08f1LDvhtMmmBFR8Xkud4XVt6G8RmK2oTy2B/G40lBsZnY9Ch+M0vLeF 48c3O5MQSgETY9OJ4vNxfE1jZjqeUfhwVGMqw3zd7cH1klufno9ZK5b5Hyhi1G96i9XVdY1Om5eb vciSbcSuNf1ISSixWLnU7XQ245mwNbuh+ED0cQi2MV+ybubEbsnfc2bxNXNRjHWcOTzcSRbXVN1d 64czdyiCquDIsyl8UoBuJXBaOqqvo3xiLKyjHEY76H5tjUA48sBTVZ0YB/9Wer5w5IhzimSIx/WR dWghQQuk2Un9JxMx4p+EkSRaNmqfwXzXI3IiByM1EYsDkAoUTj7EpkP2kijMXsqKLo5MOXJ408wc T3S4or96aZOWBPzEsRBsrfKWQNvyGsR/ACZP99H77Fn1bB6XgHsOF0apSZob/jxe2I1rH+EuRLBb l1bzR40G5l0OfyWI7Q/R+EIcz7YkmsUI2mzHfwSmMiEAcAVqb9wc+AGamAIrxKnnPAksaXVSUvvX 1MNtLFQEfwtL7QrNIvTRWnefj7EGFIQ6akij+4r3tVTc/Zmz3TONm3JZVgSztHpgdZ5R+eFdEduI ysK4fCfBuNZKa3fQe+p50C7m1cRXv1e5JeFe+V8/6SJsQ73LHLAToPC6TdnwJBVE7amDhw1XHKxG AHGQxyBOB4snWKHa5r922jSmORwcVRmpzulo1/EeesySk1LODm/VJdZBO723/5UbmBUGALhXqnvj DRSU6gDZ8pY4xi1A7ixxivq0fru0LrLZTLDpryF4sws/ENRHVIeXezsk5XlBZooQfgbESClYJjf+ IJEVbKrm63mOPQDtlKFT71V9TyyplT+xiofH0E/drh4FA47Ucj6cDIp5MlzCqjdwGnqPk0hiAAxA /LN3s7zGIXzs6XAcZj8ATh8kQQ9OKNRfjW0fAqywwbwM/H4P/x2xdlYhpTFEifnLuUsPXegLnCxz pBcfNy349g7CRvDIEBJ0YbNrp+NhhzsSoEkIDZveOC2AzHn2PihKXe1gv1trF0DibfXTYz/DWKbo SLR15FykydcfafiJ+ujQYIvOZyDcxqfd78PMvH9kHP+c9OBgbLt9vxURQLSzgPmeZv23STlhHgqD RChOlwFX0hatY771E95oSTPEhriIaUj/T4f5DbGfcMPP6we/lt3+T2Fj7bQumy+mg+gdSjSAzdZ+ wjxeVcaSeUz53lUzw4I76rncRgtUT3NrtajQMu/MGej6/jtzhuw7ChGx1QKtf3zOBKbwR19kt98h 5RHe7zYiw8fbkArzjbwPr5aIjikt/g13l1EqA8WCnNK4ZHFYi7VphtFqa/vjuzeyZDTfw+Yly2gT W21Gswe6FW2LCnWdkEdoxzJgk7ONkCuJpgM++WEXSU9fupv7gHOrPrCkCgRGRPXAnbo1/pOORVOx NyJTcCxk8vS4AcsPLt6xInl/fMtmVlekGoQHNQPDXShFBCWwSXtxIR/3BCHE6fD6L8PVN4FERMi2 S9nOk0m4txE4xxI0h6/iYPlevApvv6R07hlkfLvoJOhVpgVkOCjEyBjHP0es5kjJbtC3Ar3swFHN 7mHBkYIIvuGnKdX75LcSBUn5JGI5OYshDvJckrkey21pEPJ1lvjmY9xGSDUbjY0nrAToEfTel/Tl uharRF+hWwTDcRwgL6I9YFZ4WwMpm6x1SPME4TVpmwMkLtzlWk126kVr4mXLrVUpqIxAYkxz1jUk xWBAhZr1hnSurZAyX9jpfcoIP1TisMnLoGsOQA7ew3QlVjG5q4A+D7UqbExXUGminbFsTyorFTHv YQ2pybnbXVkJUtiqJ01P32KVVzfSk7f5A9A2fz8eEPip4MUxnzsSemqMmgjW5NOmn5vhBOBascKU fHFrpjdT9bErFkX4gMzqJvSKtbtNUf2HmHeZJssQWsCzbsVyydHHlRIvJkq/hiae2I1+vvttewYq Fzg0O5lJyFJP5B162fWkZnDwbHgFiQx5sNcsTA5N6sRTJItlRtpGgFqllYRT8QTRWPxtABAzTgY1 bWcQ8RiLqvwFC+axSK7JcgcMmZmeTdDIF/boiJLcEU43Lx7CNhUeYGaX2UmSzk4/0KvQl38sWn0k GPGzqKOn4GCxml/0wGOQ8KzKwBAi4MjuA7kVmZfVwSC4tgEPFJQ8617SKvVm8AQpbCuf6LgOfMgA 1BnRMZVH8SvCJ8L8s+GV+L99faH6Z7nsYn8VVPHG/18Z39D/wU7DKSCLIbGOAr8B8l/9m7EcdJf6 ifADOEV+aOhrCjw7nzjCy00x2WB+VtiGSMldLU9Ci4eX4VMn5RlrxRhp28NFcc1wpApGX2WNKohr gbqEWs2way/teCDwKHOFQYFrckDmai5vZnoELXB7na6uE6Sf5jTAHRxFZ3EPUj/CO77DsJR5oZeV Z8UB1C4kzMc9yWFPFS41teRja2TeyWIzS+8mYlqx7SBe5EwozQ9osvSe4XnbbmUEDULcx0qYowne n6MmkdBtmEnv031FiMKpwzTqW3tfEweVjqCO8y9WyRMM3XIWmA+lypI9Hd4itMNpeDz/jRtW3Pi3 Rs78kW2LbSB/5JSKC8ftPiDQosGjV2MZyAVpKOGnqIikqigdSdDhlYY8JdSjB+cCYvB8TGS3Dp83 pHyPueqUroj2C7jwI8suO2SCvpO8qy/ecdACIItubCk5QCfqMVRFkyoYQYjJcbY/JVtaAUbZjzDJ r+B1nsVOWGJFu0tVXJpaQpD23qBNZJxjR1Ov8rnelP5tWZC2EU2rZDah3XOFt8jbKct+tHEWO5hz DpS7U0x7P9gra0ffZJ/rnBCP70n5BlzRXW1+/p9C0kFH0aSbGhie4/sL63AcLtCsZ67TOHBQydTZ b1toSXfU7x1zyF7vxk8WOkyl7efsNxBxLs67F7KPLYOj4OO7QN5stFkvDA93kEKXYwqUqTNGHTxX G1cGO0I6n3QDEHMv8gCfeiTEgQnBXwlRc12MmemN+pzotXOYlgdeR3m3eqi6UNyrM3Vdaqp+DflH LqFq7U1tFhWfOBRvBym782gqaPD0m/SaRNLjydSqs1R11b+2ThKMxssD/GYzFjTA/pENJ7edYfDR FNNEDqx7qJtkO+M/aOdyXSjUuq5aEy4gE+73SRHgXd6HyeT+Ngq8zTWjwlaFfBXNv8eUZGr0x1wN RFpTIGFJhVtlRXe8EWwXrdyHbhQv7nP7ri1EAxl3FCQJxOnnMqJiTVgvbTdnW7YOqm67cjyUn3uV X5uFyH19BH0uCR1zoO7HbFjbp6NAj0QJp5w05UZhVTONXZZK6Nv0EyV8DBBzd2wUE9PFJyqSwHGh 9by74osaT0Ek00pnK1jBN9p7ZxST8vztN9MhIWV94zTzH9ngIqVjex5ITbffu64/6sP+MW/XANaZ Tx7wNP6Rm7DZaxYc6Hl3QpJZHcWAyRQTUWtczG5SqZSvGkgE/ntRlUcaBz0kVI2FYjPyLEdwNhoF onG1e7Iexgs9mts+hLxk/x1SvdvebqWazltAybxkDtIZqBBEMvL8Pq+DYRHYFt5A3avGpdMhpnlq ZHlVBytQv3thn/5A28w3ffR5y83PHh+OgY5OvsGUTgFoz31i4wGFNr1mnxsLzlL4xfFZsPYL7Oxv xKa2zKA9xSRy/vU/v3AsDkyTie8B+5OWPUJ8jJAtrGtCYqC7B660pOd2vtRUtRT7EIcVpEJWaIxQ yIRUkDtS7lLTTKtJ1/7tdhxdSI3w2NTbNyS+tpyDj90iMpmataJ2MoM0W5/zSLFHlbuoKzrie0zJ kxWIKpZGjEYUxHpaaFOusPgR1GNkf3n8jt4FFHOya+8yB2rjMd0u06rfFgErsSsSJGSCX42keZv0 h77V2lWK/bGnbiYE6b0pNHi7LKEWv7MonDMCfbQ+B8dgj3HcS7eElB+v3ngWul1gujrAnSV/2Q0M Y/5iXVzGAs5XABa03EWE6bJkuxsMYhfHK+m060FmPjxpxbpn6vyy5yPMdm4gLMEykh7s3YDqwmYl dHVGSsdyde4kLqWUxE5Zf4oeemKP9xPpjFmo0CU9Ic/UUI/mYl89CHcfjCpDq/toRN3GTkQer0KW P+trDKXuwuKDWAtd6/YLd9p6aDWdeFpZM9LmzJo88nB3+yXSXlpivz+oDYff2Hgs222aFk2Faqaj FLPGAOXq6NIsjGDJkd71eX6QYpjo4CmlYDqitut2+p8fHrw+7vJMJoXxRfeHb3FgErN1gJkgbzqJ QvXENxrCX6OrwtNAhwIzy8I9Sm3fvzBZCrLO8ja8P4VsJPU7JNZuJolja+xmaMFUMt0ZaplEoNbt A2RGYokzBBS891T5b/XaW7EET/QTpZ1dGrDDnXIfkZ2air8WQ2Ax2I7MlwbKnuVFDeIMTirgOye+ bZTGrfpFl27lorX0qPnTwYgianqX7vx3R2aCWTDw5atqO4NWoyuVmCBIw+Mzx05no3wR01GDGbEW 81dHC0g+3yBqduYOv6yvqhUFfi+t0fa2Qq9wmyHb3U3oGOG/7hqv31hRlCHqssWP+3HzeqWY9Iny C/N6hP7OAZe0f3/6cgtPpjz0EzWSvrkSy7g4R/332nB0G74uoTJVQPTUAR6bXdVMqQnqhBvnHR/g F9ciiUtfHJ0YUvQmqOcghJZq82uNJub0i5vI1vHchL2fuD0YGwQCFud2B7AfaCIygWYooap/PnJH NIZzT/QhS+pwPLX7Jw5TZ+drYe2fyIrW5TC7VBJKmt4ktpahVIfvz6WD3yEVLY8wQr6ndaL2ZeXV iuVIEGO+WmgQD7Uwni94vso+thU7A5KqiMAG54NidE5YyNuV/5tKTKgSxP3CwPHq2HixydLy+6+/ 7hfeGVxsPdQvi44ashstlS8GEj48kKMRsj33Dm87VbrdP7V4ACyELlkNCN0ydCPCrNkwKW2srZfb XffuR2cZDV5KOX22O7NbaTAJNwSqVbfF3t75uM7wLp3+U1IG4zyU/5I7Qd4iiq1eWKtlyGVq1tRe /ptpP9TFDuPS3aadr0PH7b/FantKOl1o0m/QVHP56LTcodp9fMqCzrf/amnqquZiM9pq/uyBsREl XVacFgCwsmsBS6CbQxll7ZwAu/3vIzdHL1JDkHHFQTiFvMuXSh4SgQZNvNUopVcUg/LsIbjbEq1q lIHNCxUIR47KrnN85xXTEu3gIR8i13ENiX/H9rDX0oHW+BVY2JzVFnIhwOciYE9LXfZxYznRA1Hi X0Bm1hJV8gATgnrZH0SN2D7V4NlbTxVBDlC/6LgsNqWLU92Dpt06HmGtU5YcEGmLhVopeWHnqUNr EOOUm7obrDm+sUYbph3L7tJkDrHn0gK7o8Xos72RrRyucO2mDDIvbdF+GhZ10W9u5tDIdmfcEb0Z oho/wvtlrzG9wtJr9yoa4NSwYogk+h2TsE7LrgJDbtADhcWIJdBMn91k3RGvHbaXNN56Itzbn2z0 NdggBh//pWwHHf/TxNlJhhPvHFczkGVabHz9vFI/nAccU9WIkXkae+OSKSH8v4XXJg3LWVYxJGSt fo/YAGBywLQr/JQec/SQk2wQRUCBrOo9+gcZHfiRUWyRwmp6NDkzOJR3+tzkjO/xMscFI6Pd2HVM VsKBuO5Os9AoHHcomJ4mMW3QnPP6Lf2QLX+kfMkCFW6jZpLSaN8L45k1iO81jPiY2C85bPq2z0qw 4G09SaYfM0wuLDVNcVjEoBsrssHTL5u7MS9czojpltZxFVbtRy1/RV8aemsDlfSLOYdPNyWhXgm4 dYI2g8ax969Ro2Q5xtn+fxzffR4Z50rM5iosseQ0r45IF7VRsEjkphCLDjEZiZEU20HBb9sLCrYn FW/vdZHWMUzSYOVGxTs71jLBY3iomcoOvfXstAPFtNy5G/tzwUfbt/p6uaIcMIadPPiEWm4AZpiG RJejvfRLQ/nZqTN7rESN7S5LwhE2dTQ6hRr4KXZKlQfMgRQLG/AzgNSXNCo/f8mXHGlH+Iix6pjm tXbOxYyvTKtpRlma8JJcAsEepn6Qt3mGDOMvMF0rRuvWqvd5pjiLJM2xhtCne1duoDMAoMaOwNWd vLLzpJhcvSY4TtE6nlpkkWK+f0D8t8rlWgShOFkv9BD7xoGenAoVPk+ZBkf+gr+45/n84Ep5hhCW Fof1C9gcyYAar5tJPo6cM+sFE42Ifj0Rpq8q4pNj/wSk/WDh4VH2+mKTq+rvhi69N8YYDZC0f7a6 IkorFJ/OHi12siffRv9j08l8mwXxR15Ba6Y3vUDthyegpMZalCKJyl4+eG7d8lYNADtD6o7wqYx+ jLCS13dUJY6FdO/0iH2ozXAOWvt9Tp4epmUldvTV0XTlfv6jVgrsBUjLFY+8mASVnaj0Y08309WZ Tv7tYMbA6BK9z6uHTKWfptWrXTzbTuH5yNTWHddkORjPejeQoqnGVwIZRY3wCu2B0mNVYPPDdvxP C9S/hphVrU0vozIALNt1RlT/RlFfN1BDOcuSlOnLkM2Y47hsMGXT6rfHPuihJrrWp18Tn6qCJv0h xoQsZeUeYS5ZWe/4zoMx9Ja6BSSovKoK9mwjICzD4RUIj0nj5j9rmhjPeLuqE8GzSC+IPqrSbp13 lr4Q6q1gfoDOpSYSNPrpVWRNHULOTmP+fVU4O1jpE7Wj0mCA4+zBybsZ5sQLBXho5jxhfHLsl6yh Isnavx0CfbM31Z6yXk7jdn8m5bZJsUfHQ3UwL6STqgiN56lL16tsj+Lj3CyPGG7CVEc8ZkMOxU0V ZbFOZsZIy/pLv4WGFB9yWdEI1XvtAwdaHeL6ShWzqr9ULoDYszkI/utXNwZWJGBN5QmNEZIexBPh jiLQxrrL1wXeqVXwrlt4dVXrRx88Ac++Now/p/JkZjDLd9O4GbQV34T4YPdTg1la+L7fFaNNlrTj bSn6DK+Dgt6hSDHQ8N/2rmnomwhDjoYhMwFRlifcRwHrnr69nTtK3o6Ox0lX2Mt9jTaeScQE1e8J 2qQOkk6SJcXC3DQepVJcBuBi7rNROypCQKBA6S1v8bjjcVBzU6RcSQJdFzeQM9LdAHYkPLQIjBoj OCrEdYHbLzXUh8U2vy1J3KhT3dGGEBFFTdTxtXxZOPPEOGsEE/nHuO2h5KPcZUdQZlMAhlJeG6F+ ac6Fl6Pt63rKwNaVLFHNKOmE8J3ZL9IBjnwZlYFZofJykHnz76Csf89WvAUx32uTPQPoSSqTuFTE A+XR4GRMM63nWR7SUYHe0kbUBZnmTg52Sz1TbrzYADaFrAsqHyJ7Woj1P2mou7zsZcI8xRtSMK8I V3cnliMkvf05/1U+WJfCuOT6lGL2wvBs9ClwsByetX+XL2TBzoZjrNFJZcVY8gSJKm/HyVTI0NI9 Gv4IzVx81M7LyHt1CpHcWy6CXWi6TpQGMbBXQAg8KNM4p49NKX/eP4EVrDvuTOyn3bClx+ZqWNyH f1agHBGMqdaoogmxbklstArhuHeF+OKiuY2SDIjRSPQoStQ8q5igW90nXtC8gvUsl3E7axPZT7iT JGR/g4UQsrXLaSVV6BOyV/VaD1uos5qZ2Xu0/p4sssXBuNn1FZ24F3dY46IrM+egz3YKeIEpuToi e0+fFdd5WTDgGdBYiL56bexYXNMx6fzTbsAu5z/YN348Ey9aS+oELKgrUh1+wibJqsMwcGkGTuPF n47Z1hXWxWJzlx8nflzyPYJUsBxHt2scmG27djTYFnzWk82dG9b106+w4BGabbnc9VdrJ3UD8b+h WC9gRRMlN+fG7NDWgEiiojuZYWth9QYltfpaj5ZPgTSvySX90MGCxKvnvdELC6YNu3PJt4AHN+0f 2Dk3O0qRExLmKi/X8sVI0HYpOm9vOuFiP1lIeAzBOQDQKruXGo+TdJ0e+v284vahn5HmwS3Fm/Dj gUIjt/8cQdNrcSG6tqr7KWcHWSOwAUBbPQQ/4cPKMJroaKI245v1Sfm0DrzefN0RV5EAkqTpWVV/ OnZ/k6btpJ/Ua8olqXtiwdlyOiqpCjc/oUqolLPe3tAM/eaWDge6SwbP0MYt9yzX5ihDhzA8V6ED Jmn+d2ILcEUeAOqc7RQp2Z4lNO9D90QxLff3iMMmGPI3kUKL7n9UK2P9Z0hIgXjKAvbwq4tny84Z dn/Yhscg+8N0a019wXFWXCTYhG+4mpPkMpMSrQQDPn1ACVX16RX+qCq8fbVjezRKrPiveHucw0YG G25I6JJCYLEKDV72UTdX6VUOiJwighjkOMO6EnDYoDH+r05ZJpjhYoQn1MJjYtNo35sKVb+e/Sct wt/IX2T2m1wnkyiU6oAno9APecczLc5J1TUIhgFKsldLF93A9N3sJN7I5rojj9xKQAu52tGd+kUp FIjb20pwGViw1Bgw7fFvT61jkGjrfwWDJ9hkr9oIYhnbKKtkrfRAuBnpvIgeMe9RFnDNtWDslU/a w5ObD9iOIqyS4oL6JaoFKxC7qAEaEfZylq+7ijQf+zKFKlR9/9HaMvpR1wUDeTjhMnOvBvSpHCP0 XKaZ85dUSU8DszWrsFSUOVOJUEH5w0MXxzCGYp7WzJOiKzz8uhh84lA5au0a9MVsjzAe2dDlxmyj CmjC0JcLUQcW662IWx/YJlxe1KfmChpV8mHKUqnnJdfXD4Wpihr2wrHm3o8yFOmmZxCK4SPawQzj eiIjqPSaQDy/NNsXskQ9V/US16+no5rjdbf0o7R9h9xu2xKf+J2xoJ6VPOC16jhkhGJBXnAbNblc VY/Xx8HYomOtwB+qicXxab0hb4jl34IOG5RkNPpYX4h+arsiyKIfD1ViuoXJeEnYdAjZuTtafvdA uzNYD8V4fFxhaYsbyBhGgkX6MXlO8pZLNKYCdMycMV6D1vO3Tvs7WtxB3XQokt6PSQELHSX4Fh7D fxo22qjEc0ifdtF6ZlehM7fxIUbkx+poEgxSRjpANH7/1rGkcU86gk5ekInqWQN2BN4/qIzfY8aM t1I9tK/2I5uQnSFwdt0VFT76QURRy5s1l9MljhU6QEueQZxBEKwrOQH5rSYfiQdcF8t6J5jaj+Aa ObU9lZBtVvslmJ0tLfFuNgxGKnU3LErcYocfg1d2ON5/eB7cmwDQe9+2yde/lXlZ36/idOtdb7n9 O9kOVp5X3mr0hQO5bX7vy0NM+HHDh+c63dedKsPaRd4ZHtOtZHZB+VXeVzqc9nltiY7Vq7BRcP+e k9Uz1g2ymzg2Imxe1FlNBUIrC8aehSnQLrgLXys94Zg5vuRrGnIzwmQcnjWqE0uYw/krmaUPS0WH EOEXU0pqi0ENOI1PYU5pL/7B56pXtat7GkbyhMwD//c0tbZ4p0cKbCK2Nd2C60fgmLJpYQddG+ah HCFJbEf5mFBTUf6M09sezXZ5cZ8C9tCRZW0TEXFgg0ASGhYug0oj9E2NpJYOZPuxHy1ce37QoVYv ltj8Kv3vd9fuf+cF6wmTR2HhqhMUTaLPXZsWEn82KuDauwBwgg5808d3Nri+tbN30PBNPZdesEKz hbHSFRhecK2L3QInvG2gnP8O0fEZ02Rtl+jRtgdgWLAWizCVQiFxBzH+ZOJ3TfGitB8MRqCRExyr CKQY7GaIiIsqngBZwptO+Dmwj7IgT7BQ4pMNN+wscCGk9M4DaNWo2e+o0yvVMDhm0MK5Tkli/70+ MVLENvAykXjNcSofCuvTfBSyRQ688oOW4Tz1HfxP9wBRzpK0oHf9mjeMqZ6ddUUUoYeDde2kF4nz CrV1TYdtwxqgYSd88xrAkSpn/gcCcNzS9EgmmjXLr9DN42VKBrbNjG1WSJkcG5EbQIWznb3u8G+9 3Hqn8fcYRgrKRhyQUzTo4DSe6WpL1N2PqeZw7DcdPNP28dcUfHIdekrFUvAqJY1XKRJSjJ2oY844 lkSeGm7wPvwIpm9FCc3Sv6uCaUdGcY8Dh4p24eQo+gGdhj2jKQ37l1uLCBkajG0iIk6yzQwLz/15 Z7iilgfPWOfqQA27b670ZZUl+NqdFMBUvVmWcNdBOLFMgHmnUuNinzeMMZoAXo1LyVYSgksWOvXw 8nZhIw2ETlxwLxhINpRwQSY1b9+kL3JGNzaE2oHQ+L1yCl9ayNB7/5rFo98fKxCHedbq3jUU15Gg guymo61mqsUCCwanhO6EdApdcT/EEHe2Q2bzeoCEHLC56LSouxEUSk5ZLK26ga/qGs7wgy1isLd9 XYjp5i5h592PJ2P36Iql+GsNuKfnvR/E6Bvp4gQ8U/jhyyIN9Q9Vpe8N1ZgeDqafo3u7iAyD97uW gF72mo57b2O7Sn1qYm/ZAsQLDiE8VgaIgc9sadHXmYul39jiO3lHeomXAkgpMmLwpQ1EjobcZ/D3 DSQdscBXhMM7cMHA3TRciCgu4hkR7ZKdXC2G2pNAeb2km6ZQ2s7cPMXM30oGADePP5DkFLvyI5Eb wgQRsZAF2nmS7U3dEYVhfStCwhKk5wsu1E/GY4iyndnR3EIJ/2bndxmzbozSLb+f0zrV2xoHM/fM R33j59N4qevdW+Q1xn3Qgitw9NerwI4pBSThLE0fEt+74RcF5hCjCnqUcGhCtVnN9sMUqqye+UNT QB6ZVcyj49LNXZEw12/rjkMBtwKabXt3c56uts0f95c7N1wnDz+dRMGNZbGpKwq8dLBf1xvBmdW3 IqYkv3wKAYh6istt3F2EbiC9e7CkM9yr1oRnyWl6qeFZl13fKc44mkcUx4V1mwiaJjCdw40w4bES Fn8s1r6i3G0rZ17MiG7jV/N9dBgulWKixgiFpGlsctRqbBn3Ka/BwuqfeMEjb+YFtyrzIyx9zsPQ 8UsNdVGyVrSGccPkLheCQDPRt+iLUKIYkF/1ML9taG4haWt76qFO/UGf7dI06uNGlkXdju+khXQ4 0PqsFqLFbZ/nw/pI0r+zDJiWN+wG58hlrEEp58e9sULFN7bqMDPG8yPAO9NpBvEWfCF6FyCa0oe0 E7xkFYM2kdz2OBVKbaiEpPzg1AljXX2ZouFq6FfMVRVrTm6CCF8eKt9H9bU5nlwjEkz9626wyrnn MH3mjwWeoxmoO3BxurMO2unVgk9GvSDOgLszjIeMoWjj7LuRA6PpG4Pta0f0b38Ki2olM2b3po4Q B9cfZzdYtFvsieCge3zrFgA1lFHWfpdpR28aLxqNfS4SFZ/3GYXJJbKL8pg2kPvDtSaNtXgAHRBr 7YpRmkY9ssAczUeviX/VD7vAMH78aSxBTITAiWak7/OBSWsZwDWpUiFCn1zM59hdJ8O2I8Bdm2z7 WzJ8yODjZJDI6oUTM05uX+m5saawo8rxkM+HhpmQDGZ6H4cFQCR4kq/+x18lJlIBLtzmmN20Nb2y MVXRztEh4osJS/B6QJvCCQVpI7tZVnpO641Sx1JEHam/LKpk1fb6H0A5HJczwjVbC7BjGUaNZJwA u96VobL3O/W8Z/Dk4pYDGqK1nF12vvQ40f/knFm2XintvCQGf5vb30nScZFYupBYlD1BlO5f2dO1 UIq6MK2y+STz8hmvSJXrrVhQCfrPtxO+N5305SqSTw974VFBF6g6T8ioz1PXm2so8ETwX2O/vKzk IIHtWhOYnhRJBnp9BW6Frmg4X4tLEGqwzDb8R7dQki2Auc95w2c0feyTng3/nxCNz6A/Eyi5LuY9 DeIDgQ2xpV0VjiUqWGESPHAgdhvFsKBSwKNYZ9mmspjFH4GBX0h8/2YHfTW9GdZHqz4FOrg4Gf1q Y1oSLHkbZUTmEG3u7XIH+fOXeDdXxfmXbX92TK6ENjmugCAFpkFxfyIVDJzqYe4ilM0BUvuAZ4tQ UR8oXO6zCs6jV8xASo6/tv/W4KmVYKRavizKMIMNuFtdk+cFd29ANDn3hYqbM9lnD+Z3yl3kAh28 06FmruCOLSVGtKESVwlCVflGDIgLFx+Knrv2sK4Zkpm7F6TMq8mxx1ekugL6BukfeTA+jTABZijd 8IucnB1AZsFwdL3SX6etj7iDSsBAX/t1+3FIhBNw24Ouo0Pf8YFO51bNA33QEyizmg1d3u2o08Tf FXecp/afj+MqClAuoZ/BF7Ea0DRNa509/XUVfz4M0pZpQlZ+tp/NEUnci51KpBMuQ5KjCtI4IVR8 oYiCLOXcmGlBKRmBH4t6vcZs3udbLMASpThGi+u6FzgBtMYFHsrS4mGrBa278OeFQxJWKOzWA/i4 X3zPmws+/JzIdtK9gJRdDVr0q0uYZfl6zoimfvVINQzvA/nUoyTWsenKGXVmvlL2+AXVGw1NsoQs zom8EIyv8sT5qLm4gpzD/NBqxG1iSiuY3r0VRQV/x9G5jK1ZMaxirOA2FIEY3JpxyfFxTimC+Oi8 9/MCzHpYcSpMaeZMpZem2K170MepaaStcLRD17yDQi7vIN4mDRv8gSqwQ7oQLZt+GwT/CSkyYla/ 5LUoURgtp3FMaWmBwQpjerwnmoO4oEDg48eRIi+EtMAUlQ5Hhr5c0QrGtff52p6d/CDQXiFQ7Xe1 HM0/7NAg2RqNZ0bZRebCahdfSzRq69qzzWesH0PdFxgwSQnFDMOOUNxQkoW6ckMIGXsmN2mrpkEQ jOFoTZnldRnWS32wYZjYBJyCRWUIrPPnQOnblfNpS1/FkMTggag6xhKrsuFPlJLNxY5YwlbD6pTn t9RcOwJ27a2ScrY+TCUq2DCdou7AvilEN+oylDCD7Lwfufprbi6jf8NqHeox7YP3Icr7/2jX/kkV FcdKUyhoNYJ17IpFFWI0s4PZJqgqHXsqcAcbeyJ+SmoH00MW1WXeDlHup6g9J/eowMDkNWpPRtdC Lu+MDqafYTry4bCUYCJL8EP7HMOZM5omSeWRHuZ2Iw2/Pmp86XL4ct/XT9RZgN+k4HDpKmkjgFbT 6n4S0UcdBfvdgcCp9Dh3ST0cND0tmHz3m21Ngr7CGpQrUAURk6LDdFclrO/Z1Tl2UdHXz7vOvCsH v1KdCb47Vby8IVHY4C5ogoAtDeC9M0sarjfwpw30/L/UxtGxg+wfquwWGtZqUTGC9IDTXrlojODH zYsz2ymK1jzZwmpYn63d59rS1nEs+3qTYQb9PwwjFw7KawZCHQNzu7vq+DilMa6R1eJQkGXOvl4E Im71Q4xK4rzoEmO8GVOS2ajUYEhqj4cljmMZunUiXUbkHLFaZURZR/nddub3uqkLCnFzXZM7KzH2 XrCTDp32LWZMs9qqfHc3whJAonntCiwU24akNlfFyJ+9yohoVsMK1E5FZRwvJc8kRaUoWm09/1kR Wo6FzMqOdaiQRCSRpgjNObzqoV1yeSpeXfWz7N+O8umU/5/5S+1fi5P4C2W8KhIuckwuPQEIzY15 xV40C5XViIy/bIMOuh8i+MXJJD0rl4tnFmgN6G7xiQawsrH5+9F4cB8OY3V/mghgQyJ0T2Q4+doK VlY8vGKFzzrqfSkh9XFNNJxMuHUMuhxmYdJfSPkZbGEIsksnZ+t0Ou2cwBHNSgAZ/6MU6STpyQz5 Ey3e2ok73pGZHm21BjrpWsnCCMrJHQ1PbsS6dxY5uGXuA6TtDgx5hl4f/WUHHrqXaN45y3IBVeHt 3J7Fv3UPOZHzHlZBOJq+32UI4pMhMKtxQMqxo3v4Tx/Mf0YkCZkUezVsSqhdZrZSNb1vOfkvysUh SL+yxQDRil+KPOF7jQNJF1/rjgGKOTzA2B/a4pzD5QuDpx4d559MIY4RySPthu02BrFlHb7W8Kg5 PyTITWqtY7B9t6w9xC/+Ns/haX+YS46JEZ28i/YSB4veZlxoOFZ9KMCSW6CiNsOV+pca2ci1616x vUzJxdFTp/qs+l+v4t+C+iBlrCooupuKyY0m/tyKF5yKL0tHhGExbJ7w3FNLc1hXl9BEY/a9NuzE SMIa7AweB8bYJuOmyynMUsFXq3k8+NC7abeLCObOH2nG0NdRgQXcwsi5HSiIKxUsL7u0W+g9y5a8 psXJcG2xrJCgygJ0Omu0ABvWpWWe7/Bpgn+lDYX8fEM/9Ugm6QSXFCb2k/ETc22Uj2ArsJgqsmyu hxqPy+oqSltyGewt1PqyFPez1hCZRS2xRqwPwyEbNcinZSIgYvZ0t0nbDtdMJ8MIAdsZhS4eslgk bv9PO6sE2Z9e+s1Ura+K3gnG4T9jgJpd0j2/lmSsdCmw4ORkl9Ow5HKZwIkw3Umx3pCCIuWyGBRC t2Ggiw1hw1Pgb+rmI3/HWZoOUVQqSbR5jljVdCdy93u/yaD08DXIyoOwftRUW2DXqAhN9hzWr1rx nZJEIkGiLbQlqDCdBanaIp2A4DLytW/Qh/1tgAO7RMnrjmFYzSfh1WZromEQTK/lErZ0M7eXLKL/ Y3ZkosmnV73VYyQ/sKfi8Tgut/RLRyZF/9jwWJfwfFEEkkxU5gPSxjf6b5laFWhUmmUJ7gM70KxR RhWrkb5gBYAHkSC4CFHxUwwQv1G7UFVLF6BT6p2fdVriLuBP05HunAhihCZZdDR4FVFavOahp4ib X9sFTiHgZDeK31aiAY+wDKJ4ZnPkmDaWj8wIGO2flQCjoR4uhgMwYA1JF1ckeAdht+lvNCQHsWAP fya1DU2jqjNbqoj/X+U/l9glY/VzcGNQejT/qRpAfEEqzGBwks9fLk2bWHp3H0s39uv21HOSQp88 qSS/GSlrVrXx6G9b3uLOzQofmbGRX+hy8tTzl/RPGDHUKLTyj5DDnWG5iRGqZzLB3bODDG5BqhHd Hd1A9lEK9uh+RAV7g9SIem9hEphQEp5LAJri5yr5NH8PDwxY2qtuuhTUzEIBmGm8z+jJt6uOCQ0h bM17pGfP+w+a7b5NGlnJqdiZNUV/K7MicYbBCQTIXAjHIbxD8tzetxP/TAYiQdVGzVWuMxuvHxjl IyAJUloCKDkFT1JuSglfFQ+in2s9Kgmgbu1KS3u0VltBtoLri/2oO8gy/7JQFlilnZ9JQWE/8WTy E5o3XK9RumJV2RwWp2GgzKzdQlZdT3lkPx0ZLo3gsMQ95E1Ht0kPOgd3zduwCT7KloQAp2Ruh182 Z+QeFurnmJH5qfwg4Vlri7iYkqBKzbkx+nlvQOlefvIFjV8hhtYO1tkuA5OUIv39u57L7tH0kqXs gISjZ9tqwsp7EzKjZUKQoakxMAIBKvNZAeTzjJ5rt3pnZRS7uNW8kuk33j+b/8Y+0C49VP7kFlgs DPxmSxdHnqjE/v1tY3YI3l13FIdhi9DcyD4jinH9ZiUt/7RBULyLecDJfaUI9lqZx2uk0ldAN1Nb cQNlqF5DV9OMdBglxSqJiLqqAkGpByDM9NXq8nXocqHqlkZwwY37hLwA5GWuch0Feaj82X7kJYSj 5GWmzHHHsnQsmdyb7+AJVpzwiKJJqYaiHXHimhe8MKoDaj/cUbZ023aSIu17/UvYHyMVlQv8/GKB UOj8rlPoV66JvcXmBc9uBgLMLHJJDOQhlxAsPR49q8gy4pttyyZKFBf4Tjo7wnKyfnTk6T0ENA6h PP5jknq5VrXBu2JNCXU/4X/0gPkSfcCpTGJm8zOsIsXKKCqHqUokd54/zDrtjfOjmSmM8HXYnfvd D19frIkA/3Kj279FMS7MUwfDrJx2FVg/3OpRVDY4F0ubGWtdAPyzXhb3Csxcnh/tct1QOiDWsJNc nO/CnomG6SayvoV/YbX/QbERlENKkc7ypRkTnl2ZmQAtsBV8JOjbpJ0w5yJkrO4wU/E8DVkG/e8b 01ueguyXIq+3fE8okNg0yiSoe7hVIPjCgk3wFT/+vZWCZaj6rS0SmuY8o8DnQGTCEJ0kXMpMxoE4 Z7stFiEvfMpbNzIiaVS6xRiK9x+4vjxctftdEguVI0WpwmZKiPbNcaWe70e7Jd7jPUH28QG5FvNd kvvnJZcg/4gexC+dfvQS53Xmq9J8pRknZu7CiHCVcWd7K4aUtkVo6lewFL64Gz/yCzOIAm/OF122 kql9NVtFT1RiWTVVyym65iN1xUjvvsRzm++DexVXrBABBH3cA4muv50w9hCd1RkVe0ZO86Q6tycJ 3VHakvh7DdRbHkbrJGBHJIacLnsCgoSwoFN1w1T5O+pT8w4DjM9hSro+GSKLvO7S//V9PuMAgX0g N+1nOQDdjZ6Est/4W+9dnUpTVpvmW2WTxnxEfw8gpTFsGVD/AmJGmHVmOdfzrJIADlkgfOKnrw03 QaTROCIDm2v62DpRd6r9TTEsDVmRTjDVAKsm5sWymoiElkT7ttJo8N/D1bdZPXexByJJ+rzda2nI uE5y/aLFaD2Msu2sdV4ebygTsmMf9tQmvkotV9v/ZdWRMF4m7G4tamEajN1sk1Me/XjlPcsp72Vk r+1jswz6XjOIOx4zgGg/bT7ERSz3UcPR9vRCFZhay3DROk68/TsG7bmTognZ0QrwTXqkF96vHK4P G/BchHbACWFr74sSwplu7mXaUichwpl92aHOf5N2NP2ON2pi1OWlHES7AOGjv8dzy/UKOAnFs1Cn kEz7f+8kGWIQfEaYEdWQL2iaoXi4HRb0HvIPa96XF7d9rXUVPjK7r1uFKkm+61aYfq8kdaOGOtlk /CjzflwybbGR8aNcpYOUnuErfwIDnqxRKljEEBFL7GeE1lCtAGetKbsZcNj4y9EAiYRG4V1scjyx Qr0oilnfNlkBn5ozLdwYPmf31xUlY0zPdEmDX5GpUKCb9RYtq2X/chrXACzD5x18FsHfcXjZ0U7X lF5ZGPH5dSuqmelScnI3M5dJAD3hRYQaI8+JZwTcpmSOs+K4z7DnUZoAJHRW3lcIIZuPRbLpjkSo jbzESkCLnzABp/KzW0Y971yXuWTAPJmsoQ/j96WGSNHPLQVEDQKmjV7xWhEBvjW4Th6gtWgFYpJs 88Ne8R5bliVhUOXyyh7PF7v7VuFR9IU9NkJoTCnkOwmG24Fr3sQSex5QR9ZMLRzIdVpbkAd9shlo dwcYroxnjnRlg13t4H65Kc/QfmB3bJzY1NanAdQvNrSoT4CCXrUMV278aMoTetL4CAT0ZAr5HaZa PNYB5+rgwM58rT8BMT/HHsW44LgOBPLHDtoNNcYpxXsrIrTohR00J4qPS5nWZYA9P/slJDaf8m0C /S5kPKdJ12Jvp9iLEU26mK+uNR6IFrSRtopdf8zh34UcVptWUcnk2N97xXwTtynPH9kJtT3etODA emFUJjdDCSL9hbw0V+18OCLbgr5X2eoIBipQIMqYoduElG2B2RNUwjviPKarW3zpEF6EGvHaSh8v +kAbsmsSDMxA70wxrc8QpMkfNStu+XwWqMC6vQ4bMvoRFToMVzFaqryUgKU52xngT5r/cIPrXhHb qCuP9sfOqW+E9sTzAhi7o+cGxNjji0qe7LLL08bXsAX7j/FhR+tC3lZjigD8kArAnJpbhAhoqnJk sQv0fHZweQPDFqKt4+4aDMlHB1/RqsMDl24LG69h1cZ9UBsPA17vvBNrItEA/6whpYyCFKvKKTrN yhANo/gmI4O9Dd2uaKGE3V+goDiL+u+vs6DwSafxbI3xxuycphJHG7AEPMfEfLZYFiZ8cWPty/Lg irp9kFTaraZ2pAeE+/6EgJEgkxps65lduqJkIehRM4C4ZWmbQppLM2rc32WLpbluCaT443nacWeR cJyqHngg5JL0QaaC6mQXckjuoA1UmtKCHP7p5AIbkHWrOQz7ai6vkbjSHNDBJStEx5rhlSCzetOq nu4L4hQ/FgDoQPhC/1bl2EMdURdAfJo7VJWw5Q7M39yZcj7TuVOWGTM5fswILF/4mmu3/M1SULOT RWX/TQeXd49Zj8zeSECr/PHnwtgI1qWRXdkoO08f991RZsDPt/4PLIr3zqoON8bGwqC3xx1lKcNL 3ItDs8v88/POM8UthseGiSucFI8jtADbXTiQhJfa/egHvuJCPGeXp9GSgB2R3yV9q42aBkSCLe0N 87WQSgg2ZE++iOYtiySlIHUBLdHNK+l1x6ieW5UmnDsYKUCB2clGMIMcGmg75ZE+GFjcJaiM85W+ vgOG13zYi83WuPMCSB29sCsWPbfNE6JKdSJPr7o71BfmJxIbAd02Rzz+h8Fza77wUqssVePO+oYb V0PWgYVpwyZ0Qm9nRt0EqN5c+ERoTE7A0a3wfrmz7bQGg3yhgOtCGaws7QD8mMGwLjglFmJ+MCKK 7y9dDLlCa3vgCpJzZUUz8zeFrCm2eoaK9mdScM8fMQo1V10/Qv54/VUmneaaWRurl76UOPFBToBs 8bpg0n5ls2TlZgSgQy6AHHsKEypIW6MmoPLR2fJ1TCs40wuCBHqBzo79U+i3+iU2FWuLzqyT5tBT ttMETlAX3ibzYDuAnPxqA7MhtFigEq26LaD2rdQ3KKhDgHmQ/g5Lo1LJyVDl8k/TJdPnD6kuDkIj EKD+8i9AEFDLYczrJQxLOGM9o7/8NPPib+EPEgn0xAOqDrVf0w1x1DVxDotS2cZoUCxaGoGmVDF2 e2D/PYWe6782tigfu//g15ap2nZbe9Jyhn2uUm7SxI1vLqdBD93rCmI6Yhw0WPfU7ikSO144CRs8 xJxdy391mwPqP3s58ALOu2gwuAncsxLkE88EMxgOBva//7F47WEsC491OMkEbqyCSSD3rdIcOJiR pFCGdd/fN/DJUUVDhBjKwNawYZPAB4hrMhZGyhrTqPz5RNsc61whBQJ6A5Ha0NnabEQAD9pV5kaI XdtZ1EMYIaxETMdg7ffdzlVrYgwaG1A7hYC7kbq07olpeHyJMDPrAtd7F2zefzaWwf6V05T3quw8 jTV+HAMqbbAazdZhg8VoSsI22HApzC2g/svMY5d6oErOBAqkaprM2CYsehiYGz8WMmuHXAakIfRw rnCK3zstnyYGti3lK2dIS+/+opZVUsPjSGn/sxhR0pAG0Qb5dimyKHNDZs0oOBdlu9Xlge4soyrD XCkmXFlDJBizA+qB8WN6LOKS+rY+3LTqpLPiuan17SXNMkNlv4wyaAZGKR/ZOAB4ZUeaQ8gZxf9/ uZ6ODBtWZiM9LpQi8e5cvbBoleZ4f3FfY9U267WULXCnUYflEc6XGpjFk2GfbE5K5TCGZAMqgHOB bltrz8PqVQRDFgug2Xdyj4KzVZfTpEd5vZKYVhqBd+lvAuL282V4B99q4+tIuuEo9twoz+NMj+Kq HKG6/1tUIYvpA7PnSqy3P4O8j+87QFU4ReLLHKI22bWxWQfR3j6ZulSqGYSWyF+ONK7FJxpP2mZr NXxOKjrzg9eYpTqS7kiJaUpoYj5N/+SS+yIuprxQr4gqD+pDHCFJJVhhWv+myr8vmaKm4RXisKU6 oyk4/R/mcHEJFFhuYevJGjkOUAuoOJZtsDVFWs67iDnKfSl+MRnnZHIR8JrWAKmeD4ntQplFe3zc kIKfdUteU5BTs5dhfedzC/+sKp0UaX7lsPWBXDUrgpiSuStjbBFXpzDIHo1ifUoYLCgCaYiF4SFE J+wsC17jNhxb/YAYt2GhE6Lcxi/0Yp4J8P5/SfKNxowxae03WqCmB1xUROMj9x6wMoyZmgWL5yfh ktfguBc0oFjw17D+9t52zqNAKICK+eNa+2Yvfur+UD0usKPmtrQfaVD9JAtq3TG+l39+4mZM12Sr raTcTdiD/IaNuhUfr+dWe17QLBWVuMDoM2OSMVJVgLf8MbzoCRmZfah44gZU5HGNpwUpsSZNINah lNx1m5gmZDbUaaNnplpWrrzrmwRBR0GafgWcNB9e6qZJJWwwaTfU84cWWtWNBR/EHEC6xvDdlTwK keZ8Y3I8T0MT3ESp0fGFrl2PRICPjvKoj2SP5G+6TGL1JyaHBlO8AnE5vUX4umvnoQAvNMcSWz+k yyjI8Os1oTtvBz3ggDEoCrmFG0kydpeu5BFPKRueinROgAdaTlQ2nagTkbU2kDkXRVP+qHTS3lmp wBYkfh1lqdjsj7XPQsyvAycp9k+HEiqb5c9GnyOOp/vnx29omrhrMzRm9hLF3mv187i+xsZkft9d UIq0p2yYa7yiYg5sY0Pxb29RuqoT5rTshhqG+h+KfkJ9XwcKj9WMRc9ZKUeRy6+GLhpmABwsmbDc PVVKujymFI0VLS4iqW9+IPF4vyYYLrn/JjaYRo2GYBBun38TzO5tyndAs867n0M2ArrVw3diENXg aiEWV/gLom8B+AsLIEqMiFRG/JXjNzwmcXuGPsay9bnakrvVI21k0ClyBQ5uTRzanyhDjhY90DJn G54txH9+OmVDjZfatB7PEP7ENOwYCZaKTIlYhmzcFyCq9iTP8ucuV89zCdZIIaVpsHy4lnSrK3Ka iBNfTrGflCwHc0VF/pTo4PfKGNmDxxfh3xYvan/qj07c+OvkB+yYvEZ/KX5Dr6qRfzGeg8LTae6C aomkyPOCLAVBzveOFBT1mBmYoFMMmeOn/0ijhxQEkQbrjIBkRJ0dumPDZ8orvTxKER3rP7hylhgr AvJNpc0CfJCfA+bYCKg2uYk1XII454lgtewptSBGgB4QZQlXdFtoM8ivxisniyJW4VFqfrAHhZs/ XOf84wyi5YvBm18MFmVVck5xSGU4bM9zd7Yiw8fHnK3ZY6b/oubj+uGK/91Y1qk9Se+8Q6Y6NQsO b1yplalSA51CRTJe549Q5kuCsJmzvPW0UN4iEdjGL+jGieiAlAmL05uyJT34JYv3sMKyvE2+4EMo XUAcGaXS9uLaLwUPIa4Wcekr5YjeWL9SMN0GdaKhqibkirZEyWBaWQ1YsViV5uPOdvwmfKOyIHj1 Gs+D4ttR3JMjANm7mnFrgXGX5UmWTXqabIXcpm93/n8hOGDQzNTlEWW/9Z8V4RJ59WfZtSWfOkEZ dEDcSYwmG8bdQSwg1IpEVKLiwpGTi0FJ9gIKk0iznsDW815CBYWEjjdH3BjXvXZqAlHWNMXoAYv1 pDMJOnPC2mMwSHGX3YfYRZAQdFbdWsyHHCpulj4e7pYAAtZpEWhNaHRLPiund9fSMc3ae7L0TD/G 7Dh/uXSWZWtw8eG7jfnxpLsq2qC5PQCZmTaymzeRA7Ihz3d0/EUJict2A7phISE7a8HeVMUA9P9g fFJShojQb//vzvKHThHmSIjNtU3L+JVcAeYwTbmczXMi4ty1DFl7pURS7iL0o6s5TdbHXiHg1Yac 16317NFXuZHywGOMR0ybHym0gjSTAD7BDxkAiBh+QxxIskKRbmmJ6ES6/wQZTIcjfZSd20Utfe6n S7GhPbuaceXSNNA9SnNMUw4OkiDFkrqef2KLri7pkfD1FIl2l97/y+NtD5KR3bALJU8u03YSYb+d QtPbvDsvRfCdWrNO46Oz2NXUm0bSDOeK7fADDqUhPxbp6cHhYpQUKNoKpbyDzjn2hx/uVzsyv+Lw h1fL4r4lf87UDieQmfk3mJSl4GsJP/dRJn2M1IRevAaqAIvAXtV4PAPX55pU+JT3JeV8JrHX5KgQ tvTprQPTx6d0ik78xp3/1+6r3kgSt32PpFH/tyIKzlMaoQelgrKRE179UQw0ler2QJXyfsdslE/D AkSk/Eg8OGZD0GtV5lkuTqHkJcPWcpxwFiN3WACxXTlVFx8/tg2Nj9QzHNlzIFdlpRGVKB39g6sS ek0WiIR8/1tW4yU2AsBgztj6CHJZ0YikWNtDe1RtaEipLRNsiWRI14MHuGRLxl1ZY057hQIYt2qq lFQEaJPSbtofmFWzri+XqML+rE/kFF7D91tPvlQ+O0mtMKOObpB1ZB/q8Nxznz0CX7NYHkXl+zpk Mj6E5TJRhR/a3xQpQAb+xtyiE8N22gLdajxNz7jIp5BI4vrCYcSSlcLda7yunto+ZPWKm3h27ZQ2 JEVw+47VjJ3fMUigwZRur5t16itoH+QGO/EdEuHJ6uuaRRoRqkAA9jPd6gZ4/gSOC38oZmerTmUj cH3EZA6bc8ILLvdRF76t1+U2XU45xHRop93+dJNVRKvDgdsOhirFL7xze8BQ84MyCPwgFluvrfyT evshKhMVvaxi6rYqEcarjo7lCJm6MckL1T/PQD0+1Kw1W7L3zFAsVTMbQzdw5u4ki2IflCpDnJat IuQKGw7k5SeZOWxBzKZzk19kxILdbApCLP00dvyFLDSHQ8xzXGMM2Qt4NHu4ZeTZ3oDSy50XaszH iLluRvHr5DH4zBq6gMX0uPUj+81k/5bUc4vioCjEW+ZE/3+Avf0EXUqNB3m6zy/+/b53PxkyPXD/ Oa43eYbrMEdzc0j9IqTipncIVvgQAjaVFvo7GWiBOpIMEAnqTWlgSKpS54uiYAYCQOJxtqRGi5IJ I9iJH8s2pjJWg0cLrvi4xPB9EZSauFeRXvtJ891EqS9aj9SWjPIvj6L021qbWxl4jy/hVunaVrHE tkQ+aFpNANRzAUugdmUFhyJMAg6UNR+o9d58/4lVctoBp+dtz+kDk57kmemLaqh98WGypvigwvom 8H901yzgaxkPTWmBiVBv4g5r9mtnuz8yNdGq/fQW62MxiDMNc4Gl0OYG7Luu32nvcnmodidx4xHd 1H0PpoJUdBwUw/nm6Nwwyvsv1Is6PK9cmbRAOnSoCXvzOmbaR5aYY8grFKKdrJmJVXEZT3/OW7Mp +hgYBY/eFvhfHJYS+02dob8WIz2q/EisM1N2jQdaIQoXO0bVSSot8IT+B4MaVHv2xRYVyoJv2SU7 yNzo+oI92h1FL6KzsB9sllfGRI1n0Oyc+FUSDeXC3cRuFIYt5IvmVgQ8aWcDRQ/mjOeCvspwZB3D Tdwu4xZQAFPxYK9uWFJ+702s7x1XraXNH9GQXuBHgjPNaDdJiQGRrZoK6iHAjkUZ2yrxry6Z62hR 0e4pMbPBc8FcF2sL1kOFi3WzzPyskhfnu1+Mft062sUMkKPwqfF+SbfQy87Rg34G5l9Fkqq4Wa/z 2zuACyxi/+DkvPafF7nlY7Z8UN6kijtJWvqJeiQWDq5pDUBHF/LErZDrjJhuzNqxssxZMVAx8j4/ hddcPaJ7EunApYoLG0SWBUhIQbKnKhCVvonP5AzCLgjNzdS6DicLKyFblbGGbUKXxkKhN6ZlIPEi q8RrTHWbWXGh4XfWhArXbrazsr9oFGG0uUsb1HGR/T/dco/M7Vf0qhcXWFGAuTCHh8+izEGRuG5U fIL4vMYXEMBYBnR87YaNhOYvC5nPuj4opoBk1TRJkH2QSId1ST9Y4gyZlXtVOYEBj8JeKLBYndaA 9uUdhtOQIJk2bujN0cKP2uLqQJC5iKQ4V86MuC3KqbAOevu87PjoSGehHfh3ShEVemX2K48C2kBo gjiDihDKyFazmDiNxK6Baw24gPxjH9AnV8nHrhEL3slnBb6JS0Q5HF0qH97UaNYSDK9x/8HM2OQ2 PGZiMRqw/vWM4aoQHf0kpn3K3Jvd/wTVQqF5Z6xznQ4CVqrmJ2CW9G+AnY7aj87dWc73DRV8Vczy gXsLfsH/oCBN5nt3QLTlX/bE1Sxg13XyradH1D8isUax8mncarykGCCoYfQf3C493u/rrrNmO//P /rZUNdqaThQ13rNe+rvlU/cfP6EBVsmprolVkRLIyX7cowCfX2EyB9ZVteGN72hRfZATQsCN7wLJ DbD8qtmKepwP3eoOOm5h8OZCfkLqkRYwLiwmobIAuqj8mBokSV65lSWBLDDVoQpEqBc5Qie4ohlX Pojr6fi4IqQ+Ge+sbufFd/X2qQgxdBmT86Id0JDvpunRHLGlk6Vh8pkwQ9E0dLgmFu3MmLewyDyv H0oBp9cokuX43GBbAcYjDtyvbHRagJLmoyABN3b0AIfGDw4y+MD07y0Pk7yzwLGP0ydrqg9Fy45q IIodCbJ/lB4fsYzhdoqEsKPkQlaYvtt2ZtqhFNLl1bXScsyLB63pY892UtdZcSylzSVT0cWgl25m jcLprLXV0Rw3k2qbVKksH0CIPk1gykfXJznFdQYTazG8iaGUMKcPKYnJxm+XBMAgCKjG7m+qXAf0 BoGqrUogZYUn9GSmJB1CyDN91Cw5QHKgnV9x2I8WLpxOBeMvl0yzXRJfh/9+CcU+lHl8lHATPB1W GI7eCvbgL3EAnbdW/vZDW44pNr6E3LeibssTYnSEViBQS1p1pmBi3U2WjxfggNhPYJ6Fnb7AIkQg QvPwc0UnsjJ04fXm/0UBm8tI0vY9ihJMRYHU4TPdCC/KplBr9xyFcLfbB5V289Z+PjwyktPLw4lY /8LTGx3lbO6x3ZjS8jbhh6v0NnstRAqz+azaNrzplSKzcBQUUQGFpfpsJSIf1039jnjVVHoSeBjd WBcvT9aBTvGrsqDW02Nibmptp7IhO71v9i6bf/5ieIEEoZJIKh7fWCEnrrJu8dPoicdGEZ8HUQsq dEXx2xxLXoml4MYIrOmyCXOFe2ZIYoeyWM4m+ZWwZFzFC0bVHLNTEDG4mlzWZIbNbarh8egaF10h WiA0QfrM/3iIyI6xH0y4T/FY+U2NhmyobRm4VhGlfXzDFziCyDysNwHDRcOB2gVd48xOeZRRkmaW 4JwLUkBQ2h83HJZKFmXp+z2OQRCx4sc0LqJdzsvioSxbnJ4vmxDObkZVyj8E78bsMBtUqpnjaVWK youbhJoo8rjDddKnO/Yt6ZvOwiSZ4jH91on5YBxOFNvwCqyJgAfR0O9g5drJzFzwMf4zd4F4lOk5 KpKcqFjMSOCXstXuTvy+iX1QAKmk7ZJC3hyHjHEN9gtKhPl/HZUERQPWRpBGJFhW6ZDLoY30zWcy cWet2FCML2XoMlMY+bXi/bn9pMLLzkJrQuxFoCASIYbdDR4UG5n6I5CM3zlUkNiMNZlDTPRJrquP 8RwQrJl9rSYwQe2c9otcJ4OiSn8gSyeiM1nATd9KXzO0Cd51vbIsJrYrIJ6CThWgM18iNfhe3NSI Lmr0A8NtHeMeT9U9omu1pc6yK0Qqr7Zdplg3YQxCzriofs3cwWqaWy3c5mP5Dx12mPXSyVCPbU/E CTs/tj6zhUZvT9fC9IaA5t9SEOr/BKtTw+6bExQ/vEge0y2x4A0WEblxzpwxZ/wAK3pueTA6hTUP o2WdjnadJTgrT9feDxcFyO31r8klWc88slfNtmDSHyWKE9/zBq7GMqqMX/cCXIPLxOrjtcWFZiYj Vw1h0BPcfQq8x6OFWJJgo1LezeRT4wR8aend5QxmI9k2l4KMi3DohZZCL9GHUdugt8IFGEM56dbl Y7JwUXytG6sLXDwqhKlg1PfRkkFcHshSV96hEBb1wG3kQ9GecM/Fn1likFZeQ8FwIFb+pfXZgSh3 I3cGBLYciddvgifw7QU6dVeHarbj3IxbinIlQeNEbrM5I9t8Lx8pFVb3n/1XG2Y80WEkOuyl/Foo OX7h8N4OFAw7xRykGUuM8Xbk0TCD+bt77niti92+dYRyQ+r3KwhHOi1E+c1cEjWDY86Xq5jJVAb3 BWT6q+XsfbRzziH6BvfSDxoovxWOpmvxDTguPpaUaRXMrzVZQVyGVrn/1NZXgUa/C4x/qL7YGfUs bnE/JKqShoTi7eWfi+T38LZUbM6iOHIUwlCk4kNYEBHpfK07vTZVaReHuY4WStx3WeNR4ehwhVs+ a99ugbur2bZM3ZROIzMWfCbU9KGjGTkxnqsxabMNpvWHbgEg9vDfXaUtdNGufMOY2vH1fgtY5nv1 s3lMIx+DcQpF42G6ytiH8+P6GqEJYbrjjn+7Z8UILfY9OkHlr80EfiFjVVhOQRkTwdw1hZS6upLW lqfO7x1YlLAjSctXPRGbL+V7Z6PJfZu8EuaJ3QpiEJFWUElao896lMK6L88pj+lp6X6JEaZEBV2U Rkwswr+lxP+LPCQMVUWXQ28mtSAdou65oJTaDb6ZECmNn7O7bAmzyY3CYMeU4hBbuSsLIPZflU6z IQ888d+MXzC541ojEr3RcHYR8iV7O253HRg4npuODyl8673IDTawLciV+qcDeN8IqfFKDbPYnBWf fzvR9yg0icizBPNJnKCZFv5Dr8xyAcp9ksYZ6wIyC2QnXBI7TwBwGhRcMpEq0fdB3yqZs4pX8bMp KndlCd3JPKoHFOo+fcrgTDNFFwwRgXk1Fwsgv/wbpUxOvoOEpZez7vu43zWsVUgsj9R9tVDEp92K NIzMuUhkenERWtv939fNpvpw3mY66M2MxfXGHA/tHYOSQFZyfn4QfynVMWjD6TooFDsdzDRBtaWB DbR7wMCQ9BIepS7t96ZMP5NlYmw8AypnoBJeGVCD3+jGgISzqHKB89RucTmsvj+nv3quhRJl7VwM ZGo8E/ktuY/A/nXyM3MJi/QmZ7GLKLMX6J+z7zKvujxwn1u7Co/EW1uqhU/e/MxmJiWEPDNyzQNU im3UK+qddm44RHpKjLUkTCW/sV9umjW9b7AEPKBU4nyJS9bUAO/5ybMqV2B6AOfF5sgSz9diAHHO 0vtyHAt60z90ZGeE9GlFo4kBJ6DgaM3crWX2mI3uetUWr9cQzjH6h50Sag41uurekoe3THRO5IcM 1bXxY1aKdz+jOBY0qtm7RDojxclyhXm6HjU8ev5o7cvcvx698L8CKfcxftzG2Tcs51261qjXvO9i x15It0BEUe96NrQYvdv/3hFSElTywpQawIgj1K67kogLg2B1Hm3t2q26GuZ3pLUN/q4y6fIeiyMe 3GhzKCwZ82/FL77FVPWVoOIXD3FgbTuatCWXyCgnUSHCbt9PwHwp7rS4vjAvm1Rnmy4is/Q+Buna lncLcbn7XAU5IR/Q/+4zira+cM2+VwnuG9sBJmT+MdzMXPE96pvpIyTx9Zsm8rWVuDeBsuro+FcJ l/IrWq2tU7oQJ5DymJ8sLCl6HBQkMWnIXIPRwK7m6XEmpgBF83YzkcyS7+v3nMadhhbwWedbcqhX JHkezLHB3Oh0U2lJZ7Rv7VqykFvCN8+1/LA6andKex2UhCBSTNR9TE9KwSx5PyFdwlXzj0jGyet6 ARevQ+FiEWp6kpDw/BT/tGim+Ioea3reMUWypHyYIyiEkhxL+QPTCKCYjM0iTKNovpe1fHee6azR QgtRDqNEZU4y4GlEQUE9DHF77O0kadajI3GEwsACm8ThvM06Uy/9dEdmZxM/NdVcaM6gqVYn1HV4 u+Cq9h/nCXn5EPlSpUhLlv4ZZHixmmKbBBz+/3cIXKvbXi9IS+B4zAcVYhDBBE65ek1hqVaVIGX7 96NlAOjIlQwqzjWuy1N0BpQKDNm4U8TM+OYnIKPzMfJbinWG2iisemkoYeSfS0kQmNFdNugNnEaR HGvPSrD2mVI2sHZfZBta431gW9iG7AYKRPg9PFb/geXS03NlX/TyNbhESQK5iBQg6m1AEU1VAvvy G/tu7TCMglAq5v5JLy2gSlV4aN0EosiqbU3hFRsbcdzxuLe5bJMF33WTeNRoUcw4r4xf/IhePFHy ROulyZRTz3MOEWjc7Nq8xGIAZcKn+2EJbPRRrDDjGyPy+uC5zyPxwipW3+12sorDICbymVgwbnhv 83AmuESHSJ0RuiZ2D24BGIQLZdMbbu+TPOhB3Bsi8hFB4/nekEy5mPRqQN1iXuWxcOgM7ZxCkUtD DcxDhNOlHpjrM8OjxeQUZHrrrRX7CbLfrrLTaKfOVLnsnIMd6LP5zA18/HjZPQMpBIlavB/YtaC8 AkOVCObqHJEKUqwUjQsksw1lXyPGH5BQf2D2wk/GL/j/24I7Y4f2tHQoL1rWLyXhZPzRv5nfUC85 7D9T92mKG+ZVm1rkOycbqqMryryC3+dcotqOPXg3aAtUlUMThxI9BiN28UuB0VH9T46DD8K/ztaw WNRkvj6LOexWVZJp9q7QcsaVeD8rsUuhrsxO5IhFF4FBxDU1MJcVLmclUQpMOMjfTP0rKvLoc0MS 44GwVszJ1h22r+i8KiH39jBrULHmpNdEn1l11R+Hx50QpoN4HSbmsXMWSi62EGkcg5s9nqIfJ7ha EDxKD2g/NoPdFFF+dfBjvpWnXtLKaewP/ClkBuBVbl4BIlLSF2hpDtppPYbgbKCB6ug77Es0GVNZ WSkqagaOWCqzQYn4eN4/nxLHmWv7SMsIeZ+COIP1t304QX4LJBJuI9vHC2iANcNRF/ItWy5YQunm sn+o+rnBd/AwoS9gI+rxGcvRwrH63EUHqQ7VI/AfVM2uI+uI/eprwoj1xsYW84O6s8tzkqlB1Agt pveswtFOx7VOzE+juWGKrP2RYlvIJYC3FYPG0SdoiQh5Xx37YCgKvRbL9utG7rHtuMo90fOoB/Dt VS3EoJHjEr7AU1VkJpVZhlegSF7oBP+ba/kfrk8z2Ubf2mXere1QsrZRUzxzA9RN7dNtFiq7kWus r510GrE7lC+EGwHBiAK56qJWaKvDfSs7h4ACSCjK4+HG2L7SFCludTv1ggDxBkMuoe7qgZzEHud8 xY5fdboHp5upeyhTMq8NVn5wXgh8PJC8kucsUerJHjmNYRzXb+G/ij+KK6zaFp1ciJdxERBQpgOZ zDZYdC16U4G5s+iwoB8rivWJQfgpYRXT045yQCB+Ze+eX18k53dtenxEJnnfVMtQ4IUF28Yr2yaH obg4PNC6nmwMWLISDTOanuuLD97meK1egjbhSya2I4MrXz3LRr/txbuVuZ0+zlMcxpGe/WaeiIZF m+swAP5uhO+WoCnh89uZ75kL+7bC08rAW+1oe6aV+T+nF7OljWTwyf5Ej9HpUrUw90Fl2ZLdmNSX ArRMPkmTLvactBFUE6c6EgANCZ7pzpvRlpAXlEL1PySR/vcOGGiwYnhSPqylj2F3WckTlNSx+ixC hKPykHajghwm7Lp6OeQcIp8WimaUDST0aJItnU+xB+SXATvywMmltYsf19Eke5vK2rvh2XJP+e4O v2GE55w2EdBweBP1zowo/ONmrowtLmTPKGi892+9YcxX2cv+8EMu+yNch8o+BrKKTe6o5c/Ef2PM J7eqI47P8njW0Hqk4IFH60rLidzbR17NNnpHbZZYwwr4FTb7tjygvyzkyrVLUFqGVjxL9Lonwhjx BtUnBC6mOxsExsaR5gEdiLKaqwtjNEBRRIoghEwOvoNBn5VPU8BLo2pAEFzI2PbXaKzjzAd31n4y CeMPBJRGmuP738RM7QDIorp9BmbKQrBQ1diA8fke5YzKFWkvb6HecLMJFBSrWBkLjnldUB0Dblv8 QC17kw6/09RrnLPwB6KJwjuQSpJzikalU1TSRA68lLuxoIbPugfJnxM3FXnhhAHCoRFcXRdx1cfd DvLz7wkU+6xAQKM+6H5VOHaaaoZj3t5elqUF7QV4CYlCR+bGc3ojJjOigoRxMx4BXa/B5xCG3Jee KGwtIdqdUvGTllqy56fhgl82sbxWS0ms4y22jJiNvmAPeuCKgZ76KhjzIK4q4LQYy6FmI3euprny 4ALw+ot5E0x0MezWWgGOPrg7GRqjFg7Vd7LAx4PsaZTK5E+xmnXfz9iq0zapz82h2566Nji/0PZu sA+zBO0JZhvYZmT1dlwS9FtxaqZo5rSBw76GLLWMAzCZcbWtzAw77hMgyjKkr3nqP93vU4qcmPkD RPqv+QmMeecois/PVr9P2uWHFFgAaCIz3GP2CF7YSaZ7ClpkVSIfYy/2n7Or+NK40l6mAAisi1hG N/f+GqbeoRFhkH2Vqvsff45bdTORSaGG7kenFS2N8U/ME7U+kncLxUlioSM4bZyEUCyt6KrO4Se2 aF/jE8G2lb0d7NbE2Pzqs7KV4sP7Sg1tYw3BDiM31haFBSLN8pWemMbj02KHpsqMWCxzqQojJdSz SB9yGNilzqdtxbBzbf5G5w7I+lKn9GZIEAKJb4JXgtpmkqUC2OOnvuN0Oy88n9Eo+IoISr263Dum DR04EJiYq/eOYNWKVdMVrRMaIeSl063R+9m/LU5h3phWdLGJtoN6R6EAKVKTYq0yAzeOLb8Sp0Xl dfXmhhej5JzNTG9tYkW7i03z25Z2X6U0cSPOA+JG3uVw4SgQQmO+/9DoGwwFf9r3Tp1T8wpCQhoB dYBgLEspvK6ac5k1KBkWDOY7mJ8+gedZD+HAMts0TMidbZuCVHjwhKGElXkhckfHQZe9Dugtcpa/ 4W2kl7hLaPy7a/ZG0jnjlLK1KDtAOe9NM8K1tdcwMlTh0iewEsdOLWjNVlvd9XdFCS8mip0ltHse 42Ldr+2jQP+8cOwlw525igspQgMQNOXFWZi7ndtw6yuz8F3l5fDeGXAkp5NLoDhqI3LT6hsujEI+ KFSbnnfZt1rL7MpX/5y5Elta4wd4dFvWssibU97srQANjapXMjXtf7sV6WcnedKAahuDolMcgyo/ jCBJIwaf9Gzz+bufWz/XqIC3g5xq3cNI13t32OpUB0ruvLcARZP+K9d/2AkzWSo6ufeZBAAErwlg NJF1qM47I3Qorg4o5IOEDf0LsCsSm6zfh8oTuvJxa1NBBcKxwBRrMVi3zAdymh0qfijVEODwHHOM fkDD7N1l9DpjWez1yVuGFzMAYQwq4tqWIy4xa2nDjeFdVbcybctNcLn9muFb1829WJvHhqFTzYcU OTTKLN/7iTss65zwYm18FgkSEDY2dLyLWdgR19kY9zAbtjL0CrOYtUk/A2hlmmyR/TWDTjZ6CEsV icr51F3Oqxu6wgVvTRVc0VU0LojizeCOLPl/9l3qLK6fDP8ERWtwC+K5BPxGTmTIb7Fnj6pbh32d BfWEIhP8qt0SCRCvUMidcZimpCjtS7h5kqGMY/dB4ifCU/TC+TMp8VGHuVWNv4EJ4+zSPX1ydKxy lrSDRH3r1Tm29yMJvXzYpi7NyDBzM8mJ0UQpIKKFk7B17wPIGUeOsmi44tZh3xH+6j/tYAEuDSQr Oipc5W8TrsS/dO22hMFulRCCZa8b0U3ZfF7WfCpscd7COtWxNJKPzZhYYcZAbPFMdrBnrDWRoPDI 092qi8gXKZQPwC/arE11VDQq1u2YX+dmjoZORClTUGp/nCaxFhKzj6PqWi0CyRj3ccHz1/BKTMda PY4q9CIU9BjDCg2PWjGhxKT/EHdLI8yGyj7xvI0AGDIZ7izEPsJzmNq5o55Ym03uub+WFFPW/fNi nnmyXBz49Z5KQIuC4VrY7vIuhVhXvzIGfmiBEFJWvumoYcbj+uItXrUvGsjY3hWb6odU1YEcufpT etgoOflOHwki7Z755xP0kqDh5AH6n0byzgS8fi0L5VQQzR/YZSVWzHFD798qC/77Thphw0I1kqVP ufsOjSEePnpKAyA7eDlij/MkQYAp0f5qPv2gOIJQpczDzJFn5iD/67TNwqrK4feqrL3H7oJEXQN1 zqFzUnWQgytA2oDDuAnfxNstlrCTCffDAQivaZz7qcr5zsGIBTPQv8Atcn+xyGyWiPtKBNmrvMR/ bl/Om4a35qeYMvv/qEIYZ65lV3RwIH/kg+swFLtEcmvDyZXhGDK1oa+obOLnCEmI6ngOjDtQCL0j mWhn9+Wgfh1FTSgpkz/nJ914uu4ayjKtFNLfCGYZA5grzFqy4+rjr+a8SZ3P8OVRa1nIwFL3fI7f RunZOZKiX8hPATmtP+7IkwLpOHpVgA9erb+sQgNpigwDlE+luxa6wD4jJug9WLg7LQ9VsSd2YvOe Zixk3y4DBgdFvp+FWwioq0UjvFoKgtYm0Lq1FYDx3J8/zcBDC3QiLIrEXVcDnFIb/MTx3Ed1cCZ6 opOjBfjcrSJ3MKcbEnac0ymqgmCR0PsXDe4AUqowS/sDO8uCw00Z8XSWU+13ZKWrhp0EVJFoJ7tA 4z41aSD3VSIMfjAjA63XRSP8ETEx4PjqvK3Cz9tRo7V21I1CThlNKJ4/2OgYIbn2zfoCXYZrBEc8 TXuRPQSFbJj9l8pAZx5g2bJCVGQ+FnUQ+S7oMxjIGXlgzhcbu+DdgW4njBEEg+0URFSUFStonPBP jW1aWZ1htNAW32UI3ekv37I1MjETgmuqvc1J6sZnY/FFVqxPD3pMPCK3pBQCoy4HwOY6C6tRJOAc +na8s8PeHI+zu4sjbaaam4UkcN9UIQjFKHEYbmz2FflTLbzSXe+P5bl8VHOYkydUSVwUEKFhpynU hVqyWM+Y8z7JajqdJFeDMZH9i84dhBLfo5TGpSk3rN3Hxdx4CdwU30MzG9hXoXG0/sd2/btZ9LC5 RxqbPxgUv2461lSBGysFbXgjvlRdFeLo63Q6LDZdA4VNO71Id88HPu+7+2MBixmFgsp7W/FQ9rGH oCqxbwenRfM+rH/2934qx+khEoQqQYt/QxSHinHUvlrKl44M8cE0DYzAhvmKx/lqKTcODTZJ6SgJ l5D3Oe7NnnTydpqJgsuMKBsK+9J2ta6b+ie7/Uu+uol+MwAUlTOC6h+G56umi1QeFQRbxxeQQ2GQ 3h9KYsw3ctlO7FE4D9PGdq2wZLhHWfKkxRHtCsFTRrQjDOWjxkGdIH0acEG7lZg+K7uwGoukz4GZ nOajqthT8cl5D26FBdBl4a3zczimF1g03oWLAg7KSA8kpYzAmrMWxKTH8gGhOnSF5HBHyvKzVEjU g74OAcYRs+RPdkHmWMUUE/BbmTEyIcYVZ4p2oUOy0zO1n3IkrgSuED+45ac+WUft4tNPQYkdzr9b e45gwJnqZwhds7UP6jyjAHka4aLAzeDI05Osd2zeMZEV1iiwL+ycVsxpt5RnxrMb1xpbzBwh5jtd zzsJJnUUoBmaxDTPsLgnmoyc16OoPaZcQKgCrGoKXGK8wnBlILbPQoMVZIL+y8itCkCHo9bfstp9 99NVT+qBWz6MDafsBH4/EjT7B0fK0/ffIN26XkNPPs2KdBTsitRJtJGiBlNlKo8yz/uliMaum0rQ BdnovrrtYjQgyvIs35eOAB77qO4v0Ud8NcJOq3n5uzH2P2Nu6SRGyfZ0oAY+rl3HJRmFeX2mg45D CUnAdbHttONhQR1dSGm329YXH+oEPkwVs+nvZUygeUkeU2YS93cJzcVBOJZhdnn+jqYrlJ0HEGCx MnO9TbRkAFcsn2SqLrplEyNX8j1A4ukRppRyhznxO2nwPSu6IWnlGvEqH7nSjTLVgD+6ytU8K/Un oLNISqPMTZ0dR5N0MV3ELaCoDww+GMkW3gi89wj88MQQlTUMVNbab1QidtuQpQVadk3bYe5NM0K+ 0mHVQTubFQzTw5o5v40iMVBLxuNkDSaETSYUmrzMDsVcLyc6Fqjxb6OEoSOfhDv17K46kuL9dQEM MJqdVZnH3Vmz/RSbwY6uwB6orskzkOZsFMZW7G2YBSvrMhIFaUHKzEA0chBb0krxxNHC4os/pUfw wv22B8MmT7TvHRH1g+KauNQzalw5wTqYEvBhcc+JQkCkaMAn54pmHlWF7BirlOT8AJY6IjXbW5PZ HqSc3wAwvNuTOgA8AjObC09clxN4un0USNpWy25S8sIGqUIG+CBMZxm+TvMPTq63vwfWFskNIdDy pwdK8Js9xzzWDeY1DWDBDx5/wYYNvMaEaNIHTladAcMALjGG9o3yV2V7JlfAyXHTSo8dal+J7U4F EDg359Wg45BNCpyWDZfOwan2iriu/3IYR3O10piVU5tKUIFm/vQ6Agir2F1chWvuRISNXaov90NK lAy1yFLwzGVOEnI+mzujx/7GU1GlZxjXP/PAnqbgNZ0C6/p2s2DuG4tb4e6hgQRVZ8pw7DfUoIYA ANeiUucLgRBN4WuRP7XmIx26Z/LTkoo4J32QFy7qLkBFrVoj6zCxrxNQ3ox2tFkmOXePd2Cs32Xv xBEunS1utWpCpsf86N7GNli+pUGzdq0RHvSFpyMS4W4yTy1FihvrCcwie3WdRELpn74okh77ST2i 5qfe8HzEmHHJD/Cx+d3N3v0ykxw0HMVMB+BwPwypKWtq6HAG+5s9/3X7+ChEbPTEQJ+gtrobfizK /674B8rs3rJS+/cRu26fwUo3JUC5LPpoc19yo/Mcr99cu3bBP+xDvIH9SVM4aI+12sWTaarsJKRd WU2fs5RwPI3AlUBkRAPB8Yrtc0dZvha1TCGTwGux4pv0DCqQ8+QYS4Uv6GxWN0KPEzcMeek72JgF lcBClusnevnR14GBOsKtXLTxlCOTodtZs9T3fR9t7JutAXmOST2gFIdiL0PwhKHZCNqkfkHCkwsO SaZAEI+GGU0dhFfCT4WYIsNtuH+fKSV0l/fVLzYwPO8yp1wItpZx6h24rlFt2nlqLdvdTnvSYBYc Tya0GNGtTsXqUyzDrOYPFRiCSJo8gij4ZoOEblAJmJTlvKV+jsJsW544HduDYJCEzFHCu/EvjogD +xxUXFfQ8jqP23wGx+r86U5Lf53GsUs0/TO+EK4T3eUOAMp5hQSc3DO3zr2X3Od5w75UUYgGoAMa nSOd7u3Sl3lVm/n4D9D1ivqX2P4wF06Y46ONtmPvqP17DNZ9q9H/6OCmcje+xQ91jfBySuhWEy5r NT3aBJ0WhUXU7sCYtHyFyFzJu/jcxhbLjGIrD6G2ZuSj8sxvF6aeqVuMDDj58uwYZt+S7b4SbAnm /+wIaDRuhW0QzUv3VWwgdCxFa5sHliyjLt+u/REjtFkfxwpH0YrBHiVAF0U/dhM9zdmNSY+Uce5S GqDCcNWxbr3tXK0gryCQ3yOcRVUPQ7WR615iJc2B5446h1TKPR+VIoxsJ8XhKDOuZugbe84s2f3L 5Z0p4vK/cW3GVV8pqJ4T51Wrba4Pjx9GaxRvk0HLQnXkM0ewwrlEkkA8PdZGy7ivz4R1y9nRB2vE 0pF5NanFTOdycaZ/PDevmnJMe52yPymKoBt7PsD4mqscQZ5yzc5YbjoV0BVzW9ekWRZPJk2r5m9C UI1x0giW38sG8jQqEbkstZHoG8/SbcUKwxp+Dea2sLr8Ida34fxmGrvLNaM+AluOYK+G4dGkNpT9 WNCL/JqtJnWWWl/5NAnherS01eYUY9RLqDpIbGtWEccY70/lPbaX6DIdJ73iutF6p701ityz2oLy LB99a+ATpjenf3imkkyIR5q8Y9FKZYub8Bl5t+uZHRqD437qBSnDLbnI1L9apNoe3NC+5sjCHm/m zAojvz8qyV4ZLvM2svGpG6pUlFgXi/OG0wcN4VPSwMBFZuzGo34b5qPXB6N73XkC1hI5L+6YTQge /ii+6kZJvrBvCPDIQCv74lDJPqDwpi/P0kvERaVeiAXWTg1l6v0jaEJc+zqDN4+Ja5BDCrUE1qV9 Z9Qk1Ot2+74O2/bA8M2Nf4ooAWRdNnvTia6bif4BPi/nMrYWkjl6nhPnRz6uwJ1R7VGptRL8amrL ftG1uahgHY8UHza86q2N21lI6uuTxCuWbXWRH1XLo3waTtbwpbMBrZu6ewA6YjEDfxQCvH57IWdo wvrVLS6EV3POFYS2+lCwzLwhNNEpco3e0ZXXzgQjrZOZbGbL7MzGJIOkhzpE1VCuEYlzm0Ozy1qg 9oZq1LkCzMBVMaKbvubiu45bq1nbH8FHCBBIVA9RQvLWDbSmY7+i+a2EGpFaX0zhBYwCd9x6uyAp o9DPT8/MrWdWFBDlsfU2Cg/sr+eMI9EV+v01tiIe4Xt27l0PNYOUGD5WazE0TM20xCQRWFKJT9gv 1PZv0EkS1EGQXuej+FTXRqBFvL5+jVos+QnjMUWI4g20DKpYJzjphyBgl4ezqMr+CHIcAzEafAJg PyElwG8vhz8zzS/2Zr55O4KEw7eUs7GhBF6MCzItubi2vV+6jrZzMDABxyDIKG3N0Ms3MdcYbTTq cqcaz+OxtKmPIRtrJ4MlfI7Z5h+/g7Ny4Hhh67UhA29cVcy767FIZgXARFTNahvY9sGepSE9j/KW lM+4b/xrDNqLxIZoZ2eI2nsYpa/Uuq5L3+lgPKNMlnN3DNq2YsDeSB0wqCcJCzUe2E6mg/X1B7nX leS5zWrjgsxB1ic5f8kGEOjZftj355Em4CqqU88zX+M1nAYo2r0R3vWM56a6XSyQSgYEVhXbd7zJ wTC0nLqlt7SKqmHr90l+KL/xmQ0h7Yy+B/UAoBVKj1JyoTAREwcVTJqGV9ovOVXfH9k5JLfNd9ID k9yMa6wtdNqUkQFsiycD/cXwDn96HIeSDIE2ZeBVQhyxr42PHbSMpg8jQu7dje1xviVjoqSSbVOr Ugfr+LZlyWDiBIQD8O9t3GnGK4FPzc2kUy4TyXD/18XZBTaGREtrJ7V4j2vEBkNbFdNLJlu4VYt4 9BZawGr7w3OGFwokydKPPSePXLkeUsIG/tbVg9MI3cmzpZoicvxqC/UCjQ4C5xT/XHGNmse/tiQh IwtCpZoGlc82TUXaNpIf0BP4tNsuv7DQ2+/QGLey9/NCSKAxTgov3tj83lvlDZ3C1cBUwR6DI2yT 44hb4hnRweepw7C1DoKwdYMlc5+iY5joWEa/sCMo8p4KiVN2vTp4eqdwWfyecOcAsg0XFrJxwqZy s33FmWXDyWY0ak3ed69S6u9PXlMaTYnHpNLAknpAelltmC4644E6qjNb1cRJI51gFGUnIcpSIjpz Y3ehHa9sNTyrewwydQAx1wIP+fSk8LtMd2PLlIAXZdSJKZ7JZkGAoObsfLMl/nhSDBuDM+jhoRBx fT0GGw9kjWfXa5tc5wm9ipX2Bf0SL/EMbFOXy8Yy+jLg0NCPA+uA2m8FVrJWc3siRwwJ9lVOSJmb rEenpPOJtaMs5aVfhgPeO09UJpGRw5mHrk/BO+0FzQvfmyqF2Bsw7ULlNKZTWGPK6zVRv1TjZt6F UySz30eKMtxUtbwsDbmy101HwLrDu1nSkVezKFrzQSgIf2CwqSyYG5Fb7VY0d9rhR0CzJ+YQGPZq ZuBoM/rxP7SOOPmAvjfMMm0v8GHmJa2VSNyNLZML2F1br77Xv9PFA2lHlOKKFG2EbSbXDjPjTFsz 8fqYg7S1zrxyMZgGBpaCG8Zhdn2h4p6YTEAYEyJNXMEZSXsORgULfm9zX2HfLALXBpzt9VQOV7kR YQlDwrLYGJLPwwjKVBcC2v1/yyLHkvEmukYSOfwXkF8XGNOCro/EGpmdFRasgBb2b30tfwK6zGNz R8seAlEd5Mtq/Hr09LqpFAl9pVWMZJYp5NF1YHwK4wCR2TF4Zlwmq0ieocmyihbp+1017zgv/IJ3 V3fLrpBA94dhdRxmEn8MO5tikm2jZeOIIsCJ5DASigtmomVnSzfWK8EtObmclyNWeqt16VdOsGgv wmreEGlQOOrpIe8bdSvyzwFJixoFIBdpEg8ZiqO2wn8fH5ID+BpZGHwHjZEeZtRKVV09y+57n7mN aA5AUO8GuHZPlcmAyrINTa/mASY/o6bF8Of9CIINo5PggKO/kmq3jAfXnDzA+3d5C4uP/1kY4k3U d9RtapedqvfFPXteHIVitixNNoNOc5mrcYR9xu4Nz+D0fxMjOYCwrj+TzyQdrPFhdFMn87VS4TNA 1+q4uwJn5R+sojsZgRNZrnmPfT6459H+XaDUSBJRAaWkeZTaYtldZPyWFWEAo+a7fdbu1sqbGXCW mg7bbwfUYne6/jLoq7owsA0EJADyZL/7Un5alKll1iP7jU9vFn6u5BDIDkpHE76350pzmd58C5aY oFYlUV0S9I4tX+oA0tFalzuuV+CCrqcwjRTr5LVdgReoDSvY5tDpKabKlW8iNlNAzIKK6SuvBvyz hu1YmXoVDpJtFky8F5ubCu9eBlGKMdoAguqnU0IR9NYLdpQUNSoSx8FQPEzNH8yuULqOQX9t/1ri TtEinAOUqdYrP2IUCvO++S6zWY7G5CEnTpAWZ7wlKbpOTeZjBDnrXbrsXwmHQ9NIT15afoEakDdQ S4SNVy5f4u/8CinarCsWHJUmCLu1PTSWQC09xDaD24lTpP5eeFO3Mu0HcqKDotiDmkKdXUA3YqgR y/KR56X7h20r769kCcRNtN7wP3k38ngToi0J/xkUzwZlmjUVuCzFhWsSQugok2ValwhlLpu+P5lg +XrMBvQ6AUo2+h6sTFRZq2NB7nP9OnB36mX43pya6H5Ml32fEAhLGDPaiwkQbRkhBgYBnm1RtrSX UPUOi2Pj3J32Fv6nhIJeO3fO5kjdZUurnZbWf9dT7QBUXxwiVBfvoKaUqZxrlvcoMXqxans3dIK6 Lmikdb26X/ooyiSsUcSsD/k4tvT862OcJIMagoywzGlDWDomHD14YQsaY+wzzSPcvRw1h6Rceei+ tzgH5WT/5JDGxx5zd2ruVwANC7WPyQ9tC00hzNNFRIqhgUZbPNA9+aZnY+S4LC1rJQpqmWXGHn49 2hMo5msWM3bGxPsXvkUmfN58q4kk20v2lk5Vjs1K+F79Tw4+kvRkyqmUVhtGn1F+m15e2J5VdkY0 4tIy/NTyBJiE5gjVHgA8v5ZRFZxIyrPvNG9ezJvO19moDIgK8J7gr5im/pI8TdMFbgSpy3xfOqUN AMkwsYh3PcUtXvcm7z90NcChWkdK1o5gUJdzeF0X7D/l6se+GLuYpqvQWk0K/D+kFRf0w8eZ21gY LYbmko5GtS4TWyOl5s9pgryhXcrdZuLvqcDpXnuo/oqTbETF99FPA8nC+uJzrutrJH6fI/uiOclF OKEAXDbtzCWttGEMIg/KHhA/DRHVJaTlnS5GnTgYvnO2aGjXiJ7Ybta+J2EHE2GoeE2iI4UqNIi1 t1jOX/ONUeEMkPoforpL6HQeKdzcPv3xE06KvbAfzksZszoSiGe89K6Me8fIrWmGk4FoJzG/nQY7 lCNZ+oFFjaPh0cqF02kb5CDTZ1zzU/s08c3hlY/Uh1eyiah2n5kta9Wxt2vwIb0C1a76qorZlowk Ei/qb4rGcZARQShdTRhEtqSpwXRZ4dIoMlc/HoNikxUMOPO/6hs0ipLEVtJqH81uQDueENHbrAEJ n5CNDb9qMVU7CbOUbQEXokd+3hYMsOS6CqV4CmysesiyiJOhee16YaEkU7ebIjxPOtURNsz0g5la iIoAGG4SMYYsu9jhCtscopu82kx/esEiS0VxfBM5tvIozyqRj1BwJmJeLqhVmtyzRtuydPB6Yt8J mrsfUaM8r3CK/jscxyshoEIXJiXU+lZbPcOPk7ffczD9yXBC01T7hJx8ZIRq83zcnr/cph4TKwrt Q2Nc0jvvHVz9x7/ffHmbpFovwvXjCzVdqdc705SXn0C7qZza332fXqDqSWyrqSxN4JyGz/ZQBXKI e1GjKwGuhnbbC7j8ApGkKfZCoqLcV4RfxDrsiwvAt/YkA47+ZV7kV1GLk6egmxJNUo6s8vWyXczQ 48/f2WhabB7vJpbjqfezwJZZknQGkhuGCapyzGYfrwse/gbhtOsAoImRfgQL6lqUYPrp1TcqltSO bFlzMEjtzsb7Ag4SSHFaIXXSvDuDkHlzu/N0UJ2wQUrJCC4iGwBL+k/R5xUnRJ48ZOyH7t1ckw+3 NKwB/0gcUkS3nIE7Buhu75sbDavTz2g5sWmVosLLWZmouVn+ZowyKFFwoSGMx+yfVCIYsYt38UdL i0jwp2hWHhiZeWDbiK9+WMPMf+1Ubobr+YWShuzqLsNa7T3C8N/6pNS+QvIFAv+N90rNWpkB1+Co 4UcGAP608j85L9GM4m4j4qEJw2bYSfpDZ0AY5XsHZs99SxIIFONcRK4GwggxQldl+NsBviYIFpp1 COnRp0ul5ABxQhzVQ+iv7TxH9G/xhUwgtNPxHIl2EzTeDvw2CgFuBzK0Z+U5BDZAeeGYiT0ecEjQ 3Cb79RDL6kgUQKeDHu4oRZlsJDujSerbj/GdHwRahbyMETK1fQRdeUTeyaOsANT3Qn5NhG2Jyn5u KLaKgmC/Aqz/J5wcspLDPQt2tdkl+foBd3WNy1JNMB30rV9010v9yJOSoPwVsJzfcCpf/LMSr7ZO +3ffPtfN9zjIS5VHMXwYQbR+CyOstsPzepnkoFzNGtFDerFI8MpoGk+4e5WEs4TgnPBP+t7NCXms 4J3kGIHPtqZQEeRWPkzgRb8N/VY+B7meL+xeyWZFkkZ8Xe+Fe8BlSfTdryC0eptd1ZO8r5w5qRTv ODqLSK3nx+5J9Otl6/BLi0aITR693T4NJ2iYoU8kUmR5S3kZX2sDAor2vvaincc0pHvw13/KE1Ek e0m949JvuNKVWV1iw8d6deoxXoJKwaVytVpjl7czWfFf9/SXZLBMTJTnFNQNQk2MvN/LWbdEK6Jh PhpjkugwW5HzpbtL/vDvyLmR4VV+ExUJ96BIxatmAIeG9DdhiYxokDwDjSjk1Ab0/LO9RPMp/7Hi Y6sUfIk+2v/8HbAA0KsPxaz5pE5oGRMcW6TQZumhXUCMOqa6e3VJz5ZlP1NTrgSj812yjyL5wkIc /wM5tL5CdBVm9qPUGW5e6luP1r1bVfpL3ao1cA341MMXFvp3wJB0XuHmbYCAK24Ea+C5rYexjd2k ZX7Okqf4C2rHtZdE/AP70n3xo4162w1RZoZps7goXWHd3gTCvi7GVHmtATrPUDKI2bmwFaTartFk kpX7wb514TL5UbgIN54rVMzlvpbnAIKGnjUqtMhmZTx50YIqTozeT3nIChl6qLu1Ez7YwcQQ80jS LhWbkAXt52MxLvkybJTv5qs0ORcR2cFBhOXc1ZoTv7cPqOb79EZ94dq3vuEipFT/cI9W/7BESrYW Zd04YvHBvPNNmlehm4mITR3wz8t3+vVPfFhq174e1jeNcQz3iDVxRTbKV+giCkQg21XRWF/wEfMX X2V4F5KGzDvpqnjZ0x7dUI3zSVx6KNhP148ZPbFOVi+VZCSDd5k9i8lNM5NrWcBX4Shv8EKjAL52 QSkHL/54HGrHiXDHD+2qdLllxMKPfGgl1U7Tx6uuW2shtxsXaq4LsGYgDaRJZZuseFvjXs+8mmbM vzgbgK9d4pzvL0M4vIbnMBnfnlYCRDGIXCSoP6t33TbyApMyRy2BuQZD80aFRaukLcHG105Y/31v Aacz28smGkL0OiM5X3F5u/fxqtAOnvQwa4hAslxktiHmw91HIvp2F/bPOzYD+ahpa67k7iswOEqF T4De9MlVet+l3dNw/ryYj58zzSt9L5AdqzSkgAMa3ZolE6cXpw0wWFTR+jsC826l5aDgKMAGd/bC sjrftSJGRCkJ/FNcgLALMeG+fEr2eGK4Uw0dZVb4+WaiJDXZRG4uHlovsAVL7FjkNfazyurKa9UN tDfDMCjznRzwWgR/uqHVmavxJ2NldVDpVFNYQWcK8Bf/FRK68/bjF44cnlO0T9CgqDIZlceKLAeo rws0ds5Alpb1J/XgysrpX/Zvp3WkMe6UY/p+IWPrUsWjyjNsMqu3vhFmpy3TlqWkNWSsq0o8Sdip fbsNaWjkPlvnIJbc8IsVTXLM4Khojh65z4nppr+N+tbML4wt9NIqaUXQBesvDD9DcN39MeZKxo3O yoeEOcc4E5/ZbzKcg4skQH3v391kUgm2BtqvOA1PC25EjoxFbVEXI2pv4h0ffL5z8mPnuyJZqwvG x6clnm+39CmyYYsK++YdkEjqKYpST34uL4aUy6CtVXewZ56jS51uKqdyq93ukvLaYxOGhGo0aUkC DqmNbxwNm0A/qDt0LtxYr9iOtB0zNgKTDUmyYlybv66OvaTQ4oagjKqMlInlC6ewHrS1dXhR2L9N wo5qHHIWl8bQBM25R5V6ze26HMNwGGeUBiB60kBOSQ+8SsVANowOK2V+yixsWFKbRvYmNyi3QpwH DyNKPMHqxVme6C0SrValM/YsQbLFGuJpzuc89uRSJo+57HjVTii598HuFSZ5NG4XFHqyyVlLy6aj JaGqR8jZgpYZhDZQPf7tpBWCriuyHHgvGSWz33tz67L+WWDfJqZ+X2GcpF9V5ZW9oqtGmORx4lMh 4+jVhTgYP1RnpOo0EuX4slCIJCVs1nKLDvrNijcJQCUm/MIUNCFxv0MGKnPaxgknRA9FM5/5D9wF sUtkNNir+dA+ggAZC9VhHW0gXYnGj6jID3iMtAmxQv88r4diug3KivL0FPD/HLoy4wqgyqxyluXG MY3j5fOPjpnF9D+RzPI8cujTZgmS3bWvsZAJrNv2gE5cyuLw7vNzq5kvW4XtomqjAtRS7zw4GjPV c/kNRL4LgcW37a32MfqI4UpbkA9mN/8o7WVl6J9pHsPLpB+DCZVMYsobK779xMi+y9OeSF7ZOJsi ODV5n9vgXWc+lkK5TomaGr87Qb9uC0PdiiLBQVMeEdVldsa2SOfagq68lUrfW2iPssb3MOycs9yS Avs9KuRuJJGA/LZXpuE5rHYdz6LtcI50LuOgxYqd0GGo7ASzKuCWmyvgz9TmpaEtN0BT3WcCzz/l CdlogKNs1ilZcv0N7CdvQu9YybgcXoJnv7RRZrLnSpbxb7Pp0WsK9QCXn/czsgFPcx3iL4jVVK9D S9fu3xBwOS0SlpFg2gFRZPSi6BcO7oBnKWA2dqgD6tZY7K9Tmk2GMDK9HpSlBQeEIf/fsgEVoEsF 0r8pszI97n3n83GXSyoXK8+LiTZUw5S6RCNlzzkBIutf3Pq1SWtwaiii8PJfx5trPdzNmh1Gq58/ ASmUXEKMgBQ6srigA+8BEGyn9p72aZiiDtShieaKx1/rSJvCMY2tMW0S34zqfwUBee30QIaYZSsR 3nBWkQYPGzz77lqxY7wl0GIawu68F7N7shmjEdJlI9pfAxtmUmAj0r5uZm6tJBk7DBTwP1dHmsrW Ug3zDgV1w5ucguIsOKSrcrAWAw4vqj7bzpAxBnG7wzZu6MsrTiucnoTsoIaL0Skdty1sB1EJTJCr kLlGZ6Uxb1gBfj3n8DmQd4FK643lwJ4nJcWXYbMcyTaETRKV4hkpTHJTV+TcePMb3tcDnHisY1Bk ecMteB3Yg4VzBmBfkmn8hENIyYSn0+WNOdQ1gMVunfPB1WPHu4cUwyFduSta+dJ4tuRAXVpPprK/ zsteecE34iMVh2NF1EJgIa/WTt+80ExXiU0B/2SNJa9EES6UDzSwYgt3b8BmmEa2WafoS7b7/i3F qE7oRRIgNfd+ql8rv+KK2uFSbK4EOwuT43AtwzitaJtZAQXfy1xrwMZqh84hGSu4NcIlk1sTD+M7 3RfyVUil1ZzBmrYmvNDigWWB++yENVm6D0gpwyEfr+Nr2jnG+7IA2p89UJvVfco/4CVmc/bW7K0f fnaY7v0gBOnyVcUod68X4s1KNdEyk/yoPf9B9od4LkgUl3kVGDmaEW3cOUgaKm6ibLbhZji8sBjq nV1zUrbiql7GBStKXZO2kySUZATsdJUMHIrCbrix6hI4GnupjoKJB82bwrpBnP2/vxfZLyyqfdbH 4Nw2ct9uNBp04DxBJlNTRoru/Lg9GzuvRdHrV3nVLpRpGme7D4zJV+mIa1QOd4DukqTMyjX8kvB2 eYcWncfFBHNJ+trNYWhp1HMJJQ4XXRzXKovhLcnNkqxQEVS8sW+1e9wbQrEvYtybx/2DivY4Aw2f HaNJLMB/LYck7j8YAET9UbUxy4EEC9oYQGibVbRbIf9cfL57repOSZDSdStRz3kgTcAArx9khtjB 3BTDRDqdf+r/UtGrfONNKw9GvvuBF4UpcwKUjUaBBnTayLm7pXOAyJeYF9OWuVHY7d1lxeUmlUyH mhQBECD3EbFSaRIP8XBBSH0WUbYnrnMy2J/K0eDvMtO5KVlZl5Ot9/tPHSbScRrcDZiM8KWOotK4 pvCcO/CWMZZ1VV/S+DeN8X2+Wd3NmAZjDES4k3N/nLW8H6FyDnj1xyoDpQCReNhfjqGB2+4fOyhW AWSTAJnSJjNqu4OCNX2EK5YJpgMB0D7rHN8SdM49h5Hv916jMfgQRSSYb7lv3xj5eqLmxTR4W3eZ Ye8c4wn/qg4y8sZXjafXXb4nBPj/IGSsbleGxigBMuiv6AUcGNjvLvOAhqJjTrMn4Mo+3MUMEI9M Mn/Uum9tEuvcQl1pCSPAvxeZpIyXDiAflbhG32Bt2KMSzrdA8urxpM/Qsq43DRQ3gqzAPTcbxE32 fqM3xAQcRKEcR3yg2nT36PHA+mYE5D+XkStexoCVQD7+1T41u0e00jHWOxj4ittdtA99H34AuY9Z AMLeKveAAq1s2sqwVr27RGe4VQEpMQomkxXWwCFsE1ksFQatXv6Z4YPjQr9n3eytRUnRtAsjg4es QFqBIolrqFBOaq6wOAxWt+kvCwF1kiiMYk9nQcFQxzE8NtrWICnhdIgK2vulBmKrO7LZJQhGX1Iw AJb/dUqdNvfHK5Vds4GYAKaSi2CEz+IHo+T2zRge6nAT3C/R/jBpMbS8JJ2RZCYQeyHmtN+G04W1 v1H8R+BHxiQwwK0sq2f93VqXNYC/4jR+pjnMPC8C6G5RasUC2j261PY4p4X1wZsQxbSxcGVh71Do UA+us6oe+R2S+63YbjePOpBO439i2BoPpaU+Bwtz5WzCQrmbTwLCyBljLiR5yn72T/aZBwt9cRFk IBx9rORLLTDoXgbXZTSvLJsdioDybvdqceERSEEPS+gD3n3qB87NLQrxABDO2+3Y8KKvgMZCB/W2 5Qs78gTqCDC8MaDMig7ltJ9LwBfI9uXBZobHf1nByiL3f1zemRCfTEvU1iBO42+jU10yD6mKXKXN 9Rmk6u3CNj6TsC5WYQIKzI2wilvnrEpvFVX1474JtYads+Y5zKU9r+2x3X8yhxPJWyVopluRy8nH sOd2O6pqxAF5o4pWegjznvVbseiniAncsC0eoB9sHyrEC5rTm06i8VZHOjiUYwHEPhqBS0sTwUPh 5z6joy6pCz6svDdcbXU0Ju0a5IMNZ/byM6iql25HysJBMFoFSnKjz414SiUuVfso+LliJ3aHKfhZ 2hXF+YoNo9krb6JLglPRsTOqRT/V7nQU6klQbNgSzEDhlhcx6VnyBOn73AZHMLgQ8NanJMfPeswg BgFbZxgsuljOZyB8DwZY5aqG0SCE7dNyexYviSdpRCQc9XyEJWwvZ6T6NSfm1XejfddY0grFiY1w FqM71RQ7AVM0GgbAAjJVJOotjR2PzRQNZfkmSi09kq8Jh3PQ9zsdqLSVZQNIoZD9WjW37yCmARzB uNAKuB56VCpa4+s91ujU9xboKXkD+qupheEgihbXgdnum9vwmVL3bYYnsRvp1IfOQG1zYWqjNdBp /8AjB/Xx+kD2dEpTLIwuz8bpdb5VzKSXkjpcQjzAORbWome8FoVUbGSQi0dKuNUB4wa5+Nto+gYO uBiWdFuG0ZUc1vLSwEaz/h8i3Jba0xmGUaroWC90k7OG8TjncfHNL5DOumIz4etAwkocFdp2JbDP Rj6tRGepRSX4stikNMAyXGi+C2zDfpYjM3KmOXdh586k9/foDHMRti9/8i1hIIUi1DbPRIDrK7+c gfrlSpaeU/yDe3rc1q/UoY7Xtt3nURLtEYJqsnZOnUXyPD2Mmu9n0VgB1h4W9EnR0DhrciGIS30O OKH64+m9Nv+DNWOMS9noeXxtvbAaVGyo82fpLcb/1ixdO+/TZ3rictOpNKFCmCgF0X9T4C4pbiAO 4wnRZw/0/BZKhh9TxWmNm9Gw+QSK4BcAz/6NzSvYmSGj1S0zUzb3rPtIZZumBT9duHybFdTie5e6 C7nwvJbEdSX6fws7saieV1fQQwvFwlilBU+VPsD63x2syFs8dUjzstYoBoQDcFJwdPiDBs+s9SV4 4bo75QV68ztvPtqP3Fy2jqPNFNLmOegIFsPNRlGF+DenPNq+gNApauQBJ5VGSGRepT639W3/r3wE b/N9BbDs74xLLlVBbUz+oBrTAIQMLdqw6PY6WYLhL3n129ochDEi8cbsj2UOuZLnNEQhtVw+m4Hn El8kEsbxyYNKMKHGdvwGwXCgmEFEpbs6z3ZR7iOLfM0d9Z0Wvfbhr6AS21sK/Cng80BkG1nLyujc f688QyI9iL6Ijl0kpzWkL6gozNj6m8BNMIePdVlQyrcq//tV4DEvpqqmAo5RwNjtxA4sY/rD1yRW o2oPaRhZNxQL7EEqDlHzsaDb9ad3Co37pN+Ol8Um6oXDiVhMtJlSsfrq7tn2klV4+kS7q+QahDmV wQXK51RSUyVL1jS6edUfGsDoOhlgkZ9vGgFCIh07v5ln6ceXcyf/lC4oGr+0qrNlcI8La51t/AEK ViuEYqeb8v5+I5mu4LM/RBmSLg0Rf/7f7ZvFOEgDDm59SMC1VB3ySv5ig7kGC+V8lKUTlQHAslz8 opoBEJoK/TQnmZobjiVnlPi1kb9YmRwX3oi4IPSXjDx0lCp8DSEEPlhzLDZBUwbGlTcuT7dixb4w 8t8JlAX8EcGviPRiVMK5DF/xODCyFeTLm3OY2YRgq6ugNw7Yk5hqlSUoOG5NGVZhIm8hRY311rxP 4JODFNHrOEP5MFqs+gLXFKI6hYVqFL4jwVNquNKz0zpEjFhfnvww9jFmVNIqc85ZSaQwKhR9DhuS wCPWuiy340vS/LbU0pk41LAq8xY7flHNxzhFR3niWojDH77JpuONUmVVjZIYjxi5kyOdVkI2isDd g7ir+SGbVQRR8aiHEdUJj1wJcbJF853qaPmtGahqzPKcK+vr1ojzQO+ZTppd5871ILn8IQn4X6yJ SgleE5Njulj5z0It+G2lf5UboGfOGRCMojRcW2lArsavmZATG4qPmpiyS1TtnvwTJMhbO0BSEwp1 N5FRd80b6GV/+GlO6MdiPvgKRSZXNzJYCm81JxvEn5zF0ek1s3z9/f+b2Z7asf8Op9xR3bi+rhCU pePEuJafcAe13AqJ/1KSRb7S79VdqTmLHGy7Tx7s6kJMzz1oSRVAKzmpPAa5FH8cRi3tVlO72wce qr/3uvGR69SeQLfzz2w7SoqcVm8iVRivN3dNtS2SzXF8je1G7B2ISFQzUyn0H+6lSSSQLBiYsYGH CmImx1RkCyaNgxFEpWZ8Unw93z5NE7Bnk6OTVnVdXpTx9unyD7I0gx+etoZNRKizSzbRTEwahshq PE0f9snsaEcOMjZYVM5qGAg1ed52hiELQ9GUo4JU0E+yLpBWY0F4Jg2ltrvBhFBXYB6mv4w2FSuk vWoPfH8wBzsDqdqIvVDTe4VcVFrU/XaT/Q+6QW08FZpFU3IAP0QaQ5fJoCaV+qs71LaAFB5IWcH2 mpJCEHaQNSAzAVJ1CqIhUjeuXLJdKMR8rX892svKKGQ+qnX4knMKfSGqEQCPqMpJCxJm2DwPM3LN DYWMJJT0gi+JPOqa2+DKsBi86Pa6gCx/AY3mbiBH3umu3TmdYi8CElF9vu5726sJUjeUnHoUJdDM 2wviCCHq5V8PN1ZJyJHZOkQ3CkuDMe5845+YoZAL1sg6KySiKu0RUyk0uEMtVfx++aOq0J/vJY8D auKy5gqunzt+8eryywVk1/LbqFDNP0bwS6wFaUd4XM+rgS1bp4cVnJTGYcT45WBJDViIEywHd/rR 5GVpTX/hLHihQxRpevHThsOoVFJMw5FLrenV9HXaj693VFypDiC2tg9iGdKeQPURPwLTywh66u3F MlNnLUhd2/XRB9IH4Kr72BmL2P2zrslaN1nze4fDV5svWA646ZuAgN0xXdeZhWSTMf8Sj03fbOow WWQLyaEIS0kMHP4DkqmHcAYqrZ22wIcFyMN6eae87ovogNtxsKZGqD4oUdeBPA1lb4X9b0ufFPvs c9Z3bm7JYHFp4IlH/XHqyttWGhkUROavimYBdIy61N3F6cE1NjNMV6IQalJoj0AgIJbxuvL/8yC2 ZuPK3RiV38NaELHckW10PAD+OKAtotj+3uF79sE79u4WIud9oT30o4txYyBNnWJd5IDAMqjyShH6 tkAljzya+gwfenF0D3bQMocnulNQSRfY9MREpqZ8JR58qNCsMbfEaN3jHQDJLIP5RFgAl+uOFsTX oir/GATE6Qr6KRzQwyJUsOt2/mG6iSkMz8r7TFt1STCI6EqiGkeFStiQWhYeAhhHK9JFqnrhF3a5 bWDsbDh7GWYWD4cmMO2F/qIyNO6AZB04ebJihWP8XD06mRfdf5aR8VAmqnqiIY+butmx7w2/Htt2 h0TAexHlS5wnoaraAHZ0I5QFVcJzlQJNR2pu6fqgrcSFZGDjT2auxAiSQxHipRLzG+RIXWgXLywr WNTos894LXnmaEmVhrN4pqxHOyIPFXeRClIUa4XK5xJgBhlyTBJCdM4fOD85qyLz0JN3L6I/Etfv qzls71T3k8rmq1wsg7/t4mk2Be2JCiHnPjmXX1ckjFC7ISUcrCWx0mO5kP8h7hgV0DqHqc0Bkeks YxeDVHGCOmYRKOGazm/HrmAGiGwTsBwUze7/pdAaJIM8Kc0lIU8RSwVZuxPynrk1GC0Utqzo09K+ PLHQ4Hgcx4JP07KyxzvALTULdL6+F2/FrG7kNtt7TetKVbU7C5MPx/xugMCZZj+JbsLlLBr7Ugqu wbDtJI+eMAWYtjai4ZhrY0EFyNpdlgLMRjKnYWqlqLKny0NuuWncXDPs911XtFRt4MYYfUd1J8dR LTqq8BQhR8ck+eGa2lhFYVhXMeNsf3iyobg4tMvynMowzxtOfKHpgR9n/5LfDioTH2JjZZkxw8XA IfFIZAjYClnfsC5tO7KReOFtnCzURCDeKUWGFBQKGOAk5A/BJ8AASU9N9pY7QKpHZZXnUMkksD3f //bWkNtvAaMleNp+8inWC+LBp8cux9NkRfOr2lfxCdYPDFjoQ1mq57pfbU6Fg2eLzfOYLmm/hn96 ++3x8xOmSUh7ZwoDVfh/MCWfBPvGC3D285ebEaHYZ9cJGzc+0wBb0mMwkjfHxWpMnFKW4pCShFi0 81hbhkLFTxrakf7B7mBslvMMJhLokFw0o8WGYxzGf39cdwWTaQpGrqXxJft7QSm/cYxyLng2kSlg waSkekdzCQ5iJNf8KKsRFnZXwR/9zalOTopG1Z+FdJ06Eg/aR8KaPEcoUwxzKFJbHr2CLAJo4N3g AAfjagvFos7wo49BO37OL+fLCxDPt+5sRj4cu6Q47nXCiBTTCYTCUTIbtIKDoZ2UZ7hg/ZWAcnzt hO1ReLrtRlMeWUcSc0tAWYmEopZuxMdik93XLjt/ggbuFQSEyVg/6doYGDHHHErixuT5x4A5tkrU xKpzz/Y2xY08v+V41I0k46oNLOa2T4FQZJyR4HFzR4nyjfxlDdSH1DJX2kBtNsTEUR5wi0Up2Ugz XjV1RMYslighMwnqxSa50rmUTbp3/nfmK0hvsWEd0ZjefWWRFC9a9aoZFDpGijPfn1A8nojbvgvP 8Q8R/ysMynHjsdawXo2T7+iWz28QvX/ZMewDOX+fTFCsd1XQKO4pRiYszBNQ8ssH6/p2dRAWZF6m XxCjzHZaQaH8wuPt+tQ/mG/NURnIR6LtdMU91GLBMbG0EWb3rRBEPEYUAajf9enYgIG5Zi2u/PA5 D/dt1j/z5yJ0yV0cxdVCAGXDmx8xJh+w3bCJss+iN2eb6VCa7ZE6hm4a9lkDZ+NoSXmVsImkkWay i0gAaNQBdhsTGRg7bAeAnIIXg04EGtg3b5Go1YgNgTvBh8OPzkPXtxrN3EiSzi+MWjeNc1oGoQ4Q lYXv0CwSZT9ayk5jGG26l97YsDrk1nRhsWQenKzBwvfR5tR5xj61gps3ORT/7Pll/RPidyuw6sdM QyzIBponVlEZ4cYY7fDmneEpXoKXymb92GtMed8ueot5/B1frr7FvLcelhypBsd+r02kDsr6SuhO c/HfnFQlAwshGHwkh4s/jsUvir1UPEz+JRZXzJf06AHBohO7/Rr26DGDxe24yOIFeQ3Db9wfkcyd 1e7nL3NFSh2AGWkmUNdiTamwlUpwTLPUbQdVymlWZLM6fiWDh7Z2B/LOGHQZpH4Z/pdnc0nYQ7ch df/rlhGbzWWiWNj3WsOYdYOMpiXFDksdXUG+O7oR+hWGduYTIhu+xVG4PG4O2BdxVLotLWDQ4Jke 7mfJulUIfVDumTUucUufp1rrgWo4rIblfM8MentMop1PWZG4aiexbFhEHqQMiu/XQHkFSbjva+Qc oUFpX1qX21B6wkrsl0a2TXCPFoib6XN1/fRNKHNRduDwIsSNDfv1S2jS2xx0Wrldxwesut5OF7eA 4hk59Pm9A8VxaWHpVi2XOmUqWmiAtrBnXqnqyEzbzAfGgNV+kTvGQeXBFl+fzjK/JiBHwScsMiVp l3xEERbxhkBsS648bgMAKXYhiaqhAUf0YGhSPmOaYl0fICix75f6hcSAMNSUqv9cb/z59povlmTg LAiucb91a3m7BrhKgwu3I1KYcCQWX94tXMwWkVETqZcTlLgafyO4/uM3fQqiQ1Htjl78ZpLlUydR /KTHamFOZ6oawhHDrWCbNc3DlyLl5gq/oNTQL9m/gXbiskJHsi6p3yu1GbO6TtQKMXvkBXw261q6 ScUO+y3/Xi9B/SAHVPxI/L5Lsbu1mn5qX1jOQ40Uc4lHsYUtlwFgAqOI9eQF6wmGeK0BYVMY5vvg 2gY8jE+U7gMEHOvCOU4yLsaCgClIuasvNgYYXp8K0IJ/CZ3jwhYUJtCAF3l1FwSGbRmttlKt1P23 E3XE/E/3lcr0JGt1spyUk9Ls+3jpGaFKyO4jOCV3YruhWefrcS9wTTqlnEMyni/teETQ1kV38OoC PYxN9c0mNgZconyhpqTX60UGKug0nWVubZ42FUtDxysROxR5ZYhiE8PBERanV37IBlMqHsy6YRnY Px5qB8BosBIigU4Ksab8wx+oWDbG3G62t5/nyPhDcBPdljbRXDk2/SHtvVOR1VN+6RaWmHt0xc4q a8rLPEZOsTBCw73CpgCc5AUoDBkFqS7xLd40yoLL8bzSU9I/Ni6qKqVf43DcohZGYVVSC+fIhy9H wMpTggdhQOc/gYahoAGf7g8p2bcExSimtBCOGNIolk2l6c+z/tVFQs8zEv8cKsgR6/I9gXAI/fgQ m+BufIns2MDYqGI3SdpSDqLzL2pf+VMa5wK3W+XXrLsSwwxW1SWqG3ENeybc10y0mo4Z8gKvjSml 5YVnro0HjREet4L5WMgrte43gvUw2rlOdqGtT1np1hxepk43YThkAZUGwBSKxy2VDXzyhVevRvc3 68HJEQIwBisxpzKg236+phzr1lY339Cr2JUmrJ9zVNI5fm9pZjHw5y86MOEC1+4dfUtsPT/vROkk 6gQCsj76MEmAzn6xQLLmDWRB8MFReTzrtY6CVurcjbkqHjdzCYaV/2gLpp/vQdTDj5awgOd/yWNN UyXblAl+OCrp8b92FyIOLzjOO2NpbaDPx9xkzopb33a+0YZsmRx0qi6hK1vb1z4gij5zGtvdqkzj Y7Qsu6PvxAoSKGzFZDLDfreipu3WEsCqKljPVk98kyulqHXOhPJG4Kw0zYzRQwrtdZU3xUeKzhtc p3/sTQ+NwKUWFblhaWr/FeycMP2qKmVQ6+xurySMduS6JvS9E9JoTC6aoAobhcQkH7AO0cXCSeiW Vye1Ce8wg2wPmYxLQuvoKgJslTyJjQXgfqbUSYpdKfyG7UP6cDMdx4xCD1uu2aqQgVueUJlqNnNd joON/23LP8j5+VaxGuf+a3cp6YZr7Z1fZfMagvUxpqyOfuHpNkochbnRXg+3UsE5BnepDstWXwEv qS+RKsBilW9lMlhTMXwsqlf4wUqsur6OhMXbzxr9VoUEaw+bp7a88qwT52jI8lu9ePMYDADbYkEJ UFWsqb9dXXDIedlRJ8f0Q8U9Lw0tJ3IFE7EoWHY1uCIIgUTSx3JwDgbXv2CMzdEQ8UtDXq8svIjS Y4ky2iMCVsyDVB8e7QDIUzwASp6UwQXJpcLAlXmJ6gwe8YQoOFY2Z2HURRvFnurNfNlgBSbHQMzg 8EJp0s8T2xwmCMe5vjEy2BdjxbOIp3Csv9zqPg17jwH54bGUy05vbIoJYy7mfoQwBo8ibhNPJKOB c+1Chv9Dv+vhoRzGrjM2M3cdNIv/01wdxsTsZolYX1jyzdZcO/aMDdMWinUCdyjL65/SmUZAOm+Y ktaSSZWZ+JB2s0nmoSjhrgItO0sh66gdolxxOtm/ePzWXMcFkz0UfGEqeNDkPkZzBy/uhch6ZSEC VIESzgEBC15Y3MiM/vLzBL80M4r60bfrmLd40igpgJ2PzGjCtKUDcFcOSAkzQEyEEZHe3rBdTOnQ 2j905mbNN+tRaZOm/jRa4PkRJSHpS07TT7Exna4KIxlvsBW4cgzKCJJi4NyX2CQ/x/pqGDBdDDgI 2KM0gf/yChoN3JRdSDv+Ey6GNzkvChobARco++gVKB4851bgRe64i91oYgEOCZ48TorrUVuHytHW 3TVjyuQnoCSGeprlUcAWLm+L5iDkXamNHZUxcoZ1jSJEyYbtZgogazE331Xs9ox5mMA225vuJEqx BLnFG7rYgaKIhbLkNbMmW3tY1QxhKGe43Z1R+WmFzQCeI9gtdOnCARt2uYdK7eqJSTsKLdbY9cVY TcFJmfizGlem5YcVEq5rhAug97VOkLtXObCpbfezHr/uNB5cKrXRyPqh0UUix0L1XHasZY0lFAmv qHhV9mc52QpkmnhaHitowS8vb4z3tkpvbzxLZdTUNnJ+M5gWkPU/m7glDV0zUzjVesLn3gKHzVbk WJnETXkzQvGr5WSMzWwvEEdtIMY53RJ0eJR7P3yn1yMznXCa5wPqrbKzMY5tVDkdpgbTZzocgUeq NCuT7PGQQqInLXxHeg+HxTq7P2rMeEged4JWWojatEyyIY9DRw/es97bOPwDszAr+fHf6w0qlzt9 2fyy1/NgGpnIvpp8cWBSA33EzRh+otLSe9d2Q5+gR9xBBZPUZ5/SFd4qknI3cphVne10h8NSGXSR Ldopmw3G64n+6V64vzqD31zl8ISqvz/ftgIGgO19wjPJUGhqyvt/LEb8CYMlwrKN14NF0BcBmhId 2lumMhjXZadVbceLra8Z2AmQrQzfJCXb17l8hbOS71wN5PgMFebawn1DeK7XXAwJXgKT43k6Qh5n 1JpSRTL18QaCMSyWQDHi4QHI/mBi9L/Tlc9rpqqfVlQ8FWXF67AecNzKPHTnEwU91kasj0H8/a2f ZIWuw9nBXXE63Rcym8PYTHPBkExgTHnbsGdD9mfbnPnkOh7p7O1b9CiE7dNVRotyw9vJxdvcbnQb euDnZ7vY5XJ7OZcJiczWPdgJpARMZkwmASfh72fuJCX7xhrhdZU2JJMbrgPy/6kcEt8Oe1bj7OgP /UjoegsfFm20UlL/Fg6a5svnVy//DLK04M9Ak2ici4iglvIrmvNlSlkJFi0NqNH3vWAwUEaDzVR8 pJIchdl3rgZCPNQb4ZL04vMi7ryztj05nFDsjbSChMDZJR1uJj2Sk37L6FNHZEX/kpks0QBdzAlg /1fuB3zZQyH4R5v0cz+HT2uGIOVs86DJ5F6trHNB0zBtS+MxFnMFp5NVwvWOONQ8AZmaS4MWBrWZ BewE2oJQpptEa7eMA/wX2iw8mn2fhFsTBkPHK+4vG0lOJHX1m2F91/Nz4HTsbCi6UZ+GH5IMRTbe YeUYYIXADw4JX/6mawqRNfnFo4m42aY8ltwF9VUSWbJk+K8Nd2Ee1pyRiKHkzEN13Mo1qCMbR8Ai 4WYOIUmIRmMtas1gRqgBBFZQFsC/vTaDvmTK2ojUeACKLtFPo1BA3eoxXgHRNG52cl8w0fe0RAgd 0OBdVDUO93inNqLtRUJOEDJKLuynd8W0d/zYzPQT52ODaiUbJG3tav/WcRyulAOliY3mFqjcQGXD /TJSkDLX32G9eBRmHa35g116JmHkYR5SVZYlLStgOTAYaCDuNVo1ClaJgkYIafoFdAcf9Ff0J5t3 RKGCAwFmOzP+6C+Bu+FnlFb7JCljnP+q3Y2UHadfh/TsKAqyoed1wXlwaUArnNMV+6KpleysgXea cW/Tpvdc9qzyWK7/MTIjoReVncZ/I9uPm7wDuJ2ilfSyYWTvGl+O4wE/+/lCpAmUOEEkQZLGgSln B82pN6ZvETXpTTRUu08JG3hBHyargAfeN2KaMMKJXAbxbKeEYKoWpQqGgtPOSNd57QEZs72JBW3T zVK5Yb+aeIUic7+7eimdDU0DVNXmU1nPUvM2O+75KaogjNLXDunsb5SyKo+1jB+ogZOtDnujcrHE WxETBx4FclPRSR3d56TurHaG8D19O4fa1enhyEhsXZ1Gx4+/Yb1Pap/OZG5MioXInzZyT0xijoXD dMKalrLingl1AZGsXI4Pi3rVBpxwCFx/hOP4cOSiEeFj5+bosAcqIsrGulcXIYJ3ba3rTm+DRI34 M6sVs7QXJh7u9WYHhldTpIJH+VM/2NDkTem+XnZeruGv39xiY7n8CqUg0TX/VzZpGpDnmiM+AKtG 4KNG0xHzJZw1+Tijd9CoFOwOHd5F9SjhlFsgatzSIJccEIADM3oNBUaT5vS9shmmAbOKh4aDW/ID OtAgWl40yoKrnrmyo0fvdbmX8ALyca8qDkIbwq6d+PwGsjb3aitqFR4ThnK3D0GngZR+CTqWD+G4 H1s025ZBE6yn40aXXIjCk6zoANevVdojGAFvUKJ+6k+GemuIZLxkT9n4SFxeYPSGKKqn0GE75iaT T98o9FTbz3/tAJ6tU4aPUCjttnYrlF84LzpAQZl1pG1OyHc40vuYNULs0TdtIN3PTYF57CHY/uB3 GNRLIqtnQTU/64j5bykfPkWTCVf3Qqll6peWkpZnlWVuYdSSVuC6Kl3vljIHySOdjX/BYqQI3PdM rxFnGN7ZzpGgcRfkcFESNu/jwOVS82l5pACKwzGc6BFCBssqH46qv2QQYTkDdU9ny3SNZCQ+v/iU cRdBHYzp/dtODyCrW6U+ex7mwumdMPGtVJWm6pbR+lhItUoYyXrbXIg3rTqR5/XDxgmv7lsqjsfg rGNcorBGMwMh5t8NQChJKM+CDXkEkPsuz24C778TRMXVPuDK6BRD9WPF5XZkSQm7/+NPZscvyMf8 B55kJVA/AfNl2FmMMiPEo9DKfZ0HYbJ9gGuzvIXLfZqtKcGOUq/VaoEjC1nuaZ7p8nCF617x4c8S b/tJQjo3OxlQtUV1ZeHKX4A1gYOVA5gShQ61xXBXbjmpjrNNZQEPmTnJn99N3GlmAr2it5U5zT6i HiMlZCEP3ue/mfT524BI5lAqtEX+P8NBQqpoxSML0GkKlX8+H05iQBHVMTGTBFctOfl6Wq5YScwl xtKcsSOSY67wAgp9z1M0jD/RT0Mcyl5wqNM5lAsSj8C6xFkPoyiCUSoE/UdZZJJCnvz1kCgPXRVI 3+mlOnC86FCNeZFulX+Rk306j7vSaSmAMuyeD+TqXFIDjwpEHNAojoRTmZ45XnxhzU0usgaT1EdZ O+gRDrsw3q5/oOFCZR5QKeBKqE3ce8XDHqOpiY13jXbKrdGDnAt2Pafs9PCRYTCdgUPx6Y2I1ZW7 suOB1jxkThZAwkH4D3BdaUYrjVH00USyGCW7l1HTUeEqxZXAlVzT38go2TA1QB19DYIrqW6+Fq8W mbTteuw+1RXgheWrg4r0BHl8ZEdH0lGBCF3aHvlouWex2yfCAX9v5M/VHd7PPBkYcDYiVepmIClS ufeLbi19c/k0p0Blf7EM1L2tw3OR5JihYA4CrY1jsBhPzrcgfRByopjc9xMT27bFHqI5FtSvYBoU dxoTzd7j/Xzx/9O5H51n5TL4O7zQpBjpzLWUoalVYAhD090PEHAZ6ytwWyIpwCggojy1vOoFLIJ+ 4KMJpE+eenbcsv2aGDfStkN+VdUQYYqTS79i8qA8sIpR9rlyaHwI3fBtJIIaXkEyA8Wznx3+EgKU 1y2l5Zpn/UZ+XCvV1c9D0lprkiNPHXjiAuYNSP7GocEl7AencOnaar7hlPkzSVVKl0K9+Z71EAv4 6Gadq+Zr3GVw4OADaTL6r1RAAQU/g2lseYnYN4TD5afUXlJ6Wb0FOHwz+d1XhQddsfUSqnqk7dV/ c6Fg8szJhXI3O/+CzYNYIfQ9GQ7XLivQv2yIwLAnjA6MtTa0LeSBCkp7p8gmM3u+plEhXpn1my0I tRUSlE6BI39Us3b6FKvL3eX5I7PZmI/lk+/hr/ZZHWlxxWvJjkGUmr/lPPcAkVSUGyO2skqV+yw+ 7tfjGtduPURBiZZ2hkUG5jpIMtWqNsxs9ZEkGOBPsKeuF/floqjcrprltr3je3Hh4DjRAokrtOVT uZxkZXle2s7CUT29pJ1hbsuzHcJmNKv9j5oQTG3A4Ez9Wlj+CL/fpd+873lw5blVXDTbASo/0/dV 9EpMPrcPBSRPuVhhRMn4LrdJ2kfAnIJBkZLOMLzc/IfaYU8CQWxrjHIy4jdEPu09x6o2D0MKvsqr DBY9a2iPF8+0raTgKye4DvsBtsKTrnexnWt1fcfC+mx7VKTCEhuyV05a9neREjI342mbZHK9KLhT GBfIqGazwKCEM9UPFHntAwnG7m858mBuE1OTmb+/LFgrK2B0/+zDdQmrHiIIgw6hDyrUwm2Gaqbi UIgTf0s9rG4M7BfqUADKqV+un6pULA9H5NnqO0vu0/OlFVsRvPJg81hso9d7kzvNBLf58QBEVgT9 Ph6BkLJbYKKEB0oQ9r16pOOrlUQ6OrfamWvY5NoTFDvMTa/eD6LImO7N+1Wt00vZdGsmxgIMJ8AA LSGZgEAySC2++th/Vgz2sA8y5vP0qq6Jb3X2Uuo4XfUHB1A7VoFAwg+1IREm/CU2LabOB+BDdetN RDHNnRltciqGJ/qRtmyd9TkRjvMYY4QqUuHmFmC8O632VRPTPXUYI4Bw5eMwxoEqgn+7UuZNtAUE dMkzTgOjfjKRW5m0+MrxkYPYj6B0yLogurEsOjmtnOdF2WXVTea9R5vQ1Ckak6u4SqFXrzgPGOGG 1KxeaJbtwcvxpnpZ+DlkwYD8QxY7+7ojoeg5dEBYXAjxOKkFw69LPVc3SsnOHK/xFVGI29bNz2j2 6qziItQdXrZd79PLpGCmPlKOKwUo0KoTmtwWZf3q8eK7DobLuSDYw0gIHneQekWJzmdcztg4kWWk RyTofGDk6MSKU2pvZfbRs4EzjZF2WIS45mqoCncv/Kg1Xp6s6O8CxyvenjZJnB1RBHpyJ4Xpy6Db u5XDiEGrWCJC9CpqcuMovo6iXie0/U/08VJk3xavDiYn4rOPxX+I2Dk4VsiWf1YuIvki5mY3T1X5 ihJCkvUAhECu4kTGVr57KpoX1cnO9gyFWKVtXrrMObS3oWqRUioyDDWtgNC70U2io5lKkdI++F/s 2BDWUvBjCHA76XemOGyB04+2fQ/b4LdxiM4aGy3GFPfGbxqRkQNzCkkEwpM+9U+C8vUyx5DZ52R6 3RDDnb/+2UoeP7Y40kCawxH/RNj92/3zffBSO55YGnFEPapwkmWRmhKgkxzwMq5PezIaUQMWUSfz lfPnXGVWtl7sRaA/3uPN62d1jDpmEgjdOAsIxjs+qX/Jc+JnrTMt97MUGeU3hue5nqAwohZZUTdU 6OZ7hzT8lb1zCXYmnAUGec1zXTl1UUQ/H78slvBsVLOBcFXWK9Z8RqjkyYZZV8T5dfcJEScUdBWv z26xjSbiHy6+jfS7J/XyjFtpK0dZmMuQrynfJxdpX6xgasNjAk8s0X0iIrYzGcMFv9u3baEZwK1g zRtTatWh7OigSPecOWT5JVgSaz6dXW/oQZysNpUXpbxbu6nIaC/clYfhNjLIRbm3jizntgwLwhZA b694Ct5mhDiaFd6Ap/ckllTvwa3UMPON5cFN35lY2V9yjXQy6oO5zyRktGb1+EAtNQnqI4x2NquO cCNEcA9YgRZpq6OzZG1d9Hxj8c6otK7ySre5f33L7sX4q2Q35T1bXV2geyzTLEVhvUnOQLlJzMMl KKJ5OYFtQ9gwZCFj9M8xUv3YPtiMVS7kJCfDG/zHmFuxDJRWa82oXMGHur4nLaWwLEsfgzgARx/t cxg4+G9AsKycR0E+36dGVXVyOpjkYODp9IoJbdaZuAuyDOnEAtxt9vFBR3lWQre4s5A266qCrYHW rEV+S6C/dpR27FCUrhtDZSAN+wUBGrT9L8VQ6xhX8fyRDIcIfncGHMEMmmHvUyU9LNdwPwlFvxlo 2NMps09OhJu0L0S1/CD1UJJ2LOxlR62VqT37sjzYMZLJbDQxE8Tzjj3JCIuNymVf2InWu5H0Jmod Tpq1r4krZ7BuNGmmZ/bLCtzSRBp71ZQdfpEC8A3BvnNgbsuNQgJpSnKXFrOZgT1nFKkQM0zb3vRX cZ1puhad+BnEIGy7+vvHF9jmW7jE33F9O9n7ozapfXpYcoNi5lVlzuqJh5jFTUT0r9H0R59R1jRI pqeCmQcB5cj2d09s6FUqt7HzJ+a8GwGDttP+bQTY0cEj8qce0zuvY9kwErvxE7mNJADpl1TzSM1Y mCQKv250+8cEoynCBO6m/LnuTOyo/vLac3ueeAvsgoYkeq9b+yctSYCebhD7PJDQGVuJNsvM17st HC6RaCG+4boQ8ZH7gI8vxO1UB2RNVd6F8dr8F0F/EAPwkFbKFYIzn6+i8YLCainuxIHfTzxp/d3K XcmIsEurAAK97lD3OUzqOUkdDSLjR+7P3Y+S5tse9Zao9H/IEfldSUaYRVGzsaTtrMc8xLCHyhxU E8Cxk3svDKLziB3iGBacHKXSnX9y1cHrf7Ty1HlKp2MeiZ0JvWcbpj85Gszn+yMooq9FGef1Exk4 Q/i04JunpRIDYaJ7w3CVsn8Doyde4ZjupiBBMun5W3azajY1JRpbvEG9cT2U6CPiPqylE2ZBB7YL lryAxjQMohl7zeyoa8pgh8GxRDngxKZa7cj3yqtggXGdtlcGrMVe5DaUHFcMDYvOdc/rLaRX11/h SDqbSya1DnxuCpNShREgeqWbw4RrhXsL/aAplY0vhTGposJBb7UbToZKjeDQF0w4c4PF0pPcPT2w B83d02++rBwulGrwBONwPxI22wUO6P/IfG07yhsqW+zMspCyqImsnFFCrF1jhzG5uswzEwiw/dpt zCLKOTXZNL4MdYR31VQ6lYNKf99UNUuQvuiuu0dkelFNv70UoWmBPZkObEfwuoc7vFExUbHGrQ2s AQJ/njSKr7gYLvjJepI05s+3MNmvffBv3iUXApvEZyq2pPe4Lm21A7pWkhqw6nh8vDCRCAibh91d MaAk2RK4tiN16hY507B1y3ZGbnuP/GwmrPDoLQb25byYrLieqfbjZnSmoS3cgjXcEVTmg6isJi8+ d1uU8eSSpcx1Y+utag+mc8TaQDNp/Yk/RbX9qjAKS/+6WzmKgCzEs/9BPIllyK+kUqPYBzk5n4Qj djFdfBDmKT8rvFjrxCF/KMpAbaRp8JXsAhXFrWUxKssQcW+15mvGuFKG0ir84OZCioJ0KyLjti/Q zF54Yr4jX7c1FDn70k0daa+BRKEAwjl4j8E1ut7zGGn8SanQv/t7mlXCsXzdgkeq689Je4VQsOeK OhdcAu6tXcPjkHLtMEQ8Gp+Vx1R9u8zdiJqiyq/REpLkmEU1Q78tlkYgnEL9V9YY2JcXxThufCTz qGjbiI34KoT9wfuFKFrtlXEjUkXrHXAosD0Kf2/nAnSqRTPm3qlBcw1H1CBRgGBZJU5qPGOGBg3b 9LzMmFRV0lDS4Tzc9BK2ppiK9jjyr1F8JEte9TqHY0FRiHpJs51Xur31zyS2Zmkiuc8ezoZA4iTt 6v8v3B34ZvP0JikBbsS0y8Y9TQb34yYb4x2N2zkOrn4JonQkMwlV+TL2cC7CsvzlIielyggxRkLt KyazOEc7tBxLAEq0/QC5WekEMluJz8IyYwmi18Q/c/AYcDPir3fJKJiZABNbKsmicCac8aKkwn5Z Ltxc/kor+Zl2MUlm6SvEf8kVDbxF8NM8il/KLQ/SKypBWvUbKXbldQYgjSFrN5E0V9btGRY1ow/F wC/UR5QeqnSSp/r43DmIn7nuQ+ndfpCsxmCojAWitmIAGQynRvFQFEkOeEF6KjyvTYDjn01T5hsv iXY5ZdCiSoKzW/p0zmTaTUwdboxMdsYv9KtwkdHGmWjOREk5biZleq4tfxJBqxbii0KnXxRNyisT +5X9L/+COAHwIXg5ErHGeAVKs+H3al8+6kyu8cLvIjpvWgcUs5h37leKKm7aDWllcpuwqvzHVhuC NUiu0N2NJP0ZZU5AEsBX6LjAj/zlTgFOm40TB8SkJVTSXPAfph1qhs6xRBBcrMDUkyfHQiFYl26G 7cC3UNyJxaxCRo/ko6U2b7sNhqvDqX5wuO1RQwyjFRnHO4KOCoIg0n+EoKFieOdPNBbw/JY55vPa ++pOCpT9WbmWu3dDAojQxrCXduxEaDXMxt8l0y9iC8S2JJA24vYJUHaxP8Od+1svpKe4wb+Ujgvp YS+wOuidlihX5RWuWQqx6rblLpVi2UWysNjJVo2frciJa4rpI+qvbzIkWihnztRMEznJgfi64Ju4 JJsc/cVBsiZerGg1VQF4Ev0AI/h1zU/m3+qbJMvPJjl/QdrNXYS+zuY80ZOKN4j3mjYgD0qqew9t VaDUDZVPJ3Ivyb/X+ERJYKLlk6b7vw0VlQ56UJE7kH0hzNqWIQKSgXgWtwnLP5+hlRxpkX5YkDXb IVl16xowIWBk2k7O2e1VVaqBHpeJBPL/0bvHhZedgk3Jy6oJt2cbtZvFrqt+cT83MWIkX1/CHZ/8 T894/3ioVyMNT7/vSZTUzP3vIz/vWrK0VCa/x9rgl3ugUbfMQ0DREWvFrhiQ4jF1y+jOXwWAmlP6 G+wzxll/cpV3m5qpgJKhYE9XDblZQ2OTUObRWJ3GaZurh8aDxgSZkaMyxgwn9hNbBop9SCr1F39g RlWqUXhn187wl1Qn1fCbATAJeRpQMxwVorgNgjvRmDwjkglKjeQCzJ0eHO9tilsy0tz1WztSpwS9 NzieiSXfBGAgAlq/wcIuk/3qnQMSXhWbspnH+OArjsIiAdSGACUyJq0qA+iVE0QbpzU5ukrqii1y 6am+HNqXXNjfmJY1wi2BecXRawjqQpVfH3EloZXz7i7bauMegJ5xhTLFbwg5mzHPOHzf0ln+y5Xz 84yss/GSHIPRmQaAIE6xUnNSDNdcdP0iPRzVIqQBqyCTLtfVlX8Jj7N+tZOINVuQeHfdVxRfH1km ZOVdOaZaTuuxnCLQ0ndQB/9925MxtnQRVvN4PdrJs+pvpQPlTnIbd3Q7+uHXoqunGUamifYsciBu uSRHvNjR4YRf1WxFepD4BI6cnNkvZx44zeCcnSF8hLWEWI7QWWGLRVVdSwF6bBgezjaxjpJkGbgM oZ4blbg2nmPfQvqncv1AyrmTVXMk6KMSex48IQteWw1K3VrIATNoIdTPOMSFIFLScvjrPfJZ9FHN l8VtuEcHwiaN7m+R9f96hRePunbQu8YfksNKfV0W44PP7Ge2lSRl29vM9JBy9qivMln+k+1AU/lA rTjDxTC/lp7XR3ODBysjiwLiKvIdfyVXrbxM2Bvl6ezwmDoBYFW6ZdPOG7fZ9DuI8gCs+8xKziTR V3ekxhr840XRDTn4+r4jNuqdOrcDOeWwn/JPZ5WTg0Xp2pM0XO3u2hXCNzYkcfau6vf29gce5H4W oPkiyYdwdhOoLlW7pofeLONe+KFzFcE9VDFiJH9KyYNBOxC26wbpT8DbetOP8dlSSeUlvBaNMTtd wYqdT16MihSAjRW3lEqOkWoLkouRdymU4TygyzcmYdcWwTxTVRM5gJsNpfFCmhEDkYT05+xVs2pM 5kpnz2jSC97OPjWH6GMYfCzdYe9WCFBWL9Y4STDtYA4gu18A3UNOiGBWw5eRd3AEXxquMSaCwhRF 4Nw3qw9kFP6kF4HCGBWutjBHyn37dfwlbS+BVY3DeNAh37nTkgpYt4P/pdbWvT+gL51LZWROsdLz YjnPk14NJOp6TF2+gycsl9Y21lq2G0TLxBdxgpHFDGdt0RVuzmfEodWtpt0jyosQ41Toy/VSbSL1 0BZdeaS/HGpUATwGIo8Z4TkQOh6j7sI55tlMdMzjVLtxAj76eZA5ZTDGVdzkqzHVuLJNuqEio0fN vw/i7ktQyIsVkQr+B4xekWURfIA1+0SFov5y0eqFUk5Jcs++e81RGUqRDscbzLr+aF47xVTFND7/ DWNZr4PK+v6EciBsVF6x0Q8l4MOLIyxDGcod3zYfw+aYHX1rGIMX8puCAsT9IJVQbkiL0GInh34S IwkBuX0QqgXOSju+J4p+oesVheDQjWZx5l+act+QH40aQGMVymCrR606hm95fQAe5Wtyu1ohxrrT euiAr8J/0cPUkwWsHnGDCQ5wR8/RzwjB16orIdVquBbOKWnrqa2HRhsEQNMyCZjahFjmHhwRJRPd j3DwY4tLhLoeBr0beWxOoX/VrEjJafMP7PLDG0tRgh8dm0ee16KaaTMttrN7+czpU3Wyp57U7+Pf KFlR2zXAOJXHRHCaWOvmxqxyWtx0nXCsu0jh7m6Cf2pC9UR4DGKrQ3Bj1PhwQslK0yzJdVVhNfBI prPz5ChPRHuRnj4/ph22VdngK8iBW/1o0R5OHF5P15bXsY8roKnRXECcK1VApQ8HzkxZ02nh1hAo 00YiaBac52nKILC1OXYQi/s52hh2KPjQRpH6riucxpybboYXVazSZeSrposr6r3NziCD55Fe2Iqv B43nTnFMy105yL3a0+e0FNn3RizrzCSPUxmir9pEcwsk1Px8f0sfjIk+TEEDeI1vi/S/fuk+2kx2 And/QYOI33A4fjLQ8NCLtkLczymBxz4NsCQYLxijM7uYQP9dJwiqGxJgv5OrGn+vdTYtg8K75O1W qaDf44v/McvyeHm10oY4y+KJIYYMWjn/dN/ScG6ljGieivxQO5oXv+NB/E8U/aqx1H4mBogA5S0w Bw8wfmYI4uwFltI8KVabBszV9enFFCr5uykbQbVs1YiZFMV9kneuXB1ISMZYs9tU2gWHiqtby8EH Rju5l+Ye0pE3RLnC+PpICTgt6rclqN0/wnmqhJharMncGdBiSePb/JH4eg4/ZIq4uybrVZ1klbAS 8FwBWb86FPPN2/NVxFBf77s5OlHwCdYQq4MP8d4YkX1yb5BMJjABgOvPsJgru9dy4DFjSF/iKrwG uauruQKzk9LBQrewY+5kDWH/TwDp18Gv1U3mEfiQYrgq861ahplCajEjt7uqjCj1i7oh6pAbH8fa E8PuruuDORGeqwV1bPeZeA6LPPb8p8whYPn9TR5N87kDEpzKJz1dAW7Ah/8+wM3YLjMXBPm6fGs9 r3Z7OM5OE1ZrmrgBZF7WD8/GZ5CWhX1F7Fji19kDAff3BjT4AMmaK/0ry8fqnZmQtMX0dzYwFMda /d+J/SLLTYZ1atPVPQ3otwos69PQEP0sp5guDAWz5uP6f9SgqWScO2F1o6JIQ5X8vlh/3zQqoOxU Bd6WFi+VQKAw7ptMq9abZksLTPj2YWQm2cwzYhgTR/emiOh5D3oBlGS3hj6FXDxU3ya1+fAIBa1z k0II+U1Ri5HV2epnxit0DDaYtOTaFOvIJdfZ58oJl8UeQB4SDl4PUJrcd48+IY+56G/tUZRiNKwp Wq/KOsnSQ8ZR4OCZVuDhyDaZC3V/5AXNucvwAeBE8kQA9hyz+qERiPXrvwS4Q8bzQmiBEFoCjGwE Xe+JxGJot3t3uz1DVXfGn3hNuPGN4XdtPAuOWWm6dMHn2IGkM0gJG6zNFNZjaa8kj+tcDbiE2zyh A62oKdstv+rcJpjGhpz6mJYzymRyEG96gWX2RvTcVgO3XCVlzVkT7wkRqVK/C8fJrzNazQB9Kure l61QpZxsffnT+atUEClJVoL8KBpXvKiFsP1v//Oe9Dfo2b5y/ocQe/uEmGkNl9JVgmYIELYSlniC mM2AY+BetbIhGJHrhoI9x0cTdB5QGBPpIfHTzItCCjgvHrIv8dqwgCooUSV3u519nIv+En1e2G9u ut5zEajiOjgKgAMfx7Ho0yp9BbKRBQn8TJ+Nuatrg/uSTNPn/K1vIRjD47jgAdqfN7BNukKKpF7C IHGCKwxpdv2pbeMErHt1LqCxA7NwdzSxkG1dayqYMNyuI3u0uyWIvraQBBurivLSkac0fsfZSK+z vb+i0AACCeP59yTAA7ucT1akp2y4n2QFirqgQoJYQobaKRPVZu6jMdWnxeTosemJW5FSSqjqyE6D PY0x3PCTCyDooj4n5LNmOXyjvaQXbwnirJEZQyLTBhi+SuXaX7zKvw886NqGl1XOZbUEpTgBv9cy Ow9TnmUgiHAFYn0Yp3zJEmfCM5ioN+THncSX6kU8v6HAFWsdom7vuMvRq8L9Fsei5sqbp8GGNhdJ /37JI9pOgNFjCfkDglhp2OC37r5Zh2MrUiHA6bVurQjFAe9kyD5uJW9ZnE1J+kLdOuZ1Icwlqtwp UiRVKQOqnGDwfdm9h5lQGTA+cPxWXyiM/oP2B16X7sR6ycGLko5GS3X5iQikYY0cIbh1bD1w1UyJ jfeuG8cF/iQLW0f07nQQvAmFu2ogM4mJeDkWV8Q7kSC+bdMHYqIU9e3nqTx0iSVxmy0X7DUFAmLH xzr5GmykUivK0mkTorNY3AVFKzCay1zhxJhPi8Wv7QSJ5h9nKT8jWfTOIcyAyrzALG8QxAaspXpU vHlRcXtS1GgleVh8FdLjFD9F6/IBWHp/NChiMF/a/PJ8NtdlPOvCHk8dqFQq4mQOL0noGjkLWwc+ POZUYvKifQKzLewKp1JudlxiFMgF6zMFqyMGrxwdiUBoPtMGaY/DU/diS/mMMknPOQkXG6qADpL4 Zy29izMryl0vm8MDcQ5U252mKsuQcus/Lwmm1bKOdLEJGv3XQjR25svxuo3byYnEzq5KifPHtMas J5S29hGJjwrxDd+jb4uURAbaOpLJxFvxkpGhE1yr5fy6+ogS39vy2/ZuWe0WndqOj4LrOCGEfvKo pTvieYVTKbbcjc5Wjr3Mt1SzObCPttkf/VCcVyIMBgY1Zd2qwLL0tJkXvgDPDcdR75mMVFmAWPyN HKF9V6Maxkmtr0OaMa9YY7x4iqTe8ZZSwlCy+V50+cj/uz8HPk41X/paGJfH4ojq9yVQKSyWxyLt CpY3LuF/GptYXI5lzcGVU0ujiU6lwoSpQUGxFO3OXGMftOb+urrkUItAeWImPLyZhaCM8Hl+cDBQ NiyfioqH5pZ1Q7jiS4r5wrARSAMCkGXc+HXhnbLhsTBxMCmC7kAZacXNl7cmhYJ9kh1dsqjNhsL3 t4GQCTkCf/oBTsgAnbkI8jO3UjY7XxjXosmMamfxu4J1BF6lgOtS7RUCZXpvCDw0ENYjU+WYTZ3B A7lfKOiN/yejzQZ+7ziyx7wqIzF0mp3eq43IA+K3wvvhviIwHx0ikmUmXDCxG5HYcaQGKK6XlSvQ UuYL6ODFyIM8P+6ZQyo6OFwR6Bf3tpnKc0p/vXc0Q/22EhP/NndyA6hlyS8YPLSZw+7D0BMvSLY5 YbT/cIr9y0a4V8E62IYCI6m1S9+3JEZFKTKzX3ACqmcYFhqypPTi01IGreTx5GJmV1iTNlXg/SIP 0B3Ms66fLhbumj6WzSOlwugCW12b/NzvQeqEWwl6+nkd399x9xenkbT4EV9hRu1E3aFqBW3x8Aog lryDTMPjF2WxNUeC/dJP6R12QtLDlxpQOv0267qigpTEzw3SAsXoiQxsV5++yan3/lK2aZ3rpBxu WVfTlFi6RK5/pPVSY0OGQS2mtMCzIx8PhgA0WQNrMvT1+BHrqSbXcveQyk+hMHpaGLyLhV6zzz// 9d3t7qr6d5+PwGA+kAvyAvNOdcz47OtdffE5Kd0WtGQ9w01tG4INHJY7h1xaXcn76A5r3QSbG3hH NJxEfswAtlNMHKymiHPoUDrK4h3995IZFD0KNEnvFK88pAQs4u4wlsXmekwl1z7UFDl869UQUR5H Y3QToDWx7AiI5IqlELsbDuBlltKLyyVpZUblyKTtiCfNgoRuZgYuJogT0Qc2WqR/AnwwwfFgL50l uAjLuc6DBkYDRdyrkQ3P15rZ1HFuXUWcgQQ1bMTlIgblApD3pemWCvMiawGZOQ9pr+hit7ibGIHw ZDqGfNyNpRRcY35E7S+aQmyd3o6S0Q+gC9bGgrRFugCu7TKv2SWw89PtAl8kxc5FM/8KwWf6XRNK eQXgqNum5+96QWuiaHfa5gv8NdiA+dvAGI0TxTxbs6TmZDPyAZ8nZfh0G64jjKGPk/Su01JAhgM6 j1hEvRnC2bnhD3L22iog3j66NGW9XesG71JFArdi9qCJNAFOcPonS2dOPXHaE8hFHzcBsGT0ZYGa AK7xIwPsUxmmQuAYECol1YyskMLv0p20EfxZYOxJsa6V82YC6RewIM233Br5WadHHGsTDr0kI7KN zc0f+41bhd9CAQfYvbr92WznK6oSoyhIES4r43wkp+o4vbUdWpCgsEGiChnuv9PWGsGJPwYM9Ufh D4v0wzFoWSrv+y5Sr5WfOQ3PA7UrDRyhjo5l/esF6/6A0fQXqAIUidB4LG1INBFmZSK95PJnQVhY pinbzA0JTEoWxhdKjzNRFi3f/+4V8fHt/giNcS0HhMAMaKaftLUnlmWGlnHlIaOJcED5qgVgNNXB rxILTQsjnsMuwLnsQ61JAGt0Vq3LLpmIU9WGCCZSelhb6fLoW88PDD1CWaWChsIzr9V3SJ8YfWNJ mWPQ3vvz/2Pl8vUWX+Ai358UOOHTj6vnIYljzhafxGjuyNObB1l9jMA8YKtQr8hLkPy+QSlCuYnk bi9eDP8ht7uWICIrnGyv3oxc8Gj7g1t/nK32POxmB5s3Rqn8b/dTALIlLue7/Z4PtwsAWAS7ynVg S/2l53gavBavliIJ6gttVik0JiCiU6qKOE/bRvl3UldgZAQG1Zt/mG4VK4g08vyfmZbAiFU7XgNd S92MLseM/AFM96KcuTg896ojNpf2BwnR9Knp+nnMcoSqMl+xRa2pS+ek87ykKJQC2LrE2KVq8A7i 8eXroZpqBjdsL1JIAHXtbBs2UROewNx5t/6Fye9ENySe8k2k4G0sMoQQpwRNJW1semx5hBzbS5oR 31zZV5dUFdNZ92w0WcIWZyMt8GcbVtXIAHZTb2L1PMWImSM5XHaK6dDAv2TleYF3Fj2oP3kgXkiS O0ofYd48kVfUhlbrx8u5qdLyli8NrGprtznu45f0Uk42++tz9ysuppDXgktL4+2Rm3xWuKQje/yT 89GOfjHFbrgor0WDXyAf2c95BlBeN7HcOIjfu6n2xZ6Lt2UOdMQozCo02ZduWcSjRqHZMiEENlmS J5YwO76dlr10I9J06VrPrjw1iinvdmvKcgnD5Zjpcp3eeZVpcUcj/3zRnRtqzkQK5TY27cpy0DXP 3v+vC+QLNlSSfWsPVRrdgTuSvbcqZs2p4NV5h+7SNYxNDZ/d0Xfz3ENP2VQMTc8KoZUp/5u49ZQq DfLHHJMmMUzLt7fAfss8dvX9b0zoY+6illRKfydk4jb/jHDgGogRzp1ozqzTNQa8RyfgBA5MDTvM eaUOcJUHMVvnPK0lsCbVyL4GsRaOMR2xLxZ8fzsocxz98HuQqLlugdQijzVXV2HuPQju3M2cuAGX G1JoFSHFYimJfYRqmwc3uQnKvBZ8RMM5QGFkZ2bwsaShm6x02ldgZ5vPeDmuF5/nBCbqF+lWsOmI sSbFR5YHULUkvEqBpFtNAMWdlanXs7Vp82xyOVxt2xFdldDLHBB+cYWHZ7A42aSJDxlyN6Mh6MFy OB1Q5d5P48rgZvGQVmFE6tqmEfb6xl3eIojAi1NvhZBa2YpvGhZOf6JTOiNbkKZLk5AcpiiVEXHz WxyFLKi9ztZ8WjVxGDyX2BoSOMEAoX5eMW3mfWq9WXKl4GJg2Gc0j+QrgYqwHoV5kdGz2ZujBT5n 48O47sDUwT6dAPr7fhE6wBA75bF1h+XNN9Ooemdrwrtwbz3R+WSDT5G9uG2oKtr8XNClit4Vwbbw HeZQ3kwmFsiBLcYiVhSI2HDdU4u4kcLdXMYOKwajwI0ALQxusbNhLyPhMvU+d3BD4oFfOxEKcLy5 JIQCdvVs+VlUbP1hb8tWUavYl7wgc+Rk+U3GfkEYRK/qkHzIwGPEHUuTI6R3lKH62+XX5JE3Tp8+ jemq/zyWwj9+lj1aqODRChWR4dGHsw7Sy5zjGUAOKorU+E8zRYaPHgNWq+OG8XwWYk72WlD2y8BP WlpV/QbIaJQMp4GWivSwmJye3TY1KLPlUquA85twqJRV2hbaAD1nQ6bMkOlbATS2LU/1two8raQN s17bYdxSY8bRGcvSt/+ZveO+2FgdUvD/Oqtn/yLu331JUw52CBxoG3CnKBL4zO1x/E85NoDbW5yd Gmoj7+NjXe+M9TbJXaxqY/Ow7CPKx7rH0speqLf1EdxLgUa2DoN23sxJhcP4E4kAuWgjDNlHwtEj RsPN6ZnHYkniRNkuo4EVEVy6UIn0S9OAZ4IXPP0WRK/TEg1FatzFVudtbYHafMiXklWaYE3adhmc 8B7eoGJLRdzPHIRY76qkU5vVCUs7FKegNWbW4oWrgC1nlc49MbudhOZQHS8WeYnAflBnlGHOYavH PaOhsDCMjGIAyZ3FCFi+Ukidb87W5QIdEG1I+++MJ7zKhyD3Hvu9zcLeVgAXB9rDqrdzP8Csx2SE FuMfaKJgKLJet/RLIeUduCoUWiVz2inbFcJj7BDgg1h2HGVjgYLDlYGcY7upn6QqXSts6XXP1194 4jcBL2LCxRi8zv6Mwjfn1GvaHEiditYog8TU9fdQVO9sQgjGDuV+N/tNvKQu4W1a9UqVqEdUggs3 8MbW68mqgBk3IFYTu05+DqQj6vDs0qh66J0AW9xsDo+FkB++BPGYYlPbTROsDXMxRKvBp/bcrOwg BCIHWhpjrs1WN+63cEKC3EFjTeqHkf/mGnumDQxUnG2ARVIecfoMwU/5b4v5GZI4sMnaJaEokP6w EZN7XdWuISzIr4rb6R8vFy0lkJeqUw1LoEwDHbApiVDiTsAvya2UhQIlH9xWeUukTjQisqagKHM7 v33XZchwhWLV/oUJWcxHia9DOvZEmYX4Gm+zS7I2yIZcnD1i14R5fqGZymJoqJdBoT9FuIm1RtOR Ag8nLU8GHuL4EppZrlskWb3BlXHQuI7HCvBlSYrABCJA4aMMOHeDWV+SdYImdGGmZL0z4mxD74Vu 4gc0+i4sLXFuQt8zMMqPjdwArgv0fVAb5YpR/zHOLIxSYzIVNdQEzXWVDE/F32i/g+ZoyHyvHYzw 1cTkeffbLNvdDeu5Dh0r0Ymd4S705yUtC5lQIG/gNee9WdXDPYSHIFRJMmtdVjD4OPJEj559aKtP IRZgQNLcNAwNso94rAes4Poa4gYgQggJTMoK/H1LOh3wXG8NEonk1m9EZY3ixRNVmBDRik+JKonM 38sjtQC9UCnPyOFv6k//H4uuodtahnzATOo20+wr5xPUb6qyF3euBXTHGTbWRs+sqxqEfZHNrA2g l8DyLojnEAT/wjY6ChqjeOa956cO2ZTxZVe4S2mc6K6TWrgeIM+lq36uqGZ7nJ09msxtgrYSeb7T XbNUYvK+EOu2/57bhHaoe11vMOXRcZHD7Zaq/8jPs9Oi6+UNH7wj/TKmMJNeLfXbMdBmC5+NCA6l lN6pnYtdgDkc9RyqBvKd8dDzveopn3FrGls0BPtvV9RxhV6zzvLDedleQafhYbUmq/bOp68k8wIh nuxWnnNuDLFmpf6qUyJNLu2dUXjfVaidOKAX8djVUcIh35zv7eNXGFsSp2v5yQFWTYcxu+vrIepO 9eoUWid9JbAdqScsrzE/Cdg4FsaISHKLhL2avvq4cnZnMATchRWJSwEdTzwqVkCpdBRWSpmUL1Xf pTqAwqc2hY19K75HS9Lhy+98Wk3MmO1G2+lUSFIz+vQfku8vCK9T8JyP8AW1QsTpbXnkea6pZ33M kwVlT6UDC9UcspbBSoqBeEl694MfRd5NY1onk+Qbfvu87kOrjHu4/YDwcd+8Q/8r9/N1+FEZkMtc GBBrZEs6MOB2su2f7Fq7s1BJ7gUYqnukKTIrPTaa+3F00mWt4eFWHjaoPZ5eK1Z2ToR12yqU+Pgt YtpqQ0p7yfmuyFPiy7czfxtMjx60ajRyF/OtQF6XC2GIT3ZYoUz6RkZ/7a4yD6mgElEj/c8JRasr SybTkJfxuJ+y1r79VjTI6YQGt8DDODvlMwreNT5coW8RpKFcxNGcj9/6Y9152v1bw/oQ/wHiQVBl K+ad4AuKHapu6SDPdNxgHHpIfQI0saiyhg2lr0KF5il1GxEoTdbDSjfgwnvAf3XbyWN1UOMb6M4P ZUoM6FxxwxUYtvU1swOaxBUmJnFqf7Uietg2HWqPVS6hfc48tegQO0I3wyKdGxDAp1JVA5PwF6jC 10AAFr9FpSqi57LkkWBUqIpgw33OwpgmP3SUU1wNcnqD8QZR2S6LHNnThwVuuTDP3UC1NJl/yy4b B0rXi0UsmJW33ismyDHMOlRTTJppd1ujPy/2bwp65jcZhaRDSazzurE9/bdzR5+12WsUlgmWUk8R hMdBWVreW2cr46by3TI0H+U+MZqFMHqNKNSKSQxiXJ3ReiqHxVori97uWbVt8G/AsFcRjq0qzpWk BPWZ0cmTfmT/IhoomwiNx7cz5oMcGHzJBtP9lXx4q3nqrREPdVTbSPq/jeBev87nqIu7c807PrXG Slzaz2JhW3Wwz/bn3H1N/l1HuOqwtqLwaC5J1VLfTc1s6bF5YPNkkhtTJdh2/y5TK+Tt8nsx86jw yNTjCbrnKMTuKa+G856ihf4exfCennGeXvMPLSABceXqEe6b1is5PZHgyMGim+SvENoUGy/zWAXq IAn4evEQqsQ97eHH1KOi+B40BUAbRgsIsghe54dc353c+ZlnsLf6Vx+FWKkD1vSjHYWDxkYZNzSb J8iYTxyLlW2RANhtbvxB6CvAiSldmo5FQZp/bRsKbyJ3o2VWSecTFYljt5uK0K0v36QYlRNowJQ7 9NrjYDU3i4E5tgHL7ijiAO7iX4I5+wcI2Ze9Ks+FvVKkEWOcfLGUdPSsYBgSRlQkyZ4qyTCqBgts QgshM9EYQAJZ5ialK9138fW/jZfbT1ewLkbBHX+aueHwe+z+m4bexQbhvNrq411e5tXCSLm+YatE mP64aJ2EXGg+c/hqZ6HQdLPaFlRvdRqZ5oNrjvVdb96UIhqSn8Brs+Jrovl2IGyNEVrjaeSnKRET n1u8YlsRw1A/8mQzywTDNBy8RFY3ZHTzVccYC/9hSwLk+/rkLoY6ZMp+ptgeM3TrAD5MeXRzxs1A 17d9wbhSNYKX7rcpop31UEXltIvbYEy/Rdrz2yz0vBJhtEiUe9bXIeFYCbY9Hh5xkBKJXnBpYP6b woPWaEGgHRJ1NeK9enq99CiYIE2qFhlaerubAxB806thI8W3Ke+TRmKfbJz4DUs8V8+BtdnHOjQF efgdDT0l1PKRXNiBeDZxjemc9eG1tJRn7Q1q3K2Q//odD4DXReDmTSAS2zMAkWA3TpYmhM7L5I8O GdFvdaUfcRmrZFop3y1tgOYD/90YN7JuOjJJe65woEWrTMRmfdMXKZay4tBhSmM9IB7Ln0oXXKNt Z8M7G8L2TYeJPLoVt64WrWu6MtULK/ruKcZB7RUzCMlCmuFIsBxWr1OqDEfDp2e60nkpHjeOCqwP oMJMSWniDD2D9UsndO5PgHMYfMtqa/nBcyjQYpRlx3r5XyzlOSPP+qJk0elUd+9OpKDoikiawIXc 4P5HhC3gmw4c7bqiMM7zsTA4fKyZZYwQabZMTax2Wk19PbwiudGG7bJvuFGIrSe86f9DOERoxLoX xq+vzfKa+qD46FlWzV+9Pp6CKwvxHQnW3Jh2lVdILaMdJP+YsKV3ikNCM8Eqrcm36mlEe/5y7goF 0iq832FOUmfkGNsnwGbwVRD3FhKEiBSA1gxx8wmlrABI21+qjjvK6YRt/YelzgXXu0KMLuKuabaG wM0ysddiSf9A458DRaadtQPd61lF+OClvm/hRN46gPcH0KPPaHJtitmC0y4qp5wuF7IRAtQ7Mo1K OIL/SQ0cES4vbd1//Xw4KwtrERIINxIzMD+jaHcN8lrdwrWp7bltWpkSUb50g4c5iCvIDAls3idr WchVfwdnO1O6riSx2gvKrOfALXr/faras8zpwO2+UAH3F5Yt6TRkcq4YqzHMh+t5HvzGtUbsXOFb 4PkP2md+W3cvxRs13qOPJ4nIdI8wvy9WPtUuAFQBNvVtSXMjUoY81rwV5znCc2K87RrOo48mhG6g uiWYg4ctI33/ZvUxQBHZlhQowFdffSoxFsN++VSfSJHFp75jbtO0Ie98jRL5z5m4Og84/gOnKMkp Wf5c7k9ax8SLr40btojoRWy0+1vFxFALvF6SPKoyPeD0YJz8f4inagWEvdxg5xiZ3dug9Aduqouf ZUiyeIjMlEUkySlXnHzbGScP1FO1Nvpvxw4DX3Gp8duen+okBzaS6ruYiKxsO7GhRgwCj9NZu7Bl /XkZA3ur86qjO+uqLhG4fGHmRdgYebZLtfqZkZYCdxzffylrROZrKENBUR2nOWFfaEsOtKIFNHBc cnZKmibRJ6Yo6hBOkkQ5G/v3CpTwTW9/jba+7e7DqPji4REcNsW148zXGMMJCt3qjqskkjm4Xuyn zNUzPzHKFy+Jc7RaSS3c5qlGtqN9j8JADjyQFuaGwSmyugndKFUO7ZrhSb5TAxw1XT4cbjOsmEBi uIVpu4EyJeQJ8YpjHotDzWaOFQgrf5D+dD1oN8VnGGuR5soSGscO3KU91A7If1C/kwf0UDcL0lWz wzhJL15pYx04FLpPYcQpVP+UNu7E8PMx8B8j4AQMJv3f2XkVcYfuzVKuPLbiqitnLeoYEaGfpWV8 fJW7BeU13VpV+cIMGSfR6QXNiOOd+Taxz+GIBkZ91d6MHgmPQK1mGqELykaOFDoMrpruAv8HNNKs 9rP/FiiYK6NugKMtuVSoMy3ebBTSdw7fMqNx5G9UBO+AB3TzG3g5pPzd/zEmMJZZLIdWefCH1lsA TgONcWKbFLojQ5hs6rBRFKXXDEg5/Vonmi0xoxv7vHKBBLoqxiiUPm/y5Pu2NH12fgPgrdkgslMx 2aYN+EhFrMaqwKcP8F9LiOs6plxmj9GWGvbpAnPJtD3ouO9gcdVuqg1lWsw7WKoFANGaF7SoEIpR V7iatOluKwQxbeeR8NBg6wA9WWcoKURN0yKApO7KHBaOKRliQ7gdYuNteXsJeG1bEM8PgFTj7pYq hlDevpwbDr0Y2nJc2+e4WcrSd+SAMr9KCnwJjQBPrPMaxfeKwZ7CDqDDGlnsRSJIDdBAND/Akf6u drkB01xe8IwuYj3ab8e1+wTUD/qZPf13NlUsvFkeq3DloytiC75NWytpCRG/aj1eiyi7WXNdRm9L v8nefreElJmauuniR8ERrpNI+8ti9f2pXdOyBBa/q5CbSCONoruyCwZFTodElc0UwdaLGW2sGm9D pjJ5UH99WGepVbXAUFgEERZY6RVabiK3AbAnWZCOnOPKWPAtARgl00Q9SiNcZcmagbAsQfWhMdNb TdGzhuMgxIAdZsMLkjGKiCV0BmkhR79w3U99HL4l3TzCCR3mccOhvSkDF1pxUekTzY3Eje3NG9BO oERBQFWuK8QegaZ2/HX8qV8WVFhpSMFMHm8zdzriMiiZOTZaeVI98vKmi9ZtWnz6e+OTA/A2oFK6 IdcaepHdTWg41ibAEbJPTxOClJ15hN6uOInkdxWuY0LzO2LLKF1Q9R/Nc0/Kf8S9IQaQcGHXYOJi 5JKVR2cJ6VT7LHp41CQdR+iGVSIcSJtdfvYFx466LMsv4aspthGR+DZ5W++L+v/TqAnR567mshai K9fZ40mnQvLzNFeaLcKZwOir7WdFW0HfpRNk31Izea7KCtrZNBRXaX5IpMzLXTT1ZNhgZuo1mq3H tvOuBnJ/eit9xVp02KkGB4su3tz7wP2MyrtxOE/J2tXqSr5orLtvfhGmOhr9Eib81pK/qGP2rY07 uCA+81alwimL9tD1lcDB/fnGnWiD2wzM2BKQbBlHnuhBDI/mLeKfNJ12BpYNEVO4DPS0/TuBJc+5 5DJgoBQHHSel6PqiC9chJTf18NDVyrMnW/Ds3GQCFyXrX8SaL3ThAmLdiruVhnkSl9ZZfW8nnGdu sTVSZIuOQ3lMqZNqP4qJM6UMLzx4o3k1UWOnOsylTZq7WOCHE198f13RK4z3eaXSzIs3PR7FIgtO PeMk6vy7ZYGjCe2DxBhp8iQH4keAhaa6pYWvpikX+G2z3UuxRu6NH/er9yQut7wBO/N2wE8cquTP WJniaxfyVD/JNMHh0LE3mz4FQA2N1Ac9k8ce24S0c+hTzFJHHva++9NWXck/CV0Ix2lcRhA0M7sI jsUEk3THGVDa4IBwiMK3O/hcWxHjbqMPzEjlKuJtiYsKmf1cPj+68zyCP512fUlBEaS/Y/peAr52 oZ1xQHW/WSTxx6kzuy2lTZjuawotZiiGhrxf4ABjF6r2AwWCTiVV0IHr0cImk89LQS+FF03KYjl4 6cAsq4VnZ7fdu7k06Qwx1Y4+BBCF8ORm1XwomXZOmLN2Uo9j8/vAIQm5Dqd3HIZ+GLPsVZdlziAF Fcou0cx/2ctvwMYAcfn53ivonl4LKce81yO+ovxzegHescdDKHbBvuQEWEwDKbD9ZSlh/TvxjA2G HIEMt5zgamBF/fNi/CYJGjD2bqm7DiKWVPM0bDYB3p0XiLAZ1FBdIBKc9thXQ18NaIy+tcJGV9wV I4tHyDqH7DhtbJuJsBrilnbVd4Nm08ZkQC/CzcTrPyXH79NaF3W9rLfllLgPjL8nLzKozW7xZD25 9J+SdWMU96ZvHLW8Ph3Vo58xqG1LeDqFZXpFPlgu6j3JFY0NcfFjIyH1gyJ+NDbi33PPtEfVjWXc wCq+S9zAeGGfsZktIMhtGFFEzr9+SOJVLnuwMrTcZ2XwrhccoVOiMW2/0DEWmiUhsIDmWvhtMM6P l+rTzCZzrqHFxtxmwo9f0swNgNYzfW4XGZG0IeCaMdd/xPjkyAVduE1QqWks04RkEAl3Sr3F152n gpA9nslOLfw/YeIgV3bnH5m20Eda2zLjMberyTU7ptpOTObJ4N5fMc/pz0K/SZC1RibkXlSN7wbc 4HZ/oFB2IGM07MI+gNl5BV9tbSEZLa19FeawefXH14r/+M5RvqDK/EMFBgweq8mOrUmoHeKahTrX 21K+bFsZGfEfUXK8M5FEuSbKSxz4zOft4hbbu4SL7RSNWtjtX1YPt9YCdYFbzh971uhitDXt3w1k LvKr3ErYTzi+OVfAFky0xchZjN8bm9cOuNVNooxQHqthqGNigfEGG+CMyiHk/G4QbIV2UV8OoCEf CkBjHlhA9c0k3TulBMGzWHmRaSyNAp7LN/rtv47roJfaIqh5H8G1VLQo1mj5sQJDOdx4/mEb3kFI NaRIUU+uUL27eFy6aH6EVHIUnju+2UxfPHn8APNLGmopKzEPJHoGpHjQu3MyPMsknmzHimOr1ane ibzV+m+YUtLDWynSTxfTe1a7o+ROnFRIqh78c0upfBdJbsUAYw0QneNMPslgXLs9JkJtM4II04bw egF/j6t163KQLoqxPrXhSV6PL1laQzWA/n8P9TmgXXbdWY5HFzEYj9FApT5b4qGRnbmKRmwIsbba aD9Xl7OqO79mfEowSyz8uD7jAs6SPnvo8u45wL5ZwtG+emXk6idDuhWCdbBODXFB1t9ONTZzgE5L LdaNevwiJnZIdapDmb9p9togFF3oqN9llfiq31d3/GTsFoWFRONiUFRSt2N/FP0S5OcM9BMduXkh xWns0sayCp4LxxKlzbgyg0jlK6Jt5zy0+OpgEZVDC0CAPqVbgR7KRl45ZVv0MVs4Ba1OvnjUvtbX wFeXoukA2H0EGzZi4AxI8J2V6+wWf2+cKWNdkdilczXgX6nY5dfP1kaIHZZLwD5pAczAWa5lXhVT KczHj5C5yeeHt2j0PPTkJW/fhVp4Ti+Bn+4DFC5lfL2bWTc2SqNK/hfYzchf8zhH8FXbE3hCFEX7 /JfzdgCLip2oJqWyHRqmZvCJ+hnlFbQrDwZ3izTAZVTNv4ITspOOl89FoO8C6mMQzqDy/rluiOUx ll4+PorwnBdJK5kD90VbbfmIWPrLbXru0dYOAu/C0OXrXbxtrYr4JgVuaQhy6/M7dhWS9/EhNvlA WygQ2J63xP+qA2PPihiuu7nxdA1V6CbO9szLt+g0dIBvJ0JEiz5VDXpOWE75VscQGcwfxkMMXy/c 5q4qrzJ4Ahhn1z79K8l4/sBDa1nONPfTHJdYtHISzJEcGERLQUpNScNwd7h4uRzWE1pSyJEdoJWe 7uKNCHc5uKl2yI/YN8q4B5W9wGQ6RotNAONeZyAI0JcO0d1YH7z/yzXNjM+u7/KI0McQt6zuiSaM kCJGMU58Up2DBGq+RWuBh3Fz3o8WCNS2fGyy9ijXMnaK4fANIu0xFiqBp6tSb0LrMex7d067RKdY yars2pk4lIdzjC9r4cAvLGy8yZCk2sXOi8pSpn51iwHCtcPA2BDv5OvbVcAlzmwjxAZfvJY4qaMu FZhSfZdzHvPsIjQQitXE+pcD9DNuqr8gfgsaM1bY6LkTXlyjzX4rNGSRFxvttj0Nh9lBQQ04pJB2 EU+tc1gR0F0BDX+JcwDuWWxCq1uNALfmXE1kuaoB+7ifBPDeF+35Q9EabKVcJNZ75MsipqteIOLH eXNHilvBLW8nwL9H0ZTqQgJNb5YGQJPoW9pQ+rI8IEDZq8HreBoPREFEhzdIEkGtgifWASsN+i89 Jc9pmJP+ih+HBiU5Tz5RHkwQMkr4yTwtHhdpUkeDHJ8AfNC4yn6rtnUjh72Lefp6FZNW7++Y00Pf 5IEmDtxx9CFkxjASpIMqrSlH4qgJWZJrZc44Y7sv0aouZ//BrPHEg5g9K2cPulL2YojZD/qHuRi3 qjGXRwlS2O04fen2gDILQTqPjfpisoxiNCyN8i2oFzWos6nANS3F+dRfZQ1SWJbnGJD3RBDZK/L/ 4QaVTM/kJDe9Zd4TypCURurBk4eAUQ6VNINS1WA5A7B/9RgchTIdTUm/psjmefKPcStU9C4kgvmP 0JPatcLKErVthdjagISfAJKA1a+M9o1LTtMko5lqPjw9phZ/7juYx0YnvagpQC6YoKriO3INiB6l djV+TF53K2z/SDHIK1pPB60eXouH5l0WhXlTcyMZ5EtzZQMGdhUq5177fRwKG1yLOHMhbccpujGl 9gqC7/ogGGc4b+wqmneQEQFVszNtV7SnqogCQhqnUMKAQj3WgjAZm+Fqwt/EiosBBHnORj9tmmko 8dP4DgmoLX+s79J6g13Elb1l8N2E1ZcYDlgttClsKeEdqhPkD0kRW8h/uGBXE0//12Ak7nxJv1W9 +NJucJFyoWJ7Ee6cFJPxdHbBrcXDODZsRE/spK1JePbRqTpFyZsBeE3qsvCGVVfC9LNE5i9hqQKY iUZjtt+QIXbcVI7/R5JoLQYmofW+9YKRPbvOWNXasdylNSGcJ/5Mi+mKFSXse5F1PBRtIrTfa5Tv jSH63+e3CLg3TShjRnR4D0Fii3+doNlxtLAz6pBNWpPwg4BSEgK009V3pGZ54c9UM1pu+m5PDQbS 3LDlTiqOofZeZ2c/7fGxTRv9heJeZhsB9MjQCsNJ2CMuHfaZoGtclKAX5rJBo1QFj+WAjy24ChwJ m2FGpP7Do/PHtLlIiyteZS9/ZRosumJ6A/Eh/EY0mzEtlMKiXhA5WpFAufhrqwwYftArtdUi2sMr T5GSQnMOFJGwltAYv7fQcBgOLucNC4OyWxjC2IUR3t2sG2oYNDeKvEjcvs/XK5rzWVqPjkdiVEdI NumwCbZGEPQDh1coeQUW2BbNZPevxG9hj/ZIfSgX5dZRACEipoq8NE4TvDK5yTYCx1v2pT4Vfwft 3qKStodhBW1XOpyn20My/gEq+CQfLYkjnP/4BtkmmbJndbFyTVBcoyxKNV7VSCxWqtr1E6wEmPKO Dmcscmi/JNaNS4HR7GiUSkJtQZ7ZYF8frUqQqjClPKyE2t2rY0mp4vEVpvp3N+LTWk6CYTeEApb8 ygz/t+bugNC+LNd7NODn5Y/w49cYGDWIATAzxfWsnAf9q+Yknz801W4unWWWVmr8qkt0ibNFAI2m xxnHrDdxaAKQlmvEnr4Yv5NIO4VYa/5VzOBl3EUsYBtEtI+aYNFTS+0ZsBPHTdlUR6UV7pcBChZl voFGlTx0Dis7VUxJ8/QUcFaYAykNSeGgW64hHvniavzhwYFfa3kwykBEzAApmqAyCLf/FfsWRLQ6 rTC/gxIJx5dpo+KVtzMKFgGsNefOqZ/DVP5y05Vt/4NUSbmAcP5C6q25Ha19soIu1J95EnEf1cPv OwMrf+Zca401rVU9A4/+qUPHB2kxAIE3pwHpEv35fC2COVki0WCUcclf1NHzdNAJ2rtUCB6IL+oz OsLc03f8QIna8pZkNFYkOAoI9OzGvlCc84ddxwwcTbQafUYGfMlGZ8zvSv7oYMsu59HaRpixfEHo xj5HDxUv/wV+2L6Abk9mZLM0LRYIxk8UvvKG77IN8ivCvRyb2P+Dar7H0ZwViT+lqR6Lhqw3UY+7 LiwDSJjW+K+uFUXBWdafZ/uFy+r5rdlq45WVmeEvcwcGmukhyjpTKu0jHqCkyYPKLYiyRkKnIS+b LyY5IJhOUp7O5k2Qb2aYsZpXN1LYfl1bzi4uZ5mgXDXe4sJcMlyqJ1VsuIPN8zG4We/abEVg50Go /jYdHYH+OcqtGor9c5OGVCwqqNhqDNgIUPlvHFAyjX6uqe4ps+kCfdNJtbmFDbYWh/hcYc5XOZMs My5Tq6HOyNQZODKktroL5X0cqWaVHVeFKpVmfJ8lwc80j/q25zq9DBm3ZGR7gp/xNVI35WpMf28a 0AMwKXbrmZkn4L5a/oU9ZfOISn2H4/W7/VLjLHZl2LkX1PxzRa339PmVgsizCfbOTaFxq2GZ2TSX n8A0s5tZTIPTgJX7dSLKjgvQ792hH1Mn3X9pMoCiioOzXTHqawjcCawa8+GyJbcfO2c4KbBrT8cH LIjDk2i0Oe1Ufx5KToJutFnZ5BsJIczomY/R/E9aOJELzaRx5NBXqHNMJ3f/C3COp6uQWAyJCzWB BaYenUdDNuOwLLO4BLaSNvDUVjaRuJj1gWbhg2P+wuFokpYgyMF+aooNZyFFikPfsEta/l9UoJMt 6+6rj9vB212PEmwUlYH9RWfQKVtVPz9ySq2Fa2eiDLUhu2qm2A8UHy7iszkbRy/IxErGqs0GFMnV ZUyrVMi8SQzgrbhYf0JyzIGAj8L3R2wxOnf+3CO71jh0VzHsCcLETvg4iNDcPdvqufyKemVgyFRk KkvYZbNUCOvPnNnw5rXv0FXFRMiUOnM7PwoK7nvRDXskX5+C3vHEBgd4+PPdIt9r4WYnHtKzHVDu 9qDF5G5SEiO0G1RTFTlCHz8cyXEZiGMAn9tGiyfmvaiz2oe4cfGU8obpqu1hpwyn61jDQi3XGpzn o90q0+c8L3YZd34XKrQauLFePvnGxcYFfHVWQNPC8UPUd77bTZqX9VRgVrmbzrOZb+7h3Y/QiFl9 FhUEi7HXuDRNz7Lc0kezkDIJfO5fkeuSWkha1ioWD3EfK5IA3dNx6D8SX1znT0KsAyM6S3zWygdo MkjXqHzxuE7N7KNJCbTbTIVJTS8e17lvFMNSqkgQaJ/+Ac1wJk4JUZJQjVIt457FDZkXo08G8wa/ QSEMxUCEl2MR9mh07S2XAdwofak3wBS4pw3kNzn6Au6lTNLgPSJVH9E7uCvLfdkE3vbSrh/QpbMd Nj7aEFwHHGvjUQTVkNN4i3sZ2ZBTUxXkSPxFBEREtJXREY6Nl+lCMrD3zTR3KdhYvstafwIpDy3V xzt23e/JizW0xG9gx8BkVwdCqPUuVAu757/jsGQEcHZuMG47d64d7BO9cvyJbclCJ4bV7RsIwNJn jlNZf94AaWcKq86k/3IjngEssm9XI5KWrKYDknfsJFEcbkOSi8e87O3VCXFjm+GaeYK1sp9WaB2R LSvaKDYINUyiuUlxjHwyRve9qE/GkzRqw5lBnuph8uh/fatX3IwVUp1No/uaT5X+mluSHzaPQoMC DOMaAlPp7VYAKjFsbRZnJbf9qoJCGVkm48V7xhO8Hd+tOZQ1GgkxyfhoAEsU80X+IgQMWZ1YRPyO AJCZTFSXvkNpeuAXb/9eSQNB7dQX4T8Q4bwDI6pNfgrOOttmxL8EpD6mGFbbXxox3dcZRp9UlH/p n2O88gjW82dM7NXQXcoTYM6UfdMFv1FxSxzG+vQnaN3QO4igwog26MSpDrx4yFt4HAIRJ0I4dCMw ptLBO3ZbpK5YCDU2jSEuacEaCdj5nuGahOS4r+5KrrgyCMKn7+hvP711JZe18kwCxnd2huAF/f3A rjlbNBvX4ykBD938iIp9YOhqcMaR3TswV/0XnDLMogb+WkiHcmgnjLuEWYYidhYgIn19KVEBG2uy a+SyugjAH575YyEeQcWcBS8/Qw+zaMushA20LZHAa1lnMYtgXJsk2dXCBnRTB59WiyTjTbvHjtB6 CPsw64YKaci9L7w8p7o7NsGzfm0OflvXy6Elac74nYFqNVDA8zi1NOxd/G0mJWZAmVFp9BB7gJhp wT9cyb0LzMm5s+576vTerLLwT7pXedRgL0P5D7M2C/irbaDfXnyml6mZs+f0Qg409El0kCZq0ZJd W3JOZ8Jm6YCnoxNceP2KwPs0oYj/ly9c9aCKKWzNXndLvIgLuLSkv5jRNdkllODD+kYBSew7vnGi R908KgbRuoEil/O7f6SWTzJ3RUCS7nO73rquWUXifxQzyiiAaYScRbEXz9JydpkhtiBeYOTzPU+D 7+2etcTKtbgoEBcYNYA5CPDcl1Klms/KsCQfzFVxCNn2sq7JGFnRI0SRnXU3/RboVYahMTgbr7O/ oMaAz0UHJlV0a3fnjz8Qeo1h9yjC8JZT2FosKfif12RZsmSZ5UA99ksDqthTr4NkZMJSpGEsj9Ko DL/+G00/uJEe2z304z8KXM392HHfuhLBYypq4fyJNuOMnfiXHV558ngReCjKOJJVz5Dr8Jc+i7J8 DK/qkfd1yvsA2tlPqsaAW5Z/uE7YsNNkdk3t+UhBuljZz8QEHJXH42rw5Hr/Gu+gMerVD4eD+OR6 V2sn0K1vPvWHDEmSgHrBYtXBbQTdl1ZkBJBQYfZx51LG5PNwx9fVAKxKThKHQstQtkWNoVqOH4A2 9oSXRl53EetK0y3ZEPI4hczWI7CHqygVKsFhiKNotz+kE4fVa/sSEVXmGMR5K/z6zyTu+lotZRVv eSypsx1PWwj6YhjFOQv0aeD7HRM0bNDIIx9LATTpclJsTOeJJjzSiGOhfRfJ6AzgeDm7wdHiOezx KlHzCFhjFADU25PcvuoIxtGKVFNWicXx6HIxXghekktCHc5v9X/F9NCCe5UX3znRsuK86dEptat+ Oa2/HQ7lrmF8mSAfd6QY0PvBhiKEBlajT+YblO8MMaVqT7Rfcch1AENEdMlNpBwhg+VBpqgI60E+ ICsIAYOFqARIj3guKLHy0FZ8qA3L4zWGMrDNsoMTw+Bnvbi98jSxts77qPSv+TK6kFGXAodkjWoa LcCKDQYgVSHPqbW47APFxOQEj+bzx9XuLtNh5T0gKBUmHw9MpZH6OAwmtLCE4E528MwptdscIOtG feMRvLhwaP/3rdQF3Z+mt0SOW2nujALpSaz+8LMWVSm8DxiOQdc/K8YlTlu/kTz+xEZOGvJ3EFar Tu/h4+LTqQ3Q03vlZdugLWTQJRkMvyH4B2th3R6UjZsFir1j4nBdmp6BEx1CmOSMWRPznfUfIdPs jiUjr6aqRWfExcwF+enVqEX7eaLHjLnUoyaq2fyd5xPoPxghVpOrK7M2jzs42Ck28RecD4KvZvsF RgjU3BzmjWn0xXsFQlGMDl/EFKTpSOKnZ5QBKo0Npp/pbVhLXckItwmSDroDqSgsBgyoiNOvqeDZ qEEU1K+tEs4ONk7o74qFAIiiTnNsGpbalacNOB4DT3ZxhorxMSUSgJfqstlWZ+pyqXvpvMyaanTt SWbDeiFOcyLxahovJ73RfgWTVFf+fD4xK0gD3fuY2ZoC6ulr2cm5I87T57R57he4Vqq8Uy6u9IhS 43JCoKmn9Vt5NLn7r8y0QaWv/74GnL5kM2OQaB6nhUpceUynal0UchoLsOBrlBh9s3CEnA+nWoWk 7yETgJi6FooveaGfXeHjEbbmzu5ddRKPYJlOqEOTBbIdqHx+WmJs6CmCSUVrC2yWoVfMyGk9jVYC BdZxGvj8ZLi0ROfdeODodYDS694wgqesrU32M16B9hBys03CNf63sDOQKOTQql/RDjs5IHEdTX05 l+n45I9L6M5zOV5Kh162BSYRfiiX2dx7GT6wcS/JejLzNZPf/ugVeKsHPK6qYAhu11TJeTswpK5z OSJoEzGn86QISkQTX7a/QbykuWKxU9h7AeTETvGyd/Tl2II3zTz8z8ebI9i1ETi8o8OpmZzAe1EV vwnJSGG7ngfaAe2dDa9Zair2ZAvxdhoz6QgCStxQ8CvnJL2HWDwz8uOXzwwRdZRpNwyPGL9KfrG6 6l/fOF500eIeu4fs8bLJiyx4BiudqBO0qn48du+TJxpJQ7YYX5L0xWolxEMhx+P0XSD38ihG5iVx +G2Q8s61YouQP5Zhja1WtqhLWu/nvsaDjVMx3L3Z1EboN17f0nc9mvaZrOhF94/A2EuvtJTD+puv JTRXmk03gGA581iXU/b5/LIyXHv7SM2/abMfdNYDCoWme/eyVQh4VXtigS3/8q17rZ2B+JHV9GS2 pvzI3gCveT7sEL/StkgHbfPbVVnZi+4Lz8A+Nx8iOF1PZAGT7u5mhL1i3SHiV8D0AuwuS0PSs6rZ zqhQVJgOLnv6mAC5Zrz4Sd2hL0DB4Aup5zHgVofQPy8ypS/hF6ktM4zGE8ASeKqC/Znk9zE4458D JLGFaidN1A/wqyIEeciyetJNmFiLSEvoxtabvDoxvnmz1uOM5CXx77UjBPmvShsgeE9bfpK7Ui8M KtwkOBxiNUDpnR10bQqCvwWJRscRMVXX+9tBbq4SsjYFu0zVZVdxFY3NuNtTmzXa0Qzq+yhIQjt7 swY074VrfknvQ08OMF80PEZ1mcYJ49d2CPJInYsjxpjhR6ZGJ+mi5kSjsWKQ95zVbLopgwpHhhlB R+IyfKQ0V7qn0kcdizAnRi1sW9kpF2Jsje6/5ShwAeedHIbmwXc/b5baBj8+1ehlK2Ax8xC7hgIu XxHsf0WGgvnA+0efSSU0+wQLWzy3QYmfIjviWSTPHvi+9uV2UcStEqnn05rFIUKuE8zNuck4LMYD g+bVIIoIP0M5P3aE0uZf+TCNnytLAkYgRo9mHONPyTZXl79o192Cb2HMGt+6vBW4IDHUg+MAxEJ7 GetaPjO6RvcOewnIuoSWdksFlMPEBBaXzaugS94cKmjtFM+LCFwnzYnU7nC/eion9Dz7WmnqQPuv 6YNjzTuFU16SRbrm+rXNffSz8xKPakesukYnOTSgrUNxJ6ARF/GtjL0TdPuzNiJmoSpG0bLnZirk v5F2SsdAwN5eUlgfLnO56etOPIs0NUvv6GL3snz2XYLnnFhGtug1jkZ7RkOl+jVdI/5Jr9f+T317 ArrpoT6NIt545XMXokbkdBKA/QMExWEJcO1p3gCr2lY5sICTvbHVB7FovsQxQysu7cu0RozTlM+v 1V4FOAuZHTmeGo3ZG1Tpn/VoprK73AhwjgO0cd/5ngXfEQsFv/D+gNloW97Le5RY3v+K9JXHCFgz yD7D1dAGpyLji+LvhFTDrxKQXs+9hfABNSwk43IyLUmk1dJXYSwViKYqzigUiOVjfFp7OgsvNAwD EDwwqMrfWXMVZw+fOiKxv7C0mV7M5TNGxBZaLEq8x2Z6p2Gia38HQJQmLHXGxYlorIPlJWzzkJ+P vByWbnauPqdt6T8VQ9gh3eLWZyI9K9ADl0cs1ZMW/sngFxMEWF93YQvukUc/USZsoKgEmeD6Z23v H+Hm5Ij/FSzVshxsKqCo2pK8Zp6dn8BiOiTuPJ7SV7vNmgOVzLgeMbP/oJpNk367DYkloldNeyyS dibospPFaSIGRFlkHtvNqGBJP+5aMzU4KhrULeupDd0vSEFf8IvGqnzXMNch+cp1ud5X9M+hu1L/ VpwJ9Gi15N5halT+usKfEuOUpc6GMFGCMTm/BpEtxX3NwxfkHCloSyhgT8O7XpJcFqpftKyFu4Te TzT49Z/C3kWT6T4cqN1rCfy4vv/sfJ911FfnBGAHeQsc0+CCYBzhEyUu0CBMVAY+vN2Oq+IrE+4Z e2k4cGCOdrTzIEPoRCfX56ZTvdS7u3J50WcHIiNFvIJR5nbIvsyK2OYOUwfGi+SsJmzQzMpSOx9a YYx1sykoVm4WV1hk4NvrdySGeHg0s1X4uFBFFJ0GmsTtKYIOA56fRcdIjvFQVfpzlgqKlDA96ifG qZNIzBdk12yHmvyWt6BYKr9pi4etkSnPZiGUluLF2rcVXXWjucYzGzwZiS2DFxW+r1CZvw/sBGns lj0z4PrgfxMXozAN6pNV2+XiiWz8gbopWvPMJbBXrcS7oWP+jPVnRtfg/fv23ZgbVe086x67RRQV +ktHrMhhss4uccrOGitiMwZybqWqmoyRds5JZ8SfcZ97WpXF1n56xPl7afgd/pz9e8eO5/zOZFqc ZSu+VQjWt5fgZAk43tAXhNkoeLOT3lBETrFqYh0TA4pSYlY8NZ3Wo2zNROfPQbpB0wGoLatT4KJ1 0Sqsh4oaQ+uYP1hKnA7j0skk7/9KRrFItoIK2R+DRxMF8ihsnLhxjY9D+r7HNgo1vVXU16sVGVaJ qO8CezBFOsF//hwIOf14nzKD3CRyI9pUBQ+x/N2YHL41cSpSoue+oMOh5naznVKqoTz1rgcGaJkD XXvpEf0qLQwIws+PalB0343UntD9rYZrPInPyyxg3MWlSBw+f8HBxIkMNN57bEZlUulXlnk1pG2p 3SNf094188FyrU8QwLf2DUQbxS5LrtTNMfQTSGnENBJ3vmFlmaBgHjn5fXoFmsr6YV8UadVkg6WC rHmJNI/JtXl1QfiTaRI/zoTHp7m47M9OrOiXSaNkpSxCdMSMVCwdW0f3iPG/jMVQ5UmpanDVJRpa WvOfx3JcOggVb81Gl94yubidzu4SGLkyJPtncUPnTpGWN2fgRQgLOqoKfrKyUbFe3hSswHM+1kaJ XTTB+bekF+oOtdSDt+aNmOFGnUv1/VBBzN+8VC2dPzzwk+np4+HwrFj/12zT50yUm+mUWG5c6/Mj H7xYtI3SP6edszdppUuuweBMUGJhO/6XeKUUSX+m0upJ6UryaRbmxiU8BJIIGIOpK/LKHHopG6j0 9qTP14aJNxMIhYnFPi+F0SB24JX2sbOwENuclXjItdTVX+OvGKvrNR30eMr5J/XE/9IqGvOXtFX/ wun3lqc8kYCnXpQJDF8n0JIlDrHdyI7zFfcc5g65lUP0IDgoX/AZ4xR7K/oJLU+n2ymG/n27CNrb XU1s0y1DuOpn+kh3MHD1BfXF0eAq9/zqu6WU8zoMT/gZeBsFuxrqyHuuAnd/444goHIf2chPPKDC UCY2NfBaLjA3Lg/AvKIVJslIdgSj4KAQ4VWNFgVbjENuJSVgdSk/9DHWLb0wMY7O9x7pSjiMnpcr 6NAYUsKNfSt/Y1h9entIuLm7zP5MRZ0vDPLQKtqsXYipP7jzzEQkWxGdlrNugaHbWc6OGXRDNgpt wyyOXT6LEhoLPWBNt0Yz0n7LYhaqx7AgFQ84PEeti0oXFgk1QaPixq7ENjaE7SMLDYb1IZ2yfitB rz7IOYr+1OPDu7IXc+bZywi2WsMo7NRY1XdpsKyd1DQ3cmthHfa7WpBBfEZT07QVMtPAdZfPqnU3 9zqGGq7leiHAkqcqECaguQG0h0Vw4Js6KjUfi/NbX8ITcQtbq1z8h3AbDHE25wVl3bVQcNDKvaC5 UerkNh6TeLKbZkxX0XOTBCiGmoBY5ibBvnU7WuwYI2K/6BBBaYCBpufzaT0YUALtXx0B1kbcyiCS idQE7ls8IVuKBdUIPPODpNKjLmWlnSzyC9J41pTiDTtItVZXkpfoa3fssDG+/vick1ON2KnWsGtm t1XEtyJ2LtnE/+L+WKqQqCNplyKgiKbOAR4dtNIcQCIOAoYFq8yXa0Ev1awhyrpEyD88TmQs2Uem I3EC4eG3kxgGS3X2NIyNU/2su++oEVhVq4/tSKy5xvxnrU3hOnT4AFMrKg/WI21GQNK3rEKLuUms dFX2ckdIkC6pKy0C4DV/FcemqQpOuCbhy7FnTrQE52PmCK36gjijTSn7y2YUfitAf7Jh389yW2fy l9rFF+7WJ7mNbZzFAWfW8b2HLk5yQWUJYBMrNXNy5Zy6+sh83q1XG3Miz54Y9X8kRR8cGZFWIFsI QOOb0Nq/cxFIZV5Vvtd2rKWpOrIEGC2Ks3LPxo/O6PT27u/ufEyOzNlxeA8fbusFhUPd+5MtPrrG fBakW1KuvW665OIydN++YCnV3c44o11SUcRdQoIG0Ara9gG6+KdcunfNUFnef368kNEkfi+ha2pE nfqFRuOmlPFXNqAH0ZD/QA8sWQH6uS9CQcq+RNZOU+sneuCGEw3YBRghrGK5oISDc+ZYA/EpBIax WhvGyMMxl5ADWjDSC/fFCsA4oSHuSHh6uFSGuN155INyisMn9AYfHCQHMvtyWTW/jaxrz3M/wZNU 0fFLxzZzscsg0EE1CWv4GP0ffsg0NA46pdf+WzNhBiqefnKMrx46gOSZ3T761iUyVt539Eg9ohiT TZi4LkjdePVzeQmkD2OsKGXAUlR/kt83Vj5CXknPe/eYg9WNYEpj6XqCyRv5z8SPb8uQoGY+ACMJ hwocmUnUgeNOK/oWWMXrVTH72l6y0LExNXYbKpRplrC8kmpJlcvWcyRQfSMqKNeUfqfK9c0eJtGD s7tVR1u9w5kzBEdoP4IZEGrYcdUQ1u9CUVXbKAzrk3Vym86KfgdDHiKBcxydGJPe63GVcluQD5gY Gh30n0p0UUhMZoTzUYOMSE0GaOsHH8eopODgBn/eeM1Pu7htFJyBNIufYlPffgA70xS9kWtePMv7 dRPz1F5/chadPI0hOr1cQfdq1LUT7bdDAJQc4i4PeRDQwyGi64WPX58hp/cGt9SdiyANw/RpeyJ5 Ji+DY1GxtzUK+xh5o1y1KlZXUdt7UPxI84sftTnoW2LY+2OGO9u32VGGP3VuFdAVnXOKBPKFCu0f vhHzw+4n6Vx0fGoQ6Znc6XjnRtfRq4i3iHWsWAjlpWvcVnus8OD1jvrNEK6xbqVsYl057aX/Mgug JOYiscLkOUtLF24TWQjub+kANAVdzkx6WAWNv51cZpslURa1m7QiiCvX/JpTgIk183oY5K4UUuAW qNjguiufTwilNtUZiwomhD5m5b91Q9JLGem7rlSG+u9RbHVVuF8jti22/oSLmXn8HIxGc98iektD 6fr/8W7X+ioGOE6PIeUyArLB8kUH6kYY1Hf1xiJ7kJpiC6hC4eu6GD5Rq+xNGWKkam6QO+hEuWop FEdntW/MJDWJJKWhzKi/MvLXpnNaflJem9BHEfxRGM5BSGfXj2sxgHVXq+40D0Gj1piPE2liyRxM GL7I8X1XIm7GGLfD2tfbROADQBsCdVFOddejuLhZA1YWoq9qFfA970K1H8L5SnGm8QMyZaexv8l6 8nb9eEOvGlk1thO+/eBlz+M7tgFz+gTWsUWeJv8f5bKMrqP4i9GKdN1IVVCA8N7fqBT3gKtz6C5l cpojaM1SQP/aDkrl0JpkVQ1Z+4jxjXyS2h+1XDLdqPRZwdsXamDpsJEOrzttRP4VJdnBc7kp70fW TtzpCrWl3ddaTHU+t0GepAyN+Xo9WZT/XXUw82yytx3ADgW6WJcyh86Pg9MyCfWnRxTWG9YdFCur RbinodQ3col9HFHQggdr6QYVVOLzaVh4VakaUL4tUfv6T+rCrcYNglA2AON6khWfSSfo9+DnaQq3 5B1swYTfwCAwGpRcfAhKG+kE5PtqH0EaPygJ1+6KyE0vBlwOGjrRpbEGojF9CXP6uK5LCV65HyZj yaePfReHokId4Oaby9oa1g56QpCB3SOrbb1Xlc6Y1EtppSgqezgPJfloM/GJNNm0315QNq+bL49A gz6erWvYS7RYte1DzNEkxzBvSnqGS1LjqRfMORMbt72HAfjL0KU6l2/slg/61/s3DTDAmjPCQlrX aZhz2XCnvbpDHQIbJ/+fQ1c30LJjKOPNYCx91KIRNVIPosDpVwvTB981WTCFgmaNV0TtpM0keGRe KfHcQ76LnYw1lpL9RE47ZYWDct2dxqX3Vc4/DOmfYHDfi+nkaDxP4GJ12/dcE1bLtW6LSUx68Z0E xBKqVUqaD9JLnu0TPVwbOCB0L+hWn6zwcxW81eHdsFUVlQNKof1UCgh7ZMFjJMjXD2joVCi8Gvac 3KBGHyoBOF818pjwK1eP6Ajdb6GTQ43rl/+/73EYwa+dlkR3r3RVOvbOtEmNbkW3rOCaNLeVnvwQ EwRAe/xpmP8+5MHJltl2WtqIB6Iub+8CAy5YJ3iOIsgPz3FpKrZhVOeZwCoAOUGg6kp28JDa9vaU S+C/8HPrNUPsBcF6Dj9lB6znK64t9PwNh4xNSwbBPsrxuCnOguIDBFBJ3zjtFv9UxH5jIe7FdiWm cU+x8FWa+brnZsSoEJWTLaneS2Lc4r0XhV8l9ZlS579ECGj4e8XwQdq0e50dCcsJMSOYf9czk216 i/yNyGbx20MwS2rsTZC981/Mnej2JyIfBWid0yblnzLlE0MTrik/4d4sf3O80ZGVFzib11u2Lnln T97CPtgk8Dx/SFa9O/lE662LrgVzEQWk3PUzMVWi3x5fQdQ0mSABXTRALQ6Ohh8tnV8YOV4aFdbb Wd3AG6eBwhfl+U06L9av7wdCSnyEMBNfYuAJklr0kByXtJpOWMtuFTCLrcSkBRaWjWQ8R//AZR+o vYdXzIGp7zi0a4ehVQOfVtYvl+6OkwlK8K9BIMf7/eLEk72XYM5bCfbqIr1AlnayFgrGpLXKxVsN d8bqdWKRgeJfjBTgJJ7L7vtU2yQzNs1yGcXH0gFKduq0WDN8eXclxBlM+6l/ZimhwLBq0BykD0r1 cFpW3pP2TQ5qlVtZFgn7GBg1F43gx8H08rVtqtAX74ZLW4azAAsip2b8OA+aCu+9jtr0qz9QLjYd RSQJCz+tgxQMKDSgX3F73fAG+Pn65+Mk9UGyzD7jLl/etsrvdcN+DGH3R2Gn7ESDAk7sc1rkPYsB ejCchD1a1/lodb2D1FRhkZHoBUzwaiZgXgyaEFd0fXa2B8jjvLs6fZybYF3+UME4dnmdWEYwFtOU Y60M/ORY8pvf+2t8DE7fx4LZUPTaa0EVtTK5RNOVDRojfRFJ0nvSPRlg0v4xxQNMIygrEo3vko7V rrZStmzDHrd27AqQJfVs71298SQKshytydNj3YHO9v2eVdB/Dxv8c3xKkdTjCM6hMG4JN8CWsVI6 sp2USxa7BpfqmBcJHGy5DxoLu7iGIf8U6gObxp4jfjilAnheeau6NqTHrgGRKOZIVgZSsBJtdZhI KxvpupdwRCVJTSCwl/LF/XquiCsOIE5L/GpE0QH/LvEUGlQ0FjX2GsYkCLcVhW/Mtz79N9eFGU1I ZpcAwhANI2PcS6+E4sqnHXS4Gg1vznmuBU3ELkB/Y8fcCe35cu/fWv0oJrPndgC1CRA0h4z0MJui Etew+hbWk45jI+7k5Uw/s7S0cmumvFgxOoPL2a9dyUjdJJ9r8x85PcZvtCBMOGp7REASFAtPOldp 6AloH9BWBIfmRyr3oksH/Sp8gLakyAfOjwXeTAYoFq79MpJwUVWeSj4t7TgsE1svuPfPHsc/i2nu 0pZqu2GPzUSKJ4i3GdCrlhnRf6KTEbpSYUBK0/s5SokF+ggSys86QjW34DHQaZTKzoikc3nZly/y c774IB0dja63xKMvEeuuqFSwUaYJbXBR0eqRWoq1aTyx+bs8zwCc4tt6Y14SphM6/eIMp7PjXrjz vx1Z9vxZhDx4ea/ruR1nshgN2OiWS0QikNuHDvlrCq2MHE/BGZH7ZbGItTNXOXyziFHzb8y93RzZ TjGxqRaiVRbYg5fp33GMVQXDJTfZpL4DgI+T2BGw/UV0RcrXKyyQLNmJRXHHYcDfSR+6Sd2++0v6 EpHGE7NU1fy7w75MeTtE8pEFQTMU8wGzA8A3OlhnADWK5sUhTa4yAA+jNurukP84lJVV2EoeEFR9 nowj+TKmM50wSoF8qx6oP75H4nhiN0poqD0rn8OG2uTI1PYTgvz8o3HtSLtxfcK6/IvUPs1x+sQk bJQEH8TRtjO/XKnDdxh6BsBXMfVvJFzUjuF2/hDhRwXTxrsPgHZ4kpAsw1OtE37Gakyf5M604xRw VT0kaOx+a4EB7+AxCmmK+Tv9bO0tGU4LPQUqPTxN22CtnJf4qfgval86hb6ydrjI22v4U5ysL6Br 2SZEIP6Vfo6XG9BguuKfxZCAoLek8Qh8TRvwdOSg/FbBe/lHyP49OSaF1giLZmOKt7G/BH9P0JIT zbxUXjCkf6HFRJOB+K5lAOVY3HqppZuCg0SqYypHuKuwA6G1UI1zrjNuV6Eo5gVqF7BbURPb6fk/ 1iVvtjqMX+V8N0HbV2aFIDvYOxg3vV2X4t8FTDls3i9EnI7Z9TlPbeSgSwnKtLQbfygNNbA8XiMI 08IAKeoIlZCbb4nSzJWHiS3BcC2VPnWHWnN/KRYU2Eib8AGsPeATNaE2FCXOTFi/8ipsaDnEwXLy cLsycYyLRwzAO29UuYrY2fn7KPBgi2uB2Gxra7GJ0phsDcf3sCRgzh0hiPao60Xzw/vCJcY/AO3u mTFuWQVabG2Lizp+1VMFdfHVR5Jx4LQxR06Vibce3o4PTK9Uq7iqmAVoTulI97M9ow0eA/mrX35n VB6eRLiNTKupkXH5zIssm7JnI2AzdCF4xUn/oQ1/CGhJFnraaxFxrH6XpRffpSYeED+LjlMgiige JXamYEUoG2DMkLK8bB+8QdKX9ub3ERVhTPc2CvvON5AFyPXUHdWzh1rKXXzEGU+pOQyPpJ9OEhzR aZHSWtRwfXhXt7SPRt6pbhOZqASglrhOGxT09GxV4Qbnl3nz3VWuiLRcnhgibIIbnnbBjalxpiVI +JoVFOCyjnKPwC2YYiexakLAm8nCyR6UK3M2btJDnWLJiEcPRZjK1xsZAUe21ctYTLqmp1gt1KGQ HjuZRvCeK7ZzXM/VXf4ehy5WlaPFY+mCoY9j9BVUs0HS/lMi3FfL1xU08k4vtaJBe9RwFPQmdUk1 /ioi0LnFcNtYrmpCzCxgRkXJd98k3G/uobR8iUAKByLNLvLqAH9oEjKiBu2cJHTR9k50r4/W17BT 41mIpcRRZDAe5qYfrBdEccdcHybw9tAU0a44lKpGg1pPPAC+2qIexTxDSESGdfNkBNOY609PUHJb VP9pK54mNNQUo+Y5lS5xGMlzFJoYwk2RB9PMXwjJEAPv9iifKtJhPtTEhhwTfHZWEkv8ZN+hKtZ9 IUF6V3YBlIeoO/kfb9rt6bxG5XyAbwuKI6vPFJP1kXwAYy0zveDkmdM2dCvrIwm+caAfCZ2hjEtU 2WH6npS/ZspVFDwEzwaJmIGKaKi0scsvWKr2y1l+cIE7ZJEwVJPEAviXaPN/SBQ01V//5KNq92B+ c14hXpvHgvtTmt7LNUvH1DnDobP/EASHoT9drbNcUdAlIewXx7o4SCPFoKHdiHWMUQlFsPVoTcH3 BxGQQKKI+rADhL5/IxpQizrJMHd+B0yWhoa5lflwkvTKsrrdADzxpWoBueNJ9xqVJN3+kWqDdHQv DHEd+GdKyiNeuzmmidtzJtfL3DrwU7A59f9fkQIvBr0Y9PyalJgPJ/LtZgg0Tb4/4qmjOnZ0YFlH V2XEK4o7z6cT4PzwfeZ2JYusl3ZwwvOHVCnhAp5QcYZm9KDcEVOAYcpra+lIrgfoUNksxkI8yDmY 4uapk3xvqil+rnmlP3/TVxGUPHBdXPJBQlqLC65Yr+8Inu/gmbbbmfR17Lqh/5Slzwy64MO2dBwd W6QSrpJPsu3LtgQFlJEuOJLmRJ7u9sYCu3uDjxpFj7KHiBg/q+C91wasYmQ9uwlhohY0GgHzDkbo c448BmgEf5J+mCfJYVIiFP9l1MUXDgtxGZVUq/ltVNIia2oTXuj8B3zrjgc60uEq/O84O0Uk6NdH pVi82UAn7TLgSUR6MK2azQsECj9lh3BEUWk3t5SRkbJPIP0mLGtQrn35/PmmHNJVUelW7lp4YXwJ I3nNEQ/8CEWEUYUWTYUHuAeY3nytg3V8cIFM6g6L/rq1cNFOBWpxvTD7qsFQwpXIOtnsyed2Howx agcjNqGE+quDWHQlah31KAX7svSulrFZ9nPPLFK49LoYYx0DLCot4utCV9QCShkyl7CJ/97xh1EJ bhO3izahGQlmn5T3XThfPR2Qu+ixLQPjS0vXhSWB8BAqV6cMZ/18MDE2/XCWpdr0Qoc3TojvOdz5 jPY6C+YP83DzjerrieTi8EERokMLjRqJPdlJADwn3vIWzi1WUfdZvjOO8b5WE5t/Jzifm5vx2+/X XB7fKou7qSmJAgGjcFBCwVB09JvOR+JwPCHfMnzhcw0WoBgh0PlBAKKjoWDKEc2PrdO9cHrvQAE6 BIIwCA0eUiqc6dHIyA1o2hoThd/OQ9tFWBgbdBkvchpMUSgTuHFW9/zQlKnjh7dzK06lHj3WepUu 3UAZHIUBDi0OLRiZFIluh1svw16iGLDhX0sOVBuat7SMsJn3F1u1AHv0TINRc6b0cvBziLrdOe+P CU51GMX96vGGm4Qf5mUVQ/+oP7fayaYx2Le8xF1+7FsYrzTvBazBQ+TkEe5XUrDJQV6cMMCmJD85 HOY2PaaQ9HN3U879o09Y4vb2sScz5VAVamg/RprjW5nML6CQSGIpxKBBt1TYTwcW4tsmIu37NDs/ 6tUMA+rqo5De/YNg0warSVVZWcIhO42hwpI01RM+0ki07fWKp6p/wasicLfS5MnPM9TGu6VgBkW1 Qgr9kl7MKOVuQUIWWCjqevAMID9y0BKMAEkyrZ/t4d4d/CwWLvUtV4bv+73EdhaPdx4sNaydCV8a oe1pBskAh5nebaAzFPulRGn1u3OEdpiAQMNgkUgix2/yCaSMHclfJMZg2YSGhxmONuYtnedZk8fM kq1jH2o5WvQgF5nEIpEI8mXab32vX7uyCcm8xUk3Aier/pjgb1UQQ3PLuXKvwSWq6inBOTBt4mcX /ahAf4SvXxBkBT8n6cOiFMerJoK4HbZZSBXQ0dwHIYIYwQEgSaJBZUdtCoYY2kzRnz1FSv7u1DFK 637/+nuwkRBuAUEl4Yj/w3jmSFP10RHDccBYphFyyxX0DgNmQuEwzrXx4INms6ciyUt6kcVPW/CT v5OQKuZHNeOZ6kVtB74H6gwFYdLfC604LA3Dc/DxvxAi58ZXrBj4hEW/Ef6YvOfOmKvpYllqszhd ZAPXbgDjrUZrS/GohuFo8rE96D90Chx+y48rbhZoiKVTU1wdTmO+7un0kszbYl1WVFdY6HfDwzLl vE1M6hVttVX1GlCZW3mYVCiherHIvP9RVYqT0wF1NWOfGDKyRrsqKe1Kk0U09dobf42b3yEndlyy z3OJcBA0R/Cs+ryrsY7FjrptrmR9d3E9JuwHJIl75dox5AOqwcj6VJy0BNMgOAIG2xQj5jX/ohdy 3bl3PAwrfrLYeEB4SkpIIaXSwoZmbV1WMdL5Is7N6NHRxn9NurBiC0t8dn9fQ2XynhLH9j7y0XEr vHMlvbMAcLx3PDatx+7kWwVjk+xIu+ay4rvuM5ENFR/pbpkSSinOHOpCe04jUOjhx5OmnVwmVmZw SBFmvH0YfgMBOvjuXqm6w7b9MHX7l4fwOcb2lxMPev85i5i9BNx0jtjY83WXKzcRbzOuTlhW/d7y ia4lKe1OEfWSrwAoyuuauu4tIccu+/arEER2btkwe9LmevRhWTCa28yocrCNkcZLWc70Qbb//DQb I55qARmcyn/nPdXxN/QDsf8MzW7983O1XGEYKnK3hR0G2pT3xveLUejiJxOTnUnyTRgH2t6DMqNs lyDZ60ZXPYE/brqtXqRQz+ceo95pcKUyJ4QirZrd2CVMHm79nMoKNntw89KsaRD1y2jkqJX4zKkL zU7Kl1LdwhhEZTMNejdGPIE0xXaddf1mk6Nzo4oy+BpsRn97Tac4W898mjSp7EhYGYCv3or5e6vm n5xy2lQJt3n9l/9I1ITUyufJOV+RJm5/VpIZSU6WLfTvneay/Dzl4+8wudYkvv85YVDX2zMBWU2h teP2nq8NXblppIOWyAbaRv/MGOioueaTHeGxa8PZPfjpbvacn1afrcWjh2FcZ9tj76I74My0wNaJ CAZAI3jQLm/g4QOQBgSYv44z+BNJKmH57u55//oDmHiURMt+ULFQot8wO0wLPOkxfAHo/U/g0NlQ 8HzD6yOxF2jqKjNvxLEQizcy9Fqr5ZMmy4Q2eFAD7zzcx3XFR468XQ+pqggTjZxT+mSBhRqEv7pi /E/Jj+Rk94tdnNbHii5gE1Gviutk7RHxwelxk4MjIpZQU9PbZCV6V3A5Sx4hdC6I/k2sqihLhaUQ Sahf0F1OLEs8UN9t70a89pDkJ2qotfZCdp1A1r0dLSpv22/i2rg1n5vH5wIvI56D+9+5k/M7ya/t AGiT6eXG6Mwv2lwpFVx+fDWfmZOj061JeUWcdiMuVQ5uGWyB3f8XAJKmSsD87YBENiWYXSxASTkh qg4igmFlO8hDrFTs3qioXTHwBoYGe6dmgYDPfLbZHw+dQni0vHgaIbbC2ACASqcBice4Uq58ZzSK Q0X/lNKf1zLh0vLASE446wjdhRc4hN1Xroip9TPpXtrXYOkrEjrmLYme6muh1PnN10mmVNK67b6l s39TNesKaWk5Av2RAejrXJRPKFfqcsUJXEFD6VeyX3uv1n2R/bSGNzONkyKtE6kLRjdzjpvEk3Fe HuDamTVXX28Rho3r8Jn2p4Ez7WwDi0qnHqZXCXZcAobjaflMkAAaKGXT7GuiaLHHtx1BgO8YBQDz 6ATfc+VzZl+7JWBFq7Sy2HNsfZQFZJ3ZL5Wa+Kf4ia7cq9Fbl26E71y5RVX9IFbuf2tMyFs+7kUZ f9+oUj56fGD6E+EproNsoVVwAyQVavzIJrrTvwPSRjZmSglcNav4B/YxNm6YrXGRlob1M7CdvIWC X8gw015iLHbH1sCIwG58kpEbytvhpNuJNUstjyvwSR6f9krc++KXitDiSaKv0VpU9SIeeWdlDvBf KMu/43pYghxwNIvgRyGIn1Zf6nnPBB72cRmgraJkMzVHDKsmQIFXN8pyiu3X7ppBdYb8FVXp3g1r CKxpC82YL5oXAJM9xMYM6swkpxuyFeFuPSsE05gX7Bo/0ycNzvv7FmyUWle3FrQc1S3YDmkyT92a S4APEshUq/aDxkJr1J6hPzNrNAVOcZ5FN0C3//fpzjSi9eiKi9xRi4lIQym6+/3trHp71kqEkgTD aiWsrm04nD6xW3m8bJfeG4I/5fX3RUfjtJUyJzsjWiuS/3b+rK1rt1igqpiX+57tkHheW524gZIH YZnHOQMdEsvtGwbq7v7EkWuRMb84eItXvcibTJMTX7nHJxSjZ7xuL4Zw5Cl0lPmy5E7immA/3pxu teLkWhPtifpnCrmM7eRCSW3I34RFXmVfgHB3jd4Tb4umIzXy1yI4rlkVGPwx8UxiY/tpB/2GjTJS BLUh1q+joYP6EMRrX6g6tPImFEWUnnmo21MJKnxcs5mOQGJECN8Drl0WtYq2LGLzGJvP29iuMo8z x8rAwyCtMKLwimc1yJnHEcHUiSICv3Kuy3txNC6dOoItZRvZX37/CAPmOoy0GUHiVl13iFHWKYot FnrjBnmxCz7ZPpvVqeBuOeTyhtkOI6hoA/72Q7jCHS/IHaYkPV7bbWD6hjirEiF6AnYsPjtzL+pJ BRAJdgPKsSKznKZSzBBT/b7xN5Kqa1LeK4vf1/c7q8OxTIckvKdNhaMiiuBAh3TYoAYLzx6/r5Wa 9osOfg4fR77S4abszsLrEaJ63cASu6VrtrHqcYsxgIG9XEEGL9qKYjwmfWSDiVswIwjvj7UgfycG FWFNxUD1ldOgtKEwV7VwtEW5VN9fLAdSvKqhTkVOMCL1WjUdguYPvsAyAhpT2agY+bFhkmupUcGf 1D/jraWDjvWpRU4ZwlhfCKFTtpBNcI3NStOzHr9UUPHYmpbSGJg6LyJN5YKTKU/tPIwO/Z3Mfy7v YL4vWgrCVH7+g/cQwqK4EdBTRtHQFQT3VhQQ0w0lSFpFwrsoNtgkMcFqO23ZycLpE9nRzmGMUKCT JKudVkI7vbf8N3xA6d8T9qFfo1F7qVFYuFId7149bRkXSmZpVWgg6m/2gSC9n1mbv509REm1Wyez I33Tlu1cC3NG3NyW1KgCsS0KgMXCYSkTw7qkwlkAAQhquezeSdZOCkZCUEXhrIbS5zmfvR9m4ViJ T5TSAvD5/+0u2r5vgkdPglHJJP0KmHeaPf48Ns3GxK634B+5gHzw23XfFq86UQL97Cf6UbytrHqa jcntj62OOpJ66mQNVQjSNaU6AYI8s+Onqrsnwy7YiDNBiTWj5ZzTk+HuVe+Jm+hrQ5xvrj3K2O2v MZda8AMscro+S15BvJDo+6FBn88nI7FxQL4dNJ6f1rMADSukBjQWez4NXZkGzhBF+GeAcDqZOOKN /V6LdeU9rbLAhss0XiBWZsZ3CsIqRF33KJQxly3PkjKvgH8UbxltrDU9eMan541n2n3BE89bUp7Q QGKBrvqj4ZZw7hctV9aTxWTPI1C337Afh6pN0pWbCWeRalR1yB1E3jsfAxks8uakModRjaa4ulyg OhDYMbpyGJRudIsyblMQrHmC10nK8kvlYB2blD6hhePpi8hcAUyW3j3bF0KdBm2DL5KjNPhRn/+L ARjFJjLBJ5X8gz/9io325EcvNGMMplvoTZ4zab7KEwZrBkZbgUMJAukClw4taBKorot6k6ibbbp2 WaxNcj3DZ/bbMNlxSg/VTeNB3L4j64MOwfdKsE0KMS2+WAKuYQFusR4NgFBn1VbpCFcb8o48IsA3 Hv6FlpE9+eFMoouCJXfXjDpVYqjcqAcmeM/bEENlc9MFfij1qZEcboRFTueuHBYbLqa2KJqycuNl A8tM7t6tsH4g4lsB0ZOvFGOyLun0tZinb/c6PbRaf/yuesnOaEftZeNNLfPepSjlFVgeF8BpVDAA 45mxRbpu7iD4uVmHm2pkw/JMKgxNB4PoAQASytIgQG/tcC83iRy7oypk8TSz0xz0EZynin2rCBsi 46fXnBV46/L9r/jjYWMNl7sBns6OYAluCJLs6Wi6gWPFBJFhDUck21wa4vths0RBpdDGO0+sLQdH /T3howbaZGVPa12JUzV/fkAPyNSg0w1sgp2awS9ckGo15RJOl1fKEK4mD82gS8ZYvF7xpiSxmca9 2M+mJaFh4lTmtA9t/nT1MvpBrBd1KwCPLnU9ggfBvia94o06wqF2Z9nXVfkGCTAbYPzGTzILxYrb 2M3F39KWGNa/lRrDYVyHnZQ/INLAIzTQjkad7C36AiMAAMUK/xxZYw81Ibou4veiUn2YxLJWGhQh dakihrVFXlp87pP861lYS0y/IxROzXr62//da6QZWP7u0G0oF+tkdPipio5nA9mrcitmmwknV/RL rS87qvzbHUZ9OCQrNYBoPN8p6aibclpMtnDPExqCBp2MLO5br7AajiNqLqINFRDcZ02tQP3fojYI W5EeXKs6dFNedlUQzyOoJAfbMIkQNQpUm33EDr+wjl1rav2TCx91zCRpx/JbZvc5VcTW//OZPmX6 piDZRC57NNhoqcWmfVbr4XNfNnfHtnbGHEx5qyAXfucvnbDKAQ8ssG81ZHkDt8AGGjVgMujPQqfw th+jz4py9DGsXnOMhxNb9icOItlBp1wTWv/mk0WDkmIEMUcKPyI3BHa8ayzEsnORl4b2u2ZvwWP6 TRNcngkpj6TUSwCkVeyKPgSR24WA2YzppvFcXy8kuW1FENEykkjXyvlyw+nnywo+u1xREyUX+7Ma HgSy3HJwrksMfE57bwPsL+3UFMqOvRTBBDikyhQ6MMsolqRnaPQOhozj2W5S2MASxJwBHrGS6jmr dk7/UElknwACNh2/6h20prwLLWKb6Wu32baDIqQVbxv61fe4/p+Sdp8C88fKnaeFi/ja5feLnhbw COxbUvlAEQ4i6JYO5fJ6P1TlEgih0UGMqAnO6o4xl//lepjU6bPZe9s2PqwjQZ9/n/sg/z3o7i7n ltRTAn6CAKj0YL0e8dEUdHw3XXGx5VkUFHQ8yKwuwRPu+w7PRJGP82CIZJVR//j9WC6UdbQmiyc5 c75AQ7rhdCAr60V0FfHMQ+UT7L9MKK1AkVltmdVLU9xE5k4bBHcp1zFgiJv1jeQH1PkorOPNrKCS iePyqpnyToOdcysm1Djxq/N34DygnGx6nY49OdstZP0mtM/SIpUJPj6smsP5M84OA5XNNcwyLUYX xwLJl23vzWIPrk/TuxMm3b31gCQzibBcYwfjctzafzPtzP36LNrvM40JvctGFFtAHhEP2DwYAglh aVCofZUSV7bhXWQGipgXfbwVr+rKiq4QI9yOn9E26kMxXANSdjYlBv93nDSuhTTzSuLja3ispCma AU92cq1r8qhXvIXb/KDt9yNjyIBT1IAaS4lmRXUqyAR+PnqiLHo4uQvfV5Itc/Z88YxzbLKkr9fW x5PYgPBRbz5jhFVpeqyCUy864c/B9xixQ7jI5e8w7fp6XIE21VH+JoXeXMeF5cIwCbDsQ6ivAya+ 3+0NNca81+YipJY2F/7/zOqgO27S0eAu0NhuSagdXiskmK9ExrMCU8QtXcuBvvTLK9OdwJtQQYow E0Jxfofj5wWGrg3/gJqGdlPUVYjv+FwAucjYng4gUVGBW80IpURrk8Rb1LbSIqvdiA+f3suOb7Hw R+lhS9S+oHwMptzQcLHlEVljAn/Y2qPfyF+bSd1Zp/3D5/5VtxEalEJuboLPg9yUmtI7jMVTv3WJ RekvsAusHxSZHyEot2nxOEIDpb+dNTAuUTbo1B2fD2ttgOrkKZdFGri5vut9hgsKjHZEvt68Av6P rFmZ36Tf1ggu6hxeK2edgkoYzBTxDBISukzYzrMvpFGOwJc9ur4tW1PciNkmUlzHuzJ+fPds5OsJ s/2FhGKHS+Q60ay2k6xDCwPHAAw+v1w1SjYPcSoKI37X7vGR6nlGMKR74Tt9VFnOpbVK5rUtPbvS yezd2OXiUi3BqZktYKQjB3lIlgq5Ktwha7kBiksvbXMmoMgKoNaQiwHWkM75LjYB5TDi1/pBiqn8 VG+3TeEoo5EWy6B+gldc38D8mcPqxQoXJ8omSGI40DrSsZXYJ3SJIZVlQ4rb+AMZ/IbmKBe/8w4n jXN4oeW+8vC1YPNst2EwRWC9u2HuaZneHuyyn55vvE7Y1LSJFob+yoYMsnV13YGjmQgiBewe6OSR L1CsWlFJlBF91Mp/s2clK0XtiJVwvCwfRaczprs55VfsAmSoqRlQdbjXIfipMFgRCnTZzrW6Je4/ G9Rnyyyucqe1iOC6bPk8+eMdnSaVAdWQpGZiDOEWS+8HC/fgvQN+TMJODHAp909pLJEH8nWW+t97 X42r3TcfrReJrRSjaJafPkEjp6OS8ox4hEfS3kkjhTqN8dyvWIFcHUt7tNQwntbh1OkKKLEMibAN xuRyIfmP0JJIG8P6B5TtBMHZkvOlwcRYgLxYbOwQjuxhWVmGFxpCuxIrAgsq6mFM6Zx7fNS10VZF 9251rdKLjl0wc4+XuEFCpP7p7QawxGJ6q4Q2XoWStrtGRM1/zGM3HsOfGe7VGnvK2QT8pa0CQEEP 27lHxTMoSBb0uLeYmEvUXYsdkPuxc0TpGL430da+ov0FkAsAjptzaW9R4XG4KNQTUcnxOjE8ulT9 Cp9CFB0HtQDsWUWA5tvbuV1Seqxd2FbkcevOwMJAJHjs3SSr5EBj43G4Mp070OPPPnchlRLGrLdg lQhjqbfZZSr4FbMtNd1gII4G259nPDQoYNk3UAKx8BbNVMUZ+jsj/HRs9tqedhExK0qeDCw45VVE r1z/Y/UtGN0SZN9bWp5kiWYHBlaizXNy0U39sHS3tBIW95feDQ+dkLzJN7+FP8ZVnDiNwrFYYVCF 4UQa+3rInO1V/Upi257b51BkIckIzrP4hWxngKRSXci/xx0nF0NeDaXZftiEd9DkZD1cQgmWrzGy 1aeXZ8EDL1UU6UueDi7AfkEK2d8h/SEArjo2xxVKOXtdu1GKkRbWuPkHV/tdHzZAUP0frQu327tF qKjJQUYOYBHjmbfGEXypgYz/WSQNdza02dGbLsFkZtDIWGmF2wPqYnBdlXPk49FSqQDvp6GI5CTN MIveNVas3Gv3d4yaeKbYYMu4J0YCMEJKLVlFV9P51Va0FL4l5ZSPodS1hpLHJafOHKAWj99zcQQ4 6tdg8l/m2Rwe84IF7ZkYy5MPCY9k9c+WGGpRzC+nz+EtsOHkH6f3t5jGt+agVOxiNxhl6MOlpp3M esps88dtrXa+dGn7qr3MouSHxrRGwCyts7g/wZdl/Z/73PeEN8ZmBLIU2nLe/xx8hwAxAuYm3+lM HQLiB0l4waXFOkbQFbjjHR3HKPcwzOa+40GsS2Ey1p4ABEpIHRN5xI2+p4p/bYY24KBTv9cnjwI3 QwgDIhUwVba+ulD0bAjyhZctkUP5r4zJ+NzPxouT7q6xlEkTxXbP/v2Yv19zuM32O/M7IDZsCUh7 BtPP04XQ1JHpPLVX9pu7Zw1k6TwNyF9JqUuhuaB6PnPuXvB5Rf1DMMRi/PtCFMcrn6iC3RPShUvM oFkRT/i2rTF8tvxax3eTPbnDPgUgBKfZisWZb/cla4KUt3OPbTdstZZ1yLGxuYJXKGbOC6X5Tygd dFyVrDPjsA9eWqKoY2Pr1BhBJty9T/4UJLIrlPCA0JcXt3Fn00nMGfS9usxbgygOnt3El9UAsTha jtoXdEdPeowp1xcoDnfg+lRgQRs1QxlJpouRAlwYM7CgbVjKUHT722kAfG4N+iOuQoGMhLT9uIdS rFsmo1TGX63LMEUp/5NNC3LkIifIv/LkIUwxRvfitPC5IYnCntwSgpmMVEOu8+hYGbMTJWakmsCK aDQ6LqrldLhVAHnTMaksNpx4ukrX1duW1xVmAXi3Zz1ScnASzxRNLok7cp1omOdKg8Rs9LfxEoYF bPXOhn94M43Mmair4BVVJag1MGM4FG0U37yI/MU6h2Nc0fddvjsjD2slZhRlfTd29NsQbQo+BWBV QHr2f2Qzi9l9KQefrgre7lECkfBOXJcFg8VLrdlJnIBI4fRRunE9caFMgJz7u9y8kCXpt9O6Wjy3 RVlCO1FvmcWGk8gfnbV3ENsPlj/XwPLzl1HZsTda/TrKGkzOIpJH37a/5HKQvekk28QfeW9XvGCf xJfNfXg227y1LcjEVWfJr0hw62iMzFFO+Q8i51bbJyzP6qG9DA+MVkIA+jGtuSkaHHfAuXubyTBg sLBK/R/MdcD137CHFyeVJ6ZVprVETMKIa1SMCa+3yHGFouzxuCCPEEkiNvAFeeio1cc6ZWIfTZne pQvXRquJXtUC0Y9E2M9h/u9ItWGgacYKRk8NLCwpufVMGC0UPiJuF8iS1llTz+aFDEwdFH4jiRpo jKAPM8l/KJuMZF0PcNLIT5b/FT+3cq5QAa/F+uEJ+8vN9NXVwB34GQo4LQHlxuuTlC5VgwK2xKVK LI8YtZfe23IsRvwAH3tCuf1rg8S5wBpEnB1/6XfWOSK/GQ/zyItcTTb0EflKghrMZZP3b6+dd9tM 4Nq+x8tLEk12Xo5npLMkenby0y3wRgMb3SPih6E5DT3U0neqOPD94v8TkTd9L8q+pH48MYdjw7HQ ndWqqkIyUzrI2IZtCI9i0AWRLqeXM956WfkI3QMgFsslS6q6IGHJBwlgmmuIacTtkKVTU5dnBnpE D1QJlikxZ3nd7CdkWfY42jPVXK5goAW97HiQkridnOEha+AEZ0Z9FmPEzshiC8ksz5uFuou3bDHe CzkSH2+2jfVYLtZjBI6jJkrWtYOT4OvUyy5KfzKMHpzzq17YAJRTOjuSruHVverQ1vRm9b07RhKO SKsPxGAe53QT8EzIstQ+Pgn4MQdJQWZ/fTOzcCvGZyjJLrMxYlmVYyiSanoLzEuiq0H7PhAmzS6I pCQVpufvOxKYYnWcnTGqspsvFgkq4ckpdZaY7hxz88GWPSFuLkk0H7VkjIE94B9RndNybAHNCHDW v+wMF3rV89Jr9IUZJA3xNppn94InwLSq8+LZpLpRQ+4WX9xG9S/K/4f6r13S7TbyoFLqNzC1aCFO M7dpL/qnGArTIODX6SLGoPOKljlYNLWnmdGGaE7ekRAI47gbyATjLCMS5hfzmcDlD7qPYxlXlX1u K20EoqU9KwARznoxMOW3GwbSTrnBYip63S5xzijIyix97bxF5KolpVXqh341k8XRg0hAnCZEf9CJ VXcYPWXa1RLyFOVBdVzCMlgNLF1ZyG+44WfT75Fqeb+YeyUN3tEPNd1oPc7R/VyxmQwqGSNMwcTs QjdA18iqlxp0LCPzwHwxjMnf+GmvXxkkx5KXlLAF2UzaRhQik1mgOlsPE133cDyA4sELenuvn7yr XLluf7fADFVg7wpSpkM3z23Si8nXNWXstxaJ109+p+JwDWVqKLoWtP771IyHw+O5P1u1jq017mtZ cF0w9FZz4ClwUZOKEtjaL6QTXIpeKqE275U6pU+/j+i3hXgFuQ6Vh3rSLrO5dqDhe1MDqodHGppu oRdCzPx1I6JQDgeiGYyStVitsXSVym4iessj5kvX1ln6LD+Zhik3/me+GtDgnUDAvLwlqeC0Rth6 9eVTc1kezXQsYKLQ+BtPbWERil1Wo+wfb0SP8Z03Zlo/t6gVclLEB9vSw2ts9pcHGMWi1JMC6/uy B+3zQxG8S+ZWLPtoj8R0eQBi1oxdOfHPnqE+QPz9VSUESb+fSZkD7RLIX0rGHUTMfY71S4VinU8H avoCcO0Ol9+qQVv3OfFx9VpuWKUwAonOIwVp59su5tM8LqgIsW1JbNtx4f2s2g69qQHL3max+bi3 ut8DyXyuAiuqTexyV+johs0Mk26U+Tr89JQqHlBMXu+rRoLptt/gUIZqXQ3eKmcgahawJeIG0FrH pgsO7ZbIfOysOVsyDZ4fCwyeRj+tEA95gdROnXQP92LwnaDICZG2Pqouimg40UHVZlWIJHv/Tr7j 4H3lRKQjV912HdisJ7eQ8cXHwRdpmvTlchKhLMY5zvQ7VFjQOHSRNqpVzZngtJTRDurrFJ5bSNGG qDiCnj3Ez8IVl2DqK1FFtKMhzB1U275QE/8KH4Rki1WVTSxUkvey3pkN1+93QWor9ZTzoew3oPsg 0XuOxlkhRPKx50a3N6dx3gYlMUCS0sP+vGEIFaXGipDjjKF9YUVjFvFalXo/jYUu1equvwzztE2P 7PmtGgnkbgE+Zpo69rdmdy6wGsob0e9ISVD7BWKaTobVcAANCEvIoG1s79I4US7dctz5OLViRNqn qXxuHTseJx1fzdmqL/jYgxUEqSxG2WaTR8T7/eCrmX/uhdvIhqQU6PesbhTSoUg0iJf3KL7afdVE mtQ8zd7iJ1gn1fcKomvB8JAAI3PmERF7asOLkG4Xe1nONPukWAouoPPEa/yAiNoiXsdlZLsSEhLV Nq1Brx34NSeU0/gyq+WqkYQ1dSMuDaHN3vJUSEpVMdKYJXT5YOoEkRCkSVAyrMpAow4NklEiv1M2 XdatxG9gI5VslNZ0NdXMRIJC9sMCe9UTHB74gza1JWr2zEG0VRt9ahPw90mQ39vLtoZcumCbAE1W gOUeZarKhiwkUePjtazyaXJC+tN1fjKcpMy+w44wqT0ofn0KdwIBeezma6O+K5ReMatW20oJcDUt WGnVBfxze2H94KrI76XuRorjGUuDNHlDtLC2vKZaZhz1c/ri/vsJoLuSOjqDdEUv8GQ76VbfCP3m gwBBn60DKpzg6jAM07MmG69cGlx2+QSoDYfH5piVBBMIWSV+Y19e8ZhiZJi2rq1CirniLPVE78ft MUq6An/GT5yowC1stGKVSWoxvzYlZWWXmNv8h0sUVYkZUslJVfKio2cJomqWCaaIS+pJ/g9+qkzB d1bQSMY7RBDXYEwXNdfcfGoBCcmUHmMWJYNjLCKP+vtiNYS/UkgT25rcTG40KAO2QCQmmpufeztX ebkTUxFsJ0lkLQ0sO9WBKbFnG6hUnfOI402CqZdnOHW/GRIFP3cXTxN6vQKJKv0WqW+Y+n9D1d43 A6JOPQjnkeNZvvxiTjItgJT+NNtFyUzphuxl7F725Se3exP3RPCCw0WdOKIbdige9K89K4pvYraY oztUgkfcwJpfayZpdCwTId69rPFfCG+oCHng5EBeTreBaWkhJX1a6w2SpwbGQ2zLU9vOUPq9YvD4 COZvZ0Y3WG3Y1U0Wo8VZLcPPIjkdfKoOPVpcQ1wO7etq20WHgCIshDb7jX6qLr53tz5VZF/ST6i9 XR0ra7UrJEwoh/6L7QCBKVWZuMbB9hCAzy/YNWxmhFxpDs3QahsoLPyVo4ds/iwcG6zwJdCdGzv+ QvNKdYbu+CPFZyRL6mh6SHDJl2If/MYpoiTBYXc/fGgqpMUSzxT1RMu/069n2+AcnrNOYf5nDvtc gspM2seHSK/qGlMvokLRZw54XpgC8PvSqjDpXXhlMB0KjP3FLypIFmp2ctBj7O87PZcW6wqpp/Sc MnoooVoXjuojc38G9yxfX+Fmae5zotiJ0XB60C8dj/mXi8DY/bP/rpoK83g+XgCkSvQloDfp+vs5 v2SOOLt7NRo78eQBLd2sK8aPKJ4d8dHI+VXMoRmTcQ6xZ/pTjekK9Jb1cCTthcM+lUfzGdEloIab 9HQ7hGFn+U48GJ4gsxHcY1zc1t+s0ZC+1bhRnjJHIoKKSILDwhxY/TFvp02oTfhDFy4E9KNqMKEg 9O6QUr6Ssk43S4ULx4rAcJ72rKDbuDVzSmQ3l1j6TgfyM2G9tfPe0dMW0iucRR+mo/lQUooZeMnN cJidPX3iifSIID7tW4zz2fnLvKfC5VJinNMFU0l2noXJlgdLYwiguH50Cxu6UbpgwGWuZJWOeLcq 8l2XHbGYXY5W+PtuZa8o6gPaOXDUd5ARTgxhEmvLxbR/9MyNq5SR/yOo/xJ8/g6EOF8M4sPrCFTz M6u2HVtaLY1jltnGjBkX1AOvrNg1nXa+Vb5FePpDMd4wU9ItHi7+81+ujZeaxp1TFV0LbQxpD16/ YdEPwKFkYXlprMetO3i5niAEHC2ut1uEbLYTTh67I989UrazKvdLVdETgJtXdZOQSWuZx2LVRQ2N 8t1IWqKtWDbLw9lv2dxg3DZmmWeIAmn2zbKoJzUOvk9JaPWK7RpIzgvZ2I7+oY3HJlfenM79YKAZ O9Pp5vSXh72/LK4ZHniicHo0ssGVOFd7vioKqKzbH2v9Y4TTekmhnxRKP2if5aLLvuykcj9qvHjI PEPVK+JyNbyfODSfolEoQFaWNgEykcWu5ymOZ3g0buJ9KtsNrrnK+GSupa0h/sk4ciBwcQ/vXxnc iomUBsPfee5j9J7oYb998ZEpz2nUey0amIvgMH6Ap5Eh0O0anlwFRvOK217P1ZGq9UtQbVSFNnVC E6vtjT1dWCLN/fX+UJPqc0sfVMu9WE4tLTFhMrNE432oNJOtWzdd9KS8x5qYai5H2ti65yTNV3Hu 7nNWLnr0l4ffgWCTR2JaU1t489pY/hLg6njynKf25u/zmqtKD8w5vAZLe7Z8oYN6zh+7ZsRz073/ 6YVSq1AcF/5WVyuus/yp1Mg6duI3fRwsVK3Nms5fIlxL1g9Zh2i0qywg6CR+3x71CmnMtKQUTP9N FoXoF5ahZL4dYdJcpCtZCGB+dpUOt6fs4oqYrwmkWJ6rfTJIZH9vUixzu3HdtzYPpYHCaEKuJGHz 4tYuW5Qsj0ydcQi8jzpjdVydOxVn3BsJ9Y/qijJyPU4k6MslY3IWW3Jp8h/oF1AlyBD3Cq8BQR6H OCTTYzhmEJVfIatgjP4me66x0uI+bRGAs0s7v1Psipg7xLbFitBtXtDSb1vdNQWmRckxqACBL1W+ CXV9LcmIsBWsJODvXPP+HdNWi1OYWyiKpT72XiMPiB+XytGBNf1NB9+9ab+X4PkQte39vredOPbT 3suWYtp3TG1i3/0gNaySlznlsgTYVvq3aVWC+xbWcGcKQOVJqXuovZtZ18Y8GK0vAq/TuD4I0V5Z WJ9ahQ87rRSfRvKeQ9ByTetrEPTUSjcROVqsTtEEWQOCjpQ7tB5Pj8VkFF2dRTmVnX04vP7utNlL FZ0J9NXdKsPHCqLJJE3hLMPbJ9zOwTlrzfyQN6J57H0wk/UxKkajrJ5JG+6kDTv8qTPc5gJTG7Ix Bf7HGt0BgX2aSP+PZD/HbxkT/r+M1ggTmZ5mP6Aq21H53IR5xQ78kDxHOcBRzFFwMYbbWteutv5d I6FkF0VI14Evl+DnrofR/eNZgjGh7mAPyGdC46EWhoYMoWOghlHKZlzy7ZFjGnhc7VZzBRSbOZRx fiiuYz/ribVxVia69cY6tA2YKE4ptjLaTmcZWon6OvD3kKSHWWFze9uaeJkgbBPL6invmm2ZBjI3 sW4ilMwidH807koHlUEpnJAHntsIUXaXjowGD/LjuothCmvPNLayGMnHlIQMnpDlSEqxWhsXgezb FmcoPfm+WU2lOl+KR/8wBAkQvwAcYaOmmhhxsUGzcNikgDlTP+XzmvaM3PUhoUjuG4zTEqUoXrRa jeg2cwlDCc+JT51YWln6O4910+McvZPmDN0hHLjm6diut8oyp3rm9MNXOKDFB+JIESMDTsNz+E+U TJp8zwRDZzVvhgObtNQ2zsqRdY+lnVA6g5gpt0FAO58sTO46XesTWrQox3A/55GZybINldtiP6lN Bb44xcqCrFgLcnHrFHYi5VByk8TWQj3YVTV63+EJTASPQ53gcCp7wPGwiArA4Z9QZYiMMIQPdYi8 MIaguWIla7wfNUvtXfVrEoIdVZxMg9tn8WgCdb+h6IwpsRboV0pZogcPr/Rvcp+suIPfWdCmjmaE asC2/TXofOGT507ovxS7IRmQAPozUmeICiuMh4vaso5oW0Mb2IADKgmWJbw+cjIuuYEQPOV288Cu Lbh7+ASWKZ8WE4GZG7kRqprQrkAwPLgksUSFuASZtisivZS/GBpOlpL5QQKi05CzgRtO86fyt2qs Go9PSOZkj1fwBViUoYTEjZuL/C3SvU95XI1ECGR0eAEjGMPuGDywDuFrEazVayrPh/BynZDbXOPc xMnqzEqwyW3Hk42O2lpFUinqPO3ajW11M1hQycXV0qgxgzu0AeN1mgotvTk1t7rKzGfXie4jA7xv XNMFNoX56rEBFnoJ0U6E+5D/sNRODiIfKgVTxPah7WF//jrab9g7u4ScAuLzbIGrHBQNKM5z5nOx iHatS8tQwojqK66QGIz0smoDmuBUeJKO6YCcN0jCeIWx3wnrfuBJthNqA78vPik6XP6Sf2vKLUgA kncj90fesvATHCw2kYU4DEQZBBQCL5BV98tKm1aE2L1zzwI/8lylk8wGF3nEi9JMfzN4DWoej0A9 ZX9J+LxT0xHZa9rLU/LJFjA1wYZHd/yOmBeu5amKNttois8wM4gP2UntQ0J/hcE3oyA5EWxiryRX zwobnA0wKC4DQStf8NT1VJ5+sRhr3//u3c+4MCG3a8pkPA2jyWbWoBIEoxMt6R2ldQfo+awAoXGZ CFOiEBTtALDws2958Cwt2bCangVHJuFZyuEyR954i8FEfCwL7H1O7170If+HBaUY3SJ/DW+Vxsb8 ua0cpn86XNY1JMSNuxgyKLGzaTbxFwf+Neq+EhaDZoMAL6HLM3U0R7uzZwz1Qdgj/OhHwhIp+bCX qDec9M1nEKfGwMr8dLhoZztPDKacZMK+m+n6qGOj0pjKs03hHT5iYXB5l4jLSFJZZW8R/L7H4cwh UijtPN7hKhJge3V9QCPiJC5Y3teXL10ilfS9YLyjrNzGi6lxJnrHOQgRrrKI1Rrx02+cXx1U0X+0 R16y/O/cCff/g7J16vySLNBGK1zS2EuCFzS2tiXvN8z/sQQ6RUGmXapPcPp9Ny+j7T144+DIECTR Qa7jsrZCHg3IOoH/JH7aNX2VKtrWEhWbsg8HgMBXSP8LcAmUOZlT79kbGe28PcVG4j0AqI9fawln j1M5lFHOIBKZEIk+B1nhuYYXrqRFu3pRtvnfaxPdS1PgYHMeOV9DyJFCd70iLuThYs8zpJTe5ZQS KbEvstZTj2JgqU9CR+5gbfW7W9RgRVX4B4a2xEiKApJQLlryMjy1Iq/Le6aidhZoOhnmEVCyyMTu RQkxUYrzJn+JhNtJBtrmNT8UhuwoAaLBmjC760jZYcH+c0EH7cBTeknHi6BAf1r8N7iZe9no5Y4w 2c3i+2vWox6ZB/qMPcqUnTfTLqrKT6scn3XbFMAkz6C/K8DvUb0oWJJyZWQINOn9EtvigL+MZB8X 34hz4OQad7QWP61QizmbHJmfmYAtq0YjUCqMhRNwDmEAnTiadxhib9g0MpKDUSgv3lPg5lIoYy2g ZosRhU/O3a5FLoH16DkdTaT9M7DiAXELupIFfhXC5zai+1Numdd98dgXsuO9W+mnkm6nKhj4Qxd/ jxJ3CQoYosFyAn2v982pOwc9IoBXNU+nkGToJ/YDPTIH//jpzEft850C/JqLjjlPOpucSHEjwhk+ MgbqwThWprZwJx0eCLNspSecxziGTq6jfQS38N8LhnLjvEDhhu2WUTKpABf91kVLn1ZJ+km1sg70 3OfZysFtTZ3UjdVz+mLhgYZjcFT9VZ1U5QHoMm2NSVxZPnM5UyH3vaERp/lvIAYNZOGvM8+ZFtdC 8KreojVxsso5Qgr8zWSD6jUwF53OvrvFEEBohCq4n5uNfqB5itm/2s8rFsEXlJmGCiD+1WKlwxRS HDwli1jrOvuBr32++2rAFfEhvD34Zpb4wcoenYovFdnDxWoAz1pWJY9mT1NnWclU36cWftWBXZ2Q O8yghiLa3T3CwVUBaz9M8c85Uke5oH++hHeEe6tSg4GnknRyKp7qvn7E9vDi7X4GBbPIBZvFm4kk wxwjNTKmeWFSFz25vBc8kE+R0+2Io4l2U6Gls39YiRTkb1wgo/H4N4xRWIpIlAXeUA9BJVQYE4bW +/II83Wtbrpd9CT2bzwDC+CoRF2jdYKZFIOavMZEEbfA5Pow/JiUVcXvSYr5kVHz9QQOdy1JeFWj gi0QwdKHBHtQ+0U9vc+TRB7ZE7tOWuRrbXqeOjtqo5ag0MFdKufPnerGi+pR2yc1ohnnxdoXEU1S mGVoXYx7u66xCNz2c4Lkv+z8QMvOc3/iAm9EqR6Ffd5XxfUrqwnFlU/D/rmWYmynI/tkkoRUTfwD 3kCoRZaIwh7Rx2MnyN3iwgdPHBl+zr2pL2+SdaPTSP0KnnEXzng0N3WLrD5MHp2IgtaFmhBLaQp+ oHW88+ZoOdAKBh/DmVKWm6rGcZmB736+OCglczSgIvIdrmN+5o2rmqJI1+mrVNDnoJ6dxj+A1FcI iiwedcyKm4bRjHwbZfiicO0PVSj3VjIUPMgxAZU7mN/pM1gB6vVgo4zV03Zjg7fEz7I+mKvERrCr M3yJYf4RbcC9MMeUOx/Xs4foNS9ZLBQ17gY3eByXsgSpSHkNIMsi3H+G7TCPjPQ4ZpXZTdUdpiMa BfyYhtTo4AejHk3OWROGFFMxPcJIk6oXjB1V3OFLkzfFd6wShFFJ2nPCxmhg5Gm+NkL/ecyqgFns 4p+H3CNK3WlCHi4jPsx/RaghkMzT6i/HkltygODP5fnor8TmxgxP9wxMu6SDnePGXfLCn4oJrZHs KdR1YNwFO5meeaeENrqb8RbSUwAXdgAQA2oL4JI5kWHxzRdQxtJjdRrNdGD7+0ND0cobZ2/ZqfYw PLawqgLvUipXS7YCymD9O4RB9mQiLEv2LDB/cHNoKPAvb37nd665wgVaGiI8Z5Fm8cCwvJXobVhh bF3LeK9kUFddROTUGZmLUpChAwoa1ztCOjS8fPU1/7FgNDHzaNhBBEQrzYWLW9eKXn1SPKyII+BP 56lH2n1LL1yLl+hNWjthNFGphGPCju/aK/UWwL6bMB7AOqvqB+7/rQamuPUpy3/xoIBD/vpOfJhG hxIZojo2fqMx/77N0mNfSdss9xlAjGUsyRAcyh2cZH2Wfa8WjCbg9Yzkhz31iY4LDm0J0RPHdUJ8 C66ipEaoE+wkGZz7zz3Tvccd+LFnVq5xjjlLH3VKotqJk9hPNx4fk3EZxcjspmEd0FAOfCrhHArV 9/SYvQ+w8XVbRlzVqR8aotVMr1cnEE54tVVTnmCY/xQi5rSxVSKphKteIhAAW7yZpm1kwHBbXXHW b0pF64PMuSOYJJ5PoKLd/iKN1v/GGcbw5e6C1BDj1r9klpqXORbQACBjZEkowipRKBC6t3zjNNuo U0+uB3PatJwZwmlWXihoE2cbmqW/ydiSmBAu0YiY2DPejJiT5gKJkgz1grFkokTM0LRhVpN9tj3g HK89vjNUI29PKmwhdfFxRckX8TbJwkaJGraqStMY18q+WJ8rbvovFLxqPRvftqISNdrCjo1HpgM3 93ARnd4VZdrtlC54n8W0lxTcGpqffQwJsWi//FmseByJai8bGgmoGmZrT0Apc/RnmaieRbqbLoyK BnsYXTYUtPMWMfzhkyqoCJZg6Oo5vKQ+Z7sbOJ5bhv5TiaHVh49tPui55cFzsBiqWBa0YODkL5CU Lk2tuCSNFXok2v9VHg16F+TupiEMLqRRSTZ6SNjpV/4nyZ19uYkxHIWPjnmD2CCAf+/rCdTJ9BDD A26AfTO4eu/oaYe7Ltc/3dszoyZ/pRDRulwNm9FvR0wFRqvYLHrLbi3XQZceVd/BTiZFSoHNNbW4 Rhl2wQ6cPDiW45QKmE1THRKLi1YhX5pS2J1EvF7FCIlWW6aKozevcOytOFSSXDzJ4t7oNdl8W+Mb LnqW2MlSva4WwwhQQHEnhRdy5YuTMxmLwLyncuIyOi6GsU2fz2xLypVZWwUrBXdB/GNl+AuDYhbV rN58rmOUi4NntXNr/+ESoceiFBLL5oI9DAVRo8DZzi0n8Xlv0+RJNEUxQCW34vyRjdJbK/mLoH5u yEE8cdceUUaIlFz0tJajewzx2eUu/rvUEYNoOIBK/LUXvRkLKTn/I0GT99ibgH/E9Xjk0p7xfvgl bToYpYdzw60JAd/pUxgOboQpHbGrpYG+PyCelj66ks0Q92M45f9AEaMpzPiwXcWTV+uBf3IVgcaC 8X0gVy3M8dsxQEbzodTMxu1lq4jKrWsnQN4aC/WkdAZo41FRWmN8R0ijL9btPohZFaxYAe5Xat9E siNxHdJwCwZAEep/c1JyUgWN2A7wkaRbaUtN3SSdbGOXMJqOHeaiK7bjXF7WerSI2xR6TWTz9Axa oiXZzkVAblKikfak91R9jqQcmwoEgb49Edxwjl/0KZPdmeFNGXRpL43SOL/c3ZC2yGXaI5POYCrf ogRi/30zs2j8r2GW837KKjCAKePYb38R+fHtnKHAssaPN6JEonsPpDFLgzfPpSwt5iHW8o34SOBM ZpvRPoDa+UmSwr30V6nf2Y86bPn1TJ2T+xCNSSRIbIgQDCEBaoPsT7ty5zrAnisCrcnw2ZZVImic 2rN1XEb+8mnneX3c9Z5JjJKoJEUkCnXvVcGIkORkS7ehFFJ6nG3v48xGGKEG03a4Fkj7fTOYpjbD YnBiWx8kyM+gDJ5kyTvzR5PFOXIpjF8C3dhV+UvW9Sdtww2QbXmb7pjDFn9IiM8kfhdw2//Xe90A X7+xWqK0DQR/iAJGrs/V+SOxx60qiwXqUirzQOBjyo4Pi2EFnPsXZC+LCh2HtG+kx0sEzIQgJo8+ lmF/tE23O5hEDjvgPK+7WJcxCHG8Oo8/45ZoAcwkbm4CyjUmNaXopxjmroYX6vJrCdZ1bPFVg/mf Ps1oA3i3y+xtxDQpF4L33ukWFKlEJZeWJtT+aNdUDxZEPfdwOYUgiCEDsTOPhfpaulZn0VlI1FT7 I9E6VVN/StoQ8rLpWLyxaG87qTcRNoLwHlQNRVN4V4vmQYu5griAM2q89uy0mhDEO+/NfCoQpjvX +kyvAckvJq44cSdECHV8f1xV93htnBnPQt5AzdjLedNJxHXwaS54yta3l3fwS4EmFiDYqXc+XAXu aaItamxNQguMMcoU8LhbcbWVaISVkoRSC5By5/a7RG4Bz9YHGV2/kuJYnF3KarKMI2+Cl4qWjsbp 9vcMweitCNZHqdZImnF+nMIEXii5pqRNlYEzPGGEdPK6xcIOGstshwEoJuGvHcbT8OVCFH+a1gx/ xaxQ0xTccY3JLoj0l2Dx0RJXBZRZXMv5tEWoaIN9+c1pQfu090yH7wGbEsrDPt3+6hJX3pYqufzM 8WTqdoOVUxfvGJS9jFK18V8Z51Nc4WUsCJwYQwFYQQOMHB8HvidbWtzCVnyfthUJXnLacBEDO+qm VwbcwvE7ohFvCmfZO/qTiZHacDBm8s/PwnbZnz9SlM1PzPVPwOoClCQyZIePiTtrk/nEFWw2Xj+I ZRDBpV6Qx5bDKd+dk4F1peNdTTHOSNJmAg52Zy/2qg6D5Ni9EVyMfyqOvN7NnxNbPG+5rX8rwGuK XWdoKofuslmafPGiMKWCkv0WrRnViw9L/9/BIFhDPwI8sF+JddE/FH8yN8WNIRFm/M0IN/rVMXgW W4BBOdjdEDkpeJpx55tnjmCccp7obmXyWelAw5BvntJqqjR4LaIvyAbcCposs99TfZebbvCAMQnf EBzc+Xsa339dCfQ9W7xO6c8Hs+op+hRI/14hbgqVFF1zlgNMWD6232HFItKy5a+Nt00MBL4deKsr 7U4Lfgo3AjmZEw9wndobjniAL4VSlzHRWynKJZjj42vhEN6h/nQKE8FnDtENJ90Sqz0p09AH/GSE 9opr8qDKvx63ZipWs/OEPOBl7QDbCS3Xu8tghaSVwEawhJm0FJ7DuLmPadrdgcxUbuhateixHuu9 L/cJmrv0nOHy9lmYgJ8I/hIOXIFZ9OLt6yacjsa005VlnlIgMGSBDD9+pfDA1ZKBJsCTDURsL8p1 b81q1+x8U5rIXnEFHTRWfjlQV6ym/n9ckIqihLWxjiMt4qWloOqGuJIBEzKD8XpgTHBXFcRiBH7F XdcvOd4+qjfmutfMpa0snhQRza8nBdj/8txzVjp8c1Q5KdMUWoA+pEMlu0pTwBLBeCjNrn2Yd5iH vIoFKofLDi+r6dBUtFsMpCVTgzNPRNcvL9edjxZSo5lCqWUK1jhLN1FH5AP/PYVDxsFdma243IXD f3bVBkxb22lxBaCTf41ObhxFOMejlXg15ieE1A6gRBYhKaJmJcwySxz1G17pLLhDH+966+dWyGv3 hJfMuK0uccpdQbZN0yMMqhY/lJexul34Avk1htpHmVOrf0f6OTwvS7If8FrPt5geKZ7tTB0Mn2Jk bbNzSotz0auLO7h0qix9KUfnunRCoC36tlHmtXoy6kB9Um3yHdObkku0N1r2HEMPyht9oh11QigP +4utFhPblqjpMqMMk53djpfnQXOSC92QvALz3grrGOPlQn4z6ha4uBYMONuwYScOqBS1QRCCxtRt Jwu/vQ/dgmJjKPUZcZFk4Oty8rkhKI20U4aN7NfmzzKdKS7XHr0nfpx7mDrbmcpr0/5O+LSMYN95 5DBpOQ+gqhJmwNu5inkITktYtlGx4fxKTA2fTOB/cuDr6Xfu1qx+ZGaJ7PorwdZqs5Q3bIsBWdCE waVjYei75WpTuVmUTdmvk1OF2GJwE5GjhqgQ0AM5n20eHZw8dbUbaLMrTpwbSOj+z08efEn1Qtup 34zWsFsduzNB5QgGjyh6/ZmpdUX7sEAxNPHnyfzus3xD0lBS8ZMyKNatezkRYhAAhMxOVdqhpgUR 42DHK1RMqWPtZUtntqCXii1tz/8hky1NIy9pn4FUP20t/aTDjNZ1pVw/3xxu8yzkuGbHVaa71MFN Ex9OuKSSohsdEhyOxUrGaUS8EBuyDvAZRA4vxQzI2Lv+2F8/cVuhEtzWeP011KlXj9rUFM0EfPgM sNjYFlDflisKX93mV7mKZO+WzqjWJ1TJSydBv50O7TZU+udWkEAcDF0odwXiNM1Ndc1XpAEB/KNA Hree4SxwQMpBUMK/mQCy+KTGkWwez0KQy/COdd3gXZZ3mbNuOBSZ9HorPvKAmTTQS50YHo0/BsgK cUYVoBF6FGKc98DNrfCNTMKVmQCigxaZ1SUOyeqCl/RDRHR979o0NRjUCT1VycDE9krNSOJIbjc3 bXjdWv87To5CSZGcmYJp4UAPw4Z2VFP1ACiYNUauwL4ustWSV7anP5mR5fTuTrZ7d+th70jYRwdr /JNpmJaXa+zNwzZX92DI70X+VGNP7jVXKiEBS6Hj2AKJ8w+N/gmYkdLaXALNdhTqieVC0y5fX5Jb VRkUl2D1YQ6QHfRVbba/T4Sny+xQMMyNuhqCGK6XANjYkuRVlbYDNJpZQd8L87CTItQLLAQPNqVu QhfSWf+Dyek+XgL33vbRZo53vuBpiBUso3Mzw0TctQL6PkA/wBS+ucEOUGqCpi3yvTKfyOwYR/oj d+0rfA0V6egZ1M49lGa8SIbnEmNPRoPGM9P2duCrvNuetg7DKK2UYy03MjEwCdd6EWs2WPCNPyt6 VBdGmCED//xIbe0VeFp5qoWMORFb+vkb9HyzcItwI+zr5dm/pAmnuMyIX+59UsUCcxgM5us3QO53 P6KVWsiULZTQsQysnd/QXfnzcu71ByiN8NfHVLGejYCQDzc+RUXf/gs23i1wgbgV6yL8WE3c5Zjn 9areAtXiIv+1lho0w8lnezGVcQGKJgKMh4yJ1tn6vQQSLPRRh3YQ20D3o/9aKBgLfheL0CDKz5Zw j6uXyQkqunqJmah22YQeJ70Ecj0CnVoJVvntGqv0Y64/eOpzb19K2mEnTQOwyxuEjolCQAFIU6JJ M+lDRatphqG2EVDR/hsStQ+ggD/x2qgUAj1Ue+0HMUXT9odA8Zttskg4e/8IdQLlZ8fRSq6M+unq 1MeD1w42uBj5e4uvbPUFkjV/+wJSxvSutaNTUigtSEJk1KNAqYJOqehWWwh8T41viIoYhg4M1pVI CwFNKqCxFOcqWOKrdcMRETruF9DIlqwTByE3cWyo4ZZiyVtOWuEU13Q8rNOr5ZnxQTu03nNDHwTl ANzn7bEiOZVysG5Jb7s5YH1+G+0IdudGXxoC6ZgZjgwOmVm71u2fOh/JhpPVr4TCnRD4fl6bEOnT friKp1AMC+1LmXOyIcSwRUUWA7c8ZmLdP83Mp/8tvJMefXL5wXyx2aN1fKzqDChsRVW7vElZoN/Q NzZtQbTX1DBMp1qVXsK3IwVzmx7KCqJ+x0jI/qHBCyb/9bNX/YAeioagV9g/lp7wiSXounawq3Hh HZFxvaXNvTOPXNYT1mcdbhHyNH8tC9CwCu+jNa39OZRBvoogX6+VliS8Ar/R3bQA9xUkn5GFtapj cZZ1u7ChUms2Bzkmpoxx1tw0xfmroJ6hYkaqHINbGXcfFZ9xJKv9hmsyNrkfSHaIVnrbePRlizQk NYIUb+9YVdBI3Fg5PR2/lREs6O0Zzef2Ap4W89xuyXpEOb7jZuX205kMUQSER3O12r4fjTtHjRG+ ny77WKIqlTxvfZy1BenRPFCMUtJpILljLDOCgWYnQVTmsvrG79cehjFYCdKthLWTx3kcrJfb5oN/ u2jy4k6NhG3Cw9aSfIw1ZHxLjjJcgQJ2lHFQmLrue0cNmQ4aD6j30eybinOMAjycxpfciIWPU9Hd qTtvkTgIvlnmqq+EkkBtd4htx/ty6hjt8SKPQ2hK5QRVCmR5hm2kCbPhXy4PQXAr/QsRwMD4CpfI sZd4CJEhIkRn8Yis1cVBy8KBbP0CE9V+zURe36XSONs+iQ9EEV/chxnCNL481ofthQ0y2Em9UlTT pNFMWX8Kn0BuVXVUGzl3ZM+GJ8At4MakM9nSw9CDGrqV36kdBQ9WcNS00PYV4kcdEWp604I8pBPe K83TwLG/9tBxeGAOVvqK0BsiNtKbjf1dvM9C/flD25IbwjtoPsUjsrcw5bwlLOjpyUhPXkUuGBGS +U1srn93bGNRXZTswVUhMkrTbIxzMuJEx7HfOjrfY8zzEHUDVI9mh2vhkPxGhyflFCLGLYIIGfz4 dFlW5oGmvVG1yS+pOp2f1O9fzzalfQTp1eJW04zm7NR2zqV2I2rE7e/xNFuDa8MAw5KTHGhBHbX8 0GrluUvvxoyUZpVP27eVngWG4oRndAt5qqm8lU/wGJZYEzNhGbGygi0RFUxTXpu5N6Vlp28YbSVi GLSBNyhEQT9wC9gZfKLtRmE2elVxpVNZkCttt1rXlqGPc3yMXwsZA8BEOZ2ylPpZPcZgIfcr6AnG MRLGlk8N1P3/xy1PwlxfXYeR0nRhPJS3HHao2FngIyR7VnxRJMcQClWyE+q0B+T4BP0EF8U64F4u pdLLVRbUWKaPWZDooVJ4QG0auHGyafmNRwpzwB+Fe/UvSmpzphKx/oTkatVxTtT8LSXsygWdOhAK sQRkTkSlI31dalvfVgmHKuvUP8Affz9AXGkogdqZdjuslkvz3Lebc50fylwMdM1BHYtjwWyPHpWy qSBSm59Je3ThqEOiK6w5U9MN9J8HY6FhlGB/zA4uW6PY3F9Jn3yIpxXHswi8RDnzoZr8xhPrLXF8 kblFO9RIuA0DpOigLSEda7IZ8EbA79apk/Agsusi0bOFS+Xzl3y+8y3/AtjUHaO/uUldWxtJfvuS Cmy4OCk2eW+S7z5NSJ8e5PR7zp2Czj6Y3WJhB7/cgWQJE2uRG4wESf3GUT53sYY3ybClbNaYYHMu 0EIkDzaXDQKEyqqc6FLx6lgfjbt4CpmFITRtsfTJoaw9uq7qn6zPGPo/kHPWjaR/3SAdAOA/8j/9 eDLxF2eR4jZqCuOo8oZ3Z3/w3hz+bB1BawG3Wk7Q4CRNzl7PmTJDi06vxn59bH+THe2wc7vVVfGT tiOeOJta6EkOEPP/ILZaRDqcQ9BJh+1edLgv2G1X4ixFSKpwvwaldiaV4/RkcoQNrOK7dDLaoZDf 2sRJF6uamWeKzLlHV1GnvteFI1YdLyJWPFSn4/edswz2Rqc9OpwVskhaurwOK79puI47AbAtDDSh +Y1FnS9FQ/SBNtWzBwi6Mfcup0uBaUqZxgOQozZQtxlaiP7JqCM7GyBv40DfRyR/E8oC8MojS6Mi XvECPttbKGR00AttHnENGMm/SGTPVx2yDDb10I1QYKZxIHSCZZmZxHDLPwKDgoQszuoaTmqnrNfy S4yesMt8P5ZT1n3qPkKVDtogJVmiK/j7/vIBM2lZk9Nl41YXmFOqkOWoHBJmXhnfO7MzO9ke99hU XtihPbnLDHO+5iOs+hQiFsQckjWSVayp189Krhs74uoM7EQCdNoXJWSWCNB8Daj2nLoUgynvSeUd PpNgjutSqHuMDQ7W1WLmtzK8m5FIjO7xQMMw9PGS9wiErbpuAyQLYBr2bRCokJZDJGcA2u14VmxW soHnvbNI/HynvGLRkl9QFQRQzZgvl3EVKxk+/tEXHOsPsUhsl8/TjZwB48AcjNcJoY26njdOJ488 qUdsMvRcy+NRhyfK4h2yqqg8QUfroamzY3sHOfL6eJlfCqgZERIU/SeMMLoG01vbb23IRiZgNgKV vUJs0lXhxs3D6s+tZcqPfBM/pzNy0TwBkyBoWZbAFiq+bAVBqCKz8Z9Z3q6kimutErNODx2Denpw YIl48lMubqk/eyZBHdBOAuocx9Ikfo/usfzrYEDQgE9+dYGogrlXSRcCzbgua/Rru+6zC/g4CKus 7ACFkFWv40Y5gumyOHcawDBYl7mXZr+r5NTYWCxBDPqzUTS/T15eUxWhRVRL9znEBTdbFA6pYWKw wpMJwNsDJ+t9dmcKsOVJ4eyMyhAo4M2c4jJd+pXv/JVLUm6yPJ/s+lQB7qpvVDKM2GE+KzX/RKnd knzjckaUe0X54e/7ejth4NZaXfAnZh1mawPCRacGeeVysLXRDYMEDiLdWUckZNP/CLAaHrjITjXj qlWgY7QjudLoiaWJJBcT7XxYbJNHG1EYHrqUF7aqm5UvPGAmlseqQGpppqVWx2a9GY29ic1bqskc CcdzvGjW19IGAAcFxdN2UHxXNYqhIEsnpH/9Y//vvB5t9MQBFsdhI6la+AsSmLDQjysTQWGEaVpS Wb4ZHiOC1Y0bhTj/kEksCCCtopN2BUHX2G8F4lzaQNqChl1L6dU72wNt5SIOMg783jUG035muZtg DczRZAy1ayhgPQJ1pEE+wtcEbRhUu7kiXTP0CXLsj1TUTimX27LtsD+pnLCCF7XN/TLUiepht2PD gjmjz3yvC7S99nI77KYg08lhIzrN3TGSbZaZBrTrHHAv4LRgueoLptG+R+i0RMQXxTqFAycAMCZp 9B2lGi0kd8NZAB7eZqnIiYSyGT/P4LgmFvrleP5JMBh9op1oJgxhV2thBVOPgchzgS4Tl3I5oXiV wGW+gFWH+1r6fOVCQQz4QK+uLKFXoPTGLQ1HRbB+YjPzb3GoCDrSA/4Ah6fN3D2yhGTP4OpwGkrV 7gNSjKUUFgI9+CMs+rhaQ+zhNG2Fgcz31YvMJCawYBjyVXmEo6E1/IU2XIHHwDIha5Vjyo2k+FUk ygPefWUULfH/dystXD+49kx10Isw8JedOk77FhMQqq5EQBQuFtkRBtUk2lClUVRfxmEbEkORRRkB 4j91hNFz0gcd6d6GoKMnXK1DpIuiUoETmk9XwlgE9e8hY8W02V7EGUAS9AM8bn/Hf2n3V+LSKaVX KGnQOuUYkFBLccLbaUU+s/NT92PUHbf+QhFXt5zApvR15PsGnf74liDe1xS0Wp/h0pO85jxauQj5 f45Z7o6xinO5Ky9f7SiAHtZjulB28vmLOQ5be7/yqjUFUSauDaH30RwX59a7zgN2IMh91/t2k+zv T77ns4pFnZJX2pGg14EQjXxKNur6BYuTQvCGvtCONlYgzHDzjf/ZjChm7meaqgFNbUUdY08cZGZS XrvOc+0CS84ygMFzT8zvSW7DpE7+51nym3YwTvKGNrIJj10Vf7F4g6BhzTX1ojrj5RvdlqicXDGp EPvTqOEFacjp/rJP/RAch0bqADM5ZM+Gxgyhxqt9d75dD8//MKeu+GyBvCFqu7hn++W7NUQo4b81 HCOJ+Gweh7du9wmxT30hfz3/gl8xAQbSO7gIKImWGdty63TH8EM4HkGC0tv4WhoBqsEC/KYsyQJZ uDcL+5R1bOP2r5XaQzFLEm2YRQp+whA+PH5DpSs2nSn6TEsOMQ2d/bCeBrCYl/B2Bl31cUtDlaTv IAH27WtgcppNe9b92JTVwzotsBt52lYZY83GnbrXqfSxIZMGdkaJLuUyJn96JRNBSHejES4aH44v CklgOE+pHaFiz+mi/pyXEaXMCq2U1C0sSAmLUteqVjniL0wPr7zhLPDwtXBJeQeM4cQX/4wA4Z5q AfQnWMgfg3Xrinork2qx4J4FOUqPWEgCDIWm5Dce6lrZdLZAg+brn3TZqmrbGYvvWmXxJqJXhPsm AvhkJmldfCoUjGO2H6x1VkOF7yuSaaF+1P2zF/0ReH7ulra6BF5EvfVz+jWOqpqQLdK5gXYqMLRg YaXDuyDsLRpjJMzfpzK3dTGcEF/hcdXwEzH3ab2VLPHsMgXj8UoyQGKdLmR1qPUGSlLN/ZHd/sf9 qBxzBSWtOJxBiY5oc/EgUU2KCMCOceYI2y7wJhoECKuxH4rpyNi8Oyg155mbo5ZOxSQhLoKYwtzG zMGVx6LI8/lB1QH4IgtFIm7iFsT0h/gmzY2YE8rmRCx5sZi8DTLW+UzQVyIxX4KGtph5ftE+LHc3 baOR5JjydrTWqONKH+BiMb6JpLJYc3LVPBMnCLGjb/gQuw3RwMqH6hA/8cET1Wsj3EECK/ZnKP2W NyeDqJxR2JQ+k90dPqa1yzeVygV5LQH2fN/bW6IwIfDg5AK7Kh4UfzX4b8cubeBi7iRpmgVd+Wq2 4nNHLmNJf5n0iRd/bE0T0JLChTDkDAHoe7RUfs/3i26RgOIOjMyGf6ya6jwBOrHhmUbach6SoLvd N0DMFr0DxlNK5XV2FnaQleejxN9bOAQbISn7PutGT9MBjqQZ2zInNHWfhL5tK4keAS1GHKWg9NvL D/Am5KeDnBTpa+IjhFxHSyJ6PUqCyiSEcwgCQQ7ItW5O9YbLg2vkFCSSSmGt/6hLSGeXiaJma6lX Hn+tsRDcM0o5PJLcVFx9W94CFM+M+xaOTEtT/2k7+7ssIZXjVJBzcGbrMBBrh4nXNzCrVEcPrSkW V2XgFk1erS2sAmJMUHW3SuNC/eLZ5RDUDoyAU2snlzlUsy+Jup1nBE+BaarHLBatQng7i6xX6Kix o/h8KZPU3VkfaJGs8VIvc190WShGqbg2T9og8elD3JDwVyYDa+yYMISDOcn8rhv2hyog380jwvCp IfXzK7yj5r2n5Ui9XTj7EPBwhiE7sejCLYeJPgdtlbAe926ztvOEV0E+CbAcytnqBGeplQd0ygJR SySO5g2stXa3uJLFlgRV1RvUnm83yEHPOeQrr2I1SZfoVg/B1PrX5BPVSPz6hDzgLDMIbMbvcE3Q z1OtLLAMl5wiIsmbbFYMQhAYLUEg9Hoslv+IcZZgzhjOPDBRP+0jWFwdxUP/l1HY5a182EDEGNbJ C5LnjfUYunTM/SEL+TQanAFHy12LSQAREI3oVL9aXWOI3jpTF0ZYthq//VCwQPLyPnfRGcLJoQt8 AFvPwVauw5FheHZhxzvbP7pJtrzSSwPCPovj5HtEmeQ+8rLtaJDWE61dqyeQ3C3+yfS6MlrWsX+o T5ODHXP4BoEnkRhq4VULsFl+e6Ax0httbjujpUqPzNJOKX+9oKE/VBJdrpkxsK3f8R0GhuvjLIBc e0xhv5j719ZGyY+7oh5CIsPL4VgdASauqY8JOfY0FYl7j8WD1ji62+xeKQGtAnW6y3MIvjCuf25W c3ampoXhyjHL28Sryy2a+mqgEQ23V9wOG7KjhepBx1ebtnWnSQSHmD0MT3dvY2JlQvVbkSY6mtua KLOmE8Lc0FspvsOvY8NlDKEDCjviBQ5XTTikHJcLYvmK82Hea2l8ci/Z/nnElTRLQjpddIB2gPIZ lJVwFrg6c9A9gESrog86/B/1Bags0O70/2s/rKH2pQ8E+dilVv+JAD1vW68Bchj1ddz+FQmT3VA3 WRsOhVi124bm+rKZsa5x3y+iBVFbnS/fwyvzaW4rb1xd2Ldch9qHTpVREXKiFdwKUotjQNigwnZE 3Zu9Kf0jRJ2fKuFrxTGqf5kasAU2fcnLMbHVtIk+z1nH3VcmKBhJ9ymcDeVlbkAQwOcTPdXuxHLa +/YR4DfIk75tHsAKXvJXm8tFKRjfAqybAwE074tok+z0KWlYsSMr++vh1vmAM3Vj2tDdzSDib5Ly 0nDKL8mItXRs/Gk0ek6msZhUmBXZaRRIMjs0nO7WJVRcm9xwGYtMcr1gI79PjFV440vi1qlvexOP wRpf0Onv76DP9v2tyUHoyslR8lAVJe8c6RXKoLL2kfMrHmyG8WR4FrS1/VOFiRgZ5Xs3Mpm8HcgH vUU42EEXwI1L5iK8JSFgrOVQ+owzPNoTdLrVM9kaEBpEzzkOUBnK8VJbSKQTtaIm5tI1OtUFx3JL RvmkA6fZrH58tzCuat+AeTzm/8PDyTqyt2F4HmIO4Kjz777/hvHpiJIHL9Ned1lLNI4krtByrWh4 WShVkQZTZggKLccLZvztzwQEis/jRPpVW5al8PxdOqroj04Fd7nrhrvGqY4Ngp53DiGPkFQRbwSc eus0ZBigwQqMzoNb2450js93sSPR47NosCw8U4zuZZ3lGFpAJ87f77xPz493AW2KJclXiIigzPQD lZNWFuEXi2shj7uJXd0iZvJr+nQWeygbzKXXYkRgSwWVzy6chZGNIZ/V5U+UTrJvyOlJSx/k+z6b x9sks5Htyq1zV63uYdYwHpQRbpj7chbPr94jmynb/Wm2EuJanM6Jc6p6QPZ41nYfCprGavtJWIUl lU1DAjqs907vXt78owcLBMMBuD9PV1Lp+uKxvDI9o8qn5BGAHDaWoCsAyADEKgmdKdc0llvr8SCp caATCGFH1HfB3Hd88jcfHZZw6Owmw6MRg4wPcaPUgvwqZCZPai/Hq/AjzYAzX7J5msxvlTJLn6Cn BbfN9n+/mP8h9mtt3MAPUDOCduHJ9CXi+lzscBNd/p7LtWDBKbpfvNVKzJLHNKYv8e6y8faEcse3 rIBvjDSzccZSPyy+QL6n3WPQtIo8DrjERwKDScv7PkZy8qgceDx8cv8biSLgH0m7rjLJRlCiqi/8 0InIocU1lXS6Qxtq3nHeQK9ivvgN7lrafyiBjuQQTaHIMDvo3vD4IfycKqB+7EsZ2YGnrDfymDKi KBSl5VSOlJ1pho9CG/4IjQMhWBEo2arm/PzOOOpHc2WHWl5fdB58ZpKeaVu7WkCt/Yd7UQ4JrwBP RS8kvYDB6fH/jGsmK7eLy7lj+QI2DfNTVeBJ1mrPv3bSXmoQ3ynXxB5Spw/9b8bY50F8jWlf10Rm zkl1f4KpAQP3NwjeiPskopAgI+CGhE8NJigp76s49qQegDUjYbPpkXN3uBUUEcm2BMkwfalqRgzV oZW6/andLVCLWkd2frPEP9K7nvwPLCddMTN6/y0RjmqGIukzzyvaJb20IWeYm5MP0hgAWX7w3034 EPLflCx7S4OERVCj9mJUtpE0jc4LjjSj0UlsZskjFwne4pETbPBtkVGRL5V4GXLdSNZfVWaTEcw1 y52kBPhWqLxjay+vTOvOKzI8E+OMcCYY6rGH3gx1W26tKVakOpDlpgm3MsmWVcCUwfyEY4SQNx5j A1gdjZPT4ufFhqnaELlSQ6Z86V+eKghUToHvTFMemnK0w4LQbI92UXR7L296hFUJdVMdPtWy/1o8 u5ElW8S0UgXoFhqxepvv594V4eRbaMD/b9PKJTdmSVk3V9tvKiELe2xxa9V23pykSR46EFX2tSnM l0RkrYfHeCkaJzPIXRlLwgQDikMrU0BFhC718BDf3BmVoWKgn08Qm/75UmPhMOUsDr5k/llml8Zr dVfx/cvhYpj21hjnIDsQlMSUKt5nLlKyYPjtBernHYRVFaKAFDwwWJ6oY2PD8f949uoHVpjnob+/ vMsvXqgXmqUfEBoYmwv38707wwCzAwFhfJZkpi6tEySikVBnSxmqqT2K+83spvPSP4wYvwFSJjL3 1r3pSz6uN2xlZDNl9B0IOWQ3e0h+lSdF2Bc1ak7NzIOrRiQOoz5s3Nf+ALeyknsaxYisGqIpHANd dx88/EEc/Ye/s8EVsvLzJAGR3quNR7xSgr0wlLthXVWNvwWFhU9uRPqRkgu0SFQdRsXeseWi2275 xl7r7na1gCF7KlPlou03j2YFiCRRkrjosXNPDXGB/sYJJV1sAsQrVeCtumjmr8qq52MfPaXwQ6M5 BO2B7LCzrk84CLM1ibizv5REvPCisA7ENee9pq1tbu4I1eZOuBVqjok1iNOGkn68F/JGNlrnzH74 P5pe2kBN4pQInqdflwIo4sOpzpMDqMJF2QoYkF7SnQevGVSw5wc0rQkUU0L62hOZEhVINcFO3W4+ RN1B7XSUdGz9iiBHstHfWS9XTR9rzhOYiz/ohiam0INK1p0uuPUbqfBReZr8XXGhm0LsP6PVJm8r mfvfvBHuHtxBLHzeZUKBN9zdlJZrs2jLwEN5Zxt1WQweosI3n3BZ/v77nIdxjlF6pLeiA9fmET5E ymfUTRhXPp2I6xwQYFxHDKsPX5ld/VPLfE7B9DvNiCFte2+/QYnm2lH4ETdlJHXW6U5mytG9Zimw zyzq2+buGPuZHsR2nCIWbiGVmJhi8IgNRY4UDBthP9QrkOvz3Qj6auns9aBEFuXhjW7PRcJ83KKD XeN1BV3Zk5g7migiJOamBBMZmq6+WZlmQnkk77I0D2xxZpPvpkHZGronXGdsqG/lvEmc3YjXctjB x77EPHtybiMMj03Sqyp4vhtktfVo+yM4C77i/6lINZP0HLF0oQ80mJyOPcXFNUsrxCKSWq/SKCqw z9ACbOBNuBsqel7LfgHNzdeVoa9+4FCK4AOgDp0NsW4R/nwOWMpF6GwdzAaGofz12qFqxqJ/8I1q vmriykjMQIGT5ByYQeRq8VkFcSi8TNBE9No7fXqQzMZbKmIrSiVQ6GasiAOplzWP9GuCxwWNN0gj YuzI3uM/4s/IY7hz2soFfyvBJmRttHvCWYxH/gSHxT/jibO+Ap4gj9PkLm4yhzkytgg3iuM60cmU Kybypg02DIwiYQtcQm6OL8kqFaHShneEodfimdfpKE8S5A+wyNjNr7Jdzsocka+4LE0crYrw0bL1 Fuq1x3KAXU0nXFpuZfdKJ5adlar1gAATjvn4kgLRQEWxEztqUu+mUC47C3Ko+QXPnPwjgGDXjXCw 66pUhJuP1TpQ/5iWv7nQm6W6qHBdpmJxm0sHh0J2UAerQcQepungB4afROg5Ikgj8yUJv7lDkNcq lfpHgnq22ndZZAgp+qenHOScDdBrreRtcReTUZHnOPYrvElA6ZzbtTjBKniBmBmwGDfpW35789WK xXQH6pDurqLZjCP1zy0Qm+TENL1dTHoyZ7mZm9cMoOn3p8sqeqgpP25hxXwB4OKaI/4oHO9fE7MM 2K6bN9j1mhFzD829RcMZYZIVkI5txUIlDXFqEdWnWPafIRUCMS/wS4osxt3uHmmEEEWZjAnujC2k zWQDN0G3TrVKRtQZNttvKaHDSSwv/bjUBb/oT4Fw/hfvigLB0H+jqeV0hXkrIzah3lm27bXTmiqI qJhfS2Mwc+Iyjby1di+Ze/qHh5DHgZdk417hley7CPLaTy4U86KtJyH+oiEJrw8zfk0CpnqYifkL 5X0xWH33j8hcWdN+gBlDJM+s5C/2zJO5PLBn5GT5SqZnaUHU8uUq1TJtSVpY639oCZO7gkVQvXKA IMLL/5nMAexHCS1MpGAnd196yJxNUYJ52qJV3+b3JjoCsC/zAnITuXzZpH+Z0e3pC5ACa3JYWREg cnrccmA9mWObOpncPaMI725LEqJSkfwNK1/hi/VZJKdWPns+Apy+16TuWuhvmj/A7dkosDFmwsG0 pMN2jEPvsDZwZXaU7QFbJppbO2LfjVZ5OmpPY+mi/HHR9Uzn07kGqobYBrZD8OyRR7Q3WrsK2zaG YoizudX0PQi/nCxrvH1SC9q8Q+klTgOsyfMcMPWarWbX9yww66pgz1UDwbiZyaf1bQ2U0RWdRMLW GIy3J69D1AcOD4HRMMsoACfGUb7O8QImZ10QGeLi9EyH7zU/cSzMHssMdaJDyPU+d3RYxukyZph+ qisNIjVHl36aRKmfLd1Akynmc07hG4U1U7uIIyZXXV/GbL2sl0Lhk3bwJ/kbSxM7o/dX3THlQZuH GQxN8OX9kuAxTgOGcSngKR1d8hm+7knUlJSXi5FXlFMV3uGpYZAF//OiL9vm6Hrroz5IXgI5i2F6 u8rBfdaWvbWaXq53RVu65NMstuGq0Eq7sNRD3QWCX11J8WP5zjAl2/powkjS3eBxmB1Qs2/QNVYN C3DlEyP6U2Ww0Z6twJk1KCl5oARpRcrqhe9yT9hoIqR8kpsheGLPds4AsczGfvMCdAKchbguTc/t 3LqwCQtOyw8lwacnpOJufzFPawfxGcw7a/D0WIpdre0AEEi8pXi5G9hXQ7aLHtTYVW1UpN7O7eP1 AmvtK6er/gUgCxUqoGIBMoLQWbdTvwsVgikIz4V73drqkK2t9m7vPeR3ulYnVb8dUrAzWNol3Z9j GB7hdYHje7jLYtwV26heuKeDvhsOLtjodQ6PQAtfMC3SlIvB8Av8M3UAPw19IiijI9572GOA/9ju PilzwOYG/WTfjaKt5skHslbwAxq4FuahQEoVzRUKKQrC0tMeoyDXTkoh/M3GrpYSKSU68oz4Xa3T GFc6cpWdCS2ih7HQ3rX0P4pu79O2pR8yZgCq0pGR5i5NsKiXjUuCuxxQTRfmlX5wgztfQMG63nvO 7FakK5UWBM7IehXXNrpH5vPv6M/enBKYTlMCoFQefHRTTwcTXs/a80cY9aWsG1iYTuIU31slbO0R yEPTxu6tCvZGYP5Z9kPUeB7s5+sgaisqYCadnIm/sBrYDkF6pKBYp/O0hAa0TMucY0VY7re6Tgy4 Jkm6/RWQ9sAohLwiB7WLqjzqHHS0g377eBfzFC3bfoWsvNRErid9KJIhT6emU8+ivCWsZeZ+ja7o uimvLZuIZ1DJPzEY1WDLBAm+VjVW4P2WueUMb3X9oqPPK2TJhTGRq+uhhLhrDfOHkJuddtoSowMN bcCpRbJJ0v1WyD/8WM+xUm7M86xxdIlfBzhL9jOnEVBJRLB/qAk3xXnJxQ3EA7z5EyVcCDTDUOOc TDvZ03GTnRBLzk369wOgGRXTzxmrsVLBHW/m+OrSFKDS7yhR9utzO5+BbcJ+1anwIq1HEV7zVAaf 1w9qXwQR6U/JlmDXrkHacM5/SbALc9PslU6jtLN+fsM+Ybjrr3eeD2OL0CGtIZioSO1pfHbTtEFt YvsqQhO0vewUxd19tn3efZUUv/yrM99VG3rxIiOy5SHiCPUkxmnA0fnXJIFeafMnFyI8QGvvc47i vY4OwbTzdP/Bt1poY+2l1bl5SHg7AVq5NJ9KBRh8BToxrDmsGK8qmU4BacWXSqoMd0fOjgnlVG6z nD50aUHfFeNEChClTh4Ib+dSgQxCGIdXcedbjED56xl7+nYVB9CudU5QkT3qWWyT2fqGM6pTOPjq 6mjMgQfprdljD+kakYEd5vjGMKw1m0ZagVv/sJv4peE70ShQH5BfgxDYtCuw1SHECDjrJvJuEcKV c9yg/4NgJHmkITUuWBWy0oHIvCW2dyqb8LsiPPmgJ0jcNrSL1TNfAjIVWUf1NY0ImL5PhmZvtuGE 9iyAdvDdc+qpXpeQQsGn+Fkqf3vufazGRN0iSEBePABj35CUJYA2jp5TPNQplxOrYeKqlRfywqh/ Hq8hhKpMcRV4bK6fhK48NigWJOkHyQa+k/UWTMxSfyHFuPEgXmGl2hFLOyJxE+sJPT1GPHZVdN1N Lh2Mj9W2SfgPntoefVBDG3BShrRr6qpW0LLWHFXzJOxXTyiJQO+Tkl7rcpDgCVAMYV8uh83t2OpD yUdlyru7/rx9K25OwI+R8IMroNIImRIe4pxy3W266oQkw8ILFdOqsGgqPJwuasDGWCJHTxu0EB0/ +MshAIMs9Qv6iIGKSbgKDQ1mYhWt6YVvmYFVVeVh7i38AZLa9H6XibUVXP3UQ7MUqGR8tviYxYby S+qqQRoaZSwXMUFz5sqixxfTu8Na5932/CllLutpAWBAJco7Z1agQPSnvaWwtHjo9qR+EAOsyhbx d6y7gYGtQSyHIBjbjK9ckeJUCO73eunheAeczPo6ffSIs9OWpT0vtiw/AvwHll5KT9N6ZtXF5t6K v5S0Slgxq60gCEE+lc5Dg0xZvhnwvcarbQQpBerxZa5/yvYhQ8srDCQ3Jq4bNZejuWKWl9dAvSdb qPhRYEUVBwv/y0ZkRZHQpST7bjEDToRTYfHv+S06BQW0NLWkk1BLbJIqYJDi5W7o932kAcgFdR8F uzn80cuCvG1LZmiyJoUtKzB3XEi3ZW7+ElyXebWcWVZVthvpaW5l9BILwhoy6eWnkESeNazoN6H8 GbOOt08pDYTe3zEdipxTLUPXUkpZmJ88rsNawr51W7EdBF55Ifgq3ZP3ml4kvCReLOJj14N9daj6 XgUCFjHM3aI33dWBypA9BS8m10Ax+bmh7va/ZfnUTvF7OF+Kode5ZNOT1a+hJ/2oidBZ8rmhlCKl wpid7ZT1cLxA0QtTfLVVt/Z99d2tNxKFkqrcnNXBrYDvlmDoW64G6VdUXbJAeiXpYrXAe837ESEK EqV2o4ZdGQAE+D7pJ5/rFCG+NG8DmxuFFzHCqveEoCnQ5Tvq9rMSiP8PmjF76/fM+YLiit0zPEro WV3lVf4JT4BbyeVyCLQzVVtGkQHXkvg4MDT8idWQNaaa2PyChQSF467jA0B7XX2JJ4rP6IWbBGtM 7NsCRYsiLn18jRXSjnz6jK5I8x8womlheeOmHIP5dl3jfF1GZBMBUYLZZh9fWUstKXX5vVfa/oaA MSjWBaAe9cNXJN4pPwdJhAuW5MM4z0o6zzfgp1GVRXE0lMXI5nPrGV4gqAk0wS4fxxrRI0aohQZW Lvck5CrsW36l61irsiasMId7yT1gpmEWCsRkpUVXdPONgCEVCLcP/5UV4DzvgbzA4/G+3wY2fgp9 YOXQVeGOonf4uqce1nyCDDJDgtIcut4iNOGdLWRldAUwyehR6qmHu2wMh0cNl/6boYUOSo5Sq4P2 8BAghS2HRnn/nXz2VeZ/1H2d2lOk4rftePS8ZDbjbk4w+zmr/yWkOhbBPdIVd4QROjbJVa2ki3oX yKCa7QplKfx4wh8rDY8Ojh+YBNsUlDYH/6gt5uSVuum1P3gB400llfCNSXbWB45cqcqwWwpscsLF zKYuJnOEiioEcNRWfCaPd9o4pw3RI17ftGtdimSQXhjjuXuvAKDbkKciaDkR9CfIuXEqHuFE6pC0 aRWlhMJvaEusseAEYbtZaEOerRe1f2wpjjTvPzivu8Qj82q6nqxFF1nwaMt0wfnrbjrmp6qwSJQK MQKOTg2iLkYQUav/1DYtKKSvy4eNC7gh91VrfMNEsTgtPk0KcgdzPLSSc4rTzzDAY/wcjpmFFoPz PiYxHY7Oc0ArKKyexFRnDXK3W50hJQ4BT3LM0NsovxMO2RNBVKTWVypX/F5a/k1h6BDaXuLZleQe NDWTTY8avNsY4dvgVNv3VqsuzSnzHsK7doQ6CTMJcpRCSjozAEHLspKCM/JxfE86ACVOGlQtvYMG 2e1A8zm2RY9Qa/NfIrUm7320dF3Sim2KucA0Yrbz4DjDCXpv7sEmYy7RFWbPDNjR2G/Chl3pcpAY s/oJ94wLF3Oc/drS5ltJoI0vUI4FedEUMKZ24G7j8KLNS7c7ChmuIRyJLGwiqa0meplKAyokCcVO B3LgX/ld79QXoaTrE8hvhAIF7p6ijP9jQ+Wlbqn1Vn/vExNiUNUv80Ha8hasRnyDOQyJIQZ4Q+Lg w1a/zqHEmdLW33hsCSacgaOVBUpM1EaZGsYqVMvJYDI9rn0ZYNYVHaJ0UaLSC7zZgX3qXVUyjJsz o8EmQnQpMTiLXxl4aqB+nJ4D8zWSZngJhHXZiP2X0p+qp/gREz6do4zI1j+WiaVtDg+w3SInTMdD lFS3AP5GJDg+vrIUk6HEVNGQlpWJz/x0Awk3rgVQYhN57Smsfaltoj1WonBxj/DPkSU3OEPcVzds p69E+yxbW5CWRQGXJEV58m0pzCER9NrPqxbIMHR2EaSkC3ZdPMwVC00hXmfJEf4sTHYHdmLFB7Zj 1H5PtCNj4ADWvTNTmKcfXmyNJ0OlweV1c7AYbjhbDsPa6owhbCwAZSbvhdgXWlTYx+Vnl60c8j1M UPWvpkTR4G2oNkzQ3ZrY6dQn2A9hHvQP9+nFnJtgT4p9FWiwAwuiPG9JZcQP7NTgo+lglJeDiHm4 GWqtC6HiIEapNUH9qwXW6ffnCL2BPwspMwfdHGXWRN+z9nC7RtBtUSJwpLlqJnbbMZKnKJd7X2x6 Oa3/2kkxBwNelv1lGBNAzDjQbQLtGmEkqJ5OAkmz+PDY3Xrgb8rjW18+x9DFi41jHXsQ+cZu1tgR E89tG4oUZ35xjeyYCSIWxU6kbAxSr4MCrFAzE+jona5f6NrTy3SAV+on0nzSKtnPOx6EH2e6YvVy sLXFw7tFuX2idOSxkev3o9I4n6IKz/Ek7iEYCORpQDkOA9Xliy8yV7nJg9RSPowShlFWjjUAiCOw ykWFCQ+mAWAIqIa5IDp1qXSJGR/KM8/zbwloDba1mbVs5On5nBcdmo/ezQNrBL18De/NAKz6gxJe ifJt5WPfptD0J/f/I+4wkEt0OhQ99n0llsHumTji2Hl5BE9AVRy3gyQd+v4bxFc0OEGbThWN65/T zzOhT1AqUwVViMergZTyiMRnulMONIOek+iQR+ZVhtWHHDudqJlqivFWT/J+kwoFx54dU6Uty7Cl S3BwqfRk4j6eQNMbzme56Qkywn5gHwhILpE+cQDXFiH34UjrCfHAHM8PUHktrBLcxdaBCjBClLFk veH2blp74BGloC67ARQTlPU9oF+Ob9wgkRzUU4glRhPzaSVZFVfy+xM2uNP9UWhOSdj3L1FtZEy4 9y+y2VsOjQrEHtXDKqn3He6+iT5XIQSGjwcDaj5u6xRLnKHoPRpiiOche5UiKEDmuKz4ozSGgcGn cpWrQg3Z407S1idbC2tC6aAEfLef/Hef4Mhgeb12is76I0E2b59CB4QtUmcY5MzEgG09glQ+iT+X ky7O0zsJTQ25ozL/rfRSKEfe5j5kUTSVBEZp7fhaE9xJvr7Z+0/3sjGyDHOtaKd1ucERdc+kqKab avIbNvcImpClKBCVOkTfP2DbF2husTPSIVzfsLH7BCSL3O8jmmZjCTbZibaiQBN0h+KPik6Rjtg1 HMfCAnKMc9CvxxQr78R3KEVn4kMA8AlSOzlBI3fSfQaGrw/5CVj1G4BrS02dYxU6z2JseQ4vQnah saSBXIoeYVPn0dH8Kf/rO/YYSw4yDXdJvUHkWi4LPT0G3kq5lchtii/bQmC1d8rMkzZ2LUbEJ1Uj SnisKIy7XpeIwkCLh1mAk7TCIDN94Y6CbaFx4EyCtB3jo2GKfww3hh+KX/ONrHHo7sBi1uFL+LXr PNds0jAo+RzmyPvnAzgHn4V9R03PTlfOLyLkE4jXDG7O/MLoeaGX4SAc//Y5K/mNB1tBwDXcAf6L uv+lKRxkyxAzZBlJN5M/tofeZA1TMGfcFVATlA/n7lvhtpfSpSrtyOF7Jz1PbIA9wFQ9UDqjLY1j /dpCNy5Ltral+t9twMD0bgizjc9D6a0vP4SoVEJB2zm57z/aesm2ovppdTQfAhO9gVKYTpYmu5VW 6IWxQYMSarIO0ODpwX94kR/gV86+TYUtPWaEApr8jTTrwMDta97m7KenUigVTJcFYZEELGB46UWm EnWzndYNb7siEb5PcIHNe6cdEMhSGvRlIzyVpm9WwEyz+BXpphOgsZTsqi6rQmbsd04eFT6Fw2mT MNn7QMOGOGafQCNrhgXidAn4uKs34HSCzgV64EaHvI+i/1Vzk/BE3w5ZS9/mntJ1RzvXPcqhrZbf BKLN84TAEbDDOzRldi+bNQEZRlOM27gkYyNiZCVJ7Q3cU22+Khr7qNNGxCCjvO/fkxpSGV/Wsg6C 4OtdMagOzCSw38HxB45hXaCn0CMi8yyY3GmV1OW/gMNooAgPP4bALzWkHUid6TaLw+rBuV1/TmTi V+J2fsET4/XVF02j/pAB4oqoy/EDCt/MiDxHDfEFlaTwOZqOQSpS6kfozRjmeZzju4lOzqKmVoGj gS5re9cTOPIEjw8I2EYbdzMdVwXsFiYUNKz46M8IiyWVO1DNVv8FH2+AwbVq5IyVXMI8LzX9Q/lz u36CcO2YlJtPheQrq+nkAdIipBiIFgmq+N74wCVUyfEvJ9shAQ0jZg6A+OzddDxzvugIrvnQdE5p b61oza6G21N7+xjphjrms+ZKnd1QJM1vIWwQhYtFwZ12v4NF6IIHtFRCQMeEj5St/txSFeC2MEZp f370H8yI0ESe0OK5pEgX4/olTuxDFvByRTtMLliIAkSfoWzOOBq10QkyHQuo9XNkJVoVHDg0Ho1y D76clUBMOAKYlSLWxK513kv+Hdl+PV85Xo1sAUxwpPY1uz0d5zFFGKPvGwyYcv5sen1obgm4TBjI QTIrdt/0TXgJx5wZMufNd1Jx7QMHHz1ACxvbL3dkyxrLGDZhjjfU9sQDTLvgUHp984GuNnFAL7yh KN8kvSUxwGb98E2p6gfI65KVFEzGOy2PNJ493LmT2PtpNKgx1mfbK21SWq0c5gD2D8Z4zeCps2UL v8uqgrnDFF+NDcQW/F0oG1Lyyu7kPCgqxV41MI4Ql1Mx1PkNmZPCKidAOji75fz0UnYXTBxeOM7V 8y/EK/BP38/lWQ4pV47p72gRLinX79EAI3Y3hyRxyi0A+1VPr5KBDskuX643EnyVHOLd2OmE2zKm TDasAFAXS48XzKTy3yuW2SOLjeRpd7X7KflQQlc2thrU0BULNvH28pxtMfTkib0GheSXjf/kc355 Vjvcj49ItWA90l4CtybzI+N578XfXGy3AIBG45k6jnBFPXBW5zuIQ+nyiSs5gj1tk7K7+cjX9l9t tqtbwnQgoBTHBDzHx1TQIsID+D1UHTkM0SfqKvK/jclJALdNbEIspoRyF3tzTtUV5hAnfidA5eGL jJORV7uwhMt6n8jhe1BRwtvJNbTDze/tB4cRvgBKMRR1XjBttjDoO1sQ58z76tBGYSqfbezuiL/i 4CJB6fhVVyJixBPjOStS2KFPI+6OoICf+9ldmEhPapvMbwFym9i4MthCbef8V6XB9xgaVUmJaYUd U0m9VZy8c01ZGhmSl/PhCG5YZDveSJviocVauDNjdxx2TXfdwtvGaPhRyPzFeGJpY7n1C4vDOyuF KUPxgMj24xSqfMNcD+V+HnlEt0T42gnmieEiwX41+rQafCk7PdxPEyAgxjCoFGUf4ZpmV0Pcm/E3 t9CHaZVYn6cKRTiU/CBWggZhECd9uYsQu5x0NevmOEnVr25fn8UENFwbUl4xR7mZA5xi7vQ6qVoD S+ClR4tFqyMFItg6PQNI5/LB7fdWXmquHL94YDam+U4xb6DzJOfdvCPVB2zFW1961GXvu8FuJcjP EzmS8z7ORDbqJpJ//C8gd5ckiXBgzXetHkqaen2u2XVgj4fXdZx5JtyI815vrcIOFeQffgyeOfOm icyrlr2zGaYSPT1DwKx7Vd0Nw8jlpdBAcg/wUUGhXxsEswGhNyvo8bTQNtnBqUOwLv7j96BKlsGb XLqvBLkNskChErWohJ2pucli4893qjgU9SkdGqkoIeqhLX5p3YfARsX6CK5SmSrDZJrquX47Ahe/ zsikX6IskUezoCDmij1Ypei6mmBYC0IZCM1s3KzcwdrcCZYsEwZQ95QcP5wK+1sIyXOtHZEahq/3 u+tznzNB6/suKZ8nD6pHj6ALbQZGdZwj+U5IDvBAbn8DbcJmSvuMenfB1j2oDCaQkoHxqTThEDXo 2iBpbAMgGi3EMnuCDCV+oaLgLJbwVYt9QyL2PT231sb2BqO1JXS8LmsrfNQ2bE9WIJAuEggxZy4c P2gs7cftOFu27DzdsJixMtDBnfCOY/qnqg52J52Q4QJfYMvz7sy04ZIvuhhNOqIwIjA81m3dHE4A MzJnymVI6eKEy437pJPJxWQQ1qtjMMvpW2p0guiNyQSBGF2+osQifYZrbdMhzkxZcSaQQAIecgc7 j/g+Of6Qv4vsZRau7BTvJZFUI0OuLr7iQZcuqragAuD7fcQHv0QbBQEJM8YfS7G9w4CbEr6DZrOg WUEgllbbzipdzFkQvfkZMG7DHLCU92hfON7lmnLsL+CYwF8piNr0bcdirm0vAVLW1dEi6rgadEbS 9fHiFNf+4i63hLMXeRBXa9G1oS8dpHkWtS6S2C9A1ml6Lk2V7N/ZbnmTNFce9oHeN4q7fzKBqhJG i2L6d4/1IMiINM1Y5KxMRvJTjHhm1eG74ZgagdXVirgZwlWGn9g2ooaSm8dIz31XAU6vQZSrrKg/ 7VEt5GsnR+hSUSwlZg/5h5f2L7+Q7WSIa0ElJTUeWEgyTRGz7pGcTxM0K6oqsIVPk5kbHz+rsO8f Gj/BAXzdKuzEQ/pa5o7Sz0zMc7IgJxQpSyezx+RXksgBBpCN+yAbGpMU0LBgv6Jx/KwReT19RaYe ap5+NuKvlLlCU1K0Y3B6MemnW9rLs0cr0fGOK87CvEiSgLnVZYdg7nzv8HCNefHwnXOS/im6T1Rj 1KlwZjdldYdvwjRtjjRnzyVJ/vfC7odueW5yBNWH+lEsP84PbFjxteeshzoOhlyB37UTr9HGnEv0 WkflEyQzQdQ29+hmFbXiafpvN0Yjmg/mBp3ksRW48pOU3Gv0Qb4yNIa9q4RWnpVUBSz8fic87VBM ovYtHU3zbOMD9TSRRXImszlibDQv+Gd6LX10uC5oKVSvakNjGb4SMEZzJaVwuAhwEcihd2w4v/ys HeqOWGO77iKirrR/RTK0XAbVejI2plIR5WV7hGLoqQ9IVkWsqQNKvOQvho+3+i2JLdmyWJJgwp9k et5DGFgrNJJtSQ/+CWJpYPX/Y3ZjITeglkpYlrjvE0lZZ/r40QOGsK9BlkGnAkFi1V94LRunwrw9 ozv9vgUGuMQsOTlbFA3ZsqGjEpiKk/oC1t/EkSwBuG6PgJIUf9Rz2npbE2PxPeA2MUoFklZNZn7Z FAQRDI7dK8VRlOSjMF4yIt0Vz1n7msyplUKHShESJRns6yPkem6XfyJT17tM1/ZBR5RaN7ASxSNL U+jJHuwdBpSEVai26Q56jsBdKwml7PtRVVi3ZhhK/kyjnWQCWoR3xVqdHi4M/Cr8QD+hsGYlWLtQ DD3F//EinlYVQWmdx74MENEGhmbkDHcxUSoQCSXhnekqom1wdv4PocmVmYmexcb7bUmUuik84kCV i7sPbj9e1gL90/yi6KMcFAY9bWETNPzpWaYSgv7tj0WN2e55S10TCsZuX+Uus/dZVEYB1dKr2ktH A4B5w6ofAQOqOklyAvWPHP92Bor9Mb8fzrpKgPtrePiC9+Puaw8QiC3YbRIuPJYsN3euV8dtACw2 HQf2ncK77uWt3u9886ZHkl9Rz+lOhSMwnbRBfk5Bu9qlgQ3w3u16q1ZFv0YupjOu7Sq0GGHtbXfl jdvOvEkfWWh9IvRoDvab/nhB02DxSuHbE38alJCkRET8qUVjrfzTwrBoZRCGifF7xYONuGaiQgvi YCFe+XpRB0Xd91+ht9DI3SnmGiqwp4L/JDOMKWJVmg0fP6udK/ndj8ROWKlNPUHOoyEslgSBIxje am8IvGfP39PVOvOOMmbHbZwEDNRy1Ba7037CVSBEEdjKoAtRRkP3NsUJut+Wb0h22paC8V8MHsjI lnDDTgjfnalTsO2ca6zhj7TMYZ9vax/jxUqwfYqt7cjV1i/w8VEv5ZlXh87wBwHDobaEn0xFu42n 2zc0tRpGqJS/6BwXm1MaiJuYsFHGe6DUygVN9N7wzVb7gnf2rpFVUPisveljBKzQb546J3lQl44b 9THOPqnxhvjbTfzR71GW+XcYJ4XoSka7kAlCjWS15smeNVtWOVoDi9kIhE3xRHQoW5NhMsnBKKjp mR/F4XllvW2zUmo9yG+Ozgy6xLJt2S9BCJPNUzufKEC6ndLay40ssTtRf/DiO6cN5PDDJn3jK3Js mE30RauGtGoH2Sb5/fW0RkPstlC4j7JqTUo4AVxCXXZ+SjGGh9fnktc1bpScVF2j9vavDVHHVvGr udtOtdX+GEt6/8BQZ7XWbpEacy7MIbvc8Uj8AaAK0VK35i5YNfNBASfwBDyxch0ZicxXnCrlMctF b6V8n1IFBlHf/wS/bplMkkjTOqK84gz1uxfM+FzJm+rAD2R9L2OaS9KBPgymBCFrhYQtqntVDnY9 J0GrjSUF72G+ZjYjVbiBpBegI/3v8Rm3Ri1pusAZWPDCpur/mkDVx6pdWVeWyEK0iETn6/jXUJXZ NvDTMxUbiyiJ1aqhAbvRFoPRW+WWHsJiD6Yx2DI/hZHijfqad5RFymbAd8+40Chz8cyH5jnx/jyT 1QfvZ0XpTSiYE9THTvjc5Hst3YZ/zLDFHczBMUpTD9XwQN1IW6MOLrn7K0zjK/ruRdD2NxSZsfB2 kudrmAdbWHdayiyX1E5obC41OimKKmxooHPM7TOva2FlRtaTeqxBMpU/ANe0NuKJXsvWQ6yaWsqS gdaM6xchIQ6f0a3Su4l4clJq+kpQuV10PtVHIo3PjhGBRxqJ1EU8OrBFrysZn3focuOrZmL+mRRi zFIzeZFi5ojsAOoWbydjUlxxL/plYeHQdr/+U2bg5CprH8mcXpNi1tqOiRXXkmlyl3biIrHYJmqO /6joCNCm3PvJqLrrOR5y3t3sKGSZSFUsMGvSUSTf5pf1xmby+EseGmqy8csqu7a1qVE5ukDYalSj HoAEuWFEzcO9sCage1TzmOVUHUpJ7Ujv1Qz4JCjclMHMviF2FMuG2qFSFMMA0I+gafp4olyy0Fcb QfEiBE9zD9mFpYB3ZrRgwbrsj+7coDQOS5Dz6muKOv+AFByNVQLJQc1Q3j/xRJkeMdx+VGgeAoIG JQdBHdCYMitJ7fc8Im8mH/nRtmNzE72EvEgjgj1kipspYHT+TGoz9waUgbFnZeIhsZ/DI3rzVR5V t1+izz1KlYwJGvi2t0QTZnMpesDSCfWHXBDfYvgCpfBAXfx4aQLhVHvXePZeIjlI/PhAdiYG2mEY 6NZvaLwriKoBJuq6DaKtxgiUIjKODKy68dxsWO19Xsi5/b9hFa6uH4GOgyqlI2mIXPdO6N06J/Lb yCqwwAbL5PPM0isnPSxXMqCgHekIMXBDAzlo3pP4dWTZC0Lmbn/VFIg4kNI9BysQAdPW4reynX2n oP1dShZ+3H4xWGniyfIJLMXaVNf2XBOzpwS8IHif7hn5+vJrYs7JG+2sjJ+VxmDYcWaiTIp3cU9r 3LSAtmlviRr9KCY1Buq+HljmCjy64RfVGYIjaBFkuOPHsxT4MVpSs70Z/WbTug9yogEEA5V3L11I oIU/ljaiNdKhP6lj/D/ss5V4dY99fardOUmMgRQYwN0r3eCDFQ6934B5NowfbBu8sgNilOJktr4u vxG5WdY56CRoe+JCiHtt+wc9Rll1LbwfT9i322RlGeYROq8Lip9Qw8HrtpPtsWIDAMbtcTouCfJ9 IdRYTnqbG56mqsmN7GeDbvr91bai1WbPgVjN9Zye0I+rE9wO9W5sO5Rw06rZ+qP6mXBhRBPe7/41 5o/I7hLXVluUOT8CA38RMj7ZcaXQMV2GHd9gnaKiypo/Wrg8c7Y+9FLoz3ETW32W5UiuEQYni1TH IXJ1BZ2aMDCcS589Lx0Sh03KEM5FP/UyknPYMrWjN2ZsMEomc1m64f9XkTmSnNpTPJNMuttIkZ3B o08gdTfyuJzxvqKzwggelVLC+Ts0IdwJPxS6+gnCGh34QtVsO/HjQy0imazQWwRfKPaYejKqyhVq pyYAlqrhTtbN14LTtsHcWkCscXCoscOuuPkIH3LPmEj0N91pQLHP4/84jtj8N7zZQYcmGMvcxsTZ VSwdOGWrcfdBPbfbirAs9mNGOL+n35f2pVuA4EHzmJsKMmD0Lrok+gwjSOb3gpCM5j0U6bV0uf8D 8wVzzLQ4zTXyDk25WHt4adAJnKLckl5ep8sAl+Bh6XUgDJUjVyVn1Me7VompprU9/0f1oR8lRPt6 KeIkRCI7mkhLkczPUMio43NU1o3D6UwsZoN2FhSyvFYhg+RQ6qYfJ7Sz1+ch59aIm5i4HSr3TeVw svlNG3EviN3+sKAow43lBqAccqccAEMFQiYaKLHX3x052c9AUcjnixZnO1RoxyFJnmqdtYb7O8zB P1ro7I/O+fdhGL5OujhNTUyB093+JtWEpmfQsA0kepohrDmoVYadfTZKXGE36GFEJ94lAINZzU23 5I0CuPGmVTQiORQ/I/YeXhrU+dO9WRdz/JUvvzqUq2C+AleNvMXdDkYiEZk3Dqf40fNwrx60nHYx qNz/5Zits5awc3IzNv49mCD+Tq/2I1/a78M/kPZCuJxyXQP+3Z0upVwzqOCpQZP/tFl3SivBbnUQ a3qojeFl+drv2/lVyNCykDi9taY+bzgCfZlyeumTk+CKavTJOp5TpGX7t/oUhKu7KUlVqBGxLyH/ xz5g3yWmh96YfjbFxxVy/dV3JLPBr7phyPRYA1VIFhBhOEQ2SMCaQ01DC6HkL20Hp3McOUOCw6oL m/bncua+Rzlj1lvneKgvpZavlQyZSS6xibz4+yFABMJHEaRpZLvPWYGhHR10usKEX5D7RdLS8GM4 g9ptv7gtFmPBeRBTb8PoOrT2i30UP+9sRuPzOMCQI3xwrWinU4C0UlsRLYXC6bDA0MBfBjamux0l 0mSU/3Fg7J+CXag7fCuH4PKX9D08BO6gTM5Gydh8976ods6pE2IrHYcZ/VoRwFKFecZLcDly/CL+ ZI8xI1cYBR/eKbVUy0brJWdIokPch3S/vxtel6mSWGSv9uO7U+0qoPlERcozMrtilxw7dlveCdVA ooH7MyE2zlNxEYAfqQmwwiEZA8DgQrHntrfFB1+/Ssnpd/CdlK/ghtZSmIl8cp9x7m8ieEw9b/fe 7a4nWjdJKghWdI0Dq5XXHwrkiFLxAYQWAcyvbKOipzxWskE0ZSQmwkylWNdhLsYhzh53jOXjI76m IP4XszCYien+rJelewnxtNBsjp/DawEkF5wvQP1tdi7d6EZM5ONi8uhoJX6fSpaJPTZ74Pz9JXF6 7+3Yndxy8u64fkBEHAARP11B1xZtgTX0BCArGfYwf9Mi6pt0GpkX06LtRfSiqOqS140Uh87lsyGP c9PtUGlgldTP3OPEfDgbKtqVEJ/k7iHtVAHJ2WMvsK4f1F49ggIWDxdcFFoQyj9OVHvDtI7ZmWGP ycsrUDpfu1SLCHYqhbAvy72vAPlpTwcxOzm7RgJyVY2CZ1UFNfSX3hfqWXaWM4kcM/hy4W8p9woH OHI1eZzRIAIYy8RVXXlVvr/iA+AlE9ui7n/FWRdIphpuptbX8ugasg/iOXRVJUJMbpUw5wpyJTLy aahMkAwnUt+SqDNCqKHCwBOm++8eIM5spRq//oqoz9RwumvNVCC7KydSG9N2lEFnDwALosAY40s9 MDrrVQRHBPq6tDHj5bdjjPHr6S5SEsVoRMf42c4yyYGeeOTSnCplIv8Gs+YRuvSB0jnZlNdcnvS4 FHf9PivkFl9ZH+uO+MjmAMIinfBiQH+he2L5c1qRuZNDIV/MK6U5ZKby3PZVotmvKlECSEEGhWGW Y72Ogm5CIZZZnUeawNA9e6X+Sts8QQPFQOgEk9C3OZHCgBnj9BgiSNYBiAXXjQvaaxyj0QX0DeQZ XmEAdMulR24JVtml2xEcwW7rDfr1EtpsMp+1WdyKbHh1kldAO3Izmn+ge+qfsg5nXTq+fT07EmPM 3jGqZr6emX6BqeR5fBQYK8LDEMzNUyB903d891mrsHebK/iQXua7hDI5/vTI4FybrwpQRKEmc/lY NfbB8IGngqnNJHD27K6mWX2sMfOZ4cyhsNXfZVrUb4vifUMgYSLLX2wub25EPvuM9rfLK2DMm0OQ 8O+XJMR5Nt1xAbOkCyo/kjihrD4NDAKlzDrP+fsDZ1xe3mYl603OXvsMh/XTHNShknmq8IFGkXVG hCwSweTrNVx0ohKs7b2ZiNZtJi8hU3P9We2cfbyzavAgiXnzq8FN5O0h82HKE2+TGLv9D8k8VUfi ImasRqABf1wMIoPMBkLvXwMVG/1TDHxaPsRlSQ3RX7DPJaZwOb+FGzpxjIJQP8eVrHn3Pfclzihy IwplfXXUBwmTalZsGDJNR2s+BdewDd9yDKL2wmKbhGir6+DLNZrvDpA5lmLv6vHJfplGmljuNifp 4HuSizfeWEdEcAPED/QNjkCP1DjUTXD2A3flY4fMshs4un1RSRjDE/cxiXWryz4B+SCe3LNbyGpL 2fCF3g2+pATrAcZSBwPFZysjvkNIzN8SQXxR9HHU1JUrUY1ociK0+WVkxMrlzKata0FiqJ7U11x0 Z3ykk6pfJD2x8h2MaFBSCdBEcDW3ksSIO4ijUM0lq1QTdbzlc6oh5g54aKGjy7bfSCFQ7G04LsWs dH9wkOvyNy7i0gaRvEWV6kiATdW8kRXTtrEiGQzg+jxz/2EhkA4Ms+IPwfMjYyd0bNS4DZS1dULj cDdPe4oyPG+c/KRaN/eyl4MnVV2JlGSuGqYfVgfQu+68dWOXgtcsndP11REt0+byoLwh0ASVMSp4 +AVJikkF4RLxmlo1CwfJf/yoDVyuYDM1Uf3T4ObaHX1TN7sPkRGFw1QRX2xN1+vtoulcOpWcDG6B vkthiq0dDX49+wjRQsOPecGO9sc0f/q2/ZEN4iywczcpNa6MG6JsFfqT9U/Ug+3eg2wueynd4a/D ExhFAuHw06DY6+tkAoy7dVOHaNd/B5xwqyL9DVaObZm2pLf24PtYqOT3/ACSPsKeXDN/b4C6bFgk pgnlGeHd0rQdu2km7vIHhUT0XC310SkpmAcPEWykWK/LkHMjQ6FYJC4HkGYOLeOXlsynFBGSzUx9 p8OFZMvFwtV+thaPip/FM/mHtvR8tt32PRa4miau/mSFiPNDlWUqK/HRqzMQfVpYWJ5GaP2hIFde NR6jSICTw7vHkKGtYeQ6vm2YB+NffBMkNkWkSa1yrT+noud9SrQga1TkeDEmRLYwS7E1GvMPofQH mmXDD1LcBBT/FXkIC+7eG8aezTIbW52bPFX+UoO+zZDAKh9wSeJ0VS6Djk8BsTuQ4vvgWfeXleHS 3jdR3kwOA3hInlg1VdM/iHw2bfs8ztBsTzEzGBL7zqvkywUT0X5/tRSS6Wai6+XVXGGyBrOnSn8l POb7IqTHRO6R6z0Sp1/NiF2aumLxkUr7m2fPsNNoxz9RbeyZ3aqu1hjxkDfT+FIJpe1bU9tHT6KU Btw9j3qqYcCGkVzrGjsmbMh1SZckG2h29KWGrlt9D/h8P37I8yj3y5lVluoICa9eXTkQ1O/ofFqv aBqIjHHAWqzBvdbuIYqzOwSPEiCKBj2bHPdSdBuh3R8NYefTJFu8HEQCbPT1xhK0jym1yxiz5O6P DgjBe/byUBRL6q3uThLMlAG20eGpRG0X6H4btpLm303jlS91xXa5ChZ/KnAJ3lWCO6nmnRUkV6Wu 84UsbAKFveh54FS+6Ak/x0KRfMOXEeekDzu29ZOIi0nY/Z+sOEcr0qQ8ij1+O7UT1um7IDz9IMrd 0mM0x1InaNrVAUL0gKD0gzsBimgGNSl+IF8Ru71mTh0mrFTjp+HycxrwnLhc4UUTsHKCfubqY0ew iaJ/KzXGrge+cCax4Esj/lpqlLk23bvjS+AT8+qAxMKZl3nOjKmImWSeCNzYe2hm8TvylmbItTOh AtxswPmSQrYB/wnme2tBe+QNm9ztpF3yStibKzDrQ83nCdsdQWnbkxmS4/VyKOFQph9ruZkDxF/6 oCJKPbkAocTJkmu6aFc8VKGS0IQJ94LlIvP07Bm2CVFkL6LhyIekmmbnYNEPrwQS36/3qolAX6+e kU09+VniQNEwXcGsn/wgIRdGx9JwDYbhfkqDnbTf4lCKanUp3lLWSECHk6DHOxFrviML7vCsvj5d 7BveCZfQTSsBPPotEoT5wHG1mzQZHpvnv2+ei6bjZq+T7cLxJ6/WwSXYzDXF7wuOsDyJzB9gkUaM 0uvNSbkv7Krafbx179VsCTWGR8iiP1Uv1FJSZKHbvWcwCQhxBPIYhfX//NceQNzUtu5zwDJX2339 12qZ2q5Ccbo6tU/Qwj78jibIEUEoPsLHl+z3lBb5FsD6yhZCrD8mkn1Kax5nZhocdRrgI073FTFr edDeEQodIVYAWerqOlQd91WossFjbYLCn0a0v72ZnikhfnpOFYqikSM7RVlEQI10CKCLQGB98WpV lryVl1VOlJ+4NjUzTgJoeYEloJ2DW3ssKvfS9iGcQn21OB95TWAVOmpKP2Q2giy0Za2Ge26+n1/q bjv/1l5QbTt7ao+C0lpVqdW0ILth42MFtuLx0biJAeeW1TTTC4RuS3C45TAvK8VYnDo1xMLjR14W UNn3IZy5YEigym76iedNwQ+wI3Lxf3vGvoZipp852wCkjIcvKDyujPDCzw3eQfgLkpYJgr3Z88Wj f3E+noVlu34LDKutOLIGIjDxJQWVA0kAm5Hg5D9PO+Bpre8s+pZ4/mSi3GQigDsgM3KUzuDfDJrs s75vLrdtvwSKXBhhW7vbKmkjVITy/1y/C9WzyhBuJCmJnMXyT74dLxYjN3zkldXn7R3TknPG/+iB 4X4YDxEolekykADW/xjybyaQ8VCd0RezHGURrr1YRWPOmUBPKqXEPoEw9WzDC7h0Sk9lJ+H4m5V3 8RRb3Fgl38Qp8LreG2CmfI5CcluIZbkiy2dnzEB8FOVvkUWsg26D6K3HaYDQKedABqQJTdUljdTI ph+syULsanwOVzwYKnEvREji4N2YEvAkHZyzB2CFUH8Byn7u6/ea3JTPDONDnEhVh46aJtT7aMWj DPv5auwafTQpOX7y/SSxO9A94goii0WvPbO5KMK5OG0P2m8o+WO3bI93TKZOVfwDgXSLww8hoQy+ erHwPjsFcPYhCwKSHb5FKnqu1Np7vnTzkk/LALtmHrPbY+mZdRmfGLQpiO+C2oUneL7Kssi9Iuhc j9ZlobHdVeBTP4dlOD4bJk3QmGmYX9SkfFdTXV2xGlM4Df77/l+bIa9PDk/uDsx5F/XjSSzkKUSG MSoC8VtlanIPldB3IeMOQE2G73DQD5RN9kYWfbu0T0o5W1RxdHyvneUShv35u+vSHTbK6QMzj5gA VLMARwMAdgXF7/JJRwoRhWE0kz36beCkRR6Zl3Vaos36ZR/UQsqfssBsTBuul571eMmRrhtHSW3T F9u4fD6eajwHcLvIHk8KcTSCbRkkyFpPiVhV1ctBqNl1q4LeczIoEiL0Ila2j4uRhS2h72IMBEqk 2XNEWqvccAUDRPEVQjvp8rRgNawvZTCLK92RAObDZMJHYRJHBjck/L9w3gngsKdtWkcehlApaEPn LTbr9Eh7hC+mzBH84xusqLyevPhYoM6hFZcf5O4RYQxH+3jaa8XQ3dD88iYAy/bcQGlLhbS9gvro NTVlpFnYflHArZo4qy9/I2DUwsE2aBBq/3ZlmOS2krpUioZLfv3jrLNYAtyh9udM3pikpFJRN4kb /y4ZGu+rdPw98CPGQXDpKfE3hCV6gVFoqnpIyPxmIwtxJEdMNe/lkyeUgENDwLtUuauVxIqJSvJF yy2h7GpTxQPBLgo0ADe3/DNE6QQ7sGFmHIadtRhRMDoo0OBn7EudrPXBVFbvRjudErOJi7C7sXAb r3AendOjC9XK1hdmxtfNdCFG2qmct22raQTvwleMjTi2pnnyHzur8BQY3i8vXUhHFawJDX5mIYgg X7mE+G+DQzTOgyFMKKf6MIivf5mZyEZQRcyJQvyj0jMP9zIQviVTgzkBNS1BGia+cLnv1f/YcOZz q3TkiLXX0e8QbV5b6ShWn5FfgHcArQ/oAV6hJtYg3Q49vFk+CW1nsl3PdoEuV8hxly45QIj8wCja D54uKont2iNats54FMKqMzoWgHDzNwGo1B+5f9H6/7w+vCAKf2wQt+Xp2yS+alHznIYlnL7TcilL Ji8jMQ/9gFcFVG+iNDQYp+I3jU7krMFnA5/dJVhUvxFV1LfXo985M/6wLH5sNXs5tZ8oUu2FvCjZ ahfTVKqvzQ9wR1c94KkB1NrI82vveP3/g4/qb4uk6W51v3zGlNt3i91T76fYw76dDQrHxthhs6cK JvQI1DadmgcZnrLwIvuEPjoBNWgFt5kgI+K4dmuKGvHgBXs1bwXCtdwqTiYXXW1fggFg2MlX71+G l4UVfs6VoNaoHnfWvAgBHH8G3ddVKijm+ip46rBgmiJEQktia7MmEoEboargczZZ5LAfBpf7036k ErMBciNkDdFl29xLGnLb6DuVFZO5uImFFmrLulFwKK4RRQB1rsI85J89ipOuOvyii8t010TU0PoK l5ErFpQczm79mBgev1iCbAA1j4C3Z+aiWZ5bG2SZcVacegMkqUr/VwBfhaMFqetur2S9B9a/HgQU ndxMHwED4GXTpXhQlUWd+YHj/V+xFL7ERXHz2/SX3SuqnXxMKmlXQUmRJUc2vEWLU8qH23ttV+l7 ARD13n7nPsALBdIgr6QwWfLQPWxBBtzvGeGIwncdMFewhNBzm/lYGW9eVsk1l9cqsvNOS5xNwpda pWRMy0dU7gHpasXoVt+fffZWxI6d5OBNF0pB9puG99cyP1hi/iN0wA4rY1+Y3fdkXaKd/rAuwMwM Oy6aEwMk9Md27PvuiYjuwdkbHeOZOS/w4yEpc8ihpd9HQn1Yzl8dJ2hqpxsVaH/mR6G32PKJ2SLo 6EQISbVlT6v0QOh+YugFZ6YQAjL9EtmlVH3YTLhOvOZK5yFLF8bUsrl5Skje/GvPjq5ni5C2rQb0 /1uzFEtpQWwbt0x0pIBdGXOo2tZtRseH/qZf59G4+w5IKU+99BiCIXrZXohrcdvsZXDyO8PQbIyN aq2ppQ+KF9YSC7yON1vLIPWmMyIWHL0jPukbstvOnXeAMv74X8dXQcKFfTT+ZJALqSVTdtTizYGD tFi6EtojHUJAtMFP6iEpdgUooTlkOaK5VubpOGIL3jibQu/B/dC+gZEGiHKg6gWe6Y7vTAkOfl7V 1C/3XK1woLtlOcElrN5+cpKxqLersbvT5CHLzX4uayUt8/e/TbFQFH/xUVCmaPOkGUkI31ftpeT6 eVe96FJNsKY7SGTb7T4JIalNWPFDYBcWc/oxH9pc0jijEG1BFZZUUpRNlrpkE8VofUiLdHGzANvs vbkCgWFIb5F6C86UyCzQBXclHaqUI9Q8l10zzG6iKlZIY0OaZGMPGLyLBr7DCvVXpeu+g67kcciY hbAeFC6ags1YMQz9SuPqV5oKoE8L6z4PFErYDMlb+6at6xxSqNUAjjeMlbSlWNdqXOqphz2TSImf r9elAZmzKibXmVKnzT1yRnI/+GjchVU6St7BasFxmB4nAMQLDZdyvwbIDe3LNpAgb9CtcMfm/ahx 3gWC+YCXGTeESqcQYfylCAphARxG8h4aLItEehTSVIA6JKz1UXf4Odrc6KZRNtoH1ZNKr0RS7WmZ VcYbZbXXyrutzUl6JFXjw47UZ+wJ/BrPVHOIbW7jKz6mqeL7vaQiVMSjMqD3ZPVgOafBhuCN0yGE Sa2Xswe3yfleqsdlkx4QQv8sJA3QjlBqhd6hMthX2RB8HPOUt0GnXQJoRu7IjMdowm2e3reTGvdT 3iqcXKFQGF7YOQQBIA7s6Kf9nkztojqzSmCUZoYQW149LtJ3n1c6McQ3bv5YjQbLpOpGNQysvZ6n HwfoJisXA17TN/KZ06VfZcW4A1oLwKrNQ0RKMYVLfZxwdw/YJdQPzKmepFZ0dWQqSBXM/QugD8NL HZh15KGyV0oP63mEbalCzkl6S59s8yNGDhQ2HLF4HUlZ5MsQ1DaU2CXf5tN8AAmwvT9uYAfIrpZZ 6hBpRk/a/TRw9rDvsGGNC2vSzaYhrogW4UbMY6KR7GpGv8e6toKeR6oV9NAq4eAQavlBH4x+VBRc ig/ejMOqAOViiuBqVXvjmDWcg08zeF6rGrbcmwS6RLAykPJlvyaliAnti04uvAYjTeW8qZAZEjL6 K1XRNbek4Nc/WyL/BiSUgC3QR/sLni64tTM9e445GOuXctZFSFfbxLcZbOPJn/Dy8KiE6ezOOR9U K3sXLbtI/eaxw//bcQI4TAeQ3Esxy1LeJMpUrzF4dqHLn/hUuoUw7CRBf9Xrp+EraM2mb+1+/sOQ GkQ6L/OIbXuTXm4xOGy6b6aVmSnSO+zl8nOe+OZ6YGJ/wh68TPos5IyCjM4tb+onCbgNwnvm+qDp cEuuMxtXrldtMrqu8NR/422Vyd9WtRWQUsHUw+ryNc23fTYX5Woq9g4svZyOf+arNRqjCfpTC2YY ZhqqRZyBSvurLPiGAt2HkHXse2PITXBW4synP736yWEJ8jGHhVG7Gk7v6rlkAufk1nUG8f4FwQa8 VgUmtU/8wVBTOwfguJ2fTzf/iKKtg/uIsSFY3Czqmd94WVc7IPBDJkBJtLWnNDYM+U67dUKeTfz0 CN35aj3CUy7TLSeDiXdas+UFow5JTv52dBnu30bclx6vSzLa8a3EMBlXpplRo4RiVRjoBFO9ErCR nNOKKexUviY+FZhwb20NY5cM+Jaf6bywF8t3Yt2t7KnTqqHDB+RN05e+bf8H73nznEUsn3d+tSA7 LTZdhAEDuZBXMl4LH8AsQnFLLGLlXT1+Vkl65A0ZuofbFFd98g2YiWwknu+oxxufn0BPsK2BUPb6 OWzOAUdTIerQNU/UGwCNxx312rh8QyA7DuZQydJwCgunFsPAsTbfBQScXA3BE1gKpGyr+8XKgXjJ CMv3u4iM1OCxPQAnT92gO7hHN6CgMoafTvtO+tPLrTp0NJuVvHowx5eTGo0CGzlIX7164zqogOAn YDNev4oHM4XuOXVaulalYqFcgzJRVpm9g6maRhn1wPXwO9kW3aheXzKaCoW3eUUIdSDvWPCmy0CA syel4nvkY3AyffZgB2DUKFU6ED31a883BMPADx14B7pbWHv42xopv7zWXSxk8R0ecN8kivuFUyBi VErpi+O6HFE5WvUtfQ0cA32MfR2EhgEAmscE/e/IWX1jl5vpfPIvvKRx3DQ27jsplb8CeUshm24C IKS13FjXHQv5PfMXmFgCCJYwnCKh/JrABu6nvUuEM3iCuco2F5/hAoGLvBI/YQa18CB2ct1rPF4M 2KW1Qe8qGjciBQF3ttBX/xdFTAio4NzVOn1Yfmrlei20mw9vCS2lwf21hmPvSRSr1Lde4ahAh4Ro fYo6PVHmoRfkMT74Gh1TiSQ7Qs1MsLDXCe6JB77lERHAGT5P8Vj8XiDrukpwLqOCTkeGjp+Eq7AO 5kHMJafauz9iLCm/KVbt9TSmd+5hg96bbDhwvGbvuoUqaPj2/IqafbkRo1hPwT/G/2UNIWbJVN8u E4hp6TeLbTqyBeWOM1tDOTjoLUxO1iwm2rKAdQx9eRTr3zcJef9WHOL1a5t+iGg6znDxV52fefmm p0C5da862jtjYeejiseXj+xH9sRc6nCQkj9Zfhv1cv/7c4cee+ft8O8W8NZCLbI/J7hVjs8bHaZg MxTpaI0EcCu2f8+652sR0Z5arDzhmNqeXsx3FeWtBJCms8GKlvyZYhH6NsABrRU8yRd1A/2utF18 1ERyeCe8MfdLWz1CLAuargeykUtvfa1rvBMsc60LJHrm54F0mO0Ymidp+zaJQdKNGndhWUp0AU/j 2pBh+VUlf7rpj1BHnBbitWZGqFQB90sYBtU8p18CRbR1s/ZHbPfsWFTKa5cjxBHfMjouEmi4YtiW I7mpfKmXrmdwqEgnThsCmBeKmKmtd2BvtL3iYaS4zm2qrqEHdNTMGqqVvKjVDoyckCcmhYIZF8oe 4PFYN3wo0QBOWXbXkj6hiGuS5KGIHXqbq94Ks2/uarJ0ZvrJ3AZx1+hBEfF9l5/yxgbQ6JmbIDHL nAZZ1eXg54LXdueCYT29Kjy0OpDCOiMg3jmAIzUVWlGOoGXIgtc19KEUQyAb5Upa3alF7oYwnTF5 Tb5uLAO4oqEx6kWezPOPNqpeQtSNl6HSSRE0bY05cU/+FXnIt7ekWTi2j1ZzgOE38Mg62KR7VAXM 4lmt71o/bFlUE/tOHLDlkd782TGLTQ4dI/xLz0QocFZX1ZHTPlJW2ZJznJzBmnoHqYCGJautt2RC oWhSzzQNVv7anNpRbUtVPNFYjfrUZ6kDV/eG3uhomk5zZHiwqOwO99lgIGBE4KBY9lsZaUjOOjUf 5NtKNRcaat1B75+GO4borfaQLpw+B+eS48qjrxIQLn96MTYVGvK2o4CcnN7WpQ/+vX23+4MODhen hdeyLKv96CayX8n0w/ef0CaVzICPJ7h+nZHSI5D5DQKHjZ6/t5qHcj3AWe75J3W7lgjaSmJ5o4gk 4VDkN58QDqGkdKPBYzusc2UlyHOUjdWCEC7ivTp5iEwRkQ6GmCdHM4BWq7G64Pl+uD3IRTzJoGvp Lra4s1UvO2LpxZwKBP6SFzneG4oEFmL4Pzlk3PBVeJPn248vnq3/u6AIiyrJGumgZbh3nLYCrl+H idqHdlGCGyI/Ex1kNuuqGNy/nqm08UJRWW870tWgZnMlzSMKClT7G2DxLiVVK24bZoPH/kF6clUO oBzZM5KiZMKQZ9bhvF7SpmMlexC1TUVUIkvsgr6zi2d0A9vsMCwIpTBHuKz1OoKzfVJwE1BCFkOd +EpxaVmTBijdSjOEPv2oETmaw17rKaceFdKmYZgTZCcZctdu06sEei6wvuz8u0qhUHosml/ibhqn LrNReSJCLkF8dyBf5tZC3hDqQfGvTdLfF3VHThC4pjPd4WxHsKwAjkmF1ZH/mb1SVBtX6Upvwgn7 Sj49GvW7QTeHdCN6pr3UsMea3AAoy3S4DGh4Q31OyP5nVmr1aYwZ3amXacbj7KckDDJSdUtWqCl2 9EEL7xabZJRBAj8UVeuXDq0RYII+OkcnV8rMddltAHXnO9p79n0rHLbR/hPPCbHAPHtxo8x6lkYN ZXN7PL+jyenGLtUA4vlCBT/OSX5CB24ndz+Uh+ZQTm2XI/fClrrviz7EH5qnALLnFK+7UX4hDOLY zKDOE5PcywHgiijEGQODef9ZQ5HgkyRbNVTfTHqP37cX1cEpQLKD27XLfgjaW9aTwkUuzfv7qHc5 gi5R+xnsfUxk208uzVMzA0wpF3ccx1LJDMTWIvfyIqnF9H0wj+HNxHh8C4V5WoqEtZRptyXeR9QI dCS0AyCUHWLnX64/JbqtyolCeAolvqG036YA5l6UbNfdSDm+CUdl8Xl7LquAwCTbKJJ8dfisG0no lodNnS6yZhH17Iwvv0+4b/gVNqXbKQ4W6ZMMKljrjPWKq6DfgCUcZ7fY6Cnnjatq6F2PzJrLsMsJ Tan9/ZeODLUYjccHiGDQ9cmu97Lyi6y4QDWTTTmn/EqX+7mORw/QpkOoiKhBWg0yXXIKYPFeQG2S Zyb0jVq2+PDvrsroqjyGrawLSM40O9GnVPNQKiB4RrHiAIlsM30D10IuQ67THmXU0g+mGfz0apXp F7VFRZUQBO2ZKHsHhV5+qdIkA18acXnbS8ggQdk5X4hBsne311yOy9y1CpA8pc5PGbBdQFBSwkZ3 WmxBOjIH5Ehazlqc/omZo30tMN4sZGx+WXw0F9NeA9i8pdYwDFoDzpOYHnyr18u5jNgiANaeG7fO Qyxk8KWS9KJCsdGborHY/gkZQlE8mxqUYYADgA5wBFVblX9MtSfGC2eOy7Uza6vdp2A3bvzhSaYL Umiqfe/U/XGyhBbuvWZY0AJMnN7RIxZPK+js8oI992zIYxnHam4aaeEMpW1C9GR85lbdl6aMxI6W gZrObGu1c/snELljGoKhNy6HjYtvcq1oImegfvtznUusX2J41xWXdsGOmX04KX3zLnEEv6xipx1T hIWbuJ9R/A/K8+bpPkp6/xmndHCMMGrfgtlHLgojqkWjkxVfSKBOhybdddLqHcl/Ve5t0FR0Ozpr sed1znpNwHkwhwsCADABbCS6HBBapcTffzS0rk8yjdMfTfzeBSkW98v6G+jAr6HoXAtpBrILUT6v bCYiK3mIyz1W5IvF+QhfVhUSfUsxuSWNJMnzmwiSQlKjkx9Tq68TADocYQGmVpMD50n33WK76QtA XoUL3tOB9biHn52WEICV3YW/SsN0gK9KR4Q2IV8AA7ApuTFZEAK7DVqpyLhpSwBvRC/1oezNpJfR d7U4VtdB1tbNQNFCn7CPs1ASEihj+EqQwQQbqdDLPKNXU3ULoA+ZHWuAgXNtEpQr5rKb24P/rltO Oc3qnLjOXBEetwWFYGbS7KrhjhWDbZK1dXaDISOnFocj2QaXA9hoTQRcEoD6Ek1xP8aVB7b/mUoN z3obw27fk9iA/wYvhuTiNF1Tc7rh/97M+bZ6184LkZMYseeSwDKMbYkYCFWZPWX8SWAcCLJS/IpY 2B+FgjX5nrw0d3eILK/bevQMEGD+CXXnD2pzsU8BevDNh4WLh2P3MfOrBLRt+37VLS6EfzrQNzvp wvyhbeme8MXumW89U+vejWkxPQr3/hSqQVm0mU6iFVXetfy3XBIShiQH82FtAy3Liguepm8WjYX2 MZseK09MYsSOk0ZZMq7v8ORsRkpm9Zbd9zXT1LRR76OxwXJiprOaXFCSwSPaU78JyBko/qq3uQAT BWEApVVhTwmqVYyc24masBHMgV/HwJfBReyHpKcOLLSJ/8MWj2C/WISb0k6zEysmx6jUEu3C1dCV 6l0/LlSwzQ4jqlfV2kx1FuGUzAMtaVhPcD3Nhkav/XumXvw5ZL7GQQV5LIv+WfD7T+9TUhXCg5FM 5I1mf4HahW3RdMqaIL+N/xgXfOnzSrpOjQjj0aHhnLIrK8xVmYFYSw2AA0noUqSDYJ1uH7P7Nhy4 hgxneRvdn+/oP919Bj8XJ8ligh9lFH1CSWkKjUTqL39nMGzmGJq4PHeTvmzw3MOKD+ynHgx6agjv 3pjEBC2HN2hG5UEHq97hQbLVk4aqT7mNYz9m4E1M/Y36wwsgH76TEhryMG2uOfyjWD+plmCeVuOT +ScuFGAjlkg+twkeRP6gRR0bzLMhrPN68VhOPChhKgAVefSRsxImwNH+Z1FC2dSZdolrj36ENf0C juGWpNTgZ9qkyH1iKU1hjX8F7cjXvmYGddMFxpOF7wwJjhZT36Y7+liB1Hz8p2JH1oznFVF21I77 PHhLkOJpR1BPLKeUA+y0F8FKyh1CAolBoQjzIfXhZXrVty3zcaS5s0khAsX70hg+iJIdXBnB2Phk 8t6k5jgqBFqjWZlfwBv+thVbOKByO/1ryiLshOceufE3WQHCzg4uP4i0htumlybqBD1Dv8S5ITRL TEJQq3D7LrQTiQJ9kYMkofJ8OTzb+vvH3SNC1j5AmWpXMap1nu6kktVLQH45mbtsBgn0qZoC4ciW TzCa/80A47IDdRt4m/k4eORyXvvs4QFkYAVOYh6WCOnznIGsqbty7u4QkhhgvPnG4EN+s1xixInC pWWXSlX7cPT7o2OFFhQkc4ZOA3SZFejvM4S8bm08G0k4n1usq87+jK8ZhVlICoJv+MCs8pmmCnEi ogEyUlTUwdlBMxm/Nau+LwpCsZjmPoAlSPa+/WTpC7T2ShPtSpWNCt3aTpKiAvRzFydJaubkrhTb LnSY0xp9MGKZUAS7jQKybop3IqbTxFcJbXSZgbEAQzGAnNRhZgFF4+w5HANb3fsBGmDQV2q4bpNQ 5Zo0UwbqjX4OCepLHHKG+S2R3yghkzn1ecvDX+7YWDUmIJ+ThqZzMqlsdEbHWphEiux24fM6L+d2 GRpymm8Oc5AooPVfUo3/NBwkqzoarmZJbTypMzy/69YarG4qe9+cZNbVShqoeR2axID1TyJUk/o6 Kz5JAJpcPNWmlzdE62nVYZhlzwy++i7qRtMwM44XIm0/bBC5rRye9NYUUPXX5uk2IsyJ2VO2xs6X TF1vp0cPzRw5EVRHQFhHwUaDq8pKyZTssrhfHyJzAAAeT7hj6ArhqfjabfjqSmOKwHAOL6inmTwt UovHkPhbWChOlrJlRrziYEqcxNMxFL1XQoT2VoCFRaSCHgn5TgG3zmEOcP3Y5ktTqxw+Jq6rps0+ GTL8I5bjxI1x2g28lzpn8UGItsk68OG3BUbEX1NrSkb0NEi6MFQQvrcdWijxsCg6dDzmZo3XxK9C STASq76l6DMtjlY2Xn+cLMofMl181AS6RXbodBhSqU8Gw5kMD2LOoJUnU5z8fQov3/J/hmWjoi1w WlIKFtLDpM2lZ8cKFrDxyaBW8jmEaKxczsSf5lUqNt3rFZkc1g7E2O0brJVZfVPtV4pUx588KBfu JyVuPlDNh2Dhbxss4Av44vebmU4tAcZYP6S3OsOsTlzeJdjNOpVhF50Zg72rA7EXw/bh7qRWi4mY qTmxjJQB+mnTFyELcYG0nMjGy/G2gAJYr3/AwicLz/l866/fXXjfBT96sAJ8V4F53itvjHjOiG0o kCqdcOfwLGHYndoPGu6tjKDow1XDnUBbAHy4AtURwzgvgQObl7ed/Fmk5S0KMzbtzT1KnQ4YzWjD trS+GNR3MeR3HjXEQRnPAf5Z/XsnAlk3K5QRjTEOhH0SsvJsP6/75B6R5VPZABHv+3m5hhHjddao 8tDk2PO2I/uVlTksXn7kk2gwEDRi2GnKycVYPJgeVEqg2eGswa6rVRE3nVWzhWiHF/VZF4RMSFFt DTMysPRlYr8RWnXTddQs5e3CI0kdOifHL+YSwRK3is2YsRBI9Mn1Ok7Vzjc0b/ve1Mdb+s7Z0A34 sIT1+x7Rc+kWn36TufCdVprC8dM3rn3eN11Y2T52zUul07vzp390Gr3lWpoK38QrCEUlIy0zOx+W dcDKVebj8AMniv6MyWeXH2x5sata1JSajWjsT9YRY08Svk/uzn4WmO5VCP1jfLIk3q9Psid8iLCz XZyskXeNFzvQyoCrlMBfOILJx9t/xGIoXOFHTMdAdpzIaFwGXGCIBRzZqgVjNaxgnZBpOsLbNaJX zxBm3tyH8Tx8A2A5NR1MbUTSS13Gt1cO0oPWGPT2AyJ7zStSYwOJGHBrNF5RrOMNrt2IzjbMFIgq YViITgYV7Op8MmVrbDDWzXncBZx/YvUVODt+evDZhvzAgxugxPR0hET9J5s7XLxKkZV4d6ycvyTw 9WpBeJdMucptLBXLPTm7zfvVWYPx+9PBtrqFkUUQbiey54WJCo3AN9RfutHX3IFiWbjXXZvFvDHh 0jGSFCuzdduQH6dh54IO75a5zc9b2NmyKjzhRQH2nviQTFEk46aJs2WZpw2eFVftcfvibzoP6mha O1j1Rs6FMJzmmAkGf+lpk1fVdBS4n9DMGKd6YapCLpQCihC3ozPOMf8YF54YuD9lc3eDFEofFWjZ w/mf0yWg8X1QSgVwbKjpdqk2EnHx4gb/Gh/JVOW1BirzV76PTWm07RWr71snJZcZh3yZkKO1BpQd wIlsGH7clniNqsLMnO6vc5Yyzqbg+42n9nzYLtsuxJUKA2wQUZGQsf+ofGQjLmlAhuwhsBsPvQ3/ rQNOffFl1tQkUzWyxHWKi3QDwMe0KeEJH8NqPFmJOUyZqquFuopjl0nwojpjejeTCgc1z3xTmRnB +IXG4RJZiRQZoIyK0ckRdOTZ9j/8TO6de9rrBSFui0SbmZod9MZ2wvQpwrQqXGy+EE7XwvXSZIFl vQdGBXQ75EY5h/LScag4CHI38dJ5nNYPdHNmUCAv6cG/uKswkHP3CzpfwJ4LR7/pc/ZCFjL92+38 oFgqyQDKQpyoaySJyCfN8BmL9TRokPtYbDbuVEui83spHywsIXMjydvqxPwkseXZUkeqf0bRlMjI lmyKKPPd11riv7/a3W7ewVgeMWJ5srDA2ndRVfcOMo1McQnzSRXhIPV0uXLtuq1LbCzLR1taIWgZ SNR4ArXpY+mM3AvmjaAT+QqNwoKZ5IFob+5yEw8zHFMKkxKhMu40vsHyJW8qpAlUAy35/T6UCpgU Q0pfi/gEZwTw6verdEcd2WjT3BC4pHqx/rw+7eO8Rj2rbx3D+AEYudoulFZXz7ggavA7PFPZ/V+2 ke1oRcUj0grYtE8T9c60Afs5buHMTZryKwspdEuTvfggN6a/JAgdbbjkWM38Wzsp6vxzkmwlMqSo SdGfSGUMgIgX6vM9dGVm1rqOD8C/UZjYRdpExNrbymUoCmvJPs/DszwQoTkzRtiLseqp6pp/qPvD 7CCZjP1qMgrqJqDE1DkufOBv27n90x0SpTa1PlB1C4ChP1KWsAuWM8OneG5/c7O+Uidsc2c7N6DA Nxjecog4DR8RZkvcXcZpegBDZQY+3ec9fDIUFnMBWPK8zK/c0yJH9pQ6aayoig/akZtkz7PM20vq g4o9U0DaUbQKud4T1VXKT3omsSy3Wo3hMHrhGGs2eAhU+M1QYbiyKPMB5197Jwqgf8EpAMapGzBl xnE4vwzyIbtJ613HNwJgIVmEyN+v0K+4EW5kY08fQAxd2KHApJBulfC7JUtbczlku1B9A3Rqi0OE gZZtsDWayw9u4HvSa3rGqLDHRJndLVlzP3XY7P0DHv/qS+E8yN/hbZk2XYFX0cpV6icJoNfX6CwL 1oAuj0GWQ9h5Gn9jhBLS0bc3IkBgqDOAh4TCj5ZRePT//5sMpvcrnfNPVr8iiHNIKvzvJcO/eUNu UGp7nv8axeL4+EATCHvSz4cQYW6YHBCbaeXNO4gY2gp6BGKFANGcJyTqPRSQKYixkV+vbUEtiNtT PR4wj0HlcIOQx2MnHnAogO0/CViSv01vBVN4qzVQUtRAhsZbtU0Eb2hGLYMrC62S5sexYEZA2Zs8 JdLhducmWoKrxcbuDD9ZuNI7Jr1tRvG4qYxBJ/MPouQTpqAoo5Dl2DlWl2XJ6bIzGtFjf6fS8Med HlVDJk7bMh2oJTXWsCXTu+wJFewr/vIPjxorlZrcuqR4Q0T48939oLJUpvEuLv4DkXvKb2S+7T7O +2JDKI2o0oCG9WvF0P8M6QK61suUdupSctDvLoZlRpx7noEojfInkRn+oYNqfYEa6Huj4wHTqoIi 02DO2L6OfhURj/afI/xFz/fKE9vln4uDQ+pL16Kuu7HWdUj5HtmPOHJCx22RW1U6gcAz0hjeDRqA RovabFeV+gQ3+baFEZANpn9FeR/zz/tQr/PRsuT5Lh0j0O49fJ4GADlYsY7iYbLZwQT/wfW2Fmnt ssgk2JLm8F8PLd1bf3aWaO/qaHXqPmaZreBv7Op9FuqSDQ3VKOVKuoLKNEet2ZHkC3GevOv4yu5F JgxPF9gCEfzlafFG8yNUDfeNIjaqugCBwi1buQJnXQ4XlrzzujDuCJrx7Q53lQiwbn+hJ1vksBYh l1EnTaPVQI7hFmQrekBU/5NITo8P8oKkoQi++/imryo8ORWVVUNhWXv/1WLl7OAUBrSnXfp/eME5 kOXfMF0yKRX66opKIoyblzYypSA8RgHr9g7sZ2vVlNBxs6PEjJTDWE0XaZaTWYVl8jM352FuGGWT CR5mDV6sNoE3EY1EKmEpCfe524QQQkfO2XBdgm75K0cZ2E3s3eBHEFJ2LjvuKX80nzKZW2vkirVD CmlstLrM3KVsKSfbCq3S7ZNZJA1vzYa3a6v3F0i+7H7Sa/qbQwmp3PqgKdHo4W+9FDjsSx6ChVuS dXBtXl4pBbIMB1rx2Fn/5FZIS6Fq3eswKFFoKfR6WaozMe5Rvgn4HaX7uQeqqkN5834NI4IqjMPh 30fEmzDsW1FqxUhEWuw0XDNbzrZ0fH7aMENRNjoCXg853DEMwVz/74bOWe0/29VTJmJOufqWg7IC WnmbIGW7CuBr1KG2CpDgvNfzQ2mrn9zqEMi1Oqb2fFlh5DIeUqf+ZDKPKhQl7yoBt+eBLB4PbXF4 nq+ctJ1rbLhhR3T0Np0XOGiwTPT89Ku9ieISbb8egKOSKkcvuUvac4M1IxU4RCfYIyWauuQbINjn JT4R1/fivH8t6d9LSaTQ0bn9Kw1Tyk09xfUvgvGZTcK+Tr7vqWC+d/WFqSO5vC3FadQssUnio7Z5 JWJof8CEDdoLoUCm4E1KTl7Ojz8QiPq/oND3G0y1vQ6DubA8mpLT672KW1enficdsC5qrktc/gMK Xoo6MXBvQA6N8hxup+Kk9c483CNQ8hS4/CBmML6FKlZDOujXc7SXLb66YEMYy+iWWSdU31w53dur 0btFlhE84+mqcrcPvr59j7wT0WpOfhnu1ZdWjY6vgM78GoGPek5eXuotHrniIqSb1D5xNAZ9NK0n 5rNZFWzIkMgDm27igZ9OJn4wUM0VDySsr0f2lCqtj2imTyeoSmhdLjl6uWKZwM4nUAEbDRZd5DHq n2J0JZ+iwNcVMJAXRqquoES5v5DQ+ylrbFDcCaIXzXTh13/lelq/xGaApWu6DtbxGtpzcTf2+UA6 4yM9rS03SVhfV39lW9svspbaOfHIWnNQY5erX3snrZRzb94mQK9fAjRq0d4uc6QIB97ecMfGx3/a V02XcKyRyExEQFMOFqgSRQtBvht/SDS84DfnldgoUFLPC74Mp8uDmFQK19I0qdDRNQFP5B9tQG2U sU3rw37fvYkhni9DzPXvaNAwfnfocdheBFeYz3btUxQeFo3XfbNJQcnzkFUo9TMD2ebDrjUofEf+ XvGn7WigDphdp35Q91LTnTXjXIyfknfuB6js9WG437uZNYbE1GKtjRAdvb/PAOELhUPrSzcalELh ubVP7czPJN/rv3HRZKyB2NvyjgSV8qPqgTBRPWNd9padWCoo6NI1hklEVdEkvsvQDIbNaNJ0/Y/e 3WxWqvO+XX+juXmukncsQObur8kIudxSQanPmCHwSjDtgJnfGfgistYixHqrBKBqNZc/1mOdlTzQ fkAAYFI/XwIP3j+bskql7SpKJOunEuMoRaJR/TlmweXLK9MeTt5jy0KWPtTYrYqmphc5dHYrKEB1 V5FUY6JgmBR7nSLBAkoL8Gmm4rloAkfcn7uDjTHz138rCpM7gVv3jbrjdHV1N/0Z6CGtuWV+6j6t t07s0NbkPpZbm0Rtwyh7JKkTdpl6+gOE3yK4oFHLNoDHKIUtzDbtgPFLAtaXbC5mccaSGkRnBvSL SbOGehqfwCg9UWEt4WDXMqH57/QSl/oZvpQxRT/z4f1ZnrY2JH2/7v2NoBpHay0NYAGuA30ucdLB o1lW/TUqYq3sdhk8tRp1BLBM6iuoc44NAKGvJGe25qPVcY80ONM3gsJxa4OabUEFbU8hc4igObrC QhAIO2xE9ZDF3Pq/RGExlWrMlL7LHV3o31xkl5AOPb0fZ9YfPb2vz7u3VAXe4vm56pm4pE/EkVq5 NXVOH08ugZ/mqP+VdrtW2LIdjmJoDrImuqW7n4sakHeG8KnB/mkS1tQqt45T7G+0wAHAu3coHM47 jWypPRdLv+6o/ka5+U1/hc2VVWaIyHNq7wqqKUoN0llHo6EzE5pBO2saznPhCNz7eVa1aLBBvbgb fiP8edTS60yuqLj0LYZpaK7c96mIrcMgN4MDYIRIYjIAaTPoR753UNaR7SdFt1CG6K8N5p9ZA8bq Py/2PO0gRrXgo3+cKDAWbU+w4gN+8lD3lizzsZ/duxL069MOL+JNCQxZhdt1uatXo89u+Kjc7Nio 3N0+1oxQrfsUwo/Ps6j7cYI7EmC+TipYjxK/eyCMG0WFbqsyAzLXVyWaaYt70jy0gobdd9dsqaBF 1/PantPczTThsde0eWz+NMvqNapLtKUXYtXsNJSdr8MT3WYTQhbRKH9SIakzrrxWTpa4FZTZeb9d gJGhiWKVnUxhQCRahrpvmsXoZ/XDYrBM+cgd3lAQ8YCm2OGmSHC7OR7hK3PJub3tG/HzaPNQjlvR DrFJ8rnLwDu5h6P4rdUv8dplHFC6B6XZNOMZ9XGPgr3Pw1L8guKJyL4uh8oKUW2JFk3FfO9VVrL8 NJrDGAadbqohgWjoW+aBKpRiDasG7JbDVZ32I+7WE1/myfm5n+0AFpiANe9iPdqnWzSTWsFbkKL0 UxOeVt92gOgVvTfsZTOIzpkk6NIav2o7uKvliVJsyHTwQ4sS5uMcTjllXgvKOtJ68zjG7ZjvFgma eF1tT5CdVt/nv8KxuWNvH2etp9qH6jXsG/Re8M8eA8oVfirsEqHUwPOymLNmiF4As7zEHzexHhzL N7abyMcJjusLqMYF8i54TblLcCbSLnJRPfrr/ASiEFc9QCNgNFQd7MUQQec6JjPj8BZlEDTz9Mq6 capY4iDForISFTbjxX0SU7lOeGmk/efcux5OGDygmghhiJpbEQ+5FKlnPI1bvfPCJY9osRMozeyb e5xijwkTZeMygL1bVHQfMKGD6fikytNVTQR1vkAbpiSMVQMgQ3pLkafADDx/h6GvGfuI27/HcSo2 KcKczuRuEMCAnCf7HS1bDwpJ0ujahDTCrAn2S7RUdeAifK7VklN9/rdDKP9mpJgwiPqq07gq4cYx NLA8gSHgAdrB577y/1Kl0uaFhFAgPMhP5ISMKIykvNlYuWF4+XJpCibYs7aKuqo+hgiFncHwHx3t +YvYu4TuMk0vDSX2k0XGUdMKPoB0uNIAh3ddkW1V/eatHRUg3ehQmXNmTMkSzAY3jvvVFFrF1QT3 L3n5bKXtdSEO+qzXNBAXM7UEeASRXsDYeWt1Yu4LJAI/eY5rBNMNU2xSYEuLefKanYeFZrox/EkP 4uxdvBoHC5w5SX0T9zdZ8xMExnwHkpyNL1TTw3FMap/84NiNpl5pnnJjtiMz/uvIGkAX9FOj+J+b 9o1UHVFyyXNFt5RarFs3q5qjFFJ9nIKr4nZKvwEPgbZTUx5f77HfjgSEJ5jsrQelv7mwvw1KOgcm 2etxldoP0JLGnZWF6L1SUWrfLtkH1z9OmciBYyB07AhCeI0J8NQ11HEUrqR8DrTCEUOmHmnAaC+T 3PXX2WWoP0N14W9Zbpc7dCkc1pVrhf70JDiJZRHu7t6RCtQEUGCjwYRuozaEmb1wSIs9cI5j4KHD lylDtmMByZ/rX0TsMEaqYSVISl24X/ZAw6CKJEBj8N/QYwnXCpX18ovobyECtW+4y/YnDx3Wf/TL wDclHM3TCCEaHdSrJ0QFhbP4Pvq/TBibeW2A3thb796v9gfIbho6xFbFjJrFyvJJlYD/VNS5guhy HWpM76zHMlyJ7sqAysDGWlCGwgiy3zPXgKrKfCAznwCdFQ2IRrNuPGOBGMFpTXKwZf7r8WeMakF/ 1fB39wb/xDjGAol4fQWtDyna2u+U9g2uWq2uSxNIQVN3lnW+Njcb9AVGD1M3DObmhgiviP//7CAg FGmgwvzuji3JaId/t1rtU72xHeqbd4e0Ov62D1yVQvXKp1VeNWCYr6osrMCmLSsuskAZMA12h7JH mXDsjV25wlkcDUpzs5W15ocaAL1y2Xg3ytw7OSl91TdLTA/mSLJjC6P9tzGRcjF0F3tH9vgvqeD/ rgKwwoxkprL2BKoMSkLwouJp6wAqC4UV3NvUQCgtKrvQm20B8dBJh2X2lLtjWLi1LPyQqD+S4srk ImSwsgGUZbb0YJBQfJL/cHLJ5EBg4g7Y8G5feh/k81p9Bs8o5QDP7wbB1LiB91yxE90oKu55UOId IqG/alGl6NqWdXBmWGFD+u9k5hh8bRZbVP6HLAfxtJ7Ka10y3P4MNLQqwK4JAMcaENa3q1sq72IL frtwYfGGiQ3fUG50vw81ub3UhLOx74SrvfOKaViRX0m043xWTWEYwsv2Xdbc8q7KGUDilnL5RkaG JraxaRtCQfjuOpGBcJD6xDgGHYPWeqG1L+d1SCi90B61SgIZTJk7Y4DReXS8bNj59GI9RJr4+F+y 2tC6YaHx66L8GEv2annhFyjhArxYfJF1lZoh6X1nPFvUYfD40+F7cnHfOM/LhVfEydca9QBclkr5 U/akMqdevqnXTRC0cs3qnXaFl2kO8eb0kxtwZOD8iZgN0dCW959J5xRvSV55Llq1GwEpQxElpybN 1uJ8D6hJE4xZXoDWBNiOjlNOemg3IUBCh0XIQuFc0Cyf8c4RwoJT+KGivQqrhhuq1bGDB/y0mHGh kAjbJWutayfJB1mv/O5ar1P92CIx2QxjLj6jbdG3iwWlg9BTHFNsnE7Jl08YzhgID4A51XqYRYix FABYp5x0m9HvzdAfqNV6TeGzvHC8JCEr0AkPNLm6YG9UV4GN16eAtOo8g+PBreuprystiM3EYHfJ E0LpnKMaViWHgJ/YoK5rWJWL3ifCgiwjolsSpBQGAEcYViKj8Vo170x0saYednQ5Wj9ijbDWGR3l rsvHcujGSwOv5tan+eE/djEV3DFrAYf2Mxd7ovIIabXlDGQfk19MW88q31amRR12NP3/oFLRu3+R 6xqg11IOpzFguGx8LNsu70/UeAeo9pm9qAEi4EukBLvIP+m1nKa1DsuN56PlPACTAs8ao+UDUYp2 vEk+kKlbt3cP0euDY4m3zzJv5YGzWF8kNf+8F6usw7fgkVlPJ6qK+kCBsP1sjE6eByTLWK3fwcD0 qLsSkeNjvL5zDd+20JVooyhUmvR6s374zXYOOWYw0JVMCyay/INZcuXavPB09v7EnOS/0jCW575i kJL1wzGVVG6S8GwMRjU8hFA8C2N1P3j0S9MwGwyX1tPLziitTGNHRAWbFlyQVkKNxQQwazPa3XEZ tUVB8xlsP6Un7nV5yi1lAj8owBHeWBUtV0lR59m0bFt8An0O2uKueVPkD5k2yw6IQ6m5nF0AHeeh xhCDrXZL8wqFdLM4urH+yez7r9eH6jYpY35+R5KI0LE35VqrLfOrf58f/fe3f8Q2voKquxffMdeZ r8CjAulvOdTJ27x3jsOBMm5hhjrbXzOLZhr8CTrXOK5P5cEPfo7CEfrX+4RUX0CpC7j+k35bdikn eIKFsp1fqPSy5M+YdQaEaYmVYQbfVVps47rsg84uTyM5bYrLIbVK7ybbCeW06wb8eGHgxqY+UQQg EyiqN6EZAJxDrl+FsrrSPUxiU9UuD1C+ylwdhAPcK8wkWs2JvO7qzRtCoh4czhMV2vRmTb9kGIM2 uZeFy4AdPp0ycZQ5hPzbGjqcAyXpcwteKwP8I7FYfe5uRkUJg3J8UFYzEEgaFwt5EBKbxKYW76+P WfIEwaJ3vVhJgkJAW7+huac+qFtu//oSDlcIx11988E527KlssOPu1sTWULOOSxPzD7/x9rCt3HX VT7uUjDpm0T+55AR9SxFT2IkudNgZpcO/TwvMd2U8cXTQCrlODtuciBq0/jrpdXCBjW8EWY+uZ3B 1R6Pml4uLYKr69KuspJ3iWjufAuR9FkS2rtpaR3h3k917tghQQjfx8h5wme0lOIVo9HFm8r1TPml uglwFPkVNKy74711AAAEH6eCm1xxBBxU9JiqxWKEkQiZ5Nd32rF/yqUc1AQSsGx9pZWdmvRaEDrE K3/GOqtRVoGM36hyGqkGhkhOpC+R7hlDYxcG/AJ5ba4bghO8emb5bBdoWeCvOurhmaObOgRjQuLC LalvtOrbGKfxcqHZSRWA6F3xOMKKaKo2AYxYO8ZQZPsbV9VhW9ZqkSQ7+vHzvn2ebHTACqQdTSAh 5FPW2CMC3i/E7pTY3njUcUAjgOI9uuz3z+9jp3Q0xlz2aODbRkyLfpkaKRVKZT0u6tml2h1cr5+L MC6ScL32a6SVGAvQw/LSr8fvbRb6DpBT6tOgVot3snNJYO+CHvOWNIvrhc/5vVS7RIwbZPf9DkeV oAWeRq8QM4n1Ui7fiNRb7UWEexCyFkCXvLrzFAmuuVt4AnAYx5hWiM+oV1/6FfbWuQ6LKeOGeO6i Of7rNwUCQx8mVRM0Pc0HPriunHDmWoMS+zltp9VDfEjOq2VvbHjiQbY+qY8r0jQJGRC8rezui642 g27YgeH78Rs1LaDPXY6zxKwoud0W9789Kk/rE5zJlYQZ8XypzN4kUJfNaL5Bwk8ryLhXjuHfSuR5 p+rRItnqunSm+Z09FLBUVW3JsFl/Fnz2ZWdDNNrgBeowAogQHiy9At9a9TWyPfJunGUX2hdE1Gdt TV03miePAOo3ZoyjaLvYpbakdFfg9zm5G9xiaQ2i5sDtdqWGkUX8Pr08al3iXdJXqy+dNyrOtL02 uDIEcQCY/UJbhyDmZdDlEf+NeqEoBCPGuDvR21nqvFBspD8f89cQ35hCFZJ6L7ccbDJliWd+iffh A5pEJSTJuHpTCpEHvE8ZxfPrxfPGnlIGwr4kqt1KoInDpK5ESJB3boSPgSI8yGc8vsDgrh/o95nA DC8DFqwJJ4L4Uj2Q0PEC+FjvVva9qgSi5+7rq4ow+ofdojIYtRnNmkYupevgutgLeAHpMRxXEC5Q zgpEPH3TdLUt+peiKJhiBHvu/cKN4Z8pRsiTuQRm0jkxfJ5d7TseiVBYDgsqx1kMtPDhh416PfoD DyTBTT0fKo/6YYNRsF6NTzGWaNKcOhY1t8a3WTHplayF+PAPx1LObLdoID2Mlh2t0QXjWVAbFf68 HGy5EUmMEhLdDVRGtZzRIUx6cHBhbSYkjzxhYUzazUfelEkIbkq9PXZpMZthIL4vlS3wpXXb0gkT WQ83Y3LqRP6mzycT9wrOzn407C+an2SWcQgBHIDi2h0SNor3FBao/fnYN5r7jxXFA9rV5uvMQIpJ hiXc+2w6f48x5q4dguCz9sX8SHKDM88cJwxkgiXLHtDuSpocFhgwykHuy+zGK5SOVQWERaN+OYmD rYvU031Yjaby3L6wZVqeuCPjFpWZ6LHr0fRkMDGnYhLhYgqjA6zKbRfSLLMb2MkHRT3T9p7IK4Hz pBKKyJv05czI5TBsSGJLg1Jju+2cdMigFXkSghRtZHSbk2V6SVby/ZNoXSJI3VZxRLGRJxrBpYJq 0lxrludsmTkK+0nOAExRqE5P+8vG9SVdlCj3qu5S3S1p1WLDxjzuKmZXsqs3v1zfxCO4V2P4MPOX bWFG5G3trn2CQzQ+IXiRy3Y4BvabAHHc8e9kmBZ6hXKB1k7xcVmEHnjRRxKXen+37ZqK/OVxtdGb i6wYW9X4LSzVto3wIQIUxCLNWP3LboNlwlLdC5XF1Oi/QJJ8lj24nAPxqU8SzwM2AbxUk4skQw1c WCRALc3CDXTQi+ATW0aaU6RosUM+gqVQLZgQ1BdeomzJWRGYRw27Wp6tO6MgkKjLsTkvKDylwwZ2 W+F2dQMnY8VChgf0dKghcrPBIBIZv4bzvE/otWphHxehkRvdSHEkwIGEpdxCjPRgTb/vxEV/csQG Q1CPs5p8rtarSZ+7If7DZsvIww0V6A6fxbHN0qf/H2ggzZvk0o7Y1T9Zn4cpxgKA2ayz3UH+gn4O NAwi+kGh7zsqNhTSvBP8HOzQn9Dix7uOCEsWUc15rA9whgZwOUziEhbqBMczn5EKOhrOGaXkq/1I uoY030CwQbnX+iqOJgCNfaI3IBM83OuqZ5JYX70DdkLBwXT5dROMs72aQYhQmb2Ss4n6MLt7wYNZ odlxFn2rbG5EqKdja6S09wxji8WBpOw+VH3JDN9yv/HDHauVpuFhOVZw99dG/Kj/Na+zzwgpxRt6 kgoSuLIxgrfJ7g0GLMNjTA8mu1J53G9W1t1tv+Jsnad/NL5P5+qb9RLZmBcduLPxkCXZv2iir5Ia v93sBnlM2FdaKD2rPe83oveI8SW0K5GLcZG9obHBpK5zbD8rLU9qWb8iWOz21kmUqSzMVa2+Iljw fX+PTlTXHHptEkn5m8TEeSc2BOS3h+clIphPHTqJ2coH0L5rLhtiRdFqjhvPiLWG42BBR0CQaQsT Hye7G596xalfg2kb9thyTeEN44bKAzcv/yKbR+dFBIKPn9TQAS61PxdnQYxrMUEBDIPb7mJHUJ+L MDo0siYQKATlFzE59+bx2/RXG0vUC403DzTq0eD9aV2Bx50/JuVZzFDHoeqdmNtda+c22vJLqra7 xlqqoXMaxGjyt/fmPTiEtczyiDAExNOekCLmLPxTu3ZH51/Xq+9HBw4VaPNNt8770MCvfgHsplUE ht5VtPLwm5sp4huM60S4SFX1aPVp9k//HP/qUJSkD4Q+S7+J6xbb2v4uhBaURRaxcrtvTvL/cW/J 6/Pt+o91K8oe38X2yk6S/iLlPGK2+3Vdu48PKw9WFRPXpa6H/riO3h9ul7g2YIOIJHbWHno0uvUU YnDt6xtLbMjHjXwcNt5M0FmnOPJHSiPEBOS2xJ2E56Grthl2bskB56Mt63/wY40V7B5xNMic0Vyj XxgeKFfp6bWqWDy2MIjI4WiWwD+Dgg5fKTJYlW7+xFEZJiNcXhSuTfJmu/VySequO20LmOBbdowW BTe0JsoIYg8crtitEPb94D9x2o4FxLlSrTrALPOGqjgZT76FKVOVJNkOFm5odTeMiyJnKlY2Ziup 2G+2/nr3PDgrsJIkiOjU/WCLB3iTssTkuk4LcThoSY52ic8J5be4HAFdek62LFndVFvKGNa1ZwfP IrLJ1Y/2g81nJrvp57CbPlU+B1ffn5Dh7oNDSkXlsHZij+QdBwwafKMKDChS/841oQx3FomiAZ1A L6yZBxIRWb7iJK/SK2vqJjSt0O+ey6M8ICt0egQfx2sNXXDsZdPbikizFOw+qs83UF1hUMXGtHGn HoyPs68u9Wd0cdlJHNhzmFBDfwbxtxvIna7pIN2IFirQyQ9KrkQVj9dsn5HSeoZiuJzvLiNHhTRC Z2DzRSzwReRk9cfk3ldlFCvQfnoXoNBW/wvyv9hb7WIBJcwVHgfxtKNo+CJ09TtkrjBV+Rdp5nDD X+t1tzQvRGT+jmP8ltmBLSc3BzLlY8QI26X7O/JTbZw9+jdPpRB3eI1OH1KlFQrC2Z0UqQ2gvevo ORoCFPJHbG5K8KlfstjSD2/+O9WXAD+Kyu4Lj0XiMe2jaEJ65LxmrFrD8h47graHv4Y3aMLybgGy rvJLyh5tQ23ZuHQKyV2z9jL/LryVkyAyvSNprGjo+6Oud0vJMuK5+Oj29i3e2V18cRSRqp73AyYH H4e4pjRRfQIX27h7eqLtLelNRm3GoftO/dN2yJ7hf9p5eNQHQQhdASPYPnMcgNj4UwKS8ZHw8gSW anqXdiWeF+poELc/1lXos3TFplpYXNkYhDXcF3wIg1+UlQUGPgAhsXJZiKUDIl/gA4TiqH7ZggqL OT+XvM05HPday9gJC4IDo9JOh7yZlvXF42OuRWN6MRQFuqBGb7fMa4/lN/rAEPPACLdxudcBbNaT cN+FH5su0RVmAXRHtxXGIDFyV+8kBlpIjCWbuia8O4E6Aj7a5ccKN/WLGX9C1EuI585jgApUXUBn 5vwtcJN6lZX7G+Suxq5bK7hwO7BFLgMbsKggl9+p1VJgFmYhPxSmgnjgGRe4q0Y+4lwT2vAzfjsZ NmzrdqPG7xsvhIVizMLGffktZXQuKshS+RWaFp/JQhesINelHf2zx4GIo84hW47WFbRuqHeALGzX gM8V0DuBOPPuivUJMv856y33KPgA3nFmwwY3N5B3OeT8Ca2f/2GzXSmqfijGDQTvI/tkF6Ormxxi lJ6EYf2hTe3sN/6w8quBFD2DzNAejO0ySiUUrSQrl3skvemBszuXV5Rbu+k+9isd2c8tNpdCcuTO GaCPqI7DadI8hRC4sWFMUw/hggO41LHB7NvP4ypJ60BIO1WCoEWy2LGzPXYV83hicaa58zyUVhnG fgvq7BW9dI39Oc+PmAEhaGKTC9yIL91BHQIFvbFSZ/cbIXZP718HhsnOns4RpcZJvvb8uJIdlUOE aJNn87kMROxnivE/453Z+BjJr2h0XgKIpbULrNe8fDiqJ2SmB7eRt9Di3qIYyIwSkKi0oMJ4anaX o7xokyO6oUkev1KVQwkC7sb/MnjFGrLFla7+24mzivAFiuMXPT5bLGcBPLLGDonD4IOkhYKyQjcn 1ytIGkD2FejaHSQpx5GCn7iVGykMC6ndnOxtDFrCv5FHy9/NH2eYMKA5USlNmHeWyF7pDKSHTTi4 a6leWDDyRqk3G/i/ZtRl8tKLu55WUtxGZRCQl8gOLxOo6eJQr/Wgiw597bTTvLjLs0b43r26pon/ wJkNiUT9TPC08t6ZOK5reU5uDGr/pUksNmcAnjOTMkcInZxMatOw6vkg0ycO4QoR6G52Wgx7kQsg C9lK60hhCz9xzkEdM6fQdhxezIZG5+w930bFTLRAIxYgK2B6HbPN5DPq9maInJ6RyoWt0uK5XBwf UuP7eyI5H9bt0alo/7xDF9FRaso8zUxj2J01znX+PiZbvfEkl+ubAkb/WXSQ4dHJpZ0qo0RXHiBA Rc2r/H0Kndy2Vb24eAYvhNeWFWvByC3QM5KfEjjED+EDJBa6dxoHJUxpY/9Nfnq5ekjeippuGkMC tOIck3bfHi1jg0O8yE3nW5siCcB78ENIRWVsRNYFgmU9WEG7LGo1dTD+fs2Atz8Yuma0zbvjB8jr +hA+8aMIidvht1JP0/vUCklQ5EM12zScTLB+Eh2FUNmJFbVuDnrF3BAJVR1fbX0rJxHNBZqJ6+tm GIz/fvqaK96TjsJUjkw/OYrrLls9kp5qE/Ynmwt1HmEBZ2xocxuYP8C1QCxSwBjtXDZWeNI5tSvO Qm8eFQA38j3BVTAnKrFvbdB5ONgvLU4d8IdRdRHnpCOjiTitLyc8s33kpYwPDZYkN6LNMsb4eU1M 6A/ICTh/K7jNihrGBPLIp/4MKZKbiFcLdWyMa/lSsfCdHlh2xbDqf0YA5Z/b4DPJgwZuKSihzL/n vuOZ8OKoJjXe317iO5iIs90bSl09KlGCjt0/l6aFHLywUE771ypLi5TDT6sXcywJPV0mL61ApzXc DRsU39uY8h5sxXzmHUjneIjl8kEUOj7Y6VoSdDSeYzNrgfAqTO+uUWTbTodAS7eczq9WVLz0HKPa 3hfj/uIn9Mp5UNqXK/CvEkssP/KLS5a7ZqCTuFBNpvuQWBIYf3oMhdCngQLwnaM5CoVDSrRmjnx+ ZM4+M91s4G6XCHpTgjk94w92+CGyLSFf3oon6Np6oDOg9EVdQ6jrdLd4OSYZgl9+aS6SebRoT3+v WwYZzEh3zD3f+wvwlz/ZpWKiFRD1hmhoh/TajFx5RgseCyInBw1kYRHb+E6UlHbp7Tl9ohK/Tgzq xx1+NvZKshp6x5z38BBVfS92ojQ1RRxtjqKCaiGbDzLkn+99ZqN7YIJlj44EcAJshMbPbfsUQG+q Y3sy1qhGRUVUUDpqRDuf5n0WQXREoTALG8uLdeu7aYxsrmHiC3HJZWvM9jbjP66RCQGKpTEijhlj QRKhcVPDpkS2mjEjoGG3G6v898df2LMsbO/XLsIuuTdXMaMc9mlQ3m3Rx97h0uBCyksyItO5wJdD VKN5Efo+5n4rXGwV6y2S8rkTzybq7B2h7T7vJDhvRrbvgJ2a0Mhu5FU6wJvx+5kTOsZa22neaEqL b84aSZlQI4iWGgmGKlKW9fBigO9M0PrOFBPX56QCZJHXAASjTW3D8M13keCBeNqAjqN0D52RbIji p+YuBg/fD3MG6sI/GY+8PM8UM3d4hX0Q/8OMlC2Gi4wVXmhBBrcqUKihNSUNAAn7GpummFXXDlUK ikSMo6JCNyi9Ga7bK02D9mxUYcO69dLCo20FYSyC7LPnO2l0gt9OY1uY9Vj65gGE2QTTWQsJKhtY AQSj5XSC2qF3gGk1PcOa7mfNL26pPpbnpj/Qfw1svDZJtR93nOQlr66uYzIKtVZFAf0W2UyqnRet Y5bNKHSJ+Rw/46fey1wLQGmyKLNH9qMxFLjoJ3yjXQJgGEOGv8q0P5mEyhEvjqfQOxyHXA4asm45 Ak1Fo1QFGp4VToSlLNOQQWlZl8/bGisWk0tRMqt/CADJghKfoSwAGVc80dRd//YUyrylGJF+bf6T c46KG0DlVJSsQO/ikz13OUYDl5z0ICrGEYh21+gsocsGjmQn4c8rzCIZ0VISiqaciRh5FiSONwFV tpHojLMB5G32SkhK8hsdBnE8NxGlC7g+YBaGFxSHPsoFoAfcOIJIHwlfF4TEANd/MFDXU4O0hXVt 4cKNlGTeVl/G1aq5IKvPprm5fEQus9bPyA6zz6wVUOfCjRTRtp/CICipmKEjhju5eF1U9ur/LSCH gFGBu4pYMiV8BbSvmad/f2V2cyB+F1dj4K6bmwuNixVmdl1OVkFm+Pjqa1/HqV9zhRUbg3jT0hDW 0gFN23rwn57itXsRNRzV94rtuOfT/e+V/tBEjPJ/67tBNX6FZMQK2//diEfnpQD5B4J6ruLa+/cT VfkHJvWJrhonHEiWCjefSL8+gZHo4wazBb6OYE5j6sZuOkf6PcOFucdnzw24FzISI5E7jKs1dwTo xhydMBPOG1msu1S2ICBZKxgoBjw4FrFGMC65lnIOAPXHscGwgmn4vRHtsmOk4ttQCEo8Iej74GG/ L5RiFHausOe1xTL2vg/fmTLWqoMA2SAGiSnoUEVbIFkYTFDYxZfMkKCBMR3Ht2c+ygpOYaZs2uWs lDURijAejW9sQidSydKPo72iwdhKVOygPKQF+FemyeAqXEEcxPZStJuBlkEHxMD6IhLSHJVmVKk+ UuWVNWuHS/imjiCFKBKfcm/qi6IXpRS3GVswZsBjlIYZUK1ucfZk7qD2N8/atKR7ZXOLaV5RmAIM ywepRsEcLiFMZdZwCVjbWDhfslMZbbawxiGkY5gveus6iUKSS/bah8QSsw4Lj6uzPryk7UfnPRY8 WgOUzlwlJ9zkcPMbYFsANaJRquZ6YKYjRuqNyzbUd4fSkSTwsvMi4Oru2IdPJ+nWuzCvJmjYBDhy 4kV6f23ggf9wNRd5Q8XHd2mP561i4Zan9LaH5ztKsdnd6tfdX6oXEH9LKF0n0F0KtLAcM+CqXNuV 4DVwq03efLHaVWV325vSdX/JAoYKKfNDZd2bFsMiw0R1t9nwoMOael4RSfn8ZWMDdVH/F1U2m2g+ x6S0aS7vZ2Rnq5NiujwzdakxiVCV7Dd4mKP5FJiQvhBFIuNsUbx7w2JJcrzoO+wjVwUXlzUHi7FJ q2IdYN3phriKFOOwC5SejWavwQO95Xjijib62DrDkO4BOdMsbNkaLRP5z9Xoq6+LPEzOZFoL82DN LPRAP3zz2273Gh+62LJQdrCKomo2vGnk7HW7aGPle3UAOGzBg8YIM33MdSj4h0I/bXyaW5ZB9+t4 hURfPONcCSZM/AomhV7ZYFvXTG39ZOiT+y9DbpVmikX6lKw23xhlyFFD3cmH8LdCJRXJu6/qx6t2 WnhI6m9HAH29Ff51mN/lsMXT3WNjBHAFbdAe8MHLAA3D1gLNvAI3DjU5r086ZF8X9gFB66tn7Fo7 OnihLynFGYkT6x7EMe5v5CLmhfBTJsBH1RGV5q9UN9PWADpTKo1GmtuxIJUMaw0yzlfFFFZqjr9S lXi5gdnrfIYaIDcPHJY1KNuGWNRwJwUTmZCHukeyFXx4DsKo0DCaqFRsAH5bm6VwU7w0bmYEqGvB Q5TJcBhs5o2u2O+7gEdV6wGjMeMnHH25u/TCqEQkJjS7GcpJ/HbMQA2jD6wl6YJ9d/DX/HkharoC gGTWr2zWETaNTRD2KUh6OITRpeUzDPpoGShlQU+iVjjZ/dYguFVu/A4fFQAWAmzSStxSbIHPGszW 23IbzehvPm/c3PJxHWW6zx3RCrkfLuK5YhBybhHnOy3qiQhoVWSSCTVF5yreIsuf9bFGrorYenw5 qqXK0rQX8BYoZUddAIUvwgDAG16bR93R7RUZXAC5WyT3lov4jLpvBQF1sjDZOM1X1hv58u+AwZ52 KEH6f1ncHuPWvNomnhIh5fRPDgFHLlqNpmeWvZh9FOSsGtmFdVkuuumke+/s1LhZNa3J+hm9x0WG tfqbPV51ODzbJjr/wO8CfUQVvcVO4BPUVRaZ7x6pJVqpAk5aEX7W1mLRLo34Khluufyi6YhanfBN rQFWuPX5r+sREmOIA9j/eTIWQXE5Ol8Eza+GXO8ys0ygyOi1iE1l+Aekp9txGVCbNkHXONRAP5DK P+xS8cVgH+iVRBnd/7hHSJkN1iy0mqdL6qG6JwmceheSaGAK4P/teHit6JaACIR2F0Swf4cTB76C +sS2Ic3ZVocsrt9U5Mg3UQ47264m9RrVzwwvw+Kp2R6e3HZsJChF/6S5TbAgc92qL192pxnLGZk4 tOhgo/uAHndswvsVl7UhazTj/w9/2OzH/3MBo4SALJ9Z2auDI3IWPqhwv1j0mQRBdkcPLbJx7SuT AxZ4JrI/t4UvmUoXLda886FlFrrHJ5Am5Kx9TKjiyH3e3ulSis+gxzqI972Cygns3YpvQdBGbmGw 5zWsFLMp1p2RzvnHrW1LM240fLag6Ad9xIEOn6T+ydlqALPEEBzPlunYyWLAqyM44IO3uV40WWR7 oarrI+Z3mLxNnNYzY/exoJsMNGvE4BUvCiqbCdEky7fIez6tQpjGEhfQHqVCK9DcPnzDzjSSKpeB 18VfQ8HYbr1EgeZka8aILnoiAYqN43B4F/vEQIhMlTdiilxPgOo58LQ0UAdnT5eSYjoJ5ESox5Um FdqZlOx89GKpdMG/7ZlQbnXyGTj28+B2E7oICAJV1V7aIFBygtjby3/J/Fp14Q/ZUU3EmW6MVn+v nMse7e7clSqSAkgR5IgOyxeq/mQ8qrEl8XHlqO/TB5rzgZ35CTnIIO54BzM4mwe03pZHWZ01Ug5y zWUEynbRw/Ta+AGDyVQqK/7eJUX5sS9lV9hIXSkU5RxSZTrfhL3T2OS3VCCwkyjKq5kozP/asomx RGvML/6VnqcW2PYGpq20m1uazKucN77DWkHzZbrT+mUBo24HXtxlm+bQvI8JHOkrFxfoVEc+nuYO VjdqYxciOmiXnNEN8/pw8sbHVeotpJI9YnVMjq8Jsaud28JDOGA+5CwrjM2Zc2sT18RpGvwi8uz3 dwas4XXC3znHF+S8Ltp9Bx98hPhuQZZCtbjNdicikyl2iskTlDXL+FbsUBWKTwR6sT/JBqV0UzX1 9qti7mRjjifiQwV4NAQUebdM+70mWGEf8TAjdD4Yi2gyhP3JwkHbTuMS86UfK71S04zl4rJTorDM h1413vINogBWKxOl3q4y1EUcdSmWBYk5Qt3GoVrABDBL93TU/TYMVk/kL6f7oiOgfrl0pNy4sg+T n/Xnh1HnkueFyUTDu/QL1ndQzzNSn481s7NQF3JtlyMSZ7VDd/wao3VQ9q04pisB9Q3cFAdMqGT+ a9ki8cxgcVJd2Q4znFUM7jCTePk1oWctpu709laUJY7QVWTWvK5yqcJq3q3QQimlfd0hHEFcmmGm 6utkv/rfX2+WVdXg759gvRAbxVIlEnnrGWQMvK3wVVsB7sATRhwJyfqjVrnWWEA+CifII461QgYF E8lKxeIRgeyDyWgxNkOJlud33Pd/gl6EAkx6qz2zT63myHF6VZUQEs+ePf3Pv784vv0Zwuo75e/h UrdiamuQAHcppGjyMrZO2EQBvO/HxrnaQER+j4t4BOk9wtMw79Uc4s1huv5BI7bu6b1g9ZVxDvKZ IAdHam6tHH7vPwaqLQfC+805dWGIra3e7AgwUS6BSu2ny2bji1PDe93EVc7Dr16Vm0YAUHU7ZzaO GLRVxuZO0pHXVj1oI2yIVYXCEVepqIZGEt419kC+7xMYjBSEtwV6hE9dYtm24yObgBtme/r3Kjc+ o1YZ9SBCKWSqcBO/jLHvJFE7evvkBKQLjY+KaYW7VWuqxjzD8Nbab5RSevp/gIPENOdXKt10pVoG AXG7B4wQmdNa+uxKMrnT03dwrsBBBjCreZ+ogmqR7b+6EQYHYvCboWPKdTpCGBfZvbpxmWB4Xxzm 2Kl+KyP1p5C9nu39CX75eAyyID8tFvQzJzAKmfKIxnMlSJ12SQ2JzHZAU/CxNM8mJhEdLRpwPTpL diDthHCY+GXRgVltwZB2rPGqJpHPxVOEnsW3ptslbWNDcDXOr2SQsIzHuU95ld5a+RuZEgHgpt/0 qmkUyFOfHPZ9+gPTuO7MI7cT5nMplaz4HBUaKWL6GoyqH0ux2FGugQcJ29WrSvLnQREGX6lDHK8J tW85/dRWM6KesWIxue5MlkC96flQanedq7d5/ibdll+bMJ4dBUMjvXBqSARlvv9vpZI+N0T83YrC xRYE4txxqOPtjOLbQO4xVfFkeuL5v6W2dago1jGOLHustvN9WVVMUvw8DebyXrytxkYYLu03dbLh NoyNBvzVRvQAPoU/BHdyyKwtl7HAeHLs8hPCJAnicp/tLntufefvMciOAoTIEkAIGJ5mIsE3j9YI y20lAFxL6XWCNlp8QrK9ckPFpzseQCklrhLDe7tDglkGMOicdA1ZHaUGLpWQZAezJ477ZwwuMqRY 9GgCPuhUHrUWLYwnMJaDnROQU9SzHbZnKxPzzaUxaZI/qHCv3cPhoUaZrrtDphuSOrYywCZDqYe3 af/Kdf7UGCaJtWk8SEAmIaX5eLTnnUa8WPUwyQtwxPpuThkuBusRan63R8BC1W6kmU/kMLqd725N dRE/s61urszqyMsx7sq9jWWevFpP+fifqGg6Z/SoV3jFMn8rtcMROD2CjFwyw1k5hN0Bzi0aM5vC ZDTreZyO9vxXg+5FdvSkQlcBbu0cl3HtedjdZ6fXEnwQ5ab/OPcRotSUA6DyiWFORWo6Za77a+fh MtuwkAV+FwCJHQ6yFqpsmeMmbgX6DoF0qhlvCTggMxbhwx1qEnblVwuyTZr1Uur1l8XOISQDfPtS mZaZOTqPnL509z83sPFRxrTHiMyeLzKMgzrIQi3Dh5Jf8sdtfaX9SQUfl4TFK4weYpu0UHcuG60l nPij7tlcLHyFeNllahhTOk9F68JGIYuTrwEE63ehBn25oA7SFP8fuaOgeL0aro98iGhPnCUPLpRT iECgzS755oJ3Ar4LzVp2fgXFix1zgOgHJtMnAiagYs9YQeJZjXu61Cs5jTAKAMZeufInSKUNqv8n PUWlyH8cZC6zoTZ7XHHDCa8dQRxl/0AzfPCXGerrv/d+u3oEYw0/L+l/FaNVKb9b+ATlxDm1MjTv Ri6e6P8MPKqw5MmfAsKLidt7IJY9M1nAx9SYB1ehnf97bevICynYCYhlk5SXAMqBH8Uen2wlXMOQ qr73fqi8ivI7QjE5UHZOJwNRoAxRgotmwLtSH1+5MP3cUJxnXOHKChlPfPFMR8ohyeSEIKj/UYWz +M/1eg73BoQMJXXY3uNYlzjGxBawbCuILwgVL0zWvZ5kTFntf1Z1I1X5O7DZXYQFrMrRXDtlcX3E 87F0VcCWg9TufdfjjkZdhmWV4xfJ3W5EKags2bob9sjqVINvDkoBe3fHO6/UMOS5X6Cw+FJCn/YN y0iMGcdAzXZHyeJhpWCcm7wipf7m+tF59YVy3+hp9R12kZSzt9qFudPpmyLK00lshAqFxUmJZ3Cp Wq0gs2sHDBehyZsl4cJQnb3DKnWNRCIz8cI81gpZInVKwIzVPF/caVL+P2FRkccM+aebZRTAUrAt SygbxwtJvFZuHTERbFE2qm9UdrEYVWQnmp8V+DRtioQMrqOeSvro/dy431Futok280YWKCLJDb8+ 4Wp5PouPZYXLWuNJhhQ2dN+LWCuWLikvRQydbKgDBL+Fx90gRPtcrLFiKTLg1OGt1+NB5/Mze2QU 9YfzG+jCZqqE6oqhhPwXlZRMB3ioBIJKcM9nFRk6G1b2nEx+6MdXykA0sP+wTY4X1mc4RRfcp0xX Wv6Ga6DApT8fpbfLS/R/9ecqNuzBlQSPa1MXQreOtrcgwQgPSsOLpHTOKh0odXQwzkbdyvHQbkoa ysXF+jo5JC558WBF0cqG++I3asEj4iz3j33yAMjKUcGGz+ct6gSPYh5u4W4I5TF8RCsbuFKieByB PiVQv70/KtbEhB2txc7+uhcQISH5iQ04w3gfYnCnBnXy4InfkPZ/vO32jBzU399OO+HOZ+bjpNjp Byaj9NBU08mjp3GFU8NNNGb9QOM7cxnrEZElkrMKLrM9yTyeSDq8zcGMtPPsQ/pnym3RfLd+xLOo I1Nfpsn/ixb4PNUKvC4frt02efgIiokpgrF4edhbwzSpDv5RLGkHo7oCw5vxrTn0OS5bBxxKQzfp MLypHejQ+7vTQdmb/jI17/9QeRU5OlXjdRXUfuKqpQZ4QciFqRP1iFI2WBrOCqTPAucwlssDkot+ rPc51mjC9QWhKcT29cTI4adg+JV26XYDzx9QSMuPMu6yIKWcVo2ZrNui8Nwk3/gGZAMHtKOceaM4 1BmCWBHIPhiBZIDVvpCelW89lQeXgUzu95CSAWyikcuomK/UKfmHIsIVKYMWiu/LtWRRwWIHS0ss 5lfNWGTNpGvPogeplETMbr2ds43/Nf75N9zZ79IL9+1pPAE6Eqk8Bz5MRad/5fVS6nSKTL0KxNTu irHnjln2Zow7DCkoKjhEkvr1t1zdKzSI2ndAYoWegRAIae4eN1SFHp6vcCN3GY4lU/I7/NYeOPwl zJjP2w+qW+o7Bh7gO/81VyeaNGNdkOixUCAmxI4GxraSCJjyKn2jS8dqcqsVxvRFs7EpTymdbaBH YNV4ExiHu+OoS7TkHbwiYoJFN0I9xQ4QutI8taMIT5FYrTykeOVA8aDBVNonjxBnb+AzsQPJwZ6r 1uuHD/mdpTMDFoy8FHOChDxtGy5M+TBALdhJsz4EftQj2YuQUZ+d4F4mblVNGRpydc0LertMCsU5 iUyCr0VdAOSJAsO+YiMJgwXFLqOq8ml/HFi+QnA6nzOOb1XYMsmfZPmKPCGhuobbJNU2gFhjvHXt 8dRYj1TuTfaICpImEgc1WVxryife1AKIuKm79IxoGgOGhI+yWOoEdUxCBrsYcCo8D5Ycf12zwzFc xGkKC9/ZbjRv64KukPzfryrSJ7CyTJSeQSC/jm9Ob8SkSRtqJ7mRRh/7XwV5t/B970cjurWDmwui QwB4QiLec9o4TkxgHrM6m8lWa1ML839DRg4SR9zhj7oyZHqGJ7lWrlRI4t5/lBqJg6lIpnYxrtFv itUTEDD8GjdOKQgIU1ncYv7d+nzcs42AbzI0aU66w8+apNof+CnQumM0Wojqy96zOlNp6FtgmeU9 3trv4dMHvUuz2Qk3WtEg/3o5DiQodgUwt3PIR0vwCnOqwAe+vbde8LfwC4EywGGOO0p+qh90nAYB mOaTvGyL5E2REHbAnHaNXWuGSYbGli2dhPjgEBatIjRlqU2R7N+0DwXdNnNkkwXXaW1vLpwXvbIG HKRc9bVPK64c92auGPwTVQTyPBJahHAj5owG5jGBcov0jPu3jQqs363YT/dTZSzoBZlpMmSb0mol pCQvSgXPEL5cxhDW66eFm8JlUHtHZUfddZN7FpHgHE2bhyouNJvsU2peqjFgLPrmIvgbnXkVjtEy /8PWi1luQbPpkxMCPyHdgVI3UIuHdFGHqWOf/NNuS9cxTYIkO2zXQj7lhSFXd8u7hvNEVFyXq/kC Cx0Q4U3S2ZCdKqZNZTg9kC0rds+P7f+nqTAkf3eeDqJLeXVeOzGYGB2Xhv/nb+mXo2oicFL6sUut 2r+Bxly+ietgDgWgisyMjKGvBqNR+npds4kNhDG3zyMoSzVUzJq6Gt8aAhT54ogU75R/zzPKK1oq y9XR72j9DmKUGNfaoxer37W8k3PX41ravc9TJ1nrN2GfQa+oG5ostcIKidPBZ11ZO9yFlsGfGpIi 8b2tzdupKmeIojTPHg9OsGiIK04dM72l56eLfOVF4zCAk5n0zNXeE87jQLwweNhSvBn6qouoN2J6 Zt9qSvC6Mm7X+qRXjyRwNUmK6mwaoNDqFePTNaezPjJsGo1yv0Qk6XVzP4TC88axn+GLQk2sVhpF XJ9tcS3laZcAH/GMaOJAzMWVdQ+Aftvfu+hZd5qxhiaHGctmY0CqrdBbBJh7hrf2mxw6joyDqOZw S6QJXIRQRpZtHnwvOQUI092a/QGdPByoattXyQjFSF37XTEnGoirWAXqvK+H81maFLSDa3H7+eIa io/A1E6tECaYw6rvZrU5gPM4YoWRKCU9A69acMVufL9hTvcj/mdA392H9i/A/esB8mdhMXS40UpV ziVgcwPO8Rn41pht8XQ9FP2sKsGxQZvCkrO/83yfndxxIR6GXz2/+7B+PIEPCXmrjspcosRvIi2M qvY2smPuW+CoM2DvP8wtvWRxNtosf1senbTP3lpQPrTeCCNPi0T+zHBIPZ09w0LOj97J3h7wAwxE S/LjIpVmulc+ydv48oPUPjZ46U3cWyHKdnakMtCRjTySaCOaVi6aPAoZT6q1W+nSAMK32Bwskrhn bVHBnoo9yVrPaaCMYFTeXq2ykASz81s1/wvIwZhz+YqvLVyHnUsEmLltrr1alwTIZi8alXqqXTYf kmKLZeUTbjOK51faT2Pa0mEH/hft+4QfuXlpmU6FXXP1sPVPp4Psk1By0HsciyTwMIq9fZgFN3TF YSy3clfKkKanQowlhBmSWfYpSFQL4o451so9wCB5LwtqXezM4tb0RMakPVO+1Nl/Cp4+FlM8zHWQ 3z/0W79koXKP3W6jLUnbkTaANeGjHbaDTADnOyE6iuEL3RNlKMW7RSymAcKyrLwEzIxwp9Xj8cdB XzHKmLUxydRDfuI+dC7gXZBfXHmV4j5fC5RYJy2PbUR5APVHk5I2gAiP5v1ANmMwJUpF7Ph30EdN 8P0GeH/zZTjz5uirqWSYXWD42PPfLq0jxtXgLtzW32KX3fqOqbs1gFmWnWy0vsK1X80BtP5dwSx0 VIkShWhIuDGxbOM+q96GrzCNkPVghEaGWclgvF2Zma4oMrILNnqKfQmGdZsUdD2uWuaFhDOozU2n T2o33Jd90L1byzhBfIVRCJsW5oBIl3BLCo6UgCB5SmVNVaaslqBAg7ZXe+i2mbpwf801bZNtv7Y9 WwKNibi4NCDgu5Hna6Bdc9Wo07coxiRunwToOQ07kWJj89mt8ln8CeU5b8Di/7QNVSIhuBO+ag9e aomHHd0gy53rzd7Xb6Ngy2xslwQwagfCkaHJjHtHaxXhsS4iNVoBXCnqDChRmIohJvsIqT9K0y8o q4jfG9hglo4a/Go1VluIMqSTp6G0D8CGp67V9Ff5B38cWorN81KWLbOG7L2vb98H3iPL2HDK+gtH iv/UHT8F/UbxH1/RRddW0rZv3x2XVAksD5yVk3CbunybpebO19UVMkXgeMvACLFd9f8uKZIQf3Ss 2wt67+mqO905RP/gKMzJpJRp5Eve4MLeRve2mumH3DwwjlKm6etGR3l9LXqC/2HR/OoGIAlimUA8 4NjQXCNQyjeYYGbKbyjJMf1hBYp6omvrLq2+RaYL6gkLgWwHOStzXjmmrE3OVTyDQKmaQT+JnwoX 4Q5/b4uLd9k/NEHX9vrKn+Oe/bDehm0ks1z84tCgoljPX0tn77USn8+PLU2mb0Xn72Ge1/HoWoIS nakS78sY6mMPA8jJSy8GBRtoOt/5ctji5rbt1wH+e7s47UMHN8WpUxJzNBtp64C+3gxDU+ah2oqe lX26eDDt1LXtza9sIbk6nzI9PDC5XrMPHV8zmoJuknvCKnOu4rpmkqK8Dj5lzbPx+KZaJ0iFzuyL 5BWdg1tuq+l1OsKRSnNmsv2DTDjnq6ezQFe55UYM34iWjFcSE+Gun2bcc00Oar8crrubMvS9Tyd3 hO481BgcehDY/hlc7hyLjRs+glxQK2Znaj7Zat4eiPYMAZpzg+X9RdHbvivxByGEV1gjy5/8M2dZ CP764JIMv5hNoq8oCXgGdk18q1UxJGYHWj8QhvMXZ2aEZP+rLUZSzWq31GyJTMU1Rkmu3BWivzBo Xzp+BqmUEzR6gBYoUVXOPZ8O5MFKBuphwMg7alkZcMgSQs6Xt7z3s4ogsYJE5HHh1hNfLtzxtTS8 CsDI1Uj1EH9hQhnhDTzGPcUquhW1Y4fiskvbDFJTNj3IvksozH9H4xr+CJUvi3CP7LeA6AOUoFmM KoUjAn//AcgTiQoJTgY2ws+z6LU5XZ+MyGFbm91n6gEnVSLSe1Izrblz6A4EzsKJAs+tO5yKOAQ9 d0VcaoKLjpL13vO4t3SAWK4eNgSl5lN/W/75SFg5nt0wExq6DUSjMdGpTjfXsKaqIB9eYd/f1AYR n4JsbdbTnbviBZEiVfX4bUb2S3QEXqN1JvQr58S9nmd8vbVzImZjs+yEXnhjg5LeO/Nfqk0hqzOb JvWmHng/IwmAptXt9CXumLsM8lpxzRv1+S3upUKs4AS5+LjF92Dy8Lhysl1ayfgWI28aI7Mor7iI 9Za+db8GQ7hW2zUVb0xcCjLBSzlPRKK80PiUgavhmv3k9ChG+9BBzKJWuRhD4lNXQsRCh12A6GBF thjHkqmoYMpOsC3O47j3Y+Z0XDpwt/CxaD/Jo922oWAH7xY0M9PU4nBPxm5TiGsUadNwAPnuMuXR 7MWpmAck6GUuWElZ0NPpFoMqVxfnxOzwl41u1qtmaU+xabrTerx0Uam8RICyzhBR7pvN3dCnLGIV R8Fa4CVpMXBYZL9VdCGr7ibQI0rrRiY4PRgljyRbLuw+1sUse62jzDUOMMb7VmI0pi5fp1bkwvCP QO1ed91Lksmhou49G82YtlaT9XbHrPf99xpHOick+0VHwxwmemF7yyzigzKAwCOZH5bfE4SqRl0A 0ksFi4bscN5mfoTJHXqveOPr05efYSs2x9E7nZAVBjYTQ3c+iN3PxO91oqB9B9CYk0a0kq8KoWEl B7jEhV6qQjCy7H6OKZexrsrjco7flBN7kA10Z13B1Utwh0F/4BavFHllwCDO1sj1tEAlUQt6ljN/ F7FoQop7qGN0LxbKT43fMPPJROaiJZxJHKC55RpYJTEPWp3Cc1zJ1gwg7vrfbtfD7BBs5bDCEGi7 Icw+Kq2IVPx3R3W5vN/KybeWdfJovogVQixTlBbPbrsEWATlOzoDOT3Iem6sfFquRGSeG6+rzg7C DH9dbT9liw/2HIUgVsaYFioBXfR7ad/1qwff+MsITsybnMaKd+NxT94VqJ07En44vr0fOkWH4GRa e9JVV5Pg9LlsGw1XYE6AO2Y/1KF9OaCMRmtiLNlFClAdtIJKBs2Bmr7D/LuJvJFxcZ7XNSMbbgyV tXgczXixZLpDA/SL+2YaYPupGacSO2EoGqbA7OidyuwP+tFPNAQeOu/cwzFEKaTpyZQG5NQMDro2 CkVHu9nWE7tJsoeCxMAos0F//pHfjbc5XlMrW8wMeqzNbPDr95waDpr6vsnVc8CDvATvjX+3zqVh nFmRUG41gTTVsyn6oLuch8JWcYL8Ct/AlFhtEl8QarCek02NVlcXS9JrAq8jODn4XnwYJJZOcD+2 YLHZ1X2czRegvcQhhvP2PrYuTJjxUso1Ww77+EMl3EXaNXgymYbQcKNSVdkjcDuFBQY/BMGy+IsQ Iao20ZNsgQedmpFkGz60KGV9vwrtmjJ6wWF8X5bAFs/tyt5pZdfhEc/m2arquW7IAhIZPIYnGJNW FHRJXdT1nIKSlqil7GPz9HyVZTbkAHO7merd0QDkTzpguQW97EbRSdJwdjndvwo0JPIyvGqOTuDO JRGhDNwMo9a/AGdQB0fg4lDmq/15gY8Y5FdswoB4Wdrf4rSNZMtuXGVmaliA3B+2dDXiwv6soy9b Yt5HqfMMbNn7GhMd66drA51b0Zdpy9bVGl/EWVEhcIXZ0pAyKtxmI6BTHSOY26RZTnsAtiZ5aMoQ R5WpPeZhthTfEPJLXsnQY4j2q0BUtuhri1ct/klVSoC/D2TxmG4Yn7QMBrWi8zBRYiEjSeBXvKo0 dVtcpjv+xV9PrCUm3jSRTAbtdrkhzj9P+S5TsIHINj29SdRTzMfSt4VhAEA8N00p4mjbBGher76Q 5Rho5QeHdmDD9AJFuAO+TVBxeT2ExEOPgrBPmF+Q1W2OHahIn5noqkAuqNGswQYBiz0sz+T6Oamu rV26Gi3fC/dbQ06fJZDbHI1aNiOw2YYuSCMbfaR2amrOwPnlj0oz4o+mgFjm5WgbA4KqU1JF/Qkw jADE9A+iGmgIOny8EhU3Pjk8wbsdfEFNdbgdPrX/OR0SUtAK3ouNthLgwf+XypG2xd2McYpxMQnl RVGSMFh7THA55hEmKEnnncQQEPnjom8BvAsnmO3F3gMxPfwf4bGAC3ZPi19oLCHA75Re0QtiZ3cB dugOXK3ItyHJmS9ZauMaQuWHezcAWd3DYehIBsKsEINBpVTn57RFItQU459tya6zsTiEeFg34Yyw oyPW7aMMy46WnPX5/vdt9ZWfmsT6ya6EyKyndQf3jZPluVQ/gnSy5dh20LHN3YjsPbJuBTrx/J2n b2Vs0hstzLK73AS1GT6Yu4CiRP+GnpJGLtqJpk9UuLsTiNzOCHcG9jbbUX1uBkq3IOPYQuta5mgG RQSaf8oeSBt7mFo25girw+leoSdF1CupJL5YBVl6LfY97b6ClkECmqatL3IZzOM0x951z9JTC/i6 mjSPJer60dn+nKnyQ1wSx1pRA4HbEjnNkcKtrP9hpyXW3MHZkj9U3s+B78sq7p+4pRuEcBpaewyK pQIwqd99/fqQxAsopf41xyCY2EXtxceWFLt9jI10X9UuiT8Pr+rX63MX2gBAgnBPS0WPeS3qAmiD YOx+ZwuxLVf3ImmJHMtVPrcSqOMfpf6YGGyAiBhWomTQlc73CO9CSRh7GNFyS/XKQx/nkmtO/P1a ygA+5H94ihC2NQq+Qy5rzzQXEFnACeyYjy93DA7NGamVr3DmbJEnPQPHnXAa/0i12Yhi9x4B9ryY pWa/yQK4rR0UDJHdkngapJLz8G3s2LhI0PJiBF3jpGH6zXB9iestN9KvcXK0cHssRUA2avJmvTrd drLWTOnskGlrR3vs0zkoyaeEo5mBD8xclgWMIgSm6ytKFYPHrqJTzZcydvIQiBvBi3rIXDpwNja0 u4sqV6qsePF2icqF/G6JHo4Vbv9J/ypo/dp0lm/2ojmjSB14fDBbKP7Modv3bV8t7tlumGKj8nPs wAbBtWRUdy/jZzjEpu/panHgWElEiwqhKVAIe6m1CUsyFU/In9MskLXfv02F9AP/2MtqPPRfQoah CwWPQih6xn6n4qoin1is9wMhUT14UuVfLYWSH2T3MgoM540m2q5bqs5n3Eq6G1EMYHJXfk9300+J EOc28OnvAeUYZnto/mSrS62PfmerefstnspFM5BAFU9aDMOpb6gyqtL/O2X+YDwzVkLLFCfGwN2u bN9VXYy8II/OHz1VSggOQn9rPDkCpPqw8uoT6chaF8tPsSFnbXE0MR96EzPOl2DPxs/mbFW0ONV1 Ya4C+Ak5CoVzP+H6TGCbAv0o3Z/3dLrm9leHwnaT8mkgtQEwyUeBLsvhi07f1gufeHukuJUGVW0C yYnOYeON87+FaSUoq4BHdyQYYC+rQcJ0bs/C9aOAKHmDueCZ2O7fcADi+8ki4+EuSUhy6P4n3Ote nGIQqmR8YaJhC0EHZV7g6BZMKgo0P1fiOfyPPeUzkTZqMBDeVIwSCWLliI4+OJ2aCJTIyc/GAF3s YDIRMN26O6BGcYFRlbxdbhg7f7HBk+LpuuoO6v+YZly83Pf1MYV4a0DXmdOfNvci/mLdV1+HfN8+ QFvoUrzg7w/myrtK7EGN760BWiajmwjx+7XhZqkq7nfegaFIZ56QeM6X3hPPRZUmBBLGEO3VpUxz 2nYLHS5a+O6jBPvyuceEIv9AgeYgGwXXssWA6w1jYS1e6yo03wKmEvTxb72RPwlYbdTgMu0snPeY caXV/iaCsL09Jjss35XVxgXgP/r68VZr/wMNejJPy2tgENeHu0y0G7p1DwQ8G3E9grLV6/6fp88u OcD44o4L+N7h319BYoRauPtRpmX1ZDn2ap9LD6PYrGZqylKPGAjRA8IXzcHn4m8S4CMIPO0UOj69 kk09O/EHtjC0DXGcPaadZCT/AUjr+nye0ndrDFwMWq5MteFfmELcKpNK6E5HnVwoYgj9AbnA00s8 1CseECnFwPRwUn10HyD3hkxv8k8khtJBcEInIKNZpND9YFDpSd/rzRivRCPWPtMemLaSrly+4Zct 5341Ink1BN8Jo5A9+K/0v6qCnkiwjLI3IJ7xupJNe6DoXhxaRsxmIQSBVfTh4CQoKz2jbCC+zzTM KKCx5KpMV8TZVxoALzWp9V+WQyYYBmfJgEjKl7pwSzVIvXBqtShyO36zbbhrCLX8t9TYlgmSvBsQ bXGhCrw1vlEpRkFMPHS9wTzHXrJniPlZTlbnDipSUf7I3NJEQ4pi2TGipWmI+5Hl7Vm6Vp3yyO6e EEimiPYHcFov2CqoG5W9Yl0VJRQXxJkmD5majtJQljU1LPrlkY1Nc+dWGAXw/Uddd6tG73eaiAQg qSFHlOGYf4RDDgMB/wof7bFUdcXHX72EQvOZKzKw07qQmxwXcDfkrwX1pct1GR5sUtf3Cqe5P2oD Gv14HX079PL24uyOnvzohNXK1+NLGeo7Zx683HN8DhHfAzA3mdz8iSWCzE0z4U3PME3lAIrev542 t+EGzCj+TNTAvpTJxTFlyiXdL3alC9WtgxKCt7Y2AyNrsXGhZom3jYuC4lhBXT9vD5RFY53tSfT9 6aQVPrjEEaXr99bk9SmP9hWqNH3bUyEQ7z2UizsLOjS9kovyi4wnKx2vfZ2ft8VA1GDDwk0/ScoX 0NiwvGyt/dh3TGRitUhWl9MYbURypvYCRUMA2IJhE0M+MPbwUCYY4+DjilgVwoVqOc4py3syWa/e k+bSJpXBuqBC3G6fa+nlerCL1+DLIoGzkWm/G9ZzytALxilR3aNHC0q3TBjd2gjmKrRWOGgC73/7 ioD4VY+NrVe8YP6WMSssZ2QLnAu18IdvueIm3uKr95xqhvp5K8VoryMgkMx4caoiXIe1rSVwRnaV H3lWL/pVjt1h0VmLb5cSiSTHSjvQeR1dZ1J62m7BAcBdGJSKAWR6bPUHqCqFAAw4Lfub6RsYHgRj m+Ffhib3VnnRyWjlXwBPB1gJtq/ExT8UFgDVB/qgOXb7DhL6Ktqr19g9WEvBDP+ezC/GngeRLTD1 WEtaZi9HVoB9OfMLrN2hweyG39Q1clMCGodCdl3w0qXGetRnP/D4aCtgM9umnqn7u4KUwB9Wxw6S ffAXb/P4z92jT/5XOZtQRLrV1PvB2C0dO+RP+P6PJ/LJAEsKVjlLspX4xgZiz2NTWOouWch8lHEl wapmk8HMiv7YiB39JlOrI70McJE6OUh3PjHUJll3WHdc2iCZYEh5WhHbnwAmkSOIJ73Mt+e/EYws rRPNCN7DFjDV+CN0LQ3AhXvqE16fPL7FCMAcnWRRDFZwVG6Yz4JEKmbxrG83uYgJ4bepzpAhNR2a 7+738qsbXwB/nQy1JXgq5z9yiOyVxhOrGahyNkLAVxcB9z0v6m/zLixpjSdR/TM1J79tRprnBxPy nT+3gDcE3/I2aBmD2gEbPtzHZNCV9k7fTIO/dIWp2bK03iEADJi9eeGtNV/ziMiPyZanYRXyWzWG I/tsmzhRA37icPoS14iZoI49Bg/nQiTHZzTM72IiFzCjx09M3nBIxVTV8DHckcQXnWaw2GrNEwio XYly7/FlM/xxN5vfgIJBhSXA16bhqtozvSwlOGeZn93Ku57dBvLDbiGN3036Op7Q/VgZYLjuk+rd CK0AAzAAGXqmt2c/QUZHfoqlkr/UMZnM5uTcfs2dhgIaIdt1w01fdUXUYTyWxNHfvt6GbtYDj4LP pliEovc8MJkIzf8jWyZnqBaiYZ1FNotO25/AblgsiVPpNnEQ/Ua4lOKneH0pjCd8sf4QgplbSPCW E3IgODguJ2BBEx85XevNrM35Wrq2vgOFMRipRlk47kng1SyPDYwlp6r+YjJPwbpZKITllAhPCEcs Cmi0+NKUH0J7BgV2PqwGLka6AGGIP3OQtfn3HX2FNPM3ZsJC5/IBEz88k/nEqijns6tB80XflUGC gUyZcQg2P58RHnjfNV8wvadtrmQCFMDHvDQIB7Sg6mgHv56equPFTWfHypzf0i0f5h+Y1V19CBph 1WAFlBjJLe8ojhP7/7oLSATEkdgn0KA2hHUFpfafM7KrZVwLKChd7cI1Bp9p2r3WM9HS6g5DcB+A U3GH8sRqMe7PCMRI1p/w/mYHk6kiLXkosCHC6u8wg6Ld9iPWUozG2DduYBZnznAsFfBuFDvX85BH HfZetSmd8Wkbgq+ZU6Kem88jlRDN9D2Dib7gL6Ey9zhdGKHkcUrHIxFEagLbx3V2E/PTtzOzDSkX iDbGztAsvdwr1PPQwMB5NlPQCs51mpBbs4cctrHu8kzWuua+g+AndARixRfejNuFkdwyjxm/KsQ3 QZEmo9FPgpsxpHAFeNt14b0NsTOfgNd/ax61fID3tJkt2wzLOQfo4Fmq/TeEgOz51f0ygme3diYs LnJSYF22dyB4v/lFHO9LpxVDMhgWQ+hMexWhzRO1hkPMEF8HWUtvO3ablSp5wyvdygA2E2H4NqNM nqq/c4l2av6KWK6LhcPRDogB/Obu0lucODGEXjoZI0Oiab4T/xK8QDjCvkF8sjLcIDQp9i87ss2r YTTpYovZkE/hsQFXpv4BDs1/TKBLMBcKrFMuiqzZcS/9jcYgFHRHnZ3zbpDPpNVJcSjllvlHIyuv GaIjWQkFXHhorEKHBWnvUgtq3MtwdYPpqI8qWZk7+FzUCu3TL4ntMp/cdsQTcxw0/ZLUHQhJaS9t lxPeUYIyN5Ta3UtKOtOnRY4FFkD7f1GtllsuX8w6s2qP15luA56RVesF9JQ/AYAMVEKh3zAy4mwU 121YPTjZ2ymw6y9ZEpagQEw1FQQ1PvYSv6v8h01yvMMl+VcXbGKkirxtfLUQ3PZTTfrGZBa+ShdE rGNLx8Hl1BN/tkIzyJXRGUlMzokF6ik/RwKs4/uBMNaFvWy1w1a9J++xBGeftqpvCrrMGB++whRz DYLuBc8PTumBn+stHUtkmo24qT0LaS1X7hIdb9QOkkmq0IvaYre310TjT8/0Ch0dLBhymYFJxlay qPlAAQSa66Ixfr0PiNCYmeV95yN9nuTINw8NAAokjr0Nh/GJdG3f6RCgvNDog/zE6YNXSTRYgO9D sCSK4+ZuWA7nnEdfQpnaF2TJdQj7IfvbJ3UB2WyK/22TuyT7U9Wq501rHpon+DGXrEBC7vPZpKL7 OkBuYyZk8a4aA+9E7HS+VUBGJvdU6wP93aLpyAO90mvtEJvQFHsXYAnIQ7U4AmlFdrK4AJ2uIFKF RWZSIiJwKaaPR1Pr+LEFcqCz3F0FeS5aiFdjbY840iZFbtnME5bzWQP3e/Ne9+clCLjEJeBXrK+j 5EJF0SFRtX32ZOPm9rlCkxbzlMh6wcLrxY6bSq8JXpcRbfYfdBXGyU4Xq5T880OuRVkN4g23uZsD ILWE95LsASmkzYIhJlV3SKxggaqdEp036a7JdTcnNyFVqIu7FHh2fTXYOZvgQLhchhaxOd6pkw+6 EwZU8KuGcYv2nK91xGLReTnV5RRAtjULUAxoD7nQLbIGCWSePZz6d3f8tchq1ZuS5CryVL7ODJuZ WlS7oZ0XN5lYlaifflpTqNdVH+T9g8f8edHrWDf+V7EUMbVRvhS0yBSoILoi/C24JDQsVNJANvUg TMb/nGrHnN5+EebYPdK11sW0qWpJem6tYkjdcdMl+HEtL4Si5JXfpRcfmJgEDWUEbrx1drj2i+a7 qUHfkZwvNZd8CYTnGA0k70IkDb5ltaQoN1E2gt2GgHPxLQOQBcuRsSABkTX9qEWdEvQEnLWMObQe jKRUpQ4HAvYszxFXl1bzgDIco5m5V3rIkAjajuTjEs3bkNHzHK5YjQ/8pIMZe03VYz8v9N2OHYTU Dh9Z0jTA4CNRWn+sE0WVAXU38THnGs/GNInNUHBMpqKIS41dYHqjmMcj//lglTc2aCpFAlaGgA3V noeALzAdvq/S7SqxBis5pz+bA+oxJSNzFd+D6Y0+xjVedsrrtT/POTV/Ehl6Pi8jzD5TZ1U5q4fp JqIuCxQpJ5r1IgRb8XqKadFlXnJ5G6xDM/7OXsD98Jq67kGvHeuXUraaK3t3if/0R/wJIW4IMdzL /0DzfOy1GPY+fTqEE4fzI+whwJL7BgyoNLcsC3biQNQdVdOt/wAXO+LCNAsMQKWsUB/4VrelIm8+ fXrMTjvVqu6m5YzS5OtjHFfmcdI4Yj3Bf3Di4YjypNN7EB8J1zk34qQBYNSuUz35MfRV8Os+Pc5t P107/uK6w57O1+I2aZf+SZz0T1AbrvsoVXJrpEAbsNLftitxHnqom1xXx/9AAjWvlFBpupQojDWw kg2+PrMo5LTDwxQ9LIOATtD0sjbjVyq1++06Mkx7irgrH9DTFf+JdJDM9UyxMGmBO9MqiOlqXhA5 HRYgJ7M5MrEIQ1EkYOEi3LH7dMb5dH3SLSeuNTMhJkL4MIccLOf5ykA0yA3YkH1/16unRb2/rNmb rs/X6oOGKsOpuLYL4U/JBgsugVJu08a/WCHMKiqOpoX7TZbjf/EY4DBr/+QjMNS/ERCm3c1uBk2o 5gogRCQb/hhC33NMaqE5NqIB9DTby2iP7iAgtbaiUZtOujFWd6FQM/CSLdieaCEZ7BhdCECPioUn 25hG4exjAvBPfyz5fNs82Hai6khbyOm1Z6fU03Omf3Ba1jeHcf1L/y7/8T13ZnIBAyFC3C0DYPsJ uIbVQy8Sv+iJXlpIU1vPNkdAFYw9Uc2l78PggRUoIT5IALG24jKjYwaLVHUtPnZyx867rprmP8+s sJRBRbis1t/izY70m8pBxvgSxr8GWM9VY8gvBhbFHxLEJKxNgopsK9sfF8UJmlzmBMm/UMsdHmSS mG2o/eLVnIK5Tp5F60l/uRJWpb+xDinguUBwvTMyFXn3Cl6w/o+Qs60xexBe6Zrr3A2VaIRZQZe2 ur2gcY89JvJrd7J3Eztj1nnDL9MezhnFXXoDE73XXRfQ5zJHKEw0sQr2gpLrDr3iauqtZMPpj+Ta JfqP87jeVmiYQ82QUm0QSpEYNUYxQqJWcjjVrEwObBv4kJjq+BM1Chy3tVx6Byf0S3kfvXX5yap9 JXWrVFxWVshy6cwXggUMPc0cFu6qgMbckTNuBBPpTpvcgQcGzM++6Z88Z4INpGORzeJ2GGtCOsTy rgu30vrZ3SJ4shw44Qq/0z/RYtl4zT60KiT9RFa9cfnEKHJajF2u97eG2aJFnF+i/pvWGlBusZsI baqAm6WgQ1V2PSt1DGGFgHxl4is+sNywPLieRFEB4wqb+cGekPqoNctcsXNE5GNRGikfDRc24uQy l5Upc4GQVmGvfFBwiunUt3V6jDv26mGyGTmNIx8yXe2z2/dHI9xeXI5phqWdsxmwnNP3dhmy2SZj laPWT2Fe1JzjPFjfTprCa/qxqglgNUTPo+qHJKKkdxoL9u8O0W01OHbYh+t8HfCfTOZdEW5p2QQQ CoUtTSUrTydlzWsjZMmHwpEhme4+2KT21Qc5cLnqd2TPKlGkZJ3MhxRPFUqbS1krXp3OQFp9T8ur Yxgl/FZw6Qm+48mROxX2pylQ2wOcst6F8pHVHQP4l8Zzj/lBdH5NKSvO2iS14giYxxSPo3R3CHsu y7WszWGm5Yc1dFLE+tlvZiLrgBdieeUkm78X4nQVrc86osOx1TqbHiDHPWlfqNh4ISAovmlhgm9z NkRScvNgOfRwwI3d/O4du16xzsxRPrTjNdVGGpFWdOUujD+rNajaZTzAb2W9S2t3bH/yDYNv164V 9+6m49/wj7WU681bPXFV9PPtWlf8cHjswRYsT5kQwTE7H1YaBIzT8N7020qQJxwsbGG093T9JL9j xds6SmWxQtLlohrm6MqsrJpV+eimpV+BfWEfOllkTR5RF6xwONl7fteKiuP6F1lFBv95PvX2YPfr e1GG3INUjkpwH1BIoZhSssuSkPt3FFXWnNsVR5nQ2d1MajcGDmPZ4O1oFOXiz0bN3Bs/dmRuZhlj ILJ5/DO1BzV750zYD+vdVDFJjmi5DJW8s3pC1SqAJ9yG/iE4eEzLSvDrJo/L6JgGEckS0dWRrxbR +Nrdjskirg9zlW6jU6i4iinr0rILw+kQeB6rRM/qUvZ5L+zflrkTHpA9b1b+R6j5XyT168JRgFLt FZxcw4O3s0hcqf7AN8P7jItIPhOTTkCFpaS6/UZg+1cugGdvll0L6dOD0g4wF7lYCzFcZl03Cvvu bW9EUiGV46wuMB+xPg24A2KkRU2anINMTz+i9mwSc/aJOBE9M5QUywDOV/BW4f5FW+HKDLSWnVFm tFeLQkAJ9/DyQzDYbJVz06iv9vG2rrAZVm8WB7pSRenUxCeJ9OxRvdzc5u3sU/zvvy+xfmc7Vmw4 kWc6BNVx2nsmXqwryBk6iLOzhQhsLXhG8KvwE0fTkY//S9Aw98ltGjSbOwE/sU/0WMeMXWQRdYQz n0g/mgrb1+WkwuyXvmMWzvF//R4oz8g1f5JCUkydarXLQlOjuYpt+4/1T74Sz1IGUQSV6PgJ23p7 jCbFJtj7zwNESuYjeyo/51VE6gDFJGc9U4H4/La6NJAEpWTRJv5MpzxTf5OBbLTLiTr5gv+pBgaz 9Qc3ZEPxR6OUC886l4SCjxE31NAM6z6t7VbdOGDh+/5Q+PPc6aYHhuGwYrymR+XQQmNF2Y5ON9FH GTeW9af//OKMBCfmP4Jhehid9pEReWP85fRnSA5SiMi8uEEYqxi3hbSyvc5QEB7MZlgNow7/rdK+ OvHSBpErr3bMCiKfbABCl1h8UM1QhXA09adAhWr9XUSKylw3DOYmVD7PjZJQfPbaXwXcQgIEzQ0+ lLSpxYqr4dZvfXOacDCjA+rSw2KAY6EZdJuioKvNehaw8PrAfPyG63b8fuNKJIFIQ0aFWnt0Efly Mh9MNHQmPi4QRcrKIf7hdiMyIoEHqfiTAXnAQnhquuTiumBa7zoCK0qoTiDim1PSeEsMmBvck3kj va7RHGkxNIfY0AvA4wGM2j50RwnO/Aphge0roNnVBeUHOSrRCOvHhMA2wAnLFwEfmtCadhVeDGXn WkWt+tT9aEc4amfMf3L9AfTGs8Ivn1/neDF8GAQxlJDFspKIO/mGqdeBytGVwtpO8bYaBercUsZZ g3udPGXzzxOTXHMPDxgcG3ldVHdntoW/6OJPO7C/WCtlabQgT9QlsbPFn1AFDtDIRx6fB4SkBc4D iLznqjyzT3oB7Au+gJJcevbyiBJ2QvSQlk0eYkBN4NTbNAYfuNVvt/BrfyVhEGly3VYrk+fTStZv O3BhuVZE04DINhz2S0FGWyx/tnCWH5J7zElrMoBJleD2jaasMIpPPb8rvAdoBAwgdq5TDMD1ZZOC GHJAM2KgEyZQrsT69ZjkaUwu+5ZVFkPJ9PX/kolP9Mk8dRRJSSlDOl3B6wXIEZ+4jB0mb3VFL+03 C3Zl9nmQWLcVc685O3CjOj6o01HkBa5e713bx7d0k6Y0KezavNNMZMhixXnfzPlXppaJr7eV/Z3R 8VjrcxMDV3qIytFZgFYjYKhR5WekpTju9FVPRDt5cH8r+Hgm8RlS5cSLp0J93mFo5/EmWrftkt/d XfvXdMKn4fwoMfajo5kX8+DjuPYzFJLoi42ucxHkB/RmQQdKT8Os0X8zzDNFmkKaCCaW27KKxC6/ WzNd/q5t1mT94pJOJjtcSH1VQoR6mJ1f4DImWl1l+PI5qZS4Qk06iUkblUzjD480q722hW1lNyco /6kimt189cwc234EcOObLCe6O3O5aTv19z/5ABesXuB9wqked9K+MMlyhiYYBRTJEh/pRHzDHlYY kF5y4Za3pf9M5prfRpoTxUu7z0eh91OHCwS6H7lkCrZSmuxiHpQ6Vev612gMSPNAWGVMpe8xzgnm RYo5Fog32I8mXcyT1L8gKk88voTshBHjCk4j/OknniPfXYJyLTtZl9g3aOfHS7KwM2kWG3/SqI8C S+Gn3PxuBr8DumbDuSdoD2kJNG9kQ8kNLuB7w9AnDFRK3yRr2wIdkiBo1W+X6AwPjVUs6LLjcNJO KTgkbp0ORYQi4rytDRuvJKBt0AeLfI7xi3O0jhYpkm23TyWkwg8AGgyZPsSmn7b+1wAQDhQMEZ69 N3n9xWpyV8IDftzv7M3w/kSWh+BgAP8PRGruhDBXDivrBH0XPAi0y/3MYvCYd16VYEfqi3F100Sq dPW7S1agQZ2ymo64E1akXb/IHOyJAGrJ9RtEsZli1TljNf6i3STVYQNWYVszJSqZ2CW/a1qv6f9X SLSpMoEQKJe2WiL9C+wxTjaZe4EX3qEXCZR2hiSRN/gz8Jke/gfuJsw1Pr4UO1qMA4kBRtiLb+2s HNvmStMk9quTbRVz3vYGJWwMCiGmgnpqzE0Mox86jRUw8S0boYWcSQtOhgum+5EsorV66Hgecte+ N1MDqeEXQI5G4xaPwcS8vbLLa8ftZyHj1ytiNwYHNpEuLv4+7OJ/eKVbPQ64Pr5LM2F3xE0gvsFZ kWGvbe0uWG7Yha9lXn8hdwP+yTJYi3+4oQKLVJOey4OrUs/YG+PYd+WvcOha4ojQYm+hG6y2sTK2 640fyFv2JFz0Tm0Ol5Cggf/4qCsWk5QGj0QaLAxKRvobqxKd6HOLwTkliFL04c550dqsQSd/PFTs VcX7pU/kiOPOS7lzVPp/0WuTx2BCJiRemhnwhwZYp7dwFUgFUX2zZTQGQfU28fknPmPtxankhKIe UPYBUenmcnhzCa9vaxd0Tqky9tssMO6f8wabVF+4nOl34JePZwZOtYNmp8Hvy/4/zxge3qn6nF4Z uXrrbHGUVpFSkRy2Vhhj6mpuggHmdfTfa9Oo5P+S9kXjiSOqJEI0eLr/Q49OZJevWiKkN2u6OWAb 6gxluV2QzQCYf154rwL4Bm6D8n7I5Eb8+/ONowfJrxp2s/M+Q4tK/aGDpBX9Mq7z0ZezfN+s5kUI NzuwInmD8iapbbHnHSpwRFKaOwK4DNGg0v3bLdvPHT631ztbExPCuOMuGfFkCR1OKZudTAG1uMsc m2u5ADWskhcmB58InRvGSWVq8b8ehaKzKm3BZHdFWAClTIAtfSrCm73aDztcHnwXgqBHcWPPq61I R2lex0KNEuIO/PBiQkOWjRGEOr4gSY94eMex2EtWYaxIPoc8adjY+XpyU7AlDCjVq6ZIIvRnACfL 7ZZCVnv/NNpdJpgo8LlG1LJlmRrXCtEx4+Hz7s1XMcJeBHEctZCMhOfg5OHizZdHdDgvdVxpH3G7 88KHNUc/LXvMU//KT6eHIMRYgA+Lr7EeNL+SAfTodiH3aZ0u8i0Bljnge4BfnVlMlKDULBiQ0E1q tqS+86CX6O4lI1nlTla6laXRJTy6ZoseChb7NaKZkaCVsRodsP2W/YLmLz+cFXdFia3jxbp3IgAw E9T87i14zMnhE9srSFeznnUaqdmFD727ve4VQZjEg5PqDQTiqzrzhm14BIpUO+8/Ep/iNiBPsmSK qROicHCtwyZ3eoO06q2wQyRpbihqRSJqkwtm7er7hLaZZf5CygpMe6LRnCURU6mlA+JhXQe+fvQy p7XITzfhxc8kEWUhL3v4ARYv0HBhpY37w6sozLg2gA/bo7pxXkyFj40SulB6mxvxk9ZaFhLqOPoe 7Vif1TzXTXngYUBFAaEaxJScglsqBTXgIuz7dVfxDd7EJ2Vkzkw19HO/0jEPIy9NX3zKtYzWMSyN oPC2f51rpgBjSWOE6GVrpmN37c9dNFZz7aHLi1kJbj4BG8j3rTIQOnm4GZ6SnMJordzHGfEyhuyD M7XYl1N/tbDycRpj14TI91iUB7MIvGTtknBaxeJrBR7JxjNxCPgNJVWZgfcyNOotMxQKqJQxsWP0 1NS/fnCp5P4dRc15HfUiozvNO0ZPXajc0e6xcc/P75d/wiVmD0REfhUal99gEQDPc8nkt8YyykpZ tfxw4xxWDcL0EQ6nIWed0jmRUZHEr0a2wkR6RJUx1Do/p8oSO4TBojj6UkOiJymphxslcmhB7CXe KUet4tRL7L391nLiROasiqnheav1kjsaaekii6HsmL4ctN/480GSKF6NMPJ0FgUw5c31EjX7ckyD 3V/Sm/XftoiGhRLCdrGqR4lL1CisDElAYyunz1+V1+0LnDIRhHqBcSmVI/BjBdYlIv9ViHOm/3Mt 3XghgGkHvAxu5dBmbEvZY2tqw3kL7SMTAAlxzuAuer53eZfh2afa2WAtPg3YsjVUzOs0KjneCdSv 1Y2/0IYnDA7hbCfhZtPgD4A3iXKs1vZW6vAi0prQUTikRKfwhpRFg97XdXjPlJD915nWnchPrGCD NbK8Moy3ROR1QLqwKHCZyEBxhr1o4ZZwzfZYbBab2ymc7x/+9mq08rZlW0v+EOEsFG8mxfR9Vg2+ V0XVychYjBLCOMrQT2wRDpfCpQ5dtlC7mP2KGPGhn9nvN0PMrsyjTP4fWABin1njufTHNnG0/Hi6 AbNLKxSiAlki0XV8J2mrb3mufLdmR8D47DoLtlyoZ+IH2CjAZf1BvFj5nE3BHWHf5NvUAve6rEjX Br+z6ou8JPXr5r7CMRDkoF68FsaYemNUGUiOpP+2QHE5zwS7s+hlvnmfEoJvIeia2tD9oNfX/hSq C+O08OfwCrvZ0wyJjvoNpcGGJ8FWrTOAprER6yq3qfNwyrO9uez7h+HoWxxiOLO9cnlfWucqwwab JRNUHYzMCROWnRDUMesQp/J5LjsbtlFDuCA89gb+TrS8eM3MtXmswct3+11D1wCYxApajDKYcRLu Tvg5IRrJaUcd6NirbQybjUMNdygHVcpLG+Cvh8bK8t8wScVbziKPx937JedINPZDXTEm3ZXjPJSN ydtduq0dD9EQpQ+C05+dlOqiRTSQ1hgZwMrO8MDogsvG+43FYyo0qgUs4VyPNlJ5Z1BtH6rC8Zvv WBU9PtPu1GRT1KAoSgpAncq18w2EQf6STxXwZc4o9+qqeKOpWfJApM6SGi4Sv7d8vYO2HBPSB3ai ZbWr37kUM4bFxWT6McUC2d3JXng0Xo4wDXvZu8tbjA7uHK1PeENrJaAeDbxC2jAf7iooDx+3Oh+C hKTN+HAmk46lvdDzrazpeDsTmgeadRgYUjXvot6nTi5PJbX+uLsPhSOmM89AqMO7N3rdsa02sE8T uiia8KzbC6yPL1A7ItNdXA+pKwbNkrSzo3zRcJ1UhM6tL/R2NesHd/sH8KqLGgjisK9R7TTb7lSq 6CzGB9ekIMS49/ZyMzTOhWcDz7soDzdmWTWlv0l0kDkPxur70EUsqmkL5/fNct6QWHnSITlePYRr FzKc1S5RGbYYwnFvXggBkoPztIjKoNTU/1hOlCbENEX3blLxHB25N9hGWTaVU1YduP3ZACSM+Zsb VaCcrPzgDXesBah1nQJXvEuK2tGEnX9blqxs7ts913liyBD/cq357bK030o0oX5/A19VcKNuGbw3 r9hjDwdFjYQb/LvzwW8SgdfYgvPAFcLI6tEGzsXnbYk+7KCSDmM+hK51Ty5GDxsrsStpm9WTrr8q 8/I9+HE7zUkEvLjWEsyRdALXZFLfldjO7u8c25AVRSBTli5EGbmpWoiEF99YLkDwu0lzgd4trFMR WwII8N/NaMGRlBl05EVzHW1AWmkTOY4+7vdBBwpaxzpEh6Zoiv0fdvDZ2V9K02gFtkqr9s6Og2mb aK3QJZwqc4n483m2ZQL/9TVFkRIwhpLXHy5Fcx2o+IZXMusFjXY9dGUr0nqpYqhwvkxsltBefFxT R9OtT1I7YljPvAD7EWAZ+huPLyhVfTUiD3pOi/JrhzIhwpaDwSGozm/cIPrFyC+DTag7jHatfmH3 Dtn+YCE0JQI6BxgvXKzn/YYc4KagTf+sZ19uhq9Dv7/5m0bbr2bkrfXUwe5WMkPeykBl8g68zYnZ Qj5jXLQ+Yryxx8MVWWaVh5sSDX91LsUd8qSYL/vM93W4cRoquVW6h6BVpVMeT33n1wQAeE+1s2VP ZukygKUswkDJDHhPH0SzA51Q6aAuvF8LD8F5HhP8phPR0iOYhr5YhbGih2bX6nCEPeMscHBTb0Jj jhvZa5bMc03KD+96MbdDFLfmJToa4AH7l5bPEqr9nl0IzGwAZaBB8epMnrfCvz9KxSUWuXlBSKay x4sDfvqizpM0YOLL2RDQS0aZkZukqq6aSKh5K6Qr3NmzoCurzHnWMQIFIV7ohcyTmcYQ+nnF3xy1 FoeiZtvoIaw9n/hfjbO4klaVLkFpkoIn0vC5F0YzJqaHPtaPBWMmsnWx8VMxnTp0AUcHJiD6wjeq RGin8Dq8pcx1wK66sslBjnHcdkGjqO2dZesHDn6+AAP/T3J1Xl6povkDwC2UOV+d9zElA4P28QRF FRt2PaYsVfuLnXCxh0SwZPbWQMX2AkORqTJHmJe0RkdCgHPVBx8tfz3H4/OBkMGj80pZf+jAXFhL uIhjq1rNcodxJiSZsURxCPN7wIEzgB9JP2AVpbjPsWmlbu84jIVZR7wZumKLz4fqedhJXE3OLsIA JjYKlqN0qpCWlIGmmt0pZJFs5JItpIlvRAzSHIrSwwlm1QRa0GAMXQA2E90gvz6c30DtyO1wdpY8 zJJf1um21jTK+fqXkkkFFKs3tM9Xksgcasy4WmswtyyJvISlo4DnBY53ZJq8yUyjiJCOu854IWCS rmre/cMXphbqUpv3mWzREHo3Rfamln9dLefx+LQW1Sp8k4+koM9tHAHyKP+WNcOcdsPd3sWjPdk8 KbefmgPzRza4FzPixOxbrZzIqtIZg12W2DY0YlFLbWC82py/YRhZi91/J9jMlP5gBmKr6ClUT3Qs uz3EWQc89lDUsdZA/pjhvMaH2gW1DwuF0RVvDiOzfvuIe0w//lkn5RApu+kEySWglO6H5/tF3CA9 yDfThnCK/KKsR9F4hwlvGiEUWllvr2GBsgw8vhip6XbSApsicDJ4nYxsl4rJfwH7/ajMT1pAR524 HdMyGxZwxE9rzvzmlf9GPqWlcnacutozmSZpnJNsli8R5Su3hYk/pu3C8QqujIVTv9B/pPvDzIcr Ods99u47CRotDwWVR26lMwTaBDg8BEkSA1IQKFyxHwnjr87E2nuuEE9artNEaaAAcqIGmNOMpRWm vX/XCRz7nlCLpAHrZC0/82P8cLH+VvEJXshkoTUwxHap5fwjHkPZyOwYjSrdJE8JXsmVni0S/M4W NmV+ms5gAR0YXxaTl6VORKn/4jt+f84HWjUBoMFKe0uT83Jf7Ys9JfoCY/f0hj4vLJGgdKsf/8wo zkgbajKcXUb6oaqWWao/YtlarPiymcRuKGvqCNP7ln3mMCtidHp8YyQR0L4Y8ARtvUc5zwHxAv5C tMMYoSwSKyUiqrfWuRGPVLzCEdX526QJy1q2zq8BAtCNrbo6dmzhc4089aFGGG8BXSPkpP53Pu0m a7O6zUIb6wHq2lQ3cuk69nKBE/a4f0PJ3J7fByXa6t5yTi8mKd8Gk/KbKd2FCs3eRkcG4QeO1xVv 5u4GXe75GcbjHRtnVHn6qIW3pdH0H/fDXRAYxbuAtDayqlIUyh6NTHsu2p9/Q6TamnVJZs4iln3q jTcVVzmjyxnpdthrQ4pYkVcJb8VR+3m5duof8eORhDwGJ5xciMS58yM7DTDV6yvTDN7j/V8ciXNP bRKchl/hSed/9g/UminkGvCNqPYFc1fc3SVewoR/TA7yne/np60IdQIq0+EODFlsWAExowffph1f BVVcKcgzyydGHPNea3Y3tiphVc4pDauFatq0BRW6f+XiRIkBFBz6aK6Kz62c8Ai+e6aHEESRbPiM rzXmJuuBSfQ75nTySMvbDvlAh88664GOS/AcBaru+MLMHtivRyiuJcuD/DJAgeKNliHDe0xSlEsA wM/hyKH/6TtdlfVrwPdSJYPnlD3t5EaBPNnO1YdJnUxtBLcpycVqGdC2l2xpkoMAUdYr3GrZKXEN Wv+KgEKmXtct7oZR3CcruN2Vd+X76yV8CRji4Zqp+c9pynG2TqJL8igKkGzBdpWVhHy7ODSFLIqs dYD+dQ30EwkOGexVU0A6ofoxRQ+DuI9UMdU65wk9RjZ/pnPVRq0F+tpHZDUpFFm41QnQj645LZ/B Er5k5nEe4pYh1ZwvXZe0BMwKF7GuWDw+Iy71Mdy4WT1tq6FX8fv6UBfX/+Zfl7pi3DapEekGADkq nCETwTqS3TMPQ9gypQF3Px8k0vjl6nBEiohFROCdH0RqKJJLl/Pd4MVnE/sSCkoetZ1xi7T9vmQM gtCbt/o5HmL8yHsT0/rwxpy3aWcihVeapoSGGsG+kVPWvMgO1dUha02BrXazf00wQdF2M/qsaBmF mwO01P+AoRD92EUlvKwl+P+BkUbWQTgxLbkT9vTdtYpplzqeZ/IAlvRHxeffu8ZMZvJ6MzfWKeof G2QJqj9cfG9Hogfy6kWqVuiqTjy0OhCkdy0q99UqUQsfu4h9xpejCuXqMNi54ZWdYutSMndk+SnC 0VyujsF0Y+hxBGvkkyC/TwLVq5AFjn7ZPYJ4KzQzW/8xKgscl1k3BzGuRLreG00oI1lOE7mSQvtO 5i8NCYEVOb/IABdKw1dU1FZszLUjJykGvBUmX5xjEcUtIi19ESMgI6BI9hk9rA2IvGpHEMxlTk9N LyzMhkszJxng8tYY17llBSUlFTpoHAV93GIvX/kbpY7szyOWFpw+ul2y3K2h/F1V7Gs8Nhe4g37g wcGpt4c+fnXs1OpkAnLrWK1odbWokkv0Yiiuh92wWEAUEXNdfqOWDL/2dvfpuNkomwVvMy4qt7Bk GX3k1C52Wx2KNmDEiO6Zn/N+xUZnz43LGa7859FYrKdZIFoZECaPqQb+llD8KxGHISqIbPmRk9ps BFeKkreUtVRpJ2qzjsriMjn1viFcIqFl1CJT/z6/ECcBhxLl2Bk1S4eNcYwYmWhoo3I7FjZTsxZU P0/fZo0hetToCPFRyESEVZhiBImpXf9DgT2lo6MQRqbCfnetGhbZ+02mTpWC3EPsGhXG6CwAWzb0 kIOzRcQRWfTsIhumX/5mwqJ1wh2tIpWRG0eyL7HdQXMFzS5dHgMxo9bun7BrslKKwro6N+//Nqa2 hUIRIfwZD6qQ9l7fJ6sHz2+O2ffkDMvYHvfDbytZI7AQIVXemPP8EC/JnO6utxNCjEszM7IQISyo YH50HdBcUcMFUL7ZAk/JVyTxBrMLtBu+7mmCEwM8FpEtxaa82eO+qqNgysFCIdkgYsfiJXZezjlb WsVf8hDic7ZhJRn8gHV8V89c3UwxS+gHNxVV1hWffs0MbJA/GDTJLsygWFf7VUcIA/Wn5Uo/Gj3f I1LGo9O5y63ZFVggfWzel0SjKWn9IQRIhBWj1xTL0FB2OK57/M9ygbVw0t7ynjM19AEiRzRSa0hN +fM7gg6ugKIQrB8L4h7AjOewQP9JvHo5sp4deZjJiwenV0vE/ZUt0ws9PJ5MBv6kGZE+bGPysjWk dWa/lU9wv/qQkEYAhe487fQw7PdYbKQ75RImS275/dvdGF+L4/B5fomoB+cxx/p23yeLYQen8L/7 bgvXx4wFnGoETZH7yKnIY7ZQIgkm+9TXKd51HAGTdEq32XUt0dQYjXNE/QGTrwYMf3euLMnZ+m9O cE0DnQ9K1BV3IWSEAltaae9r8hdwmCXAWrqFvhiBjE4J/4GDNbBR8y9Dk46t02kpwjK5KLmMiSM4 VWn1o3fiuXGk/POre2ASJgtYASZi9ieiaDQz0owCe6Wnrix0KSDTG5sYXj6QzWpghnBZ3sVWuOuh b3T9qZT6GqSIZMURzjBWl4MWjHLsJ4YDfLjc35S74rFM8g6BnOFOh0JLYkR8+g9/u7CgDcXQycay gDQtZoHupcgeLDAr3SRSq82GNX5mb+15eplYKFZbP4a9iUdbOxC1D5YJO1QEMsy15YoMKE+0nFUJ SpwL+kOaFqbOAG3j9R5kGiZICUBNfdIlPPrxAro6SfB8VIeeIvK0gjaN6rxGc5dPbx0aLgxzjTfK QHF7vw7KWf2DtsSdFh/lLPVdh8CxkitIV9lJHnzj/edH851ckkTFU6Ndq37/LrXer/3V6lBhxdeR ZIF2UOVn6DD3KgC+JT7rrjhxfK7ICplQpaPFJRYYb+/uNcKuPKhMW6jE5hV8i6Az69UYLfjk8rvi 99xYd0ko7+GKYUaC0sMdaNtQVs+b6vVMB+7IXU4JaZHyJWrd6mwUDYyxqSw8k1qWfAFbcv7fdP9A IU+lS+YI1xxLn8iaVgYw5+htvl4u4axsM4DxDBf/uxUP9Er6GH0KZv2x0EFJwHzRUJxlEhwUdAkz c3/m2X8OKpgtEQKHAs8+d9xgNU7AbbPZg7e1Gitl3HV4hCuE/sOO4DrBNxBaXrKn9Qpawkr30k9C urEcGZ4ppfs2cpjcERJa4fGpbK7ni5LCdG64Kt7rM6/br2ypjB6/7xXkuqu6agSsNePqESt5xC33 3+lh5hU6hY58vDdnW2289N332rccLMIdyJl6ITTScg6/gWV9rFMKlqISztDCh2wkVSGdjdFNgJm8 p5VkgvrhNngeYi1b+OEpttDDUjJx6SIuJ+6AUbcAzGlHkiuvjbGVtEtY9npJ7Q/lOQXZYjudsAtR aZEsrpsNxsJWtttq3yXfCt8rgmqWVmPzgBmT/qQd8YkXx1EHN53QiAPpbIsYqb0iuMR65KYoe60s epizijSVZaHr6IGe/Ltc49aca8O4SJiqhX6sCnhxmzwJaS1GovZVEDQNnQCQgHjBCHoz0P8UPKF+ tYbZgjvDQQeijroZRLxXDfNUvQjxsv3orAkFsinszPN2a2U9jIGAIhwYGZqnviAxUeZun7PpMhL1 SMFalu5rybfJdRN97jFf/Imtr1gDZJB58LDCgSdmEqObR1mkqibmJ5U+G/UvMQZLnXHjskUsDo58 /HgjKKiTlmkvpBC5fYbendiiO31yEv8mV4SHhvGVi06/obUrun1RJ4B/jvpafbXkxxmbGtFawcKs 3HpEMruD1bLmJgZjop4ja+5CmyW4jXZGeEkVb8vVlEOCPrKoeXBSxJ7fZZ/W3EHsNDd13IOByyJl 0wSdweQv7zcXTbVQzp95JjyO9uOiI+olLQOISpwYxm2m/MpdZz17vnIef+p18SFEWhz9oeyHUMzn 6Rk4UlxWurcYhu8uy9PV/UO5vAtGuA0csp1ZgviBNca+eyfaQO/W/4i6XMmnZ0oDlkzmrBBjSUjb CPazQPyHuPfvFZz4jK+ZaPQoNyj06F4SzJ7qR+ROz+2GUUXlzA7hEZ2JeDmqFHsG7RkmUgTuxvdP jIAuF+/grIzUIVmDWDVTkayaM4Wc8KfLC1a530SKZ6TI27bptOcGhLcr8LAOYF/mcRPj0kccPkPW dpQjdkMBl+taS7CW9jwZo0u054KOK5mn1uMcqNDjU0+tzdymBbMZbYFIq3bfKJV3355vZC6mDe/a Rmi+DKg1D0kibMuAJlmjJMM+WAJ4741WTKWMObXQjU4jivokV3N92m0x+xesF/iMX4oFytiFc1/U ldiHZt4EKHguCLcTa3k2v7AGbzuNdIgEDVWWOuyzYpI2ZqaKkdgrFJnMnvQewMlJRngwvTFjVXYL FqX2p+MS74pn5rJJBMpQMy1JnjMXhk8slb5dDE54BtKfS1ZlQBsTnvXq8agz75ABnZMKMQEvHijV FMFp6rtmD4IhrheGbltkNs6Dy1n5453rC30++QVOdIYeAsNfgAiIh0PGXPkXJNpDlGWI33mMWHzB lggnFaFbmBDHy6y3ZX6s+igoWIWfLmB1sEpEx6KzD8xvFVYKcJfkbA2a24Her9z1blfK5hL0QWFt uQTH1JZ3kgmyntDsQ7h5nFMhdMWeWRWFKVbIbsinb+w+xWy5Pw59zBntP0F3IvwtO7e42hbiqboi kQ3X9X1UyQ7tvR3b9ha+L9SvFxH8OW7sQ2/PP5nUlLV1oXHEVaOgY8U5hnEp+Rq39rjxtVXwlprx p/FAeiu7mVleCqAjrPjpodjn2G1CookHL02WnToxwar13fHZCvFc8TzW7d7xUtdpCv4nPrRGr3o7 CN5M0NbYbF4ee+p/BDxihlekmel4MRNCArA3qz2t2uO0pn0ukZdoW8dbnXW3/VNRtNzDeNDzE946 SmfJEyROy7JNJsG/SAoQZ2m4+6uekguaU3/qc/1fkjcOxOvOkwao1PlsV6wQP4mWuluX0pxX7Bcp 1gtHb3rnrIh7UKnmLLR4UoUMGaZkhNMSXktiDffy1Ik6tXC4G9EAHNZSFc0UrBatpVTKVpp7Z7FF D7OS/7BegRQenb/HJleyMt9Uo7GHVRtbe82s62fc1Dmt195v6ocfkQFOKDCPBpcSDr8/Qt4gqJJZ 2BTvM632teL0UZ96+NFuJ3ncKOMWzrx1FPGdHIVUd8vjB8pL99ura7FeVIFiN2V4D4nQOZdfLgyP toVgSyhQVlzPflImUAtoCyJzclHl9EWuQ7ebRTSYO/cx0nSVapBzRIrP9b86mzWhppVE7D6qJwRp S8tNj+M6AHgVb54t9seVGuD4HBrn9ahORQxk8BDTpGiT44Jsvd0lBH6y24iFWIzOB930RbsRsSVj xr5FByJJUsGJIzms1XompkDpjQLKv/lJp86qpdnsfofHQdqUcByexd4qyRfdZhY2MfDL2hlaYTWg uE1ZgevO1owcNsDdjqqwbI7j9ackyKPNYLfiNY3FjOEclfewIovfb9DR+kxBJTJwZzXAYEE80MHG 6KqVT2rPd9H16wSLyKwWDJKwekiHD98UftnTld07I+V6hQZT5DZ8a2tOoyRE/jSXqP1nM8Z4hbdS ZL+L+r8yMyuIBIaR8P/I618FTkelESc6we99hP/S7hwQT7u6KWFA2+wbWZhnb9Ygy8SpZBoyAzE/ 8FWqVqOny2jngyjEgoxFtVCQJhPr4PT7nqnsHBOJadAcgVRbp7YerMDkDh1iX5G52yxqBPhRLkCk Imsp3Sj+ivJTo1bDBgqVdYnj22PJq0cs4IiTsPC5jvhbDxEwBtuTzdJgPDmWYWRKNiDDj4t9lkux /4ou5aC3tpZVse8agRbfToa/6j36a9zzaSgVwfltNqIJ8ZrDrFeR0SwC8VdMOas5WEKRkfZKePm/ YEwwSae652dGhrjxyBFtJDYgHXUBmmrW5jZVAvFvXYWre/dSuFa1lr+qjmWypsm1C64pyuP2CNUX ejXQ1DZWVD5PpMWEdloLZWtjxyWqwP+FJwAqqtDkTx3UzeqTVGYRAQsGX519aCY5CIw9mM/aSASe mGCBDcOVw+aLeZC//D1Dxw/jE+b9bWxQqFVnPThcRPDlstCaD7j5pgocV2tjA0r0fBbRyuSa+Yyn 8LpS0EhZxlRSc1QcEPREl90j53MVMdZDyfHvWnItibo5NmsM6LnHUE4TzsdoX1xSCV0be4UcSSFl m0QwYjBMdVthvUsbiHBeC55VPMoGvaPwoPYLjI95PsLILs1NTQiWcUiNwOK1ewB+gvYGRi+TjA/R gbnnoK+cKAS+Wh8aTJ70Zae6bTkhV+T2GgW/67L45O1F8NViUAEYzOQCGyq5AtOERgwBwmnLPzcX 6QvoUK1RywAVfTAmngvdf1YZ2U8h5KQnLawqr3G4kWuXx5Qh93PY70YbSP2K255Mh1e/PaHmMxVR rLVfT+wiF17HiGyHVZqPZWVzAIGtAx971IujlvIid8nLAEa/9zGYR2oFFPL6KKlLh/T8A25kFl8j ZEqIuwJPQd5FqJ288iDY97p5gVUn7DCYjukB5DbdsI90JX2NtwjdPI8wabXPlkTCH2kV57yOdxKa aLRJsnHVlhsj6PxCJVdKnIDCuHcHdL3d4lcpjMjVmWAJTf0GvVjCbrRRLxj+PS1c4tiY2aJEkx1R pUEJRQqyzl+Z6PZO4eoJW1ew8EgVdKlzCikd/Lzh6/lXodK2qNFx05f8/wiOMkLsoLD/jo6R/2zM LVWJjzAYFuHOOOjIlw+HPNooPRWW67K39NOjSnNTlnVY9ivZL2/XrMV54whw8NpGp5LL8XhhvNaQ Dzt20P61wdDl0Bcl1Zz5KRsCePdjnF7SXt79Rbtw+Z4GT0EeOiKlHsA44gSt7zl9NkaTqZzy10ZT ljLi61CGCtGAJoVZSpGCDmVqq9FgSB3Ckt0WU6UknKK1+bdnWz5UgVghhlHsJeKpmUX9CouUbxRV FracXZrSiMWVvUEcH3Iibql/whWRTsmysysCXqR0ZDrB34p/EDljcNToMHZbVf/NvEzLCl/OY7WK 0wUw+BMCRkHSbkhl9psEfSf6wtEIorfUqdkBRfnh/Z99q7nK7wtKNUykdBhuysoLuy0ctvJAuRKe hFlaIj+RlMrg+8wSgcq1pgZt0QNh2biv7FBAcxIczRMzH3tlXXd1lkSkomt5erqxLRZQLzfxCaEE pkgqDyPAmWQxNnXLgyB5PvkQ4a1S5AlfAkFGGtt+OhPAonuKBsKQITmm4691IpgDwLtBHNVtOcy3 P0lLNVhTDII18dE689jjIHhDUikVsv67nwp9SQdajMsK8uTZksV/YlrYbcqN+akg0uLhck47QKtg kpWRY6Hdv14IN0LExUljsB7zKxDf3cP3+IEdy0IJwluLPFvz22gBdSU9q5RANXa0hKM51QB5Fxer sEjmoVP5CCg1SCXVqlTu/kFFOshf+IT4vO7qXBebb/06Q1qx8fcnl0SDnvIk5ZQXU5I0R92vsOfo rrgNpUnpUX2f36+3RoCHKnosnxI9vp57t+1WJuxIDZnpdAU4wT/MxbA5O6HXO1onvUJVcY8kr37V WYiqNKswGx4ZhjUiqTdo6DF+ZaDQHkLStsTTmyHHEFqAKhBGtFCrp0CJy8fEflvQ8b0ocK7jSOEA qkFmYvK3nyNWCyAD/s2IGoMN7/zrb7ekxiOTahP3tRmlzb9fvsUDiLXqVAlmlumVZc7dpo/cC2tp +7oLeTuBA2zzzbxoWkk21mXFdv8yg/Bdo9GuMD0TEHGl1N22CPgnVpcV2Z5jKSeQwHuCarCnoN25 M+rBG1g/OlzwhCuf9adQuubiurfo16gM5If+dO9ES9r1Nfg0wImS9EpUF6+/n47Rk2j5LqustAcq hsfMLu2ILW1N+N8xuPTibWUvcNBVy/rRAj5PgACdubmYUNb08+xCgyR2vAMBmJNaJVqgABCfhLj1 j6+GHOPYSGbvbbVofDRagfSpYQIS1YNS3P9cM9T22lnqKbFQ0saBlyIHn39FcqHt+wWvmqSbIZS4 ERVkJcqEfmL3l0y66txyyPaeDGwkvcDXzEGw4ULhFA3FXfo+LoaLYgS1zdggdlTh0M4tK6ZqOPMH EIc18CIN+bas3YlXxO4UkKkTWpUSVyxq0pNZ8sqYcbWlqB5HoAVAZo6EzgFayPmfDkGdrP1G8DnH zIpq8cBaxuIPXoGfhfqOgTuE+fmzMsgM77jPfT5dE17LSFDfBg7Ga+H6+Va2iVwsnscORjzOP3Jz l0Wt8lVf6Kh+vTn2ML/nHfXQBMI6uMnA/4k/7T/HxqBZ8SC5A3dGW9XVM4k8TTlXjaRY1DBMJ+bx KQiw4RHqPdNvXgsnOjZL1TjZ180Eg43Z4qtmgAAtjRGjw1/FXS8hOGDWTGpG+GT8jJz875oBgl2x VrzPMM4VTX628GGYhgi8OgfOYaz2/v194GZoFVsfu79J3lKp6mW+B5Azxdv1KVpvkQf3Eodhgx9x awCm2bzuPxZjWxHzhCtatGjg1baYUZkDyGeeKm3zX/UjP9dZlr5rBDRLBg4+9d/CVgPkmQKA9mbg mRUQl/aAJNLNYHQcoFtQNXE7E7KWyfslp0SLAGeZbaosFcbQ6lpuO5vjG8XuGVgjpvBMmx65jk2Q Z0Pzrh2h6MgdukX8B0bvN16AoyqvafLTJZVOudd5DNYSctoXjHtYIPwUCfAkMkXnd+hbJSrRog5o mnDbSCm3T+JjnmSOGKoVSsjnqy3Wg8/4SCCiClnAzxM6qM3qmA71ofiZ5TAuvBumyLUWWzn+T3tp q1LPZcWfMj52vgR3RHW5zj8KVkV9vMhVbm01X7PrJRahqY8+LyNPaOKYx6Jf5fzVyCubk6rBSO4T UBirHb/7jPRQ+lg3TI32yoUL2r9DmApFwFkOOy6ID2cgwn1WsVTIi9S+4msIAZBs3rPJNqcS/1HT j51m9z2zbary5i8DjYDHza7sAuEOl1d/XT1194X8gYdu2tJRZxGJ70heJ9ogXDnkcIWgjqymXPHU rphbZ7oRGhVKZw9v3yX+xIrzMX1IKL6+YB/NyN8hF9YgYnD58dYTI2n3vqQWQ8qOpsFO6fDllgwe k8HrggcWNA9W6YPrHnsHGF5IyvCHuSEmi6aCIWUH9M1aEUi7c94WJ2swtpJYj1D+OIXW5HvNa/Tj uPUJPBwiV0ctG4exB6ZBkDfbapRN4IOOOSpB3odiFyG0wxRnCCXvi5qcjGx57cZ64lRbEkw7n2+9 uwHzwZqmEvdEw1Wv7c9i/c2oZo71mN6WS0hPlZSpEjrwETySzDi1LnIBW5mNiPU6M3xdSzgKrKkq XE+fakTyrWZf1rX66N+6wRJJAjJYK5u+q+QLVIdksybBvbhAAshXiNPW/uRO/3/hLxhPJQLge7Ki Bjupay0Gt8gMiUEIW6Eoa+8BZH6DSusylnnqnml7JDAUcqxv/LKgOGuRPkEIHjXp384hzr8lVvTo MqFu8HPFo0AbFKDsc12cI/Z2vvIKW/TatwEKrQXmjJvFjCck5P63MpOVfW+4o5CYu3Hkhogen1DW nHt2SFKOJqLXJu4YvwVnpZqqIF6yWTXMh85X/G62IcklPvJP3dFLTQxkUgRgphAk5z6FC4jFLZtQ lzkwirx+lTm2S4ybcS7cqCKVCJ6gVfNmssLiwh25716JReza1VDXtlG6leb+4SvzGJ+xynegZ8s6 nwwbLo8Si8x120a5YEXZBvopYrdbN57hBTzLv2JLE/Pp7mqD51Euu0xNrAQtyf903mXMnmNCcGvZ SMxLTKZqtUnKf9//as6FEdH1/Tr7UAt3UuxjGCkbLlHRm+XEJGqD3huzbpB78tCJ7w182PWGd4pm fnGjEMXpg6AVnKOTIi8F/qu/k0W2eN/WAN9/LG+khXNG061Exm5V2XtKj4S8S3jFm3aGT6cd4c6u gnAJXslL0/KzBk9YbKc1wt8Y8ObXWaVaAebNsOmB/ENyCn+6atKotOYv+VGNqPjvPEfY+FQyHCcs txJnujBc8Qvb3oisQNI2o+8IVjhMdi1FpRZoOPHnB8zp6ZkjPpNv4xcywkb8632lW25ZQi1KQ3L4 4GjlxI1Eznz4/FfmjLF0H2u52ynF/Eli2vM/mXTE8XqeMgocMZ+ZEFtIknRzBLVVTZewlGLgJR6h mh7p71G0zS7ML+IrBCv5BFxZVbmU84DY8YLIT6h7ZyRuXlwThlGtZauN5DxCXDMUwGGESB5yYsU+ 69FsLwXCpOiPe6UvJJ0Eeo1kt1G2tMwQ5JmNSIfzA8OqgTbwZu9Xhp4y08sJD3Wv/L8LZPMdaxV1 KKJ7QF82IUNtujLJapxzWe/FjXccEGIFUNs+o4aOXGK02U+K0Rpz1opsSFmOdphkSYk+HmanXtD+ GqIWy7RwfOM0Zoi5HX92zn+BZx6SRn6KebXixMLzs4FavLvwg45OG8OmpXLDYY/ct8EvvOtM7t2u DCIqW6RQ7bkasYHO90Go54tuYqUirpcXGO9npXgzahbDBvRvVYvTEphMEjQks9ezGjcddBRCRFCm 66Da/fKG6Qqgi6wNXnG15zmPAUWY0497vCDbImkdjEuZbbodiWQUYLNSzEnHDOOrAZrOSGDHxlQ9 z1SSmmzBInKDAXzwPdj8yEBWAIeTnPskJDsWZBzkJO7ZPXrMooQfxz/RNFkji/INzsU+ro8Qw6UW USaHQlY/H/yWkmtGmtn3musN9ttOR9mLLUn+iBgJtFMw5Uo1pDjWksRcFyjdVv1Aj0Ps7ufjaoDz Gci30mZnZV+VqQWJ2/RTIhsx6aThdYjUGCa5e428XtBwHbC+IFHnh+B+1Q9qwDvbz1gtU3OPxbNd rYRibH/IDLWrW/euqvYSlcNqd9uXutn8E5vFWUXTuADqIneL3UnpYZMJ73Sv8gPkuFc/9QFVlhjI KzgWVe0ZHxpJ+nQnW5PxeAVX1/K4smiuMYKLr8vxayFCoyVGZRka0/BocAKJSD2TK5HoKvQr4GzZ c6852Z0Pe0udDhI7sbnGczrsVVZrCYsLlbLs1qw40h7wQbzNqQ1YSovOt+TwkyKMrgk6VWOZgzuJ ODgmuyEs/cV71ZCgRI968+qX9DaCWU0Oi1sGb/6gTZpRDRGKB3JrBA5RCqeRhBRxru6+4vXwlXse hT0CeswS8+yh7njnD7RLXsOQh1v1WrItrd5VEeNY8ODC8VGN9V0cfMVJgkJW0Yp7BZUK1H5DZ9dj GNW6WUutUJ7PurAhtId5hAiEFsmeG7H1BxbfWm9xFtwZ/jV/XNARX+Wy5Q/5HeyBW/BfvMr1Vygf 6UuyDzirS0KS60LWt2gF2VhKLcb0ha4LWrHRjdRwYy7676NxHlEGtAOd6nUoW06P0z2zCb1wAjQO oG9Iv3Jm+MUv6BQa32OLsWrH027xKc9qn1bKS69ne1XWt49j6hz8Kw3eJ/RiKFGm1dg+hcdattaX jPTaZ2njMl464CPIucbJf3fludthH89/WW13wZeV6+D6a0wzYe0a4h1ghUqV5uMd7NcatRoZdzTP sJmHj+2ZJj4Bhh6hztiWIhacTBSn8OAYKQpGTF/sEB9zs7KXtW09oQSZJGlja0C3+gmXAZel+onB 5AkWWdBYCvc+FbWrR2XWnJVKBs/zpt20ubzQLXB1fR+w4cxPiqq3cO5Q7JQchelY1EVst3MymjQn KfW1k57En9/sf/hyPXdMy+svCF/4h4/MmZf8GY3LMVieWe1/Fpc80+Rn/tMCikEhnHgtiH2a2oA1 UycUZze1V+LddNlCjMLDc52O3wA10N+rKtMYvKn2Uhs+Ckf8FaRqfngBDjaISfrVqzUUwwGaf4LT mB2czs5Fe+5/8CJy25+XmeMtML4yF6Zbsfynmor8z0x4Z7ISVfZDaG87EECf+v9D0tR9HXmhFEcw H5oVWv1BEt1rdcVfHFxcLMIWEr88FASqAt16t76ieVMkmPQuCWhgh9gHqhg1LfEhp+oa6K/g9s+e HvLuj2s15yC54W0gauWs1XEFlQotph1ydjoNq1ZCp5Dp6afAe9cFn8Fa7VCeBOv4HCSJBKo1pm2I 4na3831wbOka01i6Ecst8l5N7CEXMmQXNEjDIZYyOmWNxR0fjkQjNY7nGX4/K3v0wCuTzJ+1oe2T Cgq0BFPbwZkKKRYFlXPfY0Cnl1SdAGINCCYwq/e8nSQ17yf5XamlmxYCupo4SAgKFhgr48qyf4WH 7C+nu6j7m0HQi3de+5MUuoCb43Zdqlg9YV3mxXLditToyxjyJOTcRo0L5OWwJFoGmWgVUIA/V850 /9V7ssBI9qys+ERwWBJX6BPh999EZ+iZVASGVwC0mHMhrUYTcxYUbkBDNAQ2HHuRJInG95s8i2gH 5Vq/oKkhI8Qg4ngiYiTxvhmLudzDrYd1mYa9dT3O40j6KYFYLlFuDubr2NZFoqyd1z5GPv9R68PH NXZURdLcD324cdE+R214uW4FaXjW2reTTxZ0LxD7hG9WUxNSFvweh5bslD+L5+AMc8pPXggkVNLt St54TDloZIpy9Iospox7TCYWSw+n8w29B0OzDQs3RmteUNs4dE7qsHg2TmQanDSLtdCq+1eOLtyO +JPpYfpik64fRykr2srF4hfP5Vf5fvQNjU4rlMcSCW7N1HrSWLuICVmcmkZkvvjXt3tf3SMIh91K q7v8zFecy+Ko36bncAbRlGOtVZwDOhLRQSJXmnbMA2SSZkdV9fy7DD/TIZLdxmWqGZtmKbGh2bni lhViSfQTAeuRGgM8WCnFNpFu23Rdeu1lW65IKlTG5D+g0v9gA4K79GYmawNHEcxRuZZMnJiWpsEY mFc9nkNQKpsCg9KGIJyymNnMQMSxOlpAwEcmd7kSQ2qreypSijDEIe9vUGypAw5J65omKhpip/Dn TIVrV9fnYYV3Tx4dacDNiEm3RpZa2iiJ5dgDHh4ISiC8gqhyyl+OE3oX0GNZOsZBUpic8dtwWYzh i6JPasGqeB7nkm1ytG0ODaWFOW8yQxd05oyHIn6WWwkpUP81UNb2+y04Jl95LiaiE5j0mRT9HErc VD/czFoElgDV2z0Dp/pbeIT43iK1iILOZZumG4Usl/BVBWWuldsIsKfhq2GWqLeXx187Q/DroHrU cqc9kqjcb8P2kbWT7jH66lfmMisZLpb5h2PycFYBnwEv2AkVoIKVcFqJ781VBOkSIRcqNs4gNEMr owJkzxNVsCUY5/1euEl6SakY3Im63Ua/GQKjSK95hGWgnzCTwHfjC0t15YfT9jtcPuq7wiomzby/ 5eZ+k5AOa0gSSDak0iCVrs0xxDYn3I7D0KACl/xrjs/TYgJj82BSDXbXZzeO7T/RXn5va8MvcHL9 j/ogtWwxt2AmluwSciTBrYrNqq7aHr3u2Tl4HFMrgesSnoHH+X5hgRL5+IEUPrnf5gEMy1SYIkjg gjKyeSfTyoi4sYXcy1kwIkgesnap3IIqzW1JEOKs8tSUsCHTng3aYXxR2IkJw51DdVw1HC5hUOxw wx3l8qZMt/xSizgf4y32PixbnJLtGw6Od4hlCABGd5zItlptITV0nMX62ghTbjCc9zVXSE4ZjpRN oDuUyOfBHENqIfH5u91hC1a4EZj/k4IDj6XJYyttxQ+faYLPK2QHiWlXVeUB7n0DgPtWzZgcW/ge HGPvC5JmWuTlginvdthksS2A3R61bdKrgIf/Hocu1nzNF1vu7jXFOIqyb8bGANbxilLODXfTwfhj MeOIk+c/ZLD3IiGvkRZFGzDFSUoBMoDpy5tGBWV4VNCYxr5xgndWw0XWTfHXbv3Ix2JnGC2mK2bn wgoRGDJt/9BtBXeCz6rDTomwzDGouh41T3KzTQiY4Ti4ezZMcLw/kirZU5Z97MOYBshD40PAztz0 HyhYz7hQqOZiYNyli0gedlWH1Au9CBibLzfnLIUWIToETfbqma6b4YVjZGOfbIxVfwDFVPETzBe8 ePqwB9CqXXxGi6FZFJzISsXmMNycBu5o5XVPv65WdPQU3GvV98lZzuyggvSmWbgs28WGQCKRJ4lG pCeoHyGHVXiG4G4gFGb57Spl+owB8+O+1JQACJ+8HYCO4W+fOvYu/Wzew9F2xUqHBroV0Oan2ANV oO1jKk8LiWnJN78v9+M7lKQhAEJ3J8b5NFMD36G+iKrSlBOkvQY6I6bBPXh40tCdOzlsEALuZyAn a6aOLD5Q5y7q3JXgzz88fyG62vtEABmq86Go1U4uq8vpYHgzpmXbSAVeDm4X03NUDkF39C4IaiO4 MofwxXgd5PW82rMxMglI0B+A0FIsvJSUWM/Sk+sTaBgzHCFTN4s2aM4mB2frEizZMNI4zk2SaW+I WxHl6MYv3v5QFK5z3qhrTPO/cNeyaJoavso/qhVGPBzhyDHv07sBawSnSs7dy6JeplAP16p/mQFb goN6+A3VdJKIveeuG/UbJTfN+kZ2RKVQ8uDOag5OOAVeWVKTYM8eVO7S4r+CoYUfV/wyOe32c9Yl U380CxHCgpqRoKHe38RPGZEFCpQJsYp2qh7Qj61bpb6HF17OfWHjCC+RsNMYXlnSBD5SiGjtv8gU Kzip27AhiXwJmBJXExX6H87QAFTNmfuwBCrg3Uq5A5ycIFgKFXroQpJyWJTfd2YrU9hsGpvgIo9M 99qBhY8ngckMm0Y+xNwpnZeKAWUt2d4atoGGzM7iDQrx/OddB8GElkNR3WO+JeARZFArCpKkVDCx MsIAjlv5NeYijYAmNfaFfNGbiCl3IhSUj4aADbfWAnFna9UjOPklkbkBOLdRMs9bkocyUQeObMIj ubWZFh1ACvcFiFYp8/ct9I46+1+S1idFMRmOVOUOShBdzaQ5VFmaE6b/KEMSVzwyPFi2vKHj58UZ fI8Kj9eCgkG9Rtrqi7aoZo0IaJ06rq2+ZKbhcw4v6zf8o8U2y+DVj14PUMV3UytFHDd7ixhDORb3 IBDU9yBDWjSqhKas/I1akW8QekCmAk+/VdxMDyy9B+Zv9hPwF+iwFHGo+nFpAYOE1u02/qP7hDur qHPty6iNwnY6hRWiBLJQBBkI0TCr1CtALIcbH2a3eYZGFvfU97ro/t29LS6GaOwbJ74CsqHAtPXv JbfrUF3PAfppowbvt4GeOnM7rWPkMrk95W4on5NIL4v3qOYGf+plc9OzPtEFlxnpr4T++vQVXoiR k3VRTBrG9HD43N0QfwlZD+6C2oBWKR1K+s2GScQs31dcZJauMgy0Pubz9K63fG8NwzodZcFx/A2I v1l8S9j7Tkc9sy46n7FTrNrTmvIdhUW9dRb3vrZgryjbOE/kBUsl5ZIoXgoxqrSpv4iwIBXM/ubk PvmTAHhXMrWlrbd7bad14bS6ln7n9CkH9irdMSPvLc8ovKS7lpgv+v/LtM8atJKY2xgNCTTS3Ooe XQVd7G3mpHSPLvzcj+w+JfglxKk9s5FLzIyMMBq4DIX+toBQTLWIorD74dqW7FYO8dbsdoqIwdni w2Q9c20iVjsLzqKKslCQB6u4ujboWJ5ZtsLpM8gsAm7ZgMIPJkMy1BH4NZ3cVIuGdk5vI6PkVqUU Bz7BuXLAvjQDnEWJvH92BKbU8SBrvE609v720FGaaW9YXBWty9kq42LZQ1Oq89d8B5D4W4Tx8xlX 1/pUEw5u0MH2PMDZw/xN49ApT/tLozYnupvoA9UVf1OAkTtKXXX2iB5nKvJKrAcT5+uhDZzgqfSg gmq4R9DAQuldus4hMZz8IQbbcJyBFJQx+77K9bydNwsLurgx7cNNpDQ4KTTqdyl+nfVI3Mkbuvhd AW4QykeCR8b6HGT4Km9pgoI9vyCeJ1mrv6R4dSb6k1R78sV3FV/2oKiQrkQ8CtmRGCgmbRcpK2QI Bixcl0o2CN7INUquoNVxQJR/bgKkofNm/H2ORt75nWhN4f8k3eTEJIHMQT5UmSj/yuWtNG4fikZZ UhaFddFn/ft4jmmRJLPHOBZ2JkshkVyCu98KXhPWtWy1RsLUhver7+hJEbB0/J1cdvopmqmiRwQW BedtCpNqENV75oHxfD7eO0SdBQnFOxJDmpaclvbHSMF2qiNuhxdxNiiJmPm4ehIqvI08RJtEajW2 AT4sUOeQnCTudE/niCSC6NoFZEsjcq8ke4JBJwZa8u983lgjCpP+KOPGbT8HUWV+KxE/LaBaqFHO Pbhcjv0Zc8AyNEluy9LxzGmBgrnHBWwPle6HnctFl8SjajXieDljXUQTuSZS/rnFlcOW5scqK+Me MV4Rtp6+GlKSSjQW4JtgRAyFxBTMiT1a40t1OOBRlene6pTYgqXBPLmG9b6fPk27/W0ib0kF4ySz 18ZZ2byo/sgoLXEvhRB6ANMn6zdE+HtzKKyyhFrZpd++YqMYr1Aakd937g3kejPbWAcELa9pwR5D dpDOWr8c6nPgGqSSl3yyWfDrsjdMJTmT7Sct+R9WeNc6hIB80wMveePMuCKSMzrz/IzE7ASAikI5 oBq5PRpbNe6KsJcxtL9Xj70151wQry1D662PI//fJ83CH/GF4NfWX423/nbpQDcFveZRU4lKbLdO OJZQGA/E2jSo2cZ7j4sl6Q5UbAVeKs09GpYXygSfY3pW4sk/SZWNAQrum7RWtJRU/e6bPpqQ2gMW U3skpmJLCqlbmPjoVqZqgccqVlOKi1vHjfnc0isA4rHPBULCOVW/TkwlX0aH7mECnAWo0pxwhT2N ywul2Qll3n2/oXO23QHG9I9U0o6rc9DmrZGf6BthQ5HUND8f5PtSkFCp3EMof8GrWw11dFRuG6aq aGN6I5PA3cHCuLrJtUM2cUnPYL+WzhvSDOPlkBscjnUIepM5gjBjAkunmA42Fw9UUGVSq+xFp/2s estQW8YvYbwtSt2dbwD6A2fLjr3VVmhWCV9HIj6csBFgLaew0k6FyCss+TyNXcBpM02ideUF0pvY 198fBYrwVOnGAsGdUtmlemV4LycBxlEpL0BEHDwwSGs/QcJCU+3OXwaTWozbgW9zT0Ic18XXJiR7 KPUD7OdP+1eu/kyCgWgfA6/TD/zFvLewqOXrWnm0cUrcPSgY+pqcX0uxU/vjW3TIV8W8KOujcVyt y8FhFrLI82h2AUS3k8rcvKh9ggfbQg7l+XdGlrlq64fuikChPub5Z1vWyqK6pCzIjxq0AhIczv6V Ha1ynal0ZL1u5m0YLpxEt1hXEz50itLRgBrjlDEuQO4BNNMPmrxiMUdsWeB4kuDAXYlgTnu8KTHJ +ktsxK+NT0FdIW+pgQB6mebgvjDglhUWDf33MWLax2Lpu8pYobeHQRjoZiBaEVgODF2421rP5822 2RpP8nJQeuWgxyUY7/t9xZp5uwMAQKIqFday3Nkfftvd7l6y8abiUNcEiBfy6b8q7noCrBuNJvsu lZNGpApF8XT/1GIY4829ikx0cKNt2UamrfpTD/diCdoWkdFngoOuyntFGHAtZHVYJkPFBdF6PmCw 1QKdhJVXcuSzRa+LFhb8PGfeRiAshFIloDD4aIuaptj2WzwpXdPeaGTdlduadSGrDVNiZGa/E1aN Kgr+W5udPIwdrwzRkMIOB5qKDv7kBpFoX9hgfvC7KVrthV/TyP9K1SceAo9HigYqzmo2AZfB24Y8 FgngCSELfDYGFdlPFId7trYfc8+bSLw2PchOI4bPG9hGdY2/yKKTJId2H8kIu7abGWfLdw8W0IdI r5esKcrRgGN3doO9W6QASO3zfPRmbj7NkTXGzgMEbVVZOa+bCsTDhhZWeIWV7NMSkGggfClWl88z Gk9/oq60cGqhYul9nk5NiCZaLs/4i85KUwF3EohqReTZ2Hwl7iomuPkDYBzM7Kjy9W42OY5xHH8C pFvijxQMptQ5eHAKeyEgjY3kaw44BUOxj6px4esrC4In9kto02J3EM1KQJF3gGoKVFcqMUiSiY7g jnsuK5FEWIiXfhumB1I/olrPLz2CH22jbupnCFaJ6xIdMr2yRI7TG8zKV7BQuRdaT2nUKWTkjaYQ 2dOpT8MECooq8E43PPZ3kNE9b2dEpHiEgd37SeizInUF7KGBUb2tczfXdjyJuQ4AwYNPLcz0LwlR R1vMHTrUsyB32rQjPq4vSKSkpYzqjc49WYtehNa+dB+QUlMRCoYcS1+CsFLHLMGo3n3XU87V6Pht pg7Ai3PTuzKgIMzdvvEpw3pU6MJ8jxw5Y1hzD0PPOnO/jjisaDx87+n7sPBXjdU530V+SU9esVqr mcune8H06kEESU3/6UtZKXDROskiyZe0tr6ZrKXjkjl+RutLbRxpFw+nqGGLrWUWh2MODITFIKb5 urIK9kEN9ntcC/l2XASG5p/MX2jBh31slGYe/rrakbC4eTZxkgANmB516I31YXQ1HqD0ofjCxafm HDIC0Kj9l4c7fiLm+Tik8NWQJyrJbo3rYMfzw0NfuxEZijaf676/TqeGXk6x/V3p75B4l+BT5HAl Pt7K1B7pFTsRxCWpsq5dctjSGkWTGXdCu6A5hRqVaczkEXIfeTlQI47HUjd8yf5YVcQ3nt0mVRw2 jbDtOPyRdXuO4O9A0vqVlTGIszkwCgPNMokXMXCo6ZNiVBopUrBWVCWvDG1RufDMQN6yAC3P7xS6 gdv9/bI3YHE3SC0H/3MG1rbUH8Hd9p2eOv+/knDUE89xpmU1V1IBqnQiCLxaNgeZyPC4TANzsn0R qanGt6usDUll1OFGJQUZpOD3LD8hw2BDZ5iMXV/2cGvvVUd74TvU7xUpPZIreMq6X8mMKWXhfdOv /BLel7Z6Sl2W8JiIcqvh8wbi4nEPyZtCDCPRQXzdgipbNYMTCPMQy9MJf1ZkZ42e2RBc2wOzk0ei 41IMAFLtfECtOR3EKaulIV6dY2UtO8e17dFgztkf+sgON4f83ODQr5fZWKe8iFIo2ezsrucfM6+K geIdn4Y+t/9QLR9E6rgInlHDDy0wcui5bYAmFRAc8IDS1pzou9060tPkJaFZAniADJjE+ZkE7FHB rdyXEgooKsuTvuyfEaS/gFrt01doyjznaoU9pgKRfghZIYAoaGaCVilI/JSgJQgjnVO2nA0pdHXY oEv0OtOOzLjSfLGeNLzk8sUjUy1wjs0AWdurd7XFcJjeS5xCni9paqS5FwWIh+M7f9qy7J3aA+dM XktKd887wFeYtCjHM2NtPwWycgLRFDU6B+jtdbR2h2fI4aqtytCSNRedMlB4aqM4NuY5LIMTh3rn UKVru3Ke6AtyAQ+Q1Z+w4gbJiGOxuDUwJkxFqrlrDjFl99A6Sy0wHYsukxtZo8eF80X3mAeQYkMU kCms2x8yLAjKOv8gtp4wGEOcq2c41pp4JB2tG8X6pUizfpQ63AdBWwQFRDC5ti5ZINhNEqGTyerJ VI2cHIMtz/nXFXBUjxY4mvu89wTpDXS/s0tMI8bRyBQLdjDbdWRHWTulR9T1O7f9RYsKisnQfMJ4 uvxXmJL8XEclA6rduQDwCVrlmxmi70lxvTgbObiu8zeLsPUXrD2MAxaq+yd4F+0gDyQ0zZi5hKKq lmouWof1qzRF6GwZeVA3/mJqcCU7FzE5aZENRsYFsblEpwDFFiUhHCh2Dkdzer3S1Vip/rI9WsfB QA9czfMPacr3LXVRqsXkvaLy6Uu9IuIpZLgC8HVHB5gRxrcBjvNflMg+diguKHCTgy7jA8L8cJWO eOSFYXwknqYyfX21FNF5XydToRYAWNBW4Et+DGOHt+mxyG8rGuFPQVu3+7XJw4VQ9zOQhkeu8fXU akWqoO63q0ViyyZBVM/KbJuC1YvQTwCAp1gMdbMwRpDSmx93iaAaGK9Hn21l4LLmyAoQK9+A/y2m nkhssLze4Tf11jh5jt0zkb5Nk1Ayfb0ufy0AlCno5KUT4a6QOMSytZYtRJoFYC/k5bHCb37HwVJH nixpXMx/GHbmNXhiOXHNy1k5MiQdnUvPAPsEmJjihvCOEfC4T85Ww5l+Yl5Zxb93zfeMs+oiSSfy pnNSL0vGyxD3uRaqFFM8LBPjtV9r2VyVyfc9TE3lIKhKixC/LqBO/WoJa6iU2GOVlwZa6wbABnpl DQf+rVpBLgVw9RaC/bxQ1WpX0j/htCihvI9AVn8Flchhkg4yrSyCt4w3Vokf3neQ1KYTGsU87hbO g6sH35PMhmfPPt4cE7VNRPjHjFGFqieyE1vCsgszXfaETxPU6vMiWT6t5cMKLUB2ry2cFcgFxw6S ahwtrodITvzPeb2Wo0LA4r8qeja1AezlOfldW9nBk5U0IwOLFi7qMxHw+n6oZ+P0g1fBpfepC5Jj m86V5UX6OLwzEzWlVq6AuqUk1mr2Hl/KLTOooYces/zQsv2zhQV8Vl+okrW3mSH410BNMD3JlDcS PFxVfhMdjdDl+CsAPxgo57oOk9AmU3V25s0Qg4cPih0cwSbUFSyU+WwSwMrX7vOEpuNHioIA2115 bhWcZ7Sw7eFK+QrxnRmumNHPrzPF+j2+UoTPynKb2LntvqfmRcNFAEx5FqI4F/raj6td7nOSFGXf OJq4LiTDLmY17S3SWtPzJhTF1LVgvJnDo4xjuOKe2ctWfo6ENrO2jHHG4ifFXfMSKBL1TPD4Q+j1 w1SN6DVMmvBuucIpeoxUYcc5fyd1PrYGvoERl+TfR7SruBDAlDZ0dc+ekS7ApMp3mv6s9uVyfllI goUdGDYabrbtEMmHl2rTWnF/++dinf/OtVtLfJ7V6rlgsTAhqSar9T1Q1sU8nn1g+mcPJnobnQoZ ttMKAdSVnwY0oMnQ1pG8VxZlg82n1v7iRjaAfbORfiPOVAbp5vkUZCHFamom7Um8hbYPyd2gHaPW tVxERYKd9sQH8bC8wV2ZqAkyr5TFRJoZgg39+KhuzOMTY/nqXkH6RKHinDoKHoXQa3VfCqQ417i+ 2US6zIbex+btYdSoEGYdXULPukS75pX0UHjbPE08z9eyGaf53bYl+IzKE4BW8DdrTS1CI4e64ut6 EYOeAISuyu8Ehd1l094+ONsUKUG8v8BzgzFT0iagrueQnIrJmq7x+l7ZeFvFWhjDAJX5OiW2JJBd mqMMdVDByjoQgRqMUYt8pCkAGhbK0t6tKrRtssSATZQIT6FQ0cp+ViK2j/fegvumOL5esCy7Q0bE 6US7Oo3NTa+e8sZxPnbgP7eNNKltxAylTV7alGsBv+fusWG2Yjz7ckOWKjxy4nn3aPoLYgDjWjNZ luh3A0aHmGnK5uFzJJtG4mu+FUjnsq7pqT6w+05agwZX7eZhjCcGxdCVOZL8nhoPswPRGCeY5Hvw AIQMKy30wKYk/aO2Mx4GwwBjITnixALE1P1KtTRlNJv1JJpIp6nLT5CLw0//bdyF6mit/1IcBGU4 jtsKhvUQieiIYnh4gDR8hkUVpKUg7ipKktYIy78U/khLZW247P5Sdlo06uo5iV3gwutrT1o/Nfrk xE+ayXQTSwmUuy7YUKMWrW0ZW+oIx6Fwg4LWWENYZiBBbHIZ7I9p1ViA8NQjHKKCQy8sTULg/kdb arxLUHnMTullrQ8UObtvHjlXjCvTYVFzmbJxFXdjLvENM5QdJp4JzfVkKeRjSPhowh6SnRR3sI++ jk8/lHScbTCNepbxpGN3wHLcyihAiNJX3oP+8jffF5+eHpRVZMW6HtsU7vuZN3hZs1tfLxozG8o2 XVdIbjG1nqv5vh6WhMkPYdkRaBEqHHfOkYwIfjaHmFcDrW/QeYztiHYISBXDyi0ZiH1N0PXK1AI9 gUWl+lWjSAmbIYh/CCr5/H7xIvaWaPDeGLCImnWzhb+f7f4MzbF64OWRYPQPESIvmWwdyruVpAG9 0z6X67IVm+C+bjS/XoOQXftGXyT7hWTGOYFHfic/f/JTFQEKZcOVBSrSzn5K+A6kTikBxv9Yl5uA eKKJPxgMbPmFMnN5mOWk0UEvcibbR2dxttWiU8eDRFDMyOa9DL2buEPaZy2CP3975TtRa/bjAyos yx0LVMvFHgWjnE1bBCVNmjPgA5vtPkuHLuU0FehKh1zTDnFnaQ1j4R07eK2HtTS5R74CmtS8nLeL I1rxODy+2Etb5Ea0EGxQgWk0XZUq740Mz0EneIKJBjcVCHFTiFfx/ba6uVmIxf/XN4kKJ5+rxvfF PzojzofLrw0ehc4giTliEtkGAFVJVNML0FqZaaN/Apvn6JN+t1VT/j0gWdorVi8MDpCkujxtB8n+ Y+Mgcyu2en8mtG34aE9W7LDmYtURgyxaH7Ek/jJjWHYqiG9Xou7x1+SQcB6Hyag0mMIevfJ+vJ/z 2JaANYRrnXPJzC4C28/9w+0EibzE2YVjDIzcV3MLBMCiTKY7W2kD2qn3pybJckRgmQAyr9xmJd/+ EeWWlXMb7RnAcZQ9pt0olo7FfYjhWkyrJI81BCzlFZTKVJAo0vM458KJj+cGvHRzYzIkPejdeBhM lt1Pzp1wyPhyAqDNkbFMRJbFPU+S2s6T0VnjL/gbxI75msF3bPW+NCf+t1d0KxeFcMB1vB2yjSrU Gn0gqaFKGpORmd1FPphwZTYumN8fG56s5CZ2bavFwixRbWvDX2MBvgFfSvAB6qxeyPoOVZ1r0J+i a9uh3f1rSCQH6Io9QPB3kYFjRjjwVb4LF4SteSCGGiR0UhIzQXgDy5pXepmvL9DM92l7FYPR4+Wm IP3qEM+w2aE380hZlvH1I4Pa/I8lDO7UiQ5P4PkMX4TQmrDH1KwXW8S9Ec2fEVlBQOZe8I1+Toxj QIDDQPKocZxe8FzNqz/75te+vdljMA83o6FpIs6bLX54F7tLH7EIJwOpc7Tt3Fb18F/aUN8vurJb unQA3tjVtNaLUT+j0ikLTQ+gfv4BayZbrSmHqmJdOxPiR8Hupqi5qLDJIhjNB5sz9YEvB1fzDp1Z /Jm3w2N79gEBCTPlVmi9S2pzinnHZQ/ecATphyn95rLBqUDx4c60KGRGDvSDVjiIoRTOd6zeQY+H fjPjY58Jiqek+LkUEeZ3KZCY+yWiloY6G/8qpBwKxma3vUCKVWdDdK4C9tnZg3pPxMCRtEwLBHo9 USoHUa+L2FngwSh1+eV3uFti2Yjj/JzYC8J+0I5o35Wn5XA9TvOQt1zS+0HCbE32CfZKyFKNncil GSK6ZLltEPaQWI59kbWdduo9l2eUEsUDWLALTPrkpgpPjxANINvQqBE53WXu7vG1rxf3mJQOOrRS r2d1gyheRBGRLlWc5yIK78c7MlWx4rX4rG9oCr9xAodcYiHC9I+p0qoFLDrteq17KwAJiVEBGvBy xQAabt9XNTx2OPYPjMSjeX2vousR0jJG/vNND/94S/0ZC1zq0tsnK7TBKgFKFLDCkB68YLl1cqLx 34/Ch2rj/V8ljFOiamSMutwQtzq7afCopcobZ40BCybGhEe2XvYghJxCp0eMg2QJ1Zod1cwKrl1u bs94hwQC9l3dEsg0320zQ6Ba3a/gmG/MaQeHLf8d3KBdeJMZygK8LFqa6Fa58PhKa7WxEe1cxjDj r/l3ViyVCr2rg1Cpcm5+34Hkkd67zObXU3vr5e/XVvKRMMxSxoduQt8eLrEoJ5TavRkafxPeDuxc G1FRiZsGGoN2/G2ZtdjRW5TUFawdtfa9MkrauToDkN3IPmR4uJ7w4gsUT5d6WYhnKp8nlab+yrcV 628c5hkT4mCH6XyLrWUfOHHlgFyEMP15543DqaiVRaW3i0PYiOWaynoXe/UbodVjKLAcimADfWWa 89/uvg4ZVXvIvL75soj1D73Lsfb8b0THlccCun4MnpYOSR9enuTqvkCv4uXR+Ltk/s0l6+CX54uk YctPkfvXGR5+YeenbKZNoOG0/cEQ+5fJKt9cO/HTZhZAYrNqjfaAsNzBowXdfETUNbgCTdyT2tiO 6bnVbJ5hDEWtbnGMi9iiOipsdQFzgKZSUBO7hTle1lLiok2wo31xf6dfMh4iaNuMafDxtzxfKgZp W+2rpvr8BHiwoCJpVRw/Z9dGFF9OZiXsPDQTiGTKGfQPQmwRTQCXJGLKCm8P0Cv5bnKwDezbRzlM 9KmPA9JhpxLPCKvL5MLqLv4QpmxXV+8jv0B2p8xbrHaHF/eRSwmN9b7bHeM6x5O0LWXbkRw3gc8l t5zMhRlQPfpiviev8NnkY75A5LstUfMU+sjVgeeJFbEnx/7QcemaYwVn6jtqGVaB/FUxCix8IMjC qldOLRc0MQGcKpIMX9lKJaaw4V2x+BSQPRS9oA7TrttVlM9BBP5Hmf0Cdj5sY9Faf/wD3xqCj0RH 9izSLyuLZYvgbTliOE1hwKBtqsPXaADglx5Sy8AWsAaN2/t7Fdn77NZzK4+6+HA0hA4J3r7/l6bv xZDOfqANJXdtc873+ShXU1wg78p5uKuVU0Kn3JqK87F9Dwv4Xa/X23oCRWFpvXiCubqb853iVMjr hK9PMXXai/Ph/T4M6VU2dj9ktRKLx7Y37KxY/OL4P4uBjHjwrgetPezZtU//S/OCXUd0ZVD3TxxR XYlLPMN5BNPNWpmHrlmRyacK/dc+sF/RzNCMdoAiZyUoSbquDOckwBK0dXnh8j6mGLdFGzIKbRKF Lw+0rsISAhpdu6xkaUnJLuidCTEchPxYs/3/fZXeurzOpIBeUzkDXOSuUI4Rqf8+Y7D7OF8UHxZr 7b83nWSt9LYYFFit4QklxZZdpyIcverjjZq8GLO3/WSZt051nwdFy+h4IhNscMKdz+mH4zsYIBpE SQaj1QPwxyPirruEI3wOO+Ve1pAkPe9v8ai4h38L1SuGwq1fmldOhp2cY3OvHIJOpcItvXxjIjmc i5ZwREWbbrDHu164Dt1T0ls78e18TuPL+aTG6urQ7V9UJ6K+pLG3mEMfSdOQ3Ji6ic2EtB7P3VlK Wq4x0CsBm28TAN+s3xua+QyIWO5Y1fA3HzzsqMl0uC5b4xQZ448OHzHGcT/cjaZVIdZ1Ab3GRxA0 KMq30XgQg9IWyxWkTCOdrctuwRpA4LOQaaZDelT1QEyjTqEL1jMcNdeBf4I9a/HZ5ijjl3Fys3VI ZXxYElt6RoJk3MxREiZ8bUCyhQh6AfQMYC5xKR0hm8SPsCF3YcQr3eM+PHwirbpaOKl2OAgtAWF+ ATtflvihWDwIe0PKksqXg93puXpX8dSDEMWREni1BgUw6UPww7nOApGrJDIoQtfxc36m/l+Wt+Qm /4HPjGAhFCMzjJgKtYOfm3v4TTrvBYT8W5WyEv3bGiWL82bqFSxaKPJqZ9IIeV9ica1MWVIpOI/S a8kEwICf76j9BrSR1R+8EJE/aYdsklmZd0arTfev6DcjirnSt7ZaqfcCLq84EqgbPmZu6Wo0brol jA4dxNDcgNVKxJXTsoNIrzoOoknYnBeoie/IwnqGxOU1ks/8Ga5oLIi7uExGOUBZ26IXXtoihAWo EAosAc30UufUTMAQKzoHEJkSkrpTZrK2e7YjjCPMfj2xTjfbayf0/gOZgruxvT881IvNMk2vzAyU FYPY7OTYjRzdp8Wq54ImTcgOc0R8ZIQamfV3HDy58kTItLG+MLTrz62MczxOYkYQ2rlt233dCyId /wpRQE1muxgFei52dgkb8Cm5aUDoGSmkBzmWzCCtOQBX3mGphE7FBzCBpZ4NUdKUWjsaJv0equAW /hEEhS3sQmkY/JZ70cJ4GspRqF3yNdPmyBUuCqN7LiIQS0TQcoU+zsEOQuFfYnSS1C8TK4cc4U4i hYrfyxNgF9676Z93a5QW+DRp1GnY8wm1gk7KUNhaPXECbWYMXmpA3hL/2N6W+pMXEZA8gm4JfW0m atpJzsJk+6ssAa8+VC/6/dgRbT2ZC0Oh83cQIg9oBgmXh15hudfxSruypn2UbXzGdNB1/r4OEu3z ySEss+Kk7A1bo1ujTzsD4BeKjWwfTNFCS4Pi/wqoHyxLAQFBXj2g/usMe2CQQlWzS1eRS9wM9ybc 8FkyQ8CAuDrNUgyQeUzAvXSCbzfsUVu8Mn80/rsSTR0Mmha89JXcxPFgLGuDGAgO8jGyF9kl4v2P i8dWBXYKVDRGxBkRE5Pukjh1cVvfz4xrS+D1XBPgWuurL6NaNAdI8p2Jw29MQMj9oU2hHCSXJmrt QtCRWHFSPcRdMn7c50mcbStUj17TPEUxz1ipyyKZGuWPEW5CHJa64sEXdXcnsPIlxACY5MXgnGrW 0tWhPttkCwuqgbaAhvtKEel7uZ5BgpH5H+3kS9/1devhOr9/oh/bnB1UxgfFkwDixX7qZEV8/T8P dD1cNrZfRVdh4sYp6KfdDNciAHWgEAZtf3JkOsbTUvhJLUKHKFRnnxwM2yjNhxLUZZb55e5ad/fS 9KZnIU0V+AlYvfgkTxx0+Beqr5+94nv0+VObgmnDK3x/K81BQwNiEKRhVOdzw3Lfc8DGin5OytEY Y4mv9kbX6xXt1bz5OjgmqxSHZB0RLz5Q1EdkgpndV5r94A8fqgyeHxpimKpghxeWrG+Cn5cU1I8B W69UUZXgkc1yve4agyFZWigPgCi++GUcF2R4UGxKDTKxeYKJeip+CfMEm4QabmarKNQ21/S5Ixpw 9/YoEtcr+65/0s2EHOfASh8bmpvzbT2aL4YYpP0fqmDXy565JyCGnng9cqaBGU9VyIWmkdvPDhV7 +ti1mvVDCVyzaQOheHlIhR+3VOgmcHmNrYZgyqiBLGvfBp1S5jPL0S3ZLEwTZWLdHL6pZCqEL/je eco1ms2FAPctfH4K0WmIKdcddxLuZGOMzboFPok7btkEfwG4Ik/K364qlSalHPgubnzeqdSQV4Py z4U0iMjaawHBHZqwuHEYNZorrhkLNdL8Xl1qUHc4/UELf64tmT1mQz+cxDJrdLltxfQhAzNXd1/B EpOQSRFHYEhfAsFw/mDN2PfM3gDfd1nUUbTyqJ5YDEH3190CfYcI3bJW67bXK0j24kk4fi/OMgqC 3W/QbABbFUyMm5uuOMHcN/f6p1fX4Z1uSVpZCjJHz/3w++wYQrKmpfVyFeqNLjRg3TUtEVreEdP5 9EXsFYPeOhYNbj7ERDXPe9V5Wx996VQH+ys5oCkYk4W8THp9VDoSuaHxqi1PJCVpG0l0sCLjELNH ivMi7Mj6Ct3bMLJ2E04AftXClzhXsMy0l/wTYhLyY6LexT6hcQLIvEIlzGi6xB+ZKWzlISKSbrn5 BYNoNfzuM1+yj4nFQ/qU5q7J6hyD3ZoGnDpdpVzFbtKOoePYAlGQ1P0OfELW9cAzFOw08bpq3f/T +2C/PQgzmvak7Pb8dG0zZdIhLBbKn9OWW2x9bMcCLfLC4/rBYlcKiQhY65xFFkhIdEU14jFp5BV5 1usKT84PsgcmA+EXIhUQk4vlX+FKWKoZOnxQ9WARAVWFpWrz2SFKCKVfA8HUaZ6PAbCsJEarZ74M rymEJTjiypH4Y7ZnuWw5YNLhVMtjmMJista2YPdGr6NBodJXhrFgzJ45qpVsd5oFvc552ZJ2QJE9 WA0/Vhdgb0hNRJja595QyNKvngJbfu+eJVZPrvb/WcL6Sl6XGN5FhC1deE/GDUmRU8BtzNhdUwa9 nHL1U4QagJV1w5+I8mKC6Wjmu/+7DHyew73Tl8Q1lkSZ/M4H6ZNlhFx2IN2ebWGJ8uhtQC8Abi1A /5JgpVHr3pACdP+y+8Qpr/PVWbApZU6l7uU7F5WsIv3H+ttEF29oQho2jFqHjn5tS8wB4ROmSJu2 PbirxWvTv7ZUrL13MQsI+2bz3TY15Jh/BT5h+9dEkr+4wHshq0HmtNh07qJ7py8AsVQW1a04rhzj 1U1wrKQC7g2nHvymMUK9QyRlKomfvo2irHFVEb40kGeDBOnx2vJupG+6UYSeAz9HBiMLU+os8dNF GtJlT2jlUsjVr0OznOrsPZXECoJI2AvCME+43vfpcPKjqC4awP+zBi/ugtDuDyeg3o3f/RDjTX4n FT3ISs7BQ9LNIcIhBd10ucK9YMNyYpfA7Mm3mN2+a4f+rSrqAlwb3e6j7RFG0VpclUQaGzCeIE+l W+kmP9en6AxSIZs/tic+bK5hHrfPdglp3TUgIJlZixDVZlSnNIpB8dYTtcqJM7/5IUXOZ8Cnx59t jG/qNHuoQ4dNmUjLMHuTqtXUqFliWSvDYHe5im3ml7U/FKEFqkjBN7Cag2xBkU+XjXQWAz+xPdE/ XA2/91bJ6ykf81xCtBZc0KkcbkyhLoaa8GvPLJKWyTYH6c2LszmG1QlipBD9UvVPcJMdW/AU5gfp PlLE7ieblh2G6TfquMIO9cJ1EVJ4DyEyQxnFYFAq9zP5gaVGK58sureSrtjDtlMssbOT9kdLh3ZA jcvVmOL2DlPm2iU4S3CNatSGW02jS/vIqr8ziuw/mluEMu5k2A+mx/DMiEJo2Uoq3+F02sGN3hjQ 25A+61cI5fqEyy8hxt1fq4fop6MB8ZPSdggt4LXNBw8B22V4Qqtq1Z4LFRv+oG5KQTh5T9ZF0zmZ /H0H+pUqerO13QZa08SqebrkIXP7TeVqOmBeY3TqapiH/pn3n+f+HAwXLxPOMQyU/oY3xCFYypV/ dYMOt3ZdlCs9xRTnvRMWCGkcN2xKhC33qbOq29WfUBb91tOMfvnUnKn0hNTghc9R9/P9P2Ny+6Ft 6LZDWrbbZ8RdEZ9nlt09msSYjdwAaQTWogp6KjEdAfYuBV1Aoewny8koaz6Rko+s1CAdOxnhQqDi m3FYKdrDC6GsCI5g1n5QDD32JeEU9e+H3SUeBmf9p0gBgMZRwf1I6FieEh0YmwnOA08hoirPtGS7 SRn9QU9zbZ2NyknLBsM5HIr2F8/l/hW3PWdqGyIo2JEhBp2HsJhyDpYC8r+X4B4qKLF6A1+mH5gv UmsYn8ceTGYO9hO0c/Q1ItzGbjunE170QMPGz891O1/lofgSa4UBRSDLv7Bg44nQphnPaXM+4zYK QvXDhwZ3KphAeoeNpHLj4v6ZU5444CtIPkbBAzVC/tw1MekY1ZpUuZ8UlNNKz0eaH3VXagmE/wxn IWM5y4jG9LFoE5frT7qqPcbU2dp811D3ghytWzveLV9u9idWrikKxhEFbPHwLvNp/uzBG0RUSlzR 3z46P7ldng/eg4NTCgce+NPiIAnymGpkYeuDYesA9fTbfNSvThRhCAEHIj/k5zK1VimJzNApAb/n ByeYn6RJw7uiUtF/tqltXcPLKICykt33+wOyHtuVGMtE125Iu5S+4bdR/uq7ceGyqcluMpmAYfrB mD3uvSMjM46eNms5ttSMkUnyu+UTUucxU6nSTR0uXN0pcmYOcNxaq6pBQn5yO5bs2aqkFv95CGLG IXmrHySa/K1eAlnaolpqq8yztaZYRZtl/cnHF3WudnuULSRWUGw1A/WDU9Vcc0NaNGD4j3aIZxvn c6s4CP3caqID8MtO4xzvmGWGL6BP8MoXPXUCFNhsOkuKY5rvheaxbfGalS2eImoxdHvJjxqgFFw0 1CAXNCV6UMviTs2VvB9MIMpXxptDRSPXW9nqWL1pQhHjSNRGL6+1d+IBJ8SgUWsVmU0bESjLZ1Vm KHiACllvsMDWY4o1vom8mz4p/gQvqKHZjBWMjUlv3rxKYwEBnSK4CH/pgdYOhqWz6+YXP8yeZb31 OuRInCGixorsDPamYB9I5GHcQ0SiNwhA73B3Dm4DQAz8iJTcUgEW6b130HRXfPJWFSbhRQHCBngK Yj47KQ4BMXtNzH04phJ2DGAb4PaLUKy5S2tINLXQdNvKLXnqKS1zfvdx9/8GcTgZvdLZLnM6rEf+ L2LibVeYg5mY5qVvbJecdbyAc4enW8at7gqXpzo4jjSVF5Vl08QKu6yepzblYQjgrFxPIXDvPsIh X4y5il2UMQZskDQwezPWcGGH1F+GQ6/Ssa2Bk59bBoWHNweCigcOa2c7R+vf6aSfI3IqW99Hf7R7 0K6kv5ffP2+xK3ziXDL0TUQkkibz2/M+/zkMJv7T8WyQiXBKk/qyM/mMJ4X/vXND7MdJv1KzM8zz 2M6cRwbB+xRDtBYlnyOv392cpvvbUk7MsBF5KRLbzLVguLymp+fXlBbDirw/6t2fQfBmzs9ZxHNc Buer9OW/bghYwdARugpUuKXVQWpvGMbbB93lHw0V1lhcXYjgVx+vsigNrJqkuxyrktx6AMpVs3K6 CdS4CWLeZzqt9CsnD4S25XjOc/y6XE+7I/CtbIlL58wvJq5/z94jamKstvdzyN/i2KHfXAzu06x1 R1GeCJpKkzb85z6TbSlN+Aaf1SKKFQnNIA/w0kP1v37jbqorkmIGUjfPEUCObMEeULZBXSKV0zWX vGhWSNL4+Zw+ncyFVmZqVazOGJ5zBylc0tx2mpAQsu4QRbXlv/6Wc2hs9vkKJQg35myJg9tqLFGx NTaFXGtN8G+OjddC/yvVYpn6ePa/nqt0Oqrly6jJOgnqfEdDtxSgU+AwnaSHsFHCylDzCzRjzeE2 knXYOpej0l3R4I+5ekJV3PDbUS/R5JI2rP6i9HtKNdWFKQKFZv4MQJ8sb5YEKlZ2AAEc5BgJK0Pq Ylws5E+/dkI0ntcwjm5Y/UoEyrzFIjc0Gxfs8decvf45o9gzYIW+UqssWV96Riy7AMSMCj0cRVdv nI97KQOx5PKMRpP41mlTBRVSATl1Iu+PLCRi1lVqcZXXcRffQ/WuZo+pJZ6UzwD8Ewe+KQwSuyJd JZaM45pvsmN0Ozu6n8Ok1E+zM26LJL5RoNuW9Y9xqvMvyOCyLNK2Y2pTBqCK/g63jBx7/iMIhw76 uWf2k3d0EdRBUorkxVXR6WHMwQ7+K5e38er/ODrFDvbMVGruakxJzf3aTIBdb1rVMRogngAK4yKk gyGdIzesCe46HdokuaBnPZcVXS3jenpsJ1GjaoKNH8+d5/WN77d4NFbfIVMZZREfKvTGalW4vi5u A8n+q10WzfFZK1QQZEvBjQvr+ogw6sL6A2CjPsv+80mmPozoP3um4t52vJcP1ZzzrHkx7PDv43F7 BeTguZrRbi2Gb9fanYK/7JWtFk9gFRPGhj4L53w4CnrmK+jvVmHHAF7/+2d5kAqwJ5r4bqGiUxwV sHTIIAOolOOOc7iNpfb4YTP6q1jEPS7MCyvkIJ67EAB5V++LVRZ9Rlw4Ev/3G8O7hGyNvC2uji5G pznpvhOLkwXnB4lA7JtFVXe498s/i7eEzxwR03ocKkuZ2hMmFJhH8VBzzYfIjORlrkf5451L7kWa G9DqtgQWXWSEdJ11yDE0V9wkbnh7gYfS41ONVhBMkrxSi2ghzVYg58dOEUrOi3pMZJFnXZStYz09 5Jg9X54gp6EGFqgGsifhqzCkBrh1E1WRjCmiakdsx8hqGN5ML+TVoSYKWM/UVrD83GaFquHAglxk SslTmAg/oEBgD3Yc5vYdwCcjgo52bBUzml+GglaTiza7GI2JTItFxn9P7k2oKlecx2IpvMgdOuze S35XpmFuLDQSqLrs+pA5Svk/hTPw4LByQfl9mel3CO+oa+hz8/D7yLzWd0v8uDJadQRwz9gFeRVz 8JbACQ4ov68OGNiqPtmjqoAtGRzI3CzJNft/E1+ky3/hT6j1yQ++7PitcGvhxTFerOr5RGZWxWKO nIF7C/fACAPREgfch0rhKpnHbMNSqxmkcpTnwGGcIi3mc5+3bIR8LGLMIBGowZEnuaa4rEfvZ2Ri 7rXjvqq3hutUmf7Xlh+KCXdHwAdMQc+9WDQ4gAFc2xi1edQb/ccMnQvNybJkjBbmlVdV8gK66hNg DWbxP3p5gTGSfbPnmurlLm2tU0LpoqIu9AOOuHfVWFlqjLLy3bOc+rvySgUFrjNcXRILIoa76NoB 55sllXQSrjrhomamuK0zLHVZGhVr2hHXHqMc1EG9SeUOLEdtEu3TOAPgL3vaSa7g/mBBkygtF1Up IdrD3LogFGKfdZd5+rfYyX4tT9Lnakcv/x6WUPBoFdCjhUOxYxpMBs4ApU9UgHHzUTltejKqsxyz wuxXZRiCak5rJs0eG32qAquq/pUpQ1QX10GjWG3ie4ioKxTK3kt9ei0pnOmylE+LDg95xYNYUN9j kD+3raJBkE7WlpvSbI59g2XUqe7+VaW3gRhDwJAfZ7QwMAAZfJR6e+WhyHQ00pL+2seGE+eqtCPD +lxd0NtknBMwUHn0q3I607CS0BOJOO1ZQxPicWCQe3UkmseIdndtwQ4QbbiuuZqi/FtoV67taa7c PsyE0kDIu57y0UWE7CfqEE0LXD0/j7TWCgsJpJIXg3iGjKbpC2JWmsrZObxq3cIql4o+nat04Y+C AXjW8109CJCVgM0BZH/+V59Sm55RvS4kgzYgxUFZE9PhOuhlnpTdlJVpvBKqSvN7MEiIXVvl18Ab x43feJb8dzoyo6sqGA6UXkprJYIgIiQUm5oNxjdqMiq8jTr3cRIFOzYsSKKWltWf5SwByqSlMV5Y Lx9kRN/l7237kfch0PmkqSGm/PKOVvcRFvb/NBt4ipu3ssxEQQNOPXydmOC7yHDwOQAQw1mRF0wE v2EkJ9X9wWPldJwnX977riT+Bf6H7++GyjdVd1aHZL89agT09c6J6ezaes4LTI/OYhTg+HuLuonW EEyWlWZkGZrvkDwbA4cGt0RWnLgJCbTKygew9mZtd6VpgoZ0LFvPzUWKQ1HzNrOIhZT3jUsLAESj O3cUORNPeF/9KJalEDlpRnZvr2AUX9lIrye36E/B5jYEZim0o55WvPlWooRs2u5ulT0CaCdChdZD l90K8XNCLOTUHX7zCzM/itYqcmt4sV3jIV9Ree/U90ORvnGkM0pYBsqGEFVqDKE4lo8IL9TBPH8K R6EG/yyfg7YPAtLRjFSXP5vgY7m+XACpa6sxbnwvReZaMqR0OXfs/lRXm/7i31UQ1bZxCa00d9uU /lso6kzl+57Nov9azLEQ1EjhK6StUDyWjnzc/5AfHwPR30s146WaraDmi6kdLU5jzTftx88pSnEG u5QODOUggHHIF+k6hO5FYJ9gNzfqwtWzcbOSQS7nLVnxxaw2mH30ArTz2+iJZ9a12L9Fw4kG6Uni OKVCyOSEVSioVAEfMYmqZxh1KppyO/PpsMqueyr6aE11AvOhlNMN+gVfqHi4XfWGuVrEcqJeB1bR bgXOMepI18htN/FhL7/+EhJqZceuulY+WiFtebM27Z3VvjIelFrmTRuUFv6APC/XihY6VCQuG+// qBdfXGtfLMz0AyGm3WOLoJRid4cpsyX3WE5wEU4knJzmBJQreZxKHAHyYlWRqpKjRYbV96yfPYJ+ tKM+HA8a1qY+9recF5TULIMD0C/XwqLuRPBD6YkoyCnQF1p7h0YvOuFOt0Gn7HmPaV2QvMnucmLF 18jm8R6q/SookGTh4tHMJ8BaYLUnVynLSJ+/NICVxSE3Vshp5sr/Lq9WY4kF64/uYxN3IzmiW079 95tYITUnNLwxJXdNxFAI5NEtyCGHOpiDECprvwx1RJJ1JW87ij6FQmRU1b/V4QmhcPSf7ZgKlDsG K9aTkG5ULb/wtMMF+72LpwQ2IPlFLRsNUQp6Syg7ULbhs3iT9l7ZBCtWwXdkmxBBsjmOUYU4glG9 UDXTnq0Zfd4ZQzEbM1PqpIGTlgqDJYMSBFiwC2r1WSbwSIIh18R5/mNmtHWlQj0RY41ANMUhSLFG D7Ay5RL0y2Bmk5FXlqNfi2V5moE0dNMO+A5n0JUNKkJLAXBP9oY/X8WSNRV0Q1gPhw5BlHQsNLgq 9jkh7AKaTDp6ZooBAkkUDY0bVGei2t/iCDKNL6ulmDatT2DVi2nRLTRiaymUoRPXNWETzVPOON91 5bufTbRyk+nUBCA0JAGanWOO5I1MiO5CxdARpyfRDvWGTTwRmMZFOIa4ScmHVFB339NtEcVuk8wn T3ReH7cy5TvhTHLq5mOB7pVK2PEtznbg9GMgleHsyhimYlzKUlhShwgOEQ3ad9VK7OlwtKGXZZuK gvF9C6wG2To/jjFuCM6D+y2WP7+4JDafGnUR3wgMRDCa6nNiH4tu5spVIWZRgX68cD6dsHX4vrsC xF5NejeQ5M2Wi2+7AGEdIM6rQx2NL/KMTbmLv1k/2Ue0BdPqka3iKrC3LSZvof49MhSfN3iCsLz3 0PlIfed9fHt1L0K2/L3ZncQAv5xVm/Y9FXDnGsm0qQOsOVTZ4NwhXidUl2W8S+OGk2HoBfp9cHlR UpKcTW/q98wEl64fZ06HOkPXbqLcz5G/f91ALtiSTmo3DnVTibDicCXwxjIserLDsCJuB0SzZR67 Dl3igiB5RQcdQ3hwBWTZI1j4D98EnwcZTa3b1vWXn9EDAz31LPjMCtXXTvrm+cu96XPST9JbxivX 7fJz4RRS9WCoZalQEJj2vgVSyKUqvPjUs3kHiHDN7NwZxGdfptHkFgkavYoaHyLJuVDiJOCmuDGE RG6rzhfRlA4LEd7+OT4vN2smUHYSpb4kFSIry584vADqy1LPtH5AJvoWXHOyxLAIPcYnG8kqOYCu /5E1HX++gAcytJ36rxm5pC6BqspeBAz1rXff5XbdiPnFOlOiha8xHYHd9e47zE8tZ1bFHlrHOzMb qSPXv/tUJvKSLed2WvamChB7meH9SrYt94M41vEhffVTkV6txVV0RfcEMkrTYpUWdxU3TkuRwLEc 0TcCgCgcvOBLB8XCUxEQA2tRYI/5KmSi6M3lxTB+kgaonCBYE8zmGgDwPU7kvARquMeRJso7RI0X 4sYsmbhhVyYu3lnL/+3u0EsyP3YItUIYz3VpNt6JdH1aLpEBFVCzWYlylc4IVj0TOnqzHUFHSmvz +lSYHOlPZDI58MMgfPXdumwzsHhZUxQbLeYQ3AeHidlUROWRMURUqfk1gAXkrNwUBlmGu0W4wxCO 8ksenf5/2jNhWJE1jPcPDjL7Yckna8mECqeffO6D00rGIkEaCj986l2JOspy8L99YOaxB6pTGS+y zvQwgVnckEzPsg1qll/Mm+Ms04JK+sN/lkp6lFgfV4Mb/aUhM7dMHybE4C8YJOD5Q92+0vh8h2zC yoyI1rwnJOe0NE/tkgL0HzQrhPcrJd0QIvDGW+JeXkimdGHtNPOc8bzyHKe4MPCV2xwa1nKToDQK 8dROD/SUsbqWAfojMxbpNJ4uwRZ4r3qyrTwdMbYxqHtW7Z3v61SXcAd3OpuoFegcJAZ1F4uovHIW VoXJIznMenbHST4YHQm1eLBveMs/iPfFQwGZsMgTsu+rCGGnVaKRkXWODH6R9B/UQfwL6Pfuwgo6 xofDJHOwP0BaHzKphn+Bb1ZrdjmCjB0hdnlV+Pql2hUHarUc5lDvGjSEzNmW2Rh0h3Xso604O3TQ OMQlfbWbN1wEYBpIXM7nPKLhe/SdAUbiD7r6KYwp0KCapy9dvMGHYWPjRoJIymEITxZ4UErSEHzO i8V1XSImUbOqnkW6aeCYNbgEazmrhY7j5SIOpU5OmsB4MMAfbGli4wMja1GnzZQqbhx68C+OeSYo ffpUxe61g4BsCvAqdqpXpmAVVbs1wWh6YpCwiFZvbpSLxtrU8vGiUtTwyVWSrjIhxhHI73DvzZMa 4y2MnZ8U21bYwN7OHuWZlwgk3Iy3/ekCrdjB+TagYwpjZkIfRZbTUTUUeqKEZ5Y+r9tuHOwqntFe jcnLfwFJvTW1Dq8lwv1St8Mgaej3n0Ldq2x3Qkd2ZSl/pDsrudg1ve06xu//RnDKKj2IrXpBjqqu u5Z/dGmkcqL8oyohoFPCKKN4fnJCDSH3xUZzyAa5uyV9S0PSWrzp4qaUtJH+pwo87VWuWArTwS1+ YR5KIHX6MHEnmb/Yt+sp5tTT/R2Ge1E0BIJZ2bVinILcBhkuSYJDpwYLlJCJgWBNCzw9Id0MoY2r V3DV3pO4rctYjZIw+Tx+H1kP4q/B+nT37Jm78KKckg9RZyNTlySNWnpAN0daUAw5yXplVpkG20kK PazzMNW9VzRLhgDXkLluzpu/VFHtgJ/poo/YN5GwIPBt0LBYdAZz3r0E854A8OWu6urgss5BkqAO CiN0ZPh9Jgnx6q7kcihybJA4kkS9uZpErgRfuetx6CJw7W6zi/d/GUUOsoxpVv+QvNM9kXwzKyW0 heI+P6yr4X8iw/DQxO4au2ZmINT/0Ux7jLjJLlFlscOExdhOuz/+VmX/m4MynoXEpNGapw9VCR5I UICyfMnyfL0BBA2izcylcWSNTTNOcC+vy2tnHUE0RaJpjBXrFdzpaoKYJBvdsuO9H7vrskxkhKPv oh5wuwFq+fnIHU4hIaDnXSdJeHJDQvmRwA1L1xcqnH0nZNqw1MKrwkM71GX10HO9T6P+7Epf4rMe r4U40QvrRixnQiphygUVBK0ioKGfxvO28WOPmipTFr4yfthAXpO7LM2JvfA/H49BPIQi1DmCTk2G e8DQLGUfRHj42oTJdzGhi2hzZN/6t1DdEUbSxm+w0SroV1wJv/6VwfzRX2f6dg4SpMZPKsfo87/R KN3kzdmM2Y2vo6383dful3nntc5mUhUzVEGM5mk7B00/tRneDat4I4KSqSS1GFV2yctWhagsumaf xMR/xdkTW2jGbHMLF2IzzOX38MvtDdhiwyVO3t4/Ch7FDn2BlbQH/tfsXmt82bJ1642loPgaNnvs +4nrIMPd8nrYuVOdeaTQr28eWKkdpNK6dssI7rY2cz+pvP79aUqftUoTfS03r3MYDTOdYPi5Sj2G 0gvgbpedOnr+YyTzoJSETDIw706PZjlkqtHqzoxbXh0K7RlP/1YiHifScyzLjAMuXAAXPtfjrBuz ulrS0iodOklCcCMtMII/uQrHkz16g98hXWGM4JGsOfU3osbA8ARq04zEtfaF0N2pUChnexMsRO5q edYxkkHY1B6XK81X14TVDdwBOuGm6DQ2Bn5/pxfBRWdMOQjT1unDL9LwvP+o0lvpCyXuIll5+GmZ lo13ujnCSf3+BR8K4P5L1Q5m/HREIb6aCD4P83InXZA9oX7k8DvtHafnqmaHlqwIY17/JQOY5fbr RGBMZ6DRfDyXZ/XcCPw2wh8KTxyhAo69hV86vCRdSmothP5FahbWA2zCUFAo4R7R83VSmaYyFYla Wmcqpefu1qwYiFgg72/rYhlqBb39Lq0OJbXjcG226UvWSfx59g1/+HagQpE7ltngwqWzVbKuDMZi Wt+qqgDke9dVUtxOMKSOCplA7h3f4VwBXRcvPzigBxsqaNQows1K4EQAuuWxpSXWU6UJSUdIaHiD 0YQp2+OR0Noi6oCEiXu3/KqeAPrgcSCMymUO+0rxDQc+NmNCBQsHbtg5LVlWjMq5nfdwQNF/iIt6 q5i7ALACgfqkKBw+xetPrmNi+o+wysrWOEUyAyuesk+jB94IJmO1fYAGOVzE/lEbvsScwW/Tffj5 US3CLij9Qw+2qlgOSPMo4GH0JlDuHUQ49NlLN7qjAeMO2DnDLaBugrWKxu2ELl6TPHidK0uxuaEw pArEJpM9h07pE7URAhDqd/hevPJQDr8+u2uMD8CfMndTFXiq4YuDg40qVA7RcUsx54ZUvX+Dqwbd X+RI+Njq07KTDD0NFVq7DXiqhDVaa3aHSw7G+G5ggslK4l4s0CBdwuR6U1fM/Kll8zCO0F3Sat3j ndIYMTEAfo8zXsV7/KdLoKPT8KK0YJS4DG5Xx5mlXUgjoAdNDzwm8poCGezeEn439parpbL6M+x2 IrsyqqbqOHA5/wIIgfM5pnk60xlpYWUL/tOGS0AOpOxQ4AB4va4q4Va/p3fGCY/pq0LPBHhEWNVS w6hx38FCIEbocq+Pc92Mru0G9Zd6XFe15FVXPj37d52TztMvrblLfANKfYtB3Siupz4b06Yj1EG4 jMR4/Pg68CTaq+eTDWwky1aOkfYIB3wmXi5ESlpJovJHAHhbYJfGk8PrnBQMq7KfaxqoFLcda5rf e6jgTtP9MQn3KfFhHrvwablEslUMgsWIGmRRfGeVjJmGkOrOeCUiKdaXNHhOcC/4dicUx4J+E8S0 V7CLpa6v28zPvEBOujKkASPS2O9LobAzl4QKtPreGQCHfBJA/qw7lBuZ+hsyfEmstozxlLWIYNQE mcmJFzsXZuOehu3rrDhiyoMAmphgU3mf2tjrj2i25YF7U9mqVLmUwlcQFgXvHRAVRZUYkKKJ/rNN GVxVSM1NaqYWI72xyQFRXhJcxPL08rdKO/6VYBbO8o6wZP0LdojTDbqM+gQW2UloZ1UtSgsxxFMp 9fyJryT43JoPENZSeclLeinfuLCP65TA2gqMEVjnq9vyqhv0+EcE9jeECrMuozyNGa1PKt5p6FJB a+cD5m9s7XGtqDgN13SwkQyBS2UP9BfL0C8XqaRHKey8okHpzIBA/SqpUIhLgC3nhAqIu7hKKpUp C/qzwAHAWP11BQQpFPZRYWH1LC/yLS6ydS7A17ELbL8bS5R1CZQHG0b9J8V62edghzhZij0AvrPC +DiVRD0IthJDW2cZ3mHT0Bkf0Kz4c9XWhWy3xwKUx01xfaU7LjAWlCN6rtj3u0G+FZJcOM6EQPXj 7ACC4BWcAu4wWZ5Py3nWOynQQLie/1QzXRxn6QLlGWMo3hhjlq4M/bZ0Qen1IK8Hx+1QOl1syB+S UZk99LQIZRbV7cMIOV5r5bTKzkAqj6gIGlBjcqs3TapMH9FhhGF90IaA2eWp8ntijSbyE9eHSsW4 wFqUC+9UpnoP/SlqbinMoKf5pE2tC9xJ6gS0LgMNVI1p4jB0AO67MgLzCNrJXQ5SazAptL7NrjXX MlQlXtVggU+v2OHXEPSS5sRzTOPKj1LUaMbXfrM8xepxCaHcI3q9aUrvzRbfZOsJFoA3bDf1XTs1 sHNu1W4q/T++phlepO5c8zAxSXVtAM8hRZj0NorgiT+p21LRdoPBxuj0j1ClvAmbygbLVQT9nDqX Veqy0VBXEwjHzQOfpPbdBvJO54vR1cac+xucdHfHNzT/eR6sDIlc5LPOVWG5L+eW4hysikSuF1Al +5mnvMwuTjMclsKx17Zm5KLsu3cL4sg74+1yKjcrvm1xsPvP3+4gYdnbZ0T8zeWAV1xkgF4AJiIP ihDFXtr+jxU+ZwJLZG2kvCMHWDBrW6UUUGwpWDd1SWKr+JYr38RSW0F+Z5bI0NA2MfraVI6pCACi +HjEFoy7WPH95oO5gDHPA13U/z70cgq9gW5nZqtMv+wyhmnI5eNGggtzxNGdC5hHZjWAVt8hW3m0 /q8NBog7L9vgIgaNt+XkpQQH3krTiPEa/dR7A0q9U6UjJNd5hzVty7OUwjTLR61npXOrcKm8NGFL c/a7+m8DGGChFB7AsAVcefW+QbHM0+n7Sw1wcRflupNJpiizGv7vC8s14wvD+NJyN/p7Z5Ed1QGo ebueJFyDxEM7fxSRNsJ5s2iM7HQJ43PT352Yp5VwysiVpMs44fwWwHGCkJ9XAcnvF2UVdpC9KACw lS79q82UHSCXWH/AdU3Ru7IgE9mSdyokZfPOjJdDy8WeakKRkam4g3O4RwR6fM+NVnMgeI8g1FPn ruFlWAjzsWf3dn/f7bYaa34S0nTbMYSktaOObN1rqt3NhoNmKWOJzyuiGpLIlRS62+PQodcjclRG GFahVvkO+dVEHOm75vOESqeVGtSMZuSK0gMteXhL5e+i4tn2Ym4JwXpwYl6wikJs9snfGGmEFgKl z0ZUOzpYso/kioLXJ97P9X6OmUgj0Ylstka/ftsQKnokDXzibODPbA4WNerbZZC/LPgC5l6BI9jL Tdf/VXDVPMmVCU4l0I/8R9wBQAyJ+r+QScGPxOabtMO0/uEUueotAAK2zmQGGGOA80jxHFusclgD G5KZ6HfxbQEW8hrGeU8MT1hyCpkamcRoYsLt9suoE/dXb4Tu77tRKTNQutloyZhDaTNmmPWpWoZg JvTRy7CJhPB7Ici9bTqBDGmWuzCDiW/l7zhlBpJbgiw9XVQCYSzHsVlahw67R0YEHV2+pX3QYII0 rOGEIbWp3c/uVdFZPcRDhw06ahKm+bbluLJz+AgC9x+7FEVqli2qifAwh9QJi1n0mxL1aKWXKLYG T4a/Wp8fcl91/G4jHi0Jko4+/gdLFYJtmznJfAqQxuGWQJ07qSCc8Jl8I2toy2CHhvhtv7TXGNa1 g7Zgqj5bxkw/ZjKrOHxoPjTsWWBsZxfXgIy6T+H2efsVINzkzM41YsHIiny7xbkOyHyFbuDVWgkI IHf8f7/xZ7YDGk79+36uF/boNU7PrBdhEr01rtCIBZnOfl89S+ATDI7NJj7nQ75krzAT22+2ZCXc N31HRgzu17X2xE/CIl+TVn+AAFz4cCydI0nkx1OQD+a54sZXhyYh6sxUzZ+CfDmM6bvo7JT1QbT5 4mWVSnJOd3teUnO6BgvfEnRX+8i6Bf6KPwRMKfAn/LhgmfLhnWh/gB/ByiacglnUmLkW9/gmfpzd nsUeb2vKnVtzsa8dJQ6+awoYHLv0moiac14b3jEIopbw2upNaQyIzWXCE13RFajn++fYZ2evl3MA xl8yKIze7KViKlqWz0s+FgUasQ6AzQTg0oKkfhil+fOLKsRmQK3FFyuI9Cb18Xq81oHPR4C5YTZq GMxWQjg4e1cuAoTvjV+UstcwKev4wFZZsg531RxH5GnR1D0W8jXVfU+NYsDDzL62Wc2p60lv2Hew DWcFcHdx+fs7sb0cANufsnPgECIm3+H9uxKPMX9pzZwApWV1Ua2W6ArofczWvFEJr2Xn1OhadTav GnILjimFphXtyT7rWZJSHL5mJHam/UHj/Zowh7XicHO90FnFW/3Ztxc1nJilRKsx2gIOluGwU/7M r70JLySe9KWbJB+2InzgraLjm9oZNqS0NO5Gg9MMOi72S8dCuR+iZPRKmUHZyIdsTmqYoeu63LdV dPxqOq9Y0ZBlo5RUvGGhndtHseH0NosNKwrPohygXV1UyveoUl3M2sZRLfmD9bAHvsEHGXKdIcTf Oa8rSS5Gv65elauKLtd4uz+aSGYb2ZRs/2aN1iRLe6+nSlvcS4v6nAryCPxfuxbtLR9FPJIcvZkr /ZzANQrvouWOVqnQFxEygm5R8lO8HeZA/XPvPGRWqPdF7pTvtqad+OAbAaIHizBRiECgnC34bgkx XzIVpaPHHfIvMlZyNrqo8rM8LoctGF0thg0pEuYWNUmGLQuQp/2srmdQ9sYjdg9mGvckg5FFNPro 8LZ3eVSYmodT725j5sHs0KUL5wP3LL+D9QfT9iuu7MO7+xDXUfQo3GjqkIKZljKpu2GVmh+13vXr tWfq6xn54QwTBOGGYyARNGdzJLrfcQNdBsYBDxhUibypmkMEPVYQ+OMVU4G9G6vmOj6FjiEvhhcw M0pbhmAGNavUIOQT+NVD1+pUkOuQ6WAoL9e8HjKYF8LYFyX97m3LtFQ9UuYNZxO5n+yyGXoCugK3 231tZDd6GrQoITfM45w9cJYKvKXZeU7q+Ylb6Jacy9gV+Zy1/bMJFI/Msl2SMSJSas0eQjbvI7Dn JlPIaNVZIV2AYmpJNAQLqvPMGtgsrxnnHWH9/wMakx8vREhs0aC3tUphtf1CH8AV4inR1aAP8qtr bisXp4pFH3XVWi+HUJlSb3FxbhH0Mdq8DHZOk/geH5+Cop8C1RuC6GMiLFS2gb/wiZzUx/lYQ12U uKBEZrDCRxpJHKHd5OF3A54cd8zkpJOzLse7BEHJdPOhf+KAX4sfLXUXI9yJLxfIKbQgH3qMvyFX +rbESNCCBoh9e8jdOSefEWxh8F3bH2eUHHgtEKJh1N78nZcEeM9aKx4GtLtVwh2KcZh7nM8o/KdJ CyxfFWlLmMmEZS60p3Hdzmwd0OmaUf9HW6+fb4XAGfeGfkwgkJfJGCWVVQAQEihp9PrfvGFUE7KZ ny5SQsdKlOudodko7MKOa9sB4Q+ggEOQx2Z0YOpTfllLywZbLD55sPNM6eDHUKlfWg1pZNKSrLh2 qkJpPlCeBrQlk8Hr/6sEQKq3qw2hGpNJwFoWesjnhcikv+vjp9pCesgbn8HXKExmbEyMqpL1Pi/W 23XbvZmcTw8R4dzfnh42nfMD7HZiIsTY8Gt4C2Xf14ewxAKFlAnRiH5ACtZpciFankzQv9RLM0m1 IIZWegCb3KadcnRwoPvfk/VusHqP8r3j0LHQe01yW3JaK9Xxrwrp36STtUcPqRqb/Ov02Y21SkzJ 8M0moha1SkjwbzbrU88zu9SEfaNxmEI1vSeVQItsWamlInlRn+utdqQkjSXzO4GafIjShE6T7Zbr +/rojU7O4JqkbqYa8PPIalZSIVjOfmxWhTtZFhozUG1C+RnCpnshB4EKCVGFP0M2LGUagn64RUJH PduNwIT67gjnigULwW+ISxTX03oa2L4pVIXPBaQm2EKaEZRSnGmFmgqhW3SErfXUW8dVZ4S6QvFC S6gvwocsvpLFswgAo1sb2bAcFFnvOvCVgHCPcUVzh7ZIQgYsibYZVLSUM1axjJUKjfzzfPbgVGLe JRO16cmBOrtBWrTM0VTRkvtxDlTKj/zUKHkG6rKE1L8RQyUmAA+yFrfizHVBD8Qjf3cosdAsznOB ZbBjayZeCIl1bb/Xn9qhqj5VepEcyS1AIIpF1u1wmBwvyxXV4XatnDh7wFnTv8eEAVxonVchJqJn QefeTrgMiSV/CvpB3ZLIgoirV3SglXgdY6pyoCNxe21cYmlobWTFODUYnzUxVTOnvyJRMqi9n6pR 2MvxQ80IQJueCdGAkHCPFg1HbRcGhCpmRBMIeCFhHqZasZ9Q4b0LDijOzC73LtggpXblYz2sT8tD 97nNiUSZ6/a8Rn9d8W5ghatr/zkmm3Luf8q+D/JFuYT8lf2tEzElcBbR/O5ybYiiJC1QKXjv8Rwq MoRYYHrpUB6SLPhoCoEtOOPuu4DJq5QHXTvpGNJULq5WT1PFCBR4b2SqcjUSnCXqdGJ4lEGY5Gl+ 69t1AbtY+dT9809MTOaG/kYG/rGXM3pE1STZrrQVBcdoTM3dnjbr92eKoSeFNOv4FQfj6fAP4ukD L3XPxv1jfba4mc2EsTUdE5Tljo6Qx/Ofy2k3aw9K/bdm7mPU5Y5oEVuaYi3EXgblLf1SbcNgw0qh eqGqYu9I8z0jheSZZ9yoYAqKGsMXxDPf8URy6Ti7eDPorpHsRFShlXmJFoRUMpeO+2R22U98z6Qu 8p1CS7DWqvuqGw283KkfnIAML6q5nGBRHn48Lvu7dNjvSKt3r1Xv6FAn2aTOI7lrFAzoelrrh1J8 /g5cYvOgYUo6lbvmPYb0T0Rt4VEKeIqf34Y+GtQ2bzg2osqPbhsFCZekU6cqnfEll+evWno8m4Z3 JMyEOMNXiGajesTngTcc1/NizzKJecE51l5kA8GAxVNCmiLliJ8NPx2VSmAuZSsU694TR8SWn0/X 7yGuqjfL+QU7zdwPdxk/CLap3vgcXt+Fukhv0GvFTmB0NnMJ34cUv4cpSU3lMvywb3yu/vF09MXi neBM1/7MjaUpYkj5N54zKZ+zg1fOu5Xft4iN6UrH+Mgn9H4c0sdOU5EpVuAX60h3ON00BIX+/87K xqoVwBWRpNb4lch5dGbq8mJYSNuCuZ+2XB6PpWDfa0A5ENd7Y8+krN+nboNtSQgL4wOeS4XN+0GZ wHNC10euDGSlSDqOGDkkvNTlfbAy28yzrSuVDfTdjqTbKYV82iwmMfVcgqBkNfrjNA4WS11Hs1nT QEu6ll4qvB0DWjAmc8tKlQ0XMkxPNSKNFZHc0UkeQ38KRiUGQrT7Xq3PuN6s/EnVmWw5R6QrRM+L rgm8Ql9iE1KtW2gfTc8IdjN5pRJUk200bfxB8XO0YK4uJy/NcXBEoVfAEercs4UNLl9ghkZmlLMY 6aWbdSs4G/PmtGCh3ltGch9wDP14n4eGzwwFsQhGDxDdzR0UTlFT4HUVJMM3ILA4KnaCBbEOOJTG LcpUmPu4aYVGQ6iewqS4zW5NSXl1soIWCxBqgsLktlS+UOKHRXKX6878u7W6/MbMY637W2V/D+ZH xv3GZNouRbAACnJIritH/FaICfb+21CAxj1DehBjdyD9jhZZLGVgPgS3VFThlcMJ69wOgcUdzo45 bSjp9w+bmH53KuxKPvCM1RUZJF0ARNSf6sHHus2KD1wTT2klsc+JjdeaUvAFyseLbX6ZrqVMfhxh qnD0jMxZyHB8z8YzSEC3KSFiRjRLM8eh7tV/IlBSy13+YmaA1UODB9gl5w3G0HU+1eHc0nnKG/7I 9agT6Jr4BfqP2ZU0ux8oqkP4WIRP8yOvgkNlP9Lh8sk5vrCFrbVBD3n0rEcVQ7dQuZ8sdg/HRDdd 8QnM4bT6k0zK5NlZSiFLxi6MSEtIRI8ehtSXFFCPKVEm3gkPR2fmAepHHfGBq3gmECaqUKxpodJU 76keXrtEhbPqb+aW6s0P/yJW/R4tNoHn5NKyR1/OAbZSMaGbW5ouTjOjcaHFLrC41SUhVKg1a9y4 ABMvCIc9hFlakwcRrK8igvrwpZ3pU6l4r6ekqpJmLOnUJmYb1cXWHKW14oncIsjmqLn6W7lO/qk/ w1o1ofs3FYV82KRfJzvnXJ715cOW/f0kJuuCfu8u+ptOlfDpxS1NyULqWrHybUMYZkbxBMUEMBQB 9w8An2Wg3sMp6VKqT9nq4khisvi3yLDqk5rQkkhOZAJnN6m2r6WP9i1CbmilAPHoxRmLLZRxdFVa VsW3RqVpJjBPUBVseAUDZ6pgAaxWTH2Ry7Lpw0CNHXWo/oKHxJ1yUQXs6dfUCrrtdr/YJUBvc8K4 I6SbNfkVf+feG4N7rW04fgx2Y86oAA1OXmEz4Z+sx5VSDdRzwLKX1iSuJAIPhVF9HyZENAc0fOh/ 5B1vr8frYCxvh/WMs8upb7Rb8A0J/IhrJkfHKfHdtZY9MBXgPCtRKGNdF5RBRCdaxWhFDKRu3VtC MYOBOT0e6pll/lgYZLQtvyZ7DleWUTSMXLXmrzJOnFBak8f21LDN45976le7d6Ec3tkcolM4pLPB KybLkJoOmsFbYeOLFXjQaqP14RMEDk8S/Rzpn+LnM7OsJJ5hDCJqiWGvZAFj9QjVqoSMyYccBFOw YknAdzscr78NMg/tJYOruaXWYgUgPDL/KHL/12cWyvBlpMTzTk4nY9DmxuzJA208FJHstYDn5XnV qndJAo1Fh8bUgNj9RXUEA192UCezPoy5cB/FsJwhQKkrAwcqDAdYehoi/nzh5je6jj4OPFbNGCWJ 37ZE8u70oKDf5fR+IsSwLgjvUHnqWbggkYgfTIS4PjQQBXuphBvCvwaSeM/pEAwwEJ/cjQ+rHxqz 4L8KBg7mmvF0TjYHz0CZQbRb65uFAWJyi+1C8FjgbRw9o3bihsv6Ic/0OPLEOGV3x7zdLQxuDyrG aW6/ZAGCK3iuyc+ad5cULV0R5E9KN+qXlQJ/oYpLY1PjncchTrrFSfUxiybt/mDkT0vrFVLWSxT0 ySvpPnYwPIM7PC4BD7NVboj2hDlywjDUrawKFLpojo/77UIll6UflureoZO5ii1ITIT5VqWmYPs8 L17l9dCr3lgoBeo/L5Qvx+jsAUU8R2eZEQ/SmShDnJh2BO+xXkbEOX3R3zMMANQV5zgujKUtY1kQ UHnYnFcmzdKIkT0PquKyxmdkn2DOzr8tLTHJz3SNodRg0DVSp/hTv2HBpU0pXgV6WgNWrFRZvZid TaGhxLLBuJowiX1b0my6RH3dC8DPcKyw+oZRmfDB5QowESJmEzqWK+f5ArKpd7o1OdGCHZw+cBAl 7Kv3EsA/P5q7GDZa9v8RcgkfSEbpkXpOHnqys0+LjtYTymb2q0mFVj++11AmjsHOBzcko8u4z24f SLYscA5qsqKU5PyZnRx3NwTl19VBsXJ8UOs5lm1ZQB1gyh+HU9a4Fb7S92lz1l+FVg+l8S3lC1SJ ho+53+Y5Hq0M4bcw4hn85W9YUtqkW5Q9mrtBV8jwlXO72I1gavS1gBA2LH4VEb+KZ3Ozuz5IKVMW rPIxtZxSN06X0cU1hP1nH/obmOOIKiEEIpCoFhWXQpzSazUz2Z1w7jJF2LW81MthuR/yHEiDKl8U a1+Y8TJR37W/RICXSBv5iwzndqjqEuW8ByhD4QVzfYZU19ug+GAvCrRERtlYukrA4rt2HvU62XVh l0zAo6GLvfitiOf3qGnxAXcbBdkTvNAYA2bm5EX7nwNuMgY0nYnkPNHjKn0LJSnpjmtZkrObTFgT /4+38hVX5kHoXwq3+a/IgSS3XV2hUvpC2uEylJAAZ588Psz1/TAKSMvS9RD6c/TjEDv82oyteG0i p8+eNJYTEMEGnQeUAAckkE1VqmA0Ksyzeu11xJJXrZs7iFKaGkg4m1G10YdXCxzLeJebaI1NNJtw 6qYaUe80P/mJlLDO65OsmG4lkkrzktqsFtC7EvSZQxFgeTrqPZY1Lc7gmg0ZJXU6IZDKPZ+yjog1 RiNw1lgMmbJ0x2Q8UHgNWVsNxC70mL5A1XtwpY1ZUdahWaeNn+n8z+a+Wl8gHTON5IOq6YrmnFaz pa2lncrGacBtI0NULkYTj3igLIWJRwTeaAp+97w9u4YAr6EUjuleqTN+wR2PSzDY+rlvEY3XyupL e0QbKUHvtoPZlE033x1UfooMrhnr0OFClIAeCtgdrcQpJ1D2cYUxQ+VGrtZNWaRLmjel5RpM7gOH /nmwZly5e49SBonaQYr1Bm61ykJIPPz2zXhNYmGoLtnxYB96/IfDyZPVrInt4DrPOPEXMSRbyigz Y6ui3usqPcFQDQx7fBXAmRAmCI93sK7AfrBzmlWKVnUtbzIlccxn9fsOMVE5ke6qt6dVGXA8V/7r m8I3koRszdd4X2gbvJjxzGCAFwvSYtnhutS2ZSjW+tcJVksQPM9AhgRsioBHzHCWoM9dnezTJU8E qTfNAXfDeEcyQqXMvGAHJIDZ0WvRK3uRhuWZhju/Oe9fjK01DBbh/ZIDICAWN633PHQ6KAxhwY7A 3hSkGA1znuseDBenHVkZ+BpvPCkuZ4bPJLNj+ju2lGSz3ZRA/NTgmoEHJYpc/NztauUohQvvSZU2 cXinRLsqSZ0cbhD99EMkGVTvUYpsbay6Lyb7fab8kKIKpiTCfPB9EA+YIZO5NWilwlJVjn9+MiCE rn4KSvufZvYkBaO8j51aA2/ZvgOCeCIOnBOF9GbT1f7qhWNBtbR+ZTFx5z+6n6tzZofQ443XYa4/ lwWly0pV1mhKIEnYYJdE1518r/4pLVh9xY+bwTlAOTDD8RGHF+91hoEPg/quKneYMLGv2riokAad oJLwOg2odBdAN0SBCm2lX7FR+ilEyB2Kr5QtjyfLSTUKfSIHj5l6p+cnM36px2uGvRn0jHd33BOO qyzsV8EKV7+9n8/fAGFRfdENPMFr2/2z6vJa1zpFxTm3LpPbCMbMOMxPngiHQl55/X0mQRGX1dz/ 8aW/nBNg4ddH5+gYakf7iHgoAa2HoOmA9PKSYRYr06EBrR/0hb6/2reWWyYQzL1Ql6cK0ffM8v+I jOpGRsJ8rNXpDOjBlD9YADDd1NGEDJUITIjiNeujYJJ21NRUIcF4lgumZ/qwvQNjOpFzgNbiZ9ai KB0CM3ZVgPlYgrPcWmcZ7AXrrS6LfxO72zOlX/WRUmADSeycDZn1rbch5UDl4rtUc7giWn01lzLE GRjkURGaaqGsWuW0U+lTI+98uWms/AU6CfPNIeDura4HxGz+IOa4DVs29YWtH+NkyRFlAIGomTUe IIhvwq2oqRnS4JFrJaA4uEEnDPxd8CYNi0e2GJ55DEZ0oA7jKWiXYf/upCDCGEmqWUqM2bpI+ykU 5Akee1X8LC25oFb4kG81HafbKd7xgRoBdDXwjXJAt/JsjwqyLyfHXAg+zpgK8EIIJdbQaXDRWUCt cdbuvmDMSjcmb049b0nA+/AUhU8piUqhXgQAyRcrQRBSeqcT6LhCkdDMiIl8y5HOP+foNjZhHo0b gQdutd+fQrIn1PYMCZwWBpZCR/BtING+pZlgeCLprTwi1oXwS1+e36whKOdjxhbAlYAacW9fY2B5 wUtBM+qid4QhAJZYnmcSOA9hTF6ZZqWiPEkdjiXpZMVVF0NJOLtShDbrFKv1glUxJTJX44zLfMqg 8C9mGs5388lzG4YNR1HUsykXcQO6QZudziKOxnw1qBZWDLmJAp+UU8w5+rk5Bqbzuii8SqiqPG9F rEPyYjSgZR+z681GRSaB+6havx2Jq8Lsc+T0498+0N2v0pMM/qLqvoTeH0JroigVM1USfJXcm3VL I1iOoxuY8fpLZOEZC7aIp5vz5dexIYFS1Cg59h3KxQ2CN/7Tig8WztEkLkTrgt27izUbLA0RjBcM 4b7ezvD7p7pu6t0wYIwIc+p90wAsGQUKlNF2rQrDUsYroHv0RgE2TgCghcK9zXDCu7of+FKQ6WYX NNlBKS1dRJo7zGXEgdjdWdzqT/YhtUuk3HNThDr1SqDNiq2lM5DVRkCXJU8pkWeg3ej0eLPepUi9 QCnOVOAd7wGMtYaKKRoC0ujn/yZJdBklkRbMV5YI3QKyqDyk379wg+0Kug8598b7frLnwQ98XMey +XE4ErxAJDsRAipDeCDeYzmpNF59+lNfyz6jg/NjEh7dQ1nJLzErEU4wVobjo5wcSTGnCviHR2jc rVPlzPwuG9s3p0SvNdrIXDjUDfXUKq4/j69RYMymtLAAp5izDFx34z1f9m6z9vd0AlEP1jZ06mcz 8ZNWHOZuY+F6g5tO5c4e0avUxUjlvAaIEE4TOw59EJ5/lPnBxA05Vrv/lu7NA6r/uEyQ6CL5sJbb N+i0AlZHfzL4H1r4zuKecagx9i00vejiP2V4NvSgScJfgApTKfd9lcQlvtT5ibW9wUglyfSQ5uKG mWeqw6Dw9wMb7evJP4BXXhbMIH9xbF331Vyr8PWwCWao3jVbXBfFgPKxWMuKW8QUUgXORjNjk9IB QomFkJp3HtLwa3VLrBzAWWuDLbPzm1qjIk08GsGfxuVGNOIg47Atf9WGqfDRg6vNuKrENg+ObydN hy0J12Yzn3289qGWg2hyNdUgcMQOMx3Apx7QgvxKJqcabxwkWo0aSk6cmWID6VHEBIuwVuAva/oW boPd/eyK2hu1k4SQ25yOyK7tLmQjPDknG/bDAbZL8UxuQNwayZ3aLmbQDYCCsT8B+bdkWJy5FVil SmKNMSEYL/dq/d81/AYL+p4pckjLbZW6V72tEiuM/EgLq7Mng8I6J0PkrFB9xZ5UxIMrjpwW+DQ/ CrXfShNF4oTu1wzldof8P/d+bM9gs2Z+FYsqoeqMgdhnArZDH8o8nbK2riyzDBDg9vCiQl3vU/dm i8JxV4Lx2mzR8Pz4NYhkJ9FYAZgzirCrhzXkQJBXJHCWjFv6VyyvPa9r/U4AjOZP1hDq6tufWjSx YNvc66Xd7MdgNIgxfKg4BHMCrs+kWAl5piaBM1BD3cceYTiDDR7W+pv+ewxO4nptpYeMCewDdkVv 2XsK+iNUX2vWFEPvR5QqwJ2KJufzahLmHHD3XpJ0bkY0MBt27NLgE6ZvVrhcrYI50hKhMOlqYMbv 9ygG9zHv102WcUL8939WsgVX5pQSL0ZfOopBlByaqgJakEF78paGUUIDGYwOoBm7EU4yc/9mzPM5 ioWhYgtCFgLvpWItPzQzZktA1cfgRDxy09lbtqQNV0VTMdanqGMQvax8Jt3paYcXddJjtPo4q9/T P7P1QNYGQeZcDZN1Fy0voUGnPlxe2e05Y7jAigH7pxV8v8Gt8sHNgPRq4pMXlyo7I+3YyFcXMMcD Kho114clzLaSzoctNBhMEpNL3ST9iRVyRr1LMxP7ggi0oWbkzwpNCiSPP3LWZntjcc+ITNorr+7M UlhsDKgFezNArrk19Q1Pcgs6iwSHg9xpks9ae92I05Uulemmf3Vim2mtAazfNXaMBWgNz8v7uu97 n0tyULzc6aDpNeTPoKt/Qk/Gjm24L+tWz/8lYDn5AZedB4eKvno4HlDUDbhmA2T/yrXkWaNPwMpx jcGK7ZWBJTjEdW1EMbKI5GBzkUqb1jhNP6GnoPWYq0JvVTmtQczkUMBxt8tzUWWVBRbTSmcmFMu4 NBNsjd3SjTg8YDrDcH+dUSrXe4nYsry0hENTUJcs6L6LSOOLw5dyOxQEinuN/2knJUPezGAbVxB3 tANKKfsucQvLz2ZMNVSl8MHAhwyCDPhWfUEAt/1Ktob/TVsjzl1yaPLcHyOX4fuyX0Yy6vACHH0n iZsg6EWswAXqCVb7q9hP+TWDgtgUpwNbp5MI1rOtyYyAouE5wFqcfwOf6QIPKD1ayRjevqEAR/q6 zVU2AizkV+DZS/zgsVFi9EyDts4oiHW9C3E5zSn52jnnBov7DK1dE2JzA8CAaZ3CccmP3Xb8qnwq 5jBKDvaB43RF5i/BBlARl5u1lQNGQiMS68C1cn9LNbsgBPWyuQfrBfwQRJK6BAheU37TW0bYbXis thuXahCz1lWqPbzlZdXCYJP72eRZ2sRFZjsbp1b8+FVCKsr13MrxwBed8ZTsyeJcy+eeK7+MyHvG nWM8rXDjXMSyXNjV+xJYbuLrbTAQilMsj6/hEWzQFPAJO8IitKdQN4bMlpqE0ixqYPYaZLDuvIYp O94NPp+G3JGpQL5BGADPtyMyECBh00W3iUagEL/UwPIxKZPUhoDDubQL3GLQJH6MN3Ss4gy8BqkJ rOCrlQ+cZH0oedO/BGjWO723eBPIwSekDLiiKfaU6MfEPwXJmvUt9NL55i59lTz64KIrSCpJGxvk ZFrVTEWltG60bUd1K1RUTtptkN95K9jhEfNcEu4gt9kdxsot6pZ8tvcHTMYo5hrd8sTeS90s+d3S 9yCJhjRva0AG3RVEoAwzHX6wg7MTGJtNT9jv2YwyrFFws8NT0t45QXYBmMNEkXlJ0a9h9/oldOLD yHLdgK0I+etk4klttOn0/945YCKzF8UT61B0Cy6obJSOAJ8+Q+Lcl9OA8boDav7stcXGQ/OACq4v lJjTk6i4Dykp9BB7LykYY3RPpQQV0ogB6aG+tZmnZmxJ8vKmNCEXFeJFt/XacesJdoDdjynYOTfE IDU/AMitZHiiTywyTX7s9UkN1nTWy93WxLH0Si34hbE9enshIZVnuJoK6ca+o0bjHyWAVqPC5oaS 0jHLxCJXhvr4Y6zcdQburgaobk1KitncHw0Eu96Nm5a7KqXWr1Ad/2N6MAf2wiYrTttAKjIEexdJ NtAfmJM+VG2MPBtUdEjbV6Q2NDrtQRuTQ6EOSrwe9WCOA6SrbBPKGICEWn3NWfz/7ePgWNgUYg4Y o+tq5r1r8nOvzNznnS8XwxphigIR6bDNVCnyvqpVgL/D8w5LFuzanXbPOBN8BYTV2YmZSYQnQKzm zXEF+5pfE6YoWaWPJC+Py56jCzso8kpkq/rswGJNySE96/uig6KCxsz0Kw5JARi+VX9N3vttAwGb pVzfCrTyfI0nZsXVdA1drX7E26fUWaF33G/IAvF4y4h6vL4VbqtOUwJYE64sWqGDCx7zcxJMtkpp dftJ1QWe73LVP7HbAJRC0xFhll4big5yYtRX218Ebpxq4lM//wFDZJ3OoE9Z+munAIbuX4pZyQe0 Iihur5rqMScA62N9ZcE7nmDStSO8VnoWWSdR+GliWc7K+TfBUKoroo+Ztx3eseN8gCTzATi5TWEZ M3mcsBCZoYzrzYKqHb9Xgf+RtMU1Qu8Va/61TdYcv/g+mvQAfxADNyS8FAWV2LYDsrEcBoVs41qZ l3qMzWu9pvbLBVQpv7Ubpv02Dx8Jm8ePAWaJ9wh0y0j8aVWTr41dKhW3UkBIauZS2hyMDEN3miMj Dva3kvFGQHBKZQ8gDpMwhxJHFmk4atE03TIt9Mb8Op3rfMHBkKTlA8M0/U01zvnhRdLv1iJi8t56 Z3bADsXKdXA2bF7uAP1Q+6kFIbA3IxHeCrCs9y1uhD+HN4DYFXK8KWdS/LP6WW028qxGRpHPGOPp a16cL9keckxPb6CPygdFOU1+8lUvZBYN9mwou+w6pvtqUHpijzK1/jySe3nrK6+1hzuS2R/ILZO2 +FQYkhPxxdlbNI3gl4rtf3oRMzlAq+YI/f/n94vENt2nVPdT8nQcAsQlKFdhKUkO9UXBQOO0aYls peFQARo5shc4SOoBUuqUtG7D13tJ2HE6Egl8VBbcFtCC3QtJdOkyd1OXo84Oz8eeVl3Wn0a9gxrx 8twIMYYi7qOffPcN+BxJQCPi9h6Cgppvu9yBctvRTeuH2ihiIFZ5FUh0Y54m99Adq/cbG93ru6JE d7cx0maYGc17YV+W0qc+XEaoHGyMAFSBGJWS/Ks43ShDtIvDO0Lz3eA52n21CsP8kPduzLuGekEF oKM9mfF3Yn7R/nlEejTYb9X2kdW633LXz2RWIniH/dhJvBLkFwEwVq4pSbmpeHPQCiKgJeISQlLU 333gmHjVKv/r/llZ62vlLX9zi8ghMoxo2B3J/t091xXGJ55VhuMSAXWsdyTg52xWGRuESKK1T6kG 2n3IjdMwyb1YUbnwwiGDeEZhH29iWTmI2+cHkZxrN96NHSsG9b+UTe+SR86jrzsRMtdPQCH0NiIk jkzDu+UrGY1YjfXWf/M87vtcBBf9m01rtfXMpsM2G5IgIOLr6QIqnNf7LyVvxUxJsmJJhS7UPqEX 5QdYOm6zhxZazFz5rbrbHUdGx4lHrFpicnb1hdRdtCXyMS6hrqDUIHGSwDJSiCNdGgWrE2inZ0O2 S0h7GNN/HvxSkPk2xQRcjRdrSp/66reuqxHJQEI7RYweAPTN+UwRntFnRhUxB1gZv2LnuNE9C1i0 hPPFdtM1lnbvozr4aI11RwsMI1YorM9OsabB82q6Al/fMMorBLx7tWIaikqzOXwTSQGFd0BuB/RI 7o7zmkShoSMZfqiQ61fUTnGw99JexUQp7DjDMC1iTZfmi+H2WJsmj/NfHNYuuPX5uDJSaT6ZXsUm VgSoTUsypJQZ5ZZzDluRAO19hCLaCg5F9QpTNIJYiQXqyb/l6HKySgHWD4aHnSazgFK+TXHcTfNL vZNVfYQ7DMV8UXBcfm7pfZBQ3MtGn2rAK0qWTDxDm0MnE1KMI4aWMpVgHvZNEr2Q9OBlIlPCjzQv OG730lk4r3ilkCBGndHeihy2yvraYRsAwXZL24U1kUFXeTRsJzGzf/+EinYHBg7A/nZ3TNwHkIWF c+0a+j6Xj7T53+XohtSl74Y/xz+6IHVbB/CEfG3CZ64jXles0HupX9EtqgYe1Cagw/FkXTHPh9DA ldIQXeiWJUL8ND7BckB9MxhaPKaKqSzdX1XyUIcIALWeYJuMTrJz5ucY6RbFosbyV8vlR7k/0Yhh JHnLOh6K6JaRH+glzyBiWGOzLs2ca7WDa/zrZkNZxoeoH/U1Dj+TUMemmTvMUsQFenQ5DzsCrVGc DTdUyIF7glISMfd5RkkWMqWkUB8BQ1rreKLjqzTfGzcLzjaSQk2oMBQguKbNkwKlxKX4yuC6S4bP zjWGTaHKN4cLtpwVyRqBYX0VI24AKRDStGJlfQFvNW3YfZiMCB72BQX9CiyfWsEkaZuW2E9ufvkZ 9iQJ1FhQceTahr12O2LiD+d2yYhrIv2cIsypU8mAVMzv73Ue0EGVa1p7qDV4r7ZOrt78ekb2AO4y hyEqE90TKB6JdygURH2+u4MnIw5MmTKk1Rq3/Bgjp1L+QPKNBEzEobIgV18H2QAD8sXeMlii/rdx XKS7hJ09LtlHKWGaORxegNM6AUJyslyh59NvXRCKLwVaI53SX5rieNniJsILksxy8WvqK6LroYSK 0jJPzH6zOfM7hTkjlW+2ZqVSQo6UpmJuYs/d5lyCuMQNzFC6ncWO4m2J/LY/DgOpvmVDX69/bYTe 7MEvPwcO8DyWthGXaZmGx4+DN/q0VhdTYGV881vyRrdFxPy0WX6KoCcXQKF+cwN27lX30IQ16bgM 1AZWZJQUEGEk1D65T/W+y1mJj3kK6250Y2SNNTfXXAllrw7SdxStasJh5eSqX23OjwNtaeNHcA/o f/mq7zwOuEHocwNxqrMp91l+ep8eL0zaF/mpKfP0DSqUBOr8A8JrvBPA1vjCX8n8W8jxqdVsapeZ jq4iSl0hjsxvGY5CF/7i6qczx4AhfttdPo3hIPJBOFLHkoQHStMZsJL4Y7MkZGibQJhVV04RK9mc x5P8Y6W0fyjexz9DE5mZK0ev3/7epIxpwlInJxi8T+JK9cdfEJK76zBDqOzZJRG5/VK6Iip4q38P 7SCl60ehUR3E6OvtFO/3qbvYeO3pNRZ9XxnX90/OL0pDfYs02q4Dlk9RKLhe88YJnGChNj8NiEiL 1lHrXhCOcuz7c+EdreopRc/+cWvRKnq1WlESdm+iF3KH/nuCwkeBgZ6yPn4fxOc9HArmqK0jsfMT 1smiTE6H522WMMvvQHdrtkc6kB+MG8HJOP5c1bK8jOq98KMI0hhn1wnzHqG4qD76O35/L8guBEP/ ziwPwdRG1BfM3hh1vPD5JzKb3lmh7NxRfivguw1IUFJlyAcnnNkVY7MdfDJzAyd+Y8d6EH/78dGU tvUhdXAlUwaYcdP7XmeQP2asQ25OJV4S7u4y754DIyheVQs7cjduOWrVR5QZlt+shfxSYdrs9pvK LOLmLsNNFKPGA1CmB4W54DvEB0hRV+UQ6vG45bqiiCNfiyYWjEsJUJBLIJR5BCyzyJ/mOpJGKNgv ubYlRQ24n/izKQ5gLE3UoRQW4ePHJOsqASdWEwug+fi1dXJgr4Di9aFKKju8mj5/ua5KjbEVA8zG GkxiOcyxqFZJHN/abqT7hJoPjjUaTSDKs9A++WT5kg/TGi07xQBVJR+oqsPZ7a6Kyy5jQY6hgd2a FAZX+Pji1QoWR4I0g94WS79TJ35v3Pgu9qXEgZKEEhWeUzY3kjML92et5U5R+C8JO+9mbz+vaT0f P3nJlwGFm9SzjHMBqGCdDTDt11/KJEhz+eJvPRiYTruThYiL1CcPtQLsNGV8+Efga0Wp0LMnekMb cbcgbLSmsHLDadXsAyD+zd5T2ZRpRNTzcMSAE8lNGylclsEwwpSl+oVgRP4GQ/iH7T8vnqKhmlfQ t10dZm0BQIU2zcsq4QB3WplJ1wj3tFiunX82jliVrZ9u5Mmjg/wvpnKSFlRNyHbIu6sfKZ4BtAVa i8PVuRjAYep1HqteNbHc194Ut2f86l29eRkEcBH9FGquNfaOad5FdOCV/v+OVDuZ5gimCjQk7M6G ydvyxxaL+IM+FucMmhSGz7NHTuyS0MLcUMyEPj84PeoOMMlTTnV9hsbUnBm7rY9QikJiinRvca2a XQKJwOq6qwr3qYJZNeflEjXYELOUhYQ5m3y/Vmb6IMR7jJe5Q0VbkkKmH+mqwjfxn9CEdM8JnkvD Wy/tFevsSD6I4QYtoAPhxIUNXhFvK+RjM1+sEhU7bYIhKgth3NLsfVRqvuLSlcCw+MYVRjRWQdtT iD/aSf4GfsM12UgaE/0ToOi9Z7435w2X3YFymBMP1iU5bh7pvmhfuA0nasUP4P593MU+4dZEp8nG j7vIWv/T7/hcgqM3Y+D+MbbjMYLaKtITDXHc0Oa2KvY4euKQLYbk/WJPkSudyaZM4hGcF4jm2usn FXErOA+aUbarMfDyCjv/nMdK59rCChAVx4OlBhZBcGbD5YwS6iOJZkCxq1DN2E8pX+8Jxvf27ugo 3EfqGjspkjtXd4q6AzO5UvA+Ii4Z3RHnkpJqyIMTHLQzDFqWLmD34ljVts2yXbjfKzqBboJyXryX 8NUnpa+XPc0ykhIkTmaMT6Z5iYI3sdtLCEdVIwDvWiDAOW9+3BlxqjHqGLWfnJvkMyIjaXOHZh9p eya4LbLsZw3SFfLYe/o5SvuPXbHw6ugkky6qzsvAEBtvuj+4lkeUWbc+2KNUdGUeNxOtwHZwpEL8 c4PocvrF1l/4dYBoxtKoYcAyqbJIo+Ml/0knhW/vb2LIlISB6gho7sKJz0DOOkvSfOKSS7FUca6B vh4878S5XvgS6vohibGeNilC3C8al+YhFUZtOw2Y5DWJVWI5djBIq1yEaD44i1gh9FFxYoDR9fP1 /B9GMEN3gZX4+cO/n1jdbNKfhV4h6FkVPWz0ag9hIKOKCSYjR7Sp2pTMF06BSe85Djhc/aFtHJ+z 4HIZh7iKjRJULuUswgFqoVDR+15CNpoNkYTJu/Em1jAOBc61oaL702ffUp5Irpt33AceOHmQN0K6 /bjEmh/LeoGRmZThgcH5jDQIgKCaO+JSf4EG+TMkzTD+EKuxe8ZxVWUQWdhaWfD2gPusM5C9BH2L tDuckxxJHUPIpMuy6yHYqjX8TN1/5g8k9B74NG4m6OEkAKbQeC/t81uJXu3KH0cLK006jP1gqysR VGwRl6yEFq0eYP1t3hz4UBusvN1yb7wG1T4Enw+B28mEDgUYMdt2NpyPwS5xnMbAMpINKJJiVuOs m7mobk6SIKITJ2QuimOtfqKEZOP+Y/+pudaPUvs4ndTWb/W/pt4IBYsXj+2vWfyur48jzsTxn9TN RXGdrJv6moKXwSqkuiZStub2uncMOoXatI7rhiGCxtKIF0OUSvMo3cg3wr0S7Ql78HkMat1KkscH vTsRPLxqmdZBxRBH6IfF9/nssgqCbOLOJM6rNfDfjdM3mzDae1qp9YTIRF2zzqKEPeTMzMNehj36 n2MshpzzyFTtK7VmXk9nb9EE/z1egJKuqRCcxIsApG/azEq4ZWLNNdtd8E/Kh8SRL5M302uDY/KN njuWeFd1k0d6QxWJnDvoDdGbY5I3NCTNd2XhAUfxvx3Ehd/5H3tHGtqtzH+vDBFKQHJ4WcdJZ74D xSiW6jy49HXAAcVFqH5w3nUVSyluVGWYkRAZnec4ODRm2uBKGJdI1quyfxOldVo6zJWk9VDnWHZD DTYdlTzOYswV8nnJWY9+NaK2CBuiGNbl8Vebm6DhTOODrVtf7W5MO5BhyNFY+C5dkafjkyHFhoOS oBOLyDN1gT0/VndoyPc62lL4AyGziMQOfBlCcvzF4WSZRHFBvZAxepvdkqXqvYYxe4Ggy3lVC22B 5AKiOFnowKB//wZznkMfTrbeqgsakLpwLJyoeDCm445JOWfEywN/saN5g7R+GoItHRW/AEwCf+qc YzYv6k99Ws3uJZdnDUPI9uQqpGO9xt0ycPfci4BTadoVxdq7o6Kked7itUtmJLp4g5p+WhpqQ8w/ zl6ag9ya5AW32ifLwsoK2q4OV++kyiR/DyYnQf4wv0PbNA3Ns0kifcJG5FZpwBSZn8mEfFqicvNg Su3kawOIc3D/qOEOaFNP/h+pw+De8fBxp5/GpbexxR17sDvUvR/BQypI88jgUIbkIQyGDA6g9hFf 1ktpyxKbQKGYpk4/b07wiPG7liyjuofIVe+XmT4Vv+eAC0CPyZNuHGStiIRBVCrxGRmGHkRlrQqs eZjvhLi745lgP/F1rDymNd11iAjDTMXZkICmfTiG05dhqEjL8wYoUwyAUi+HpRkoMFkRG4LXzpFx HMqeDwf9HAEqwI554mF00Tc4mWSOyJc5HrrKmGCRjsHbrbVcIO4Pjp3dUu25C0gLK4dRqhF/cEC9 S2eRRl6i6FYXFUWd5Kbt4kdYl6tQRCkWJwKDOe0tsJBUrQriLiTVWd2fG23ZdF9xnbaMo1zGXSyq awVyhicN9ZdPp6p/WjzWMJhm92eK/yh8HD6oFpe7ilxAdHMJifKXsyf/Yf3b0o2cGAd/0rP+mHfh RPrnELFhsHpCIsqF2E1AMdTuEoQxzgBNf23W/wA4e9uXg4Ug1ty2rNdGw3G3229aH70qbNElzNom 01X4u5ZC8v+uT4QFxy8HesDoiOHNoXdsrnCZh4W2tZrBmVaC+HB6Kd0pBXmtBjOqc2SWpf1VTSwi Ch4RwhpVok7RcrJYKbYvE/Xv1q9H9pHggaW5vGv/7wyqoUdqHXDHHdxavf4KNCYLMQsAUPpvmRFx uoJMq7NGLSoI+oeNyD8e3L7/Cz48t3oy0Zaiwl7qVvTPK9L8UIVcI2hIfGu/M/tXQpbzI/DfZHvx Smp97BZ1easfQVaRLzlt1qX2OZzc0ECNC+qqM3eHhcXt18LiEWRd/u1/Mup8VesgU0n84oUEHKdk EOFBR3QwAERygtjn0I/5BS11Fs8oPH0nRaU2v5ZlmHWKLNYDGRW9/Z7VqNrRJWqhj6jlLk66phUr IiptxZ//JT1s4yMzxxloL0CDU0YwNe3ajkIkfGrDiH7ITUwLw7fb7dbNjXidvzvUQcEVKaBNpNic f6pqPcR5aJihIzcrjEIbOUyfhORBaBOPMCOQeiIqmHQMKAh0+Ixa2FzbqOGZi8fBl8GBRWr6MUNP 6v3iEtT44iwkWK8IvFeC8dSESaIuixl7Xg9Ve1bvUxIHH4pW7+lIQQ8TOCuCDuy3SheL22kq9ere COf66g9g5/udw65p4uhaMkol47ipRIFwaLGOQShoAQZ52xURMogmX5wt1hC0ajL0CKYI/oMQuK7F nfghR7cZWMrsZsew5TZM4QvhLg6wcLETKdIDM7uBkrr4WCXbNl/Ac1URnXNGhQuTDA+Azk4j8SuS 6J+fkkJQQgzGYOTKF812tT+VokuZPVkFonYdtAoUlfPiDBUURyvWa5ubeyw+ApO+ZZYo97RxeA3T yf2HBklXw8kewWLsqeHVpjInpChKQEq2+BWFuquZ/n8kHxrTkiB0263+5I2pfzAkdbY7L+WOC8u1 mQg2YQ8f0pserVY/noe/NRjG7HD+JUoRzlE4hMwuxBozAK7DSY/aFAeubG0Givr9IYSJA10gqCAq BBiCotlASIRYQZfgJuNa3Ji+YFtXqeBgqRP27kP2qjisVP3YrZ9TAqdXq2l3DlJ2iybJeCsR17tH +IgUQn2kCiAlXBb+4SDl37MTc5MrrJUeGTC+chEzF4XlsFTV3M+3EMegRO25R7RVbelTfemLxF7+ tLG+QLf03/CcSNKVuh0BPJTAQOPofPgnzlkhEejjP3VE7wChOXnkYW+xElPbwCB9FDbvyRxAEPdv LUBR8gJSEqfJDH3NbUnxr5v/N70kos2dbvsZtNSVl28V26O0HSR51lbJp7NgRS/26oFDZLvh7vxY N5vRmgBAXSB/d1lbJ4wvGfS+MOkeAsCUrMxA/5ThM8ZRflHNK/+9GRnFGr9v3Fv2y34Vg2tUKNNf /scOFhFRz/CmOpkCCqJ1iHkaeQnmE3PUx3gbEcvf/YKmsXYhW5v1hQM9XLTacXUYAQvocPi25FSD ScDi2S7pxt7oMaZ6exfydNgEiGf8GPV7WM7E4L0Y5mDGVZqp/2bKSt1dKJoyduJrKeYaA1HfVRth fO1M0rLyY3ryUYHER3D+JD0bG/R0O7XwUFSjH8Lw7vW3HFwT6ZJS+BRZ7Xnn0uFECXuJfA0aA/zl IQgiTZXrpmYWNCr7Xa+R4FlQMiNS6idV3TH1784GXnB5ZnNQpbVPSU3cTvKcICOWRemCZRdkZjfi Eh3GssruqEtkPHtPbGfJkTN3q/79hBGJYPAN86yvv7vrmuquC4zlFUZJrevh59KYVt31HeT+mlMi PT9QuPA7JjhoTMtVSNghpdCzYt9eiAAPLf/d1pLKkv+zCXLxB7SU4txguw+tfldBKXbW0+OlH3pL huxGsYDReFiUiQnwHTWhX3tLHa+4zrmdzzIGBtIKQxM6LnF/UiTCnKETXDvEjo3c6n4IAAfozUkv tfUxYjU1FprX/qklgpxfNFx1dCh4LwCa7SADRDOESh3z149jqiYRcnFkOMbLqkL1Ks/IYBdE0vOI 6XPrR6rgny33YJBSpcyCXsU2+EJPbAW02H+aGTB/fm3v1A2R6r7OO8o6DsD98oqlQmWxEq39DaRT sEJMzrFYBBBK/BGmmp8OxmymUpbE5a/9J8M0Gt0yKgRNgS5WUYzWulh3OXCMPD1yorqd5H6RbGRd 2E8Qvzx93eeQuLAuuWf+sMtr25vLjk+uFNRccgsvcU+AKYJEK+psgaQoc21vqc0VjwTTeRrB2Avg GYPTkiKCE49pi+ASzWDXPOVZDA6+MIX/EiIx8Go0dbOOerQHSezNtMcSXFOcK5rtH+00HnTJmX5f atWBNzBZFTJAVIO5D2JIuzBTBRNjq/UcOTDohQZcK+IjR503pBpKWkOWb38sJ2W7axROYU9g8j3Z YdBF3jbtet4+FtkLieFMaKVzeSNNoLQL8Jsikiyorx+hD/Oi3/WSUbqRQanJdj4AVxww9gGOoIEn cJQRcIXiRrpvaHvZphb5WxbK0ZzZjvdP7OB0MflHCzsjwVu8aFX+2Ke5hpXIm+V/iLSkxBxTExXT /M37NYjJgHTctllff6hs9YXmtQi3ooAhao+WgcJOFI+0FrPslfanLxmM1JgQ242yVuZZDPlsHpw5 Zp5vYgsA9zQoldXJPr8bGQ/sL7HtOjasubNY8yOC/I5xkEiTykobubjBoHm9lShyNvTGOe+r+q8q 0Dvwz7JuCj7Ysm0uh0hQlQ6csbZhcFGSI7lRZARNzJoN3Lrdyx6NCOV/NrkWIyG0AFgZU0q8cjG5 OdZNQCkQhFzscjX/BlB0OnQpVxHDmDsIgfQ29GBp0o/0s9hNYBxFYyxz5DHZyyOHwCdAUHUaAJXw 5mp/xjcTWEniF7AbzvLEW7ciKMdQcJcTOvJVVrzS6WxRfBIPHqCr801XLalAIzxpLFHP5wqIPwyV DNz8NvDPW46UY6S/y5M4ReT7iNzDC/ywLGDxhuJZep4KzvWq2bDP1tQwqCSeARpEOd3tY40ZPwCh zLgsU39u7k+Yka4ppq990R0UtmWR3tuxlY6CbS0ff5p8sLfwQdCcte45Gpwu6+masBafJRrcgxj8 zUQ3APjUnuzKR6cT5aLeARYas20Ugi1QNRxq/BgbGkw6gwnCSHW/ZHqpLvjyBgweg6nEA2d/6PqW FXXb/W/LwlmZ5nrCbTQuRPeA9C9CeVdIKCJSn6cup2GUOUUF1KGGi7RkThaNqE1ti+M92liwo+vh 178udDICd6vLdfyjwZyFNqPauuV/EqJC2AUKUHNDl5G7pqKoKMmtxx1bw53z5yolsyfxAcNYPu+5 4Z53hUr2q0Cz6+Xdltsts51nbkfCO3CZFTwBUXcBC5GYOrOz44s9+K+SZDWRFK8tblHt1pSyn2zq nkZ308/MRGMmj6YhM6UaTQUnoIhdTfEtiqC932gPYV7c76nGrSqGn0ORmQlXj1JlpjUvA191Q/dV kwvAtY8WQ+jX0JGsqrgzsx+HIz2endf5ipgqi4LhKajc/393L9eQYdHUFzPhlrcwmEJciHyH9+bi eyQizqpKENqVTrfMBNOrIdJeNdAIKiB0kSnpWgAI0YPVrJgZUlabOnWsi9Hlt88dRGKGQ+0KVYty C6zkHm6vdpmAShBl+CA26gsE1+7JO1fgYeGu3xH1151TwAiwbo5hczxUsm6Jv+YUXakiGbcHvCpv uMkbUwkRNH3ojHI2Adc0RJ7dNHtcIVt9MpUE23G/hGMU32YacsgJv3vM5FibKYDgnX9v+aKBnC2D B93NlpYPMsZv+5MIZB6I6CZt4pS4Z1Dh9/QCkvgkIfUs4dI/sVi76xQ6WFFFTBBmIfggahPX6sSC jqOxvNfGDYBiP+67RBgD5NaiV09ULtrcUI2w6zl+e52YATHlXr7xKpA+rR+YQ3nMkj4BnQZP4eMJ QqfWGXy+nZRw2DVn+WwmQ1175tL9xbsJk2zOYgVuLfcrCqrOOEPL2+0DP05yzuRbj1hNozcS9FgH DDQ/hRtPEkqMiMm234YT9dvv/It0H4vROzFPCRjDN0k+RWljYvjU0INlzPrPdret8OXTgxrVfa8A jxBcL7IXrzwz+RRBRg1GMXMMxIyLO0UInlI9O/H0WBaQ3WbvOdOjR+xdqNlQ6toidPrIzdO3TfN9 ub/MtD3ruvik33JNakq5bhicVyHitr8KZEcATvzfLOC3HILtIZxrdAgQsKa56Azm/Z+AQ//CoNu4 l2saNOM1NSKop9r86YK82ixx4sL0LlPtb4agV/AV4pKvh2idtIe0SMIT6EqothgELRgWCO/tvDGs N1ZjdxqMhHX/xbA0VxMG1tC/dJsqoIaJ/WtR6BA+k2h46qTnlys68meR6O/UYJidkCqrO2XI8ACs +lE9Chtrn4LMZJl+IEapcDs2XFQ16IE9NrVm1w+cmbMnCauF5l452FXasum0RqYDBdeZFA2F6AN2 B7mmifHSuDNKtMda1BTopkK+lpHUxwyW0BsMvZjcZ+259J/ZPKME7HeY1A6SiPEwXDrDv0lvngzC gdb7M6/iTP4eIRpNRqpbmcmLG/Ctlt5nOiih/wImk3mRTxE5SVUdt6TlPNHhFwCNRFVmR2xob/zK O48UX5zvFjc+1UWvETomB43UMvh/SxqPfajK5rwYIrPRZyH8bj2m/A5ICznmsmzK1/UlKqXS/7Pa LL96d50FDH5Jk6FODeXJHeEumBbPjHpJdFkKN+xW/IwW3xxyH7jcRAik01JGLueGO6hHAxjDujPz TK87AE3B0MtiwO2cTQtDrzYP5aJ/QIdWCrzN82omd1gBXdOwcEY0dU+TsxfNWtLROhti7iWkH67Z EpNjIfRi6HmtlcB0Av4bYe8EIk0WTe/SDlP2OnFDCxCmAva6iTF67FLuSg5VCMSrLdkw5BQlFn21 dG8lZ3qor9vK4W/STpOCXVC/OPLHjfwEjhixwqfWOXNx1AZ17aNPCtOkBSqijWgEnqgthDgKmOPR 0KWofVfolC5pKfL7uCIvvAALsdCP0ikg0nXJ7VAe5hCICZ+5sostswhZjVpxmHJlwg/SSK1n5d37 sJrebQUJXEIs7iCbqDNwiplOooUraNb7qgjj0ZzVjjgJPzzWXBhuW6/WPMxpIBNf55sukC7t7wRf K4g0Fzj4AMVOIyYjeQxJkZkuGKLX96JbrOa6mtMNVjVDNpm9sUjOq36tR6qCsp8Raf7Tz2kwYnl7 jZnq6kNk5OWrqD6/hNXzXM3fyLZ08B8NpjnByhgJZ81doOI0Fg5TpYXu9FYo5/EQ+4o0nzL8QbDA KgN8rIz/pS+OUVzoekrT2V/Ehv81vVzAmmt5gLbhwsbGkyMnzHXJKy2JfYs3lU1a9VrzvA9NKBH1 xcJptVGmMhj6d+9Xl/1WMxL5FoA2hC9E0SkLBaPAM+99S1MsYDp3TeT6XLhDUSq43QM485wAcWtY dMeN3a+T4dh4tiVFcQgqAoBCSuKUKBo62c0ykg6uu+WgJ4Bk1dYdNJZFZP45FVUbKKAl8OIGAbZf 1iYbnMk9tFTpQ29KS0iqKr7R+3Ht18ecankmzexzAzPQTNEPvVNMPAe4dI6GSbuJlaIZbmsSEjH4 5cLYWe8qCxO7iu2wy0aAL2e59l6IZpqdNOzbKBK27CYVmKgrdDEHTsP8n8fudn/VMR2r3u4+zST9 9M6Da4C9HP+Lq5BjSWsmViXSkDGapfXjfnohBa87YqWUIXFZ+TRLD3rGh0ZSy4Pk/TmUB+HQT7AD trJOPleW8vfPbB//dPVLrdVT1AHPjKiDzu3GwXlucxCiX0rqEyJ2VjXXCkTijNLLKfjid36icxwK qFwmp88r9P7r5PLhAcXZELteCKsTAwt/Eou85R32WzITmfhaPmn6QxqGy//0w+3anYMdP0Vu0UKU Pb0RLJ+Rxq71oFJZO1TdE/rWedfDJYXUA1s3+SjEEoXcrt0k8US+sqeAOnnK1ZQi/qCuGj43yJPW tCK+g2t/SakFehv4zajznOxnoz/AG0FRQGA5TvjDKLWX63OOuedu6mdkDQz/1E/lkqa7a/aIr5iA N22bT3RhVhlJPjd6NQJovP9Buu7FIaju4rEX4LWmHm5AEC9Uu5nJxeTtma/pkodRegfwAhJVFQb1 9jKwafRVM+6pYhokPeknaCpM7m1QIi7xV03JeoCH/v7BCFzpxlX8Lt0T4YkuHuJDG0f7FJiIsiL4 dU0uLWouL0ZScB5i8B1KKcb8gM9a9AtOvTJsy0LIkySCK8pBOf4EIk1k07UNqX9iGXmUuMRyNh0r yAYfMp5K7yNpXdGMEzrWKilgGcSVhMzJg9YymTJy905mY1HNFi38ArlcGNM71uXAJn0R4mKNzEmC tDCLkQP0lbvon8psto2/NXC0X8+HASUyis1v64mqg3y1T8hklnCQz0hQCk2vV+GqMyUanoVuyfI+ 6KN0sHQ0Q5AYRaJUtDe4Rq62+fgFRb8Bh2GcaW6IXpwf8nS1pNN3/m2znuM2K0Z/8lBXHmTP0Zzv yG8v5K008HgKHF4jt/5QYWdiqVdyVtk05HqOl2jmQrKjnEQ1XMoOdqnBzwNHTSXg87uWK4IY9QxL sGiIBbu0O1lS/opHpI2W4k+89jJawR5UiWWRIf5voTlvCaLkJ7aNHwAyOj6s6UXNl0VnM02a+zJy CkKpfgNCTpupHRoDq2VeWftuM86UeAL5my0Q/8k0ExyPumfV4FNA5RkXduhD5/gKwJ/a0o/RIG8U oNhT77ymfLeqwDL35UHfO5Wx3imywlxDOcgXaVXyCPE86kXDjT/oXsGrol2mnLLrp/6OtodrYwXw wJJfZfO8IOCXd0QRNz6axXsKNeGdx5Z4KaPuDpHe3IA1eoeTlI4HSVTvxrYe5/1D3i7V25TlmJJv CQY7OniiD3U5G437d9htRsWpDi8fpoDvIPO3luK8WXFFT5DBhgnaMYkHf3UXZJ9bIuDu0jaO14/Y 3d6BB5RBJ0HrQ1KOko1jRq/clYSz0woZrz8zDhN0VULTTHz1gpJLo2pMfJeP/pLSq+PG05sEvhNH TLYwaYRmMrLyIDxyYZaJ1VBhWBcaBh2h7oC1l9HNgSMr2JhqNajrAe4lJxGcg1Mf3JQQPcXbfdFY wReWDVb5pznid6gzQjo83Mld3X0h86a1VjbXLPktx7T/aHHRzBUd8ZgKrhPd67GkaZdYrlT+Aivy aXO/DNVbOrDLoj0y29ZNSXVIfGb1GzuyicZGZX7uMLJ7zHSkPuSJxyrR+h+j5jSOEq2LKUKvhWzH 00Byp9em8d0Wy7rEaAnpNFR7kzHWnFP7Bf4pU6EiHrbI019FraDJo1j2b0sPYY75zjE4YroiWI9k mr060KAMZnAmrG4nvOp5CVKTKkdsJEWn1nbV6lkd++mlGC0OM4D8BCEmpZDTn3F86KEXARHYdUWK Jz9EiGVQ7epUSmZpR5LnslD3D0BLjfuhJ0S+2+QuUJYuIRVkNHQ9QfjcW2LNB7GhO9sSyPKjggtu ggjfdVN4hJ/nwNuWaaS8x2NEHIM3oR70mjq7yI2pKWv2zf8GJc7CXWkHHr4pp+E0KKzGDymoi7ab uQAI8Rre3c76Wk03cR/wD69YPUszLTIqn7IfYeVW+G9SP0H7U/ZCfIv3tAxs864C26xZmho/h7hQ NpUGhW+eazSJzhIWwoqB0h6VPY6xxkYeIePGK2FBcRCG1ZFIrlRyEm2dBUYWmi7QvoUASJO/q3xe K71JDehZnOTg+/zy8Ht/9Giyv8crP7jmWQoHekxOGLyxov0ABR2u2FcXcSinw/f7EpPgsLGkFgg/ caz5eLCye9TKulEwFgiC1A3TifNC0AUgFEDA9NNl8Vno8Ddz+amjqNlxO+TicNVwynl7mRSIPxY7 T+o9+TeGvcUyeQSH/tC3DohIfoz7YXJv3VYcvBY7vxHQXlrvMrEEl2XPyuXNgVlnlW3zcllUUXCg mtu4kkSz7gWYNmVaPILdtDJYVbTpIPhfga5Hjk4TS3IkZnjg/MyjNsz/FE5Gx0HUckrekt+fesj9 ho9SjwlkBwifNQVGfOHSDUrOyZvjWAAkRTG6VxwZaV0uWlj9QYOwJkse1Lw1z0o4h1jZJ1kONqxG lrzTbJtwiQVIXI+HpusKOgVxvoO6f7y/4UVYaMNx5fujzoF1gSBBpINiFChIBRzfs+cv1bNhkL5S ev6DicJ5n3Ezeca0MvDEmEgNFw6eUtjD+m9uEgpoA3gjmH12c8GNiofQvrcbGbq1oHRZyIgStdFa ew4I2QousTlb7TLW6jT7aJMC/4EtCcZFunKaGRHM10ZvArhNgnYHrEQvCWYcvvNrCVLEzgDkdPWg GkB7p+coFY0jb84Uut9EMjSQsxvSzTtR6+3VWA9mfYnPd1vbv+9chPfDUF6dE5l6r+09TEor3fsI mAF7y3R6dpDXIann7WgAPC+LlK4+AoNabHZ0ME0DDWzijjinYZ6AOTQ2W8qIlpyqWlLFyp+0L33G hg+odI2Ao98hIfbXgH7beo6uQtcfb2Oi0x7XxDTiwad/ipO+zkyocd93+bqemdNFEcsPS7jj6lqd oFABkNPPwtW2GUSDRdqt5tS1E54mIAhgsJXbW8QN0xZgQF9XwPFySCQ0HX0jFxnxQ+4Bunbg+ptJ PKrs4zz5+uWkyiswJVVx1c12eDjZFPvXxSPJ78KT3sdj67bdXYDayITCFRfak6hgDzdp8Ns8pV32 7VuXMRleS33zyTeojXwBPFYodSMbchZJEFGG2oc5ZwcSepZQRLD6l14uuuat3LPzOdgyOpOhCbcE 04/Xh05qBw7mivXmgp+tnCx6UFHxVsoA6XWgcjX+f8z2txFeiJJAOHUDm9oUb72G5E5FXVuJvWzu 7b1r0pumBpiByTOQ8boGeuWMTNdKfzY8XuqUOKt7yzAljMMqvscDct4OHxt3DIvRpqHB/riuSuZl 8SpG2Q0CERTUlgUNyXfZHkkvgGYktLVOFyYmeRgv4pjffBPufTsaEW0m4FFm8dUjm3unPhAhDkzg GlGJMp3kIG48RPreVM5mt2WXDimOrbbjJb0swbvY4+Gdp48j/hzyRJvxtqyLiTFMBAzDdqDI8GQg yDPgtwa1TfqNyjkuJp4MFcZpEkzGkS0UHoRjlJOt+XxF8tB9WKK66glhDR8vkITgrFI+WAG5PJ+E LB7dpZZgbCGtvgO5PMLk4fEjxBYQSZLY3dJx7ah1UHizIbQFdQ11jYceuY+V0vLmOobXM0GBqiw/ +wSFIMSx9Q731Jrul2wlilx7DWB4gW7jd1Rw/PN51CVEO1sUK41wAXJXLK5gClj0f7jw9pO8kwyK 8iTxxiHMpY16My59d8kUjHv9pvWKz+gXT5ygjtRjKQ7+AX4w2U7M/ZWTtZl/SVaK2nUDAsUuoXCi oTILH4piyC09UZyo4DCpZSkaHEjxwWlSk93/+rH9LFoFAuk2mRFkpasPDXltJnFJCVfqKMALhvOX ywT5CUrcUm7haM4rLjM1xADrR0CjbD+TX+Emr+sJNxF017w3WZpRRcnV4pTD4FGgY85w7S3ZUtVt Fy6vwiORAPdhrCUO6dlybMs6T+A8UzsNjtC6RhDqwikrgPK4tErTM6fI0dDZZcA9IJ7SlPpl8BS2 vVHDnnXamz620ZlyV61ukgh69BUm+PWYbZVW1HSfai4K6tiQ1Z6edtEuvK1gQLMDbTX9phLKSyA6 5zPWuO+E8YmWgcBFktoCIc9GHuMje7TpjRzVCHQ7tj0yXw7YhhVCFa1M/LlRhu+1wDnK+KvqMHxq SEAn7kF5RRxUrvMy6TF2pGLwvNTnYyjoK75YSxRhVedbwo/H3yrtGfsEkypbLJmd9zjesnWDoJET 0d6rFHdJKeaBinN1+05Bt7X3l/tbGbrjmU7pKzG/F1bPT6t+4mVhBEi+IrDvlvoWuPNtdtu41QHh 5DzUDtjLqgcqT/DsiIBO43/5zRKL8aDvajCgDrBlaH9yEefj8nYB6s/qWbuTdkF+p3PS7W045fBC f6H8A6ooSMoQDoDTvL8PLpxsmtdHMXZjP+/80t0/Em/V6Z6u2XEWt8ogjbW1wUnA/z6enrRB1ROS sX7vEmSwzKlMftL79gDW3MesYqUqQEq1A2m+JHDF9HZmm+ZcU0Jo9wSVMHJINjFhAam4gk93qVIG Q1Y9L/HED6GYi9fDTMsHQznvG8JL0gDj/FCmGcpKN1VoYcUwbJrejUMDuvswYJ/tem5zXYk8PnI0 nMJtoCepXwaXeOVjKmcskYEjJtf5YPPltGArm4VDYqgy3xE2Mj3luiquHEbDkECo3oFLUR1SdzB7 +uAQBonBQ5pvZPmgalz0s4O1+nEVeG2BikJ9fsS7yTj6gxlzxdRp+iglMWvaS2hyW3pIccekDJKi uIUP1zV7jjK7ASouzhE/rwH4RdUR1gRnPj/6RpStyDS78UQUABh8HF4X8ESVAYaBYrYhbsmQqahx 1iwC/q0PCDOT8hvbZDV+qXTdM0F8zHstN9Xo6FVUnfoy81DChut5VrgODrWd6mcrGMC40rSea7Yd BGaIFMNomsVCpWdA05HbL9UQDn0Ms2E9tnXS+o9s/RK+OpLEw2enFpEktzaJeJZttgABsjhoeG6B xER4oAQ7LdNzDk5IPhQY9COat/0iulj4PMDyVdzPDTFZDI93Xlr2SlkRx2IlJWg98KjbbO+KastB pnVu3C1YK/c4T2sMUZUAwrp+ST8/X6gVhYzN36kI3ReJ1YIL+CakBLen4DjKKdPqzz9ZlB6uTpeb FM1vfVuyn7EdQs+lcbm76iVAhD/+zAohdW9x+6Q6/Iv8dX2YHQuGMceWNs8yqiESBI/0lRKkiKkr JIl8CXfkIGvKnKMQK/iDNpxBmgjAAhQNdcMxxzCazyCZZmjmjRVHwXwQS7c8stZ45pyKzp8/ziMF CVyaOD/u1UhmTelTnjnAgzAOkbiN87uVeCwC9F1PEPISG97XpOU/yQbX3cT/zxkkenQKRZlJ0nwm Lv06nD6mboHGaeg/KXWfxuUw5SGl2BRHV3WHrQKArXJvm3OqgVteqCqzsirl/nwJZZGtvjmpVNjX Go2YFuFnbZ7rkuMnOroPCsJ9ztlCth0nBejYkgRYpmFy6QZAAqMMu1qLJAsJLIuvzcr2+vb7F6/g EWeuqKgKM1O7jUC5eV9qGWhFk8nn263rLJ2bIb39Ldp1H7ojKAf4QXiGM+aEjtbIARvOh89v3411 A1R7Fr2HrGBV264NL4lrmGvLrvX8N8cef5vg0v82ywRRVW/7A6FJhvrs6c51j//69RayYZKS4utg KwSsquAlmJqJLJK9xx5cPUPpBXUyL58n5SuJGyK8WDeG++np/Lck4+BV1V02sbSzjh1pznPXhkrB dHydtP1q37x9dxZ1kwoSEQApU/xnta9xFi7pT/e7KBcQQWtUl6io7dNf3dlouuOmM4okyScrU9b8 kBh2WOvJx+OVW8oDqGjcii6n5g2++JSXY5jTv4WKVuF7KS2vIK44r1mP5LgIPCODUs/sDEqlERzY MzktKeftb6wIvpZv8mw+zVnAPFl1wHPV1nuham9xjQh9oEAFx7TYK/P8z3VyUS47OAqakb8mnIGj Jmu1Rm0XRuuR62bPWHSEhdlRdER/0E+ICalMmgUG2fOJxfn/mW/duWeyLxcHCyC8lhu1Sz6HIddw NPC9xAF09RaIwHMk3HamVRC8Vh6c6T54e61uidZcoUxXzDI8Up68PMqJigwslsKWvMmHgU+Mnkmt urEQieXCS8ZzSMjPsQjTmDxhmFMbuwPR3BhJEL8wyxk/4pWsW9h0foXpnVIPEeA6fK68tNbwoKkf DenhJJBsFVyfiv9OP7KDtL02qmXbyY0O3kO0/dxg2gKHqE23i/r9Q15FA1/CTvfApaWWWsPORx81 lZPe1OjyXEJsTSWq7nhq4d/8n39sbR6UAiav4VLX0IBaRBTDr2/JqAiQQAdOqjdBXOT5QXJca0LB GjVgJGpo7nrZt/+stMy6FuChsV8gx9P+IECCyI6Lnk7MM7zSGa0shEXQdkB5n2DKjI1+DuZrFgEx mJZr8obW2lml58JGohZFEU6fOSJxxAnm3sgD8VxXPuL5ATjo6ATAM3rt+NKmmtFNNN3j3XpkL5aR bdMDTw9p5DQLr1bWokRfOSPnERumoAbFWh8/jwi19d1w0/oJUwPPrr3+hXNb8R4mz0kgDzR1Mwr5 MAg7XSNum8/n+IDXW5vYUFsPXXzHrjIwhyG7ri58xGxBai+iE1n1pD6DMYXytfX1z6Y3Wx/BAjZc kANDCCZ7fV5kqUZyf9fnp8lbrSngIjU15Dg9D8ie0eSp0LYjNj32ouPu6mN75WGZfnNqyPfrjs0b aTO554LI564TI8hRc5tSzoKmwVGryX69GfYldRNeRYxt0OkEHCFrJcA/EH817mITzmWf22h8y+SZ FNtxkoqE4sPQUJpWPh3TW4+bTgCYj6UZnCTgY1c/saENTs5j1Z9XPEmkSuKA+5RRib347Q+cWD0U Dn7aiDXdS8jn7A3mBV3KaxAL3DbzTMf6YAIzpOaLSTFivlWQQp8Oe1wK1tvBTykEwso9aZBXV6su 8QhRpYt6ql+PmCHvakNPLRI4KhkPA5e5irY9gYl8LcPCP7AORnc2Ov/ZSB582PIN+XWRc0BFO+Lb uFloSDVKXkhNtUD9Z0WUPNlqu0XUnaDUIJ/7CUSudAsDP9sucf2z4nia7tIwzLXX5GLMEX2mHbsT nvYyYIak2nZiK8fWrPapvHMPeu19TRemIFfKe4a35MlO3JjoOGO0vKaZax2p6ySuhEdEl85HvNef 8ulTu/+WMCxCjuAq2xXAOqmLb6KeAJYJHsv3cLy5eAqEwk5CnmZVeRoDMp7fEEE+rAh6FGhv58x0 kM68uoKJYmO/FlDvHP8CR9hOeX4ww9CYDd5+5wwFIUkPQT+pf+6AA8sQeTjAiSkT4Ee4tz1Ngdcv kA7DPFYgocK+i5EM2yDGNTOphusTR2Jnq4Qt1aD5hKdo3Oj210XTbqMyteKk6oU3Vyqym+ETxgrD psSONOidXQLWn6aUUChvO9WpABsmctauApX8F0JIDPGewusgyw0R+luJGk/kfDl8jq2bz8PA8149 Hgm/4JE1PBCMQJSJmZs9TO0/DVBrLjaPY050ZDX/GB9mpfD+2UtQyhrAjt/XQ87sXdUSwLnv0qyx uGZZddpn0dyOA+uHGTS4slUa5ox/WzeEAgTLsNnc/PNBIbDqJaXQ3zQ89SoLoKQQ9YjPsnBCSJae lvBtJHR1bRSfDyMksCXxd4EeImhT9Ze9S/ZXbDroxulfpCEitnV+f2P64/Q6m/t5zEuMynMWFn3j aTVNaqQlBbeA/N6+o+AKacQIdeW78kPoRn//AhhTYnzoh6c6MxJXJCidZBCfwTym4oiWm3v1eKkJ z4l0oLcV13O6GfJnGuImKIvPsHcGOu+vA86LQSI7Cy40ABaT9tu2RcYm7dCeX8dG4/cBqTLRU54g CSAK8jptpYyHdb/WqyRGNSQ05wd2m5SZ+4OeX+uNZfiuz1Q8nzzWN5r4tauvzqJOpRwpRujH13dJ /gcNfgfP+FsAp6WwPJb/LQmT/pNR0NawM0Lqtv69SwE7qls+H0DRQS74eTdJZv1KhTzXKlT9Keha uTOJwxaEWUv9IHPU1Wl1c3GGLg3vmK9SY5Eyop6WbKIK4iPztx4ZjzvkIcy9oGv78E0fu3atjZ/R hM3Wud2omgDwtKVB7wOh/EhufRiOsyBUUGjPwzroPUcJsEjLXEhJm+TD0UlyIa3W7hjKOC1sCvBG pUr45Wtd9lvqWViYgygVxgczzboC+ljpjgui9wNzb35gOnwo0MA1669PGkwygcaAz6UPdfPLdo9B LEX6rYWNtDtkHGAEHk6POnkD2AqXS9MvSq6bKZ4HHdMRRE3s438UvJoIqN7e6+9pWFU2dSgNdnlH aEVqPmYaO/1+2NwLpHQGzBQeXhE7SA0ZJGaHUCGD7A+DjY/CPrLJWxFoTQnyoEQaRjwQdt2W/RF4 eJ8eaRH7Gcde/modZHFtxSzfMgS4rycHmxDyco/PwFemfZbcu3SoXF8vZnTaGRsPL4nqVAqCUkd9 Am12OEGk40f64qxJQHI534h7yEF45mslAE/j8LGcnllXqaGrn4bjI4q4VPmSm6djzh3YXSE/CItO KmkOFThtshq+wmqSsNhvr+8HQgPzu5wSzycSu7kJVosjWHN3vrA5OIG9euus58k71R6z4x4IYV63 p04WW/CGn92GCqUbS38xGv+L8B2zKOpiR5HXGMh7hJGf/fVAZ/+C35Usy1y/EFdd7xi7uB9xNnz4 g7VnMjNMAyvP0pvw5IVtyLlxOK4yBH1PZ76TxPLXJ99uAZC99d9PJMQbhA6h2aNHVBpS5NhbWOSC bBQQ/kFAuSylRYbNAyUJe9d5FyGnJ25PAcS+dLuMsQBvSrs+cYO1RtlrAQdGf99CAjQIuA1+cBJI mj60nHBYNhyQ2YcGoHL6XFgFgh9PvDzmgUMMIp67Pa8aGUi8c86EQib7HEfmVjIXfQWVANYLJjHq L/LVYiNXOJra1jPwebPof+1wbsFqwMGxIT85cQC3XYPmBt+x+cKdHTZnRU74yyF0X/2OWd3XMquF eTJ36z7hl9Bc5u9o7yjbye/Cs6bKg8Iy1H0B6c2KCS5fC7BV4coI3856lNFeu1czcpnTeoC7aovG a89KrzzVuSUHkCYNLcWr64+iCwzd91xkzSiXU2iBcuoUm2cG+jst62xDT/+/kv9fYlyhgD9L7f1/ YSXDQr3QBx8h7TWcdSF0cQOfRfB5h3LTkSt/pjkcMrD+t2LWoVkBg7G97Ek+xqQ6d6VjHm2s5XyZ VXf5iIFviVv/xG7h7tKOx5AMncnjzYrQDFgWz/JEyvGfNa5HTwN19QoermJ9GjGiHotqT6Mn3E3r vInTVR4nvySoIG5Y3SsLBJWgnCzChjRIFf9JFJuvGJB9mj1tMygifYUDynF9Zts1a/QTfcgAbkDV MvrcgQQhIOyT/yEgDPEL9tn7OaDuYyokvoEjWpwvfH+O7y2JY+7GQ/PmBV5405RDH+cycwX+bUn0 dTbpDO9sIFiHQnEsREwHl/qrzuHlwhuLddQxT3imEyKmoKspR+VHrt/2Y7T9Mlpqo3Kma6SnZUbP 1L7QM9p8WhAi9ww09QG00ulJsq5cmFj7EV8QAjUqrcNA9wKOteKHZWX7QwV7Td2VXqyTcQ5op7co JVDdo0J2D8uyjYPb18OdQOxuft74fpBkoBsVEiz2Iyi7Kgug3rUIMdQV7HCb4jeV1gvqR3vjFSm4 m/40baa0QWc/c4vqHl6iOYQiA8xOgTJ0IUoEOsbeh03dP2sAcCa6mPoGWD2OV6l2AYxw85eKzLPH AYkWQ/e4F1k3QcGFn8QJLZkL8tcnA/fTP77PzBot9YMmaTY6GXngZOVsFScBGc/4CXtBD2GgqGEd Rnb8HCGaDItXdEee3vEb+KXU89eZWuBQ7ZI1+hKS2owXrSoe/CS7tMckqTAsfbbfU9OUmDriXog8 HIyWzhelppPFiIwyK8wEYUB1ggRHl09GB2KLqYWaatPAnAYRC4PS+EE9U/gB61RbFS4BbCMwv1X5 darhZmOoAdGG2p7Vb+S++52UXVjy1N7AuBy+Q07FIYA8nOLRRQmKlpoSqVtWjZWT3gd2nPbeRl5F jlGXXd5g/HTnOHNvH4WUzJb8suWBA9RE2OdWNwnp5lFkBfgk5a0zVy8LM7WjhDzeuOc6YsQPmEJJ SsomsFy+q6r/mAHsGUDuqefNTN490QRniDDo/jsLM+Ro1Vn/En7en0m3eVVZFtE3sM/tuxfBbpCE TUZg+fVxw8hwHH3YryHFMtAU2BlIwdTOMs+oera11bGfc2LdpE4y98XZrhGeW/ACE+BuGfasPXvq wK6+Egv8NoLJt552BthlaA9REYboRMQRpVywhDQKke1QJLTWPmmomHWU8S4VbCM9u5eXiq1zyKSg CPp/YE3OHB/hS7xbkSf9Qd0msDFbMRRkVfISTwwY1k6wtgfWEFzQ4PzHbhOZbNmmUMH0xjB9qW3S cfc/iqy8Ssh5OOynRC/YOadZ+gRxPFxAl8PlLId7wfI7KilAtRG46n7kVkv7l0wIqPYkrQxJrnCY U0Z9B6MpA2QQJ7y7haJaPstSfzn4uTApf2khpjiTaL4uoywXiMvrLMGmpoWIvbCWnxtF/DWcRFI6 23oufpnmTC6++C3V77ClfObdgEuwyOTk7OUJBtoeTkAuKicy/De54vdTLHCPCcpzC72vCYY6zhnf /Ky4VXamhA+20Vs7mJerX1Ke1HAPDGtge/82IOCYmPR7OmVvkZIDDcnwXdCkg3zp2xNveMc4JHHB lqZVeV7m8hvU1Bgm37HcyY0ZH7GzhG0CfBCGfg7kpeYnJkqKALDKQuYGAx2Y+GXrMQhBkYbAPsH0 fSy+sf0HkJbSNhrbmPtVabEtYwqn04HWqXW5xHcrez+dWeSr9aU6EruLW/jsXwcVXZ6iIUZQDsbR nhZ9lSpYUj3phJSGde4mP6DbzndIqCggVWjyEHRfIu8C2bGw6N5AE3I3eHDamIeh1LiWeOZ56Lhr A/P+uACXg3bkeuY5O/B24oiP+CVW7MH3gi+HyLMFj1XmIU0ryMwReh7YiXVM2+uAGMj6wQt1A+3c 5gNM0EJz2fKZkoBx1BLlCmbHhHhaJuyhu+1EUQwzC18vcDslI3laNTOPkeeiyRUMxnmD4ST6rG+x biF8OWh+VnPmqK5no99gUIAKbhnKT+1V6NbhYsaPGMLoeF+ui7I9H6Mr91jpZmWb0i0EuP0D1NC6 lEIfrz7EchCvnEafh2gDQu01FIrUt85GxadqtRz89fejpMF0HolN+LDYlVl/UM3ilH/kLhLBdm1K RD0Y3NjBfGlg1HzOBTQp9K7gCyihvkMQlqhUKbLGf5WwgxKSVIgjVAK/qNryGKwHS2AODZii65eC DKgJouNjZpDGhK6nRhSySbWZ9x2xjMlbtrv1G2cenNS6EIPep9E3bqySJwevD+XrPo2cFajPoIqr sHuRPaLFtz6XOt9bUdAkwXXkGLxUoX+WPuCusvuG9TWd5uy0QoRzt/RmICyoL2EcM3ccZWmPXtuS cDnQFzSt7pNCVEYVaxMn4PjwhNoq/CgssRaK51yhu3gX1qtZ+HodrgL3fiZ6I5WX4CxeGqJfHUJA LhLqgRhwITwjNaaYnFEjdWb3B57Qj/GdeBHLkbhg06/GSDChkbr2PK8iL1n1plP6YbuM8t7epdij kokmoQFGiJx87L2FbR79BHZK5uduvLj6JfPdlXfSCkBNCaFzlvRSl4UXV1rRN12Y5DT8IQwFqvjX tgF7Rihq4YdLPHnb4vB2nrwVwr/253GtIzAy9PyODouvu22c+YgHlRAs6Hsgry5whpjKa2NXAjaH 7FXSUbEV6KnnkGXi/mA0MsKD/doQybtkB3VQdSY8F8YFH/nt3h1tj9eXKXqUTeyZrnqsDXheuPIn tUV3h/7jWtyhXALj6+qZiQkKiRdTa0FlosUC7aAbQcbbV7i3Bg/I2Bs4LfKq1jQJAyZK3oGqU4/m g3HK4y3FT7k+zeFoeGDYCY2cDeakeeuDxPNdMa2uC1D9FaJu4qFXGEecPqVbSkneKr8WpjMXGeLr l3H+ZwoUfUpKso+UqkCJJk0pDs7ikfjbW9PwwthDxkWSJC7gg/pH8ZwzUbTsV1n8vJsRywCg2/ck /0scvbAfpA3f9bPotS/kHvUMFFjw9stQIatYM1N4Qv+r+XS66GyQF3ofEDGbwHbhWTE29gXWIXDC iR7j45cYjzMaFo5NinBq7pCSFKjq+MjwKqaXybY3X6gA/XcpSN0pjdK+meO3c9agRUO3v4s3COQ0 tjgNjbOnPefCnWvuX5p58mbRipsryJW+WVR3sbOQpEZVzd4swa9jssjNv8bs5phS0htlKdDAt6vi wgD/m7YXR6b2PZ75Sx4pAzZ3HuCuD+FX5mjN5jd1O5nhNT/s9E20XnL1Gr2HmnBwpEZ3WyotgnMK /nM6exs2tqNJc96DrWTh4R1MSYUO2N+mX/vx0hSptmDqWHns/z3GF0bSmiT8pxBVyhFAMhVF20tS 4FabF8FpsZ6ivIs4RVleiqdUm/gO5dbxVNnVSmJ9rRfcL8HOHlMKltxOhSBBkyr250mmBoq0MXCv uR2dbYzPVnr8EsC0FFeHchF2I07IGaShBsq29ehEVGHuGa0u0uOG5Fmc4/aUr1PYW1J34VLtYZro gM81gNUlJIubHmwmzHxmWMZslzdHAPvZNpF3kY8u2/5xsFMTP5gkvb3UMVXtSgDiaMI/XTCB9Kz4 yPh7WBYc2saxfke6rL90bJKFvxaFY+IQFUurRO1oYHPhIOqbrvM562j0/jszKww+pWxUs9FGBE/i 0gGIcwJ4yZ3hWcwJoRx8kXQMMLCz6hiOnYYb+iC5Q33KqRNKDr/ZG/Ww2imQCSxNklN40miLFDVK 229kNofu0s1q+sQe2plwivUJUScQl+GjYUDbM2Xlh+KWEi5bEtz/KEopfuxgGL66jNJUTsPbQwU7 wvSF2i4sXTSggMmyACNZs85La4IO44qPjnuYg6i9jhln1ejvnAkOVRaqRpN3PLrBAoiEHiZrZggK WN9N2/U4vnhKnOTpvroisG/Nk2isVs9Q7bdHvFF3zH1ZzcU7w7RSsGNyU7gzUqzFD9ko/aiJ0nbQ BI+yNT+UG0SpbZGGNs15tSzJa15rXteKeuL8uuNvpXp34jXT4x3qNVYTHHOnOgtdNKlhfpyC5on0 2/5mMA/bUFcvmWX8rcxt9IUTDY07DCS0szHqZLiM7fFUQPLR57weDJjDPLJ7kFEi9chXRO0Md2T0 FezZ8e1lvmuj3Vmd+9q6ZlxJpCaLMZGTGODIIGKGF7nvQQT52uALry9X8izdGuON4WSU9UKfnYdH A5uCycp8m0lFgObwKe/z0MD15LaFgQXRQEHbiVV5swOsdOHBa/Fm4d4wdA1gGtuoghFKOLp2z7BF nmLQ04AJndSHXcRUt2tehaUAXgQI/yrPuWl29nJ+NpRWEXiFvh54a92wLKK6X3rjroq3OewaVHle y2ePtXtiqSwBCvIRhsWNgKPBQ4KvYqKRf417Wh1+vUJa9XTWdiPp4VfqL+BnIwaUp93okcRuUakA 5cEIWSUgmkC1KQmT7OFa/7x1X6tQmMrxU/ovSowW0wPy2O7ZFXTAC5L9sEFlavNU5NKoC3wLnelO anhXZ7ehkDCR/2tjbiWbnJki6EeNHCRwnxHQKe4c/eyhAHBda1LnAT7XSNFUyKD8OEPEDl4PaS1/ LfOSzr0MBEE1gQC5W6GWs1ttE7Ctm7hlGlmhjVI5l+zZIg6OdJFsjGfZIXbzr49VxBxTQ5gozpCH dVX/CqBA7Nsd4QdH+H91o7yRRpp9CCxJ1wL0Q5OaQmuN3xgBVANZEIZ9rwvwThvNVa4JspetI6SF acYem4yqv6VIIlfwcsClRVNGgc6/unjwgAxLh+shHx9oakgIpHesjD9006G2dLm4XMkAJLqE5GQJ mpJAyv5M9INDZEEJnW8v9KdfmMY0WmQyr+uC3EumryVf19LZDrCHovqbkuv9CRtdXDYxK9o46jCA im3LI+AAZ9yKqTKh0/SNTchcsq5jRenOZEpXacMGLoJZpzn3xnqwms2ITjjx5HREcZs/KV9WUZ4B KEIOzVfJUDgaqfu9QrUmHOLLD3iQaJEzQj9NuiJZjTz9kjk9DJlgc2HcLRvA416Gr0FWef+wKxmx zt3rSNE1FxLfPjItZcLwa6ZwSGGbj8KX6nH0ULJzSaPR9hJYFZoRQ1aWXV1bWusJV4Hl1Rgd0dyY issCtzxo7+UlncwPYrDCdEv7+QlUBwd8XDTkkHNeCR/mYX/0nxd3GNaHM6vzfMQHLzzDlxJrH3sS Y5QzUjM3BP5P0ngJGqaxUE2SehQwlT3B+dE5cnMzUVmF/u75spwszrDDDrRIalPOh1yRPb7s9Wwt Djky93pQjwhP0YV4qFHm4LfoSm3r+Wz4TIX+b3olt0h61ubN451NFbJInyHGdB82SMTCquH/zctF ptUGzdxtDH4yfQUPpEc612fD9DZcvMPuljttpP526yAm4e00gAbDUG7cdYUmCtdkE6x1cXbKKlC/ Z/DbFYbfGvgNbk69dI+GG0JLFNCqAJsP3nO3W6GRVBN+N6HXPsfgh1cNozLKaiKtNnGK1n7NSw+6 +MBHEbX0UnRP9bKkfJ0OcNvwOj7phWz2dpr5Qz53q6zRgep0SJ7zrfIBDZEX0xEyjDtvaQYqh06b m7c29ZsUyailXQeKrwrPBaYSsUstCiaTqxCJNoo53Yxrh3NynO47WEAD33d6LN5Xwlk4ccdgZT2g tAPtGYH3hZhrg7+xMO/+KOgLCjAJzz2iFN3fmcweBCXEnkIlBDWnBwFu6Lid7rH+RQuUGIYJM3xR wPchXxv/Rac3Lxo2lugPiGtUOzXKBp3y0JAOq85fnk6SDe6/p0Q/sMhVO6zibBSoXIR8nBN3Kzgm bCJtDPsxDbpdvvWhQSTFmHcBruPM3PUk4G2Sg3a7qF2eQwZ0AeYSzuntIOQfk69wQ+gECFVckoeS RpNZz4tJ2ZyFQTgghth5v8C8QaeHVXA+RlOKCz744v5i7ufM3SGRjGQ8JiJposxiBs+Oe1f/YhXb i5mBm2lqdtvMOzr+X8/99fAM+Ov+EkGzUiyStOdc4zwsHd/m/Gj+scKN4JZTumG8BOd+mWyxelqg oCBZDGiXKtWRb3Cn9XjijqQs8RbrI86GZ+tK+lI6T651gW53r+hNP1YzpC1E6Uzy/li3lXxRHALz x6MK8YiIxjvvkuYNoeU0WLCBjv+JVUQFt+zp9i/FKFRIhV7qKUOX8mlt5CX695bBeIJ0DL7AXwWr CbhUQtw/lGy+wL2f9fFdsMcmzldrJKzEYMkp3HqsgtknHL7kZ9nV2m/daWrLJXRbauk0i7eHni8K f3chhYBjLOKK7cX9y3NAvEkVRw/eoZkBxhuIwEcmg/psQT9hmrQliVoF85Y0yijExxX+SEICQ7Ml BQ+Rkf5PFDkgcAkQ0mXIy0+9ToVm8MKmya8g9MOc8DMvMszurToMy3wztROACsmcGx2PU8v2Hd/g TQCp3JqCd9BNacygr+/y7n3AlNmkUuG0LqnhuFHPvmKCNyKKxViBbv8X9a6KaQToXkorePQKei0W Ju6d8GYpuWpJqqHS0VHFuM47WzGnAKOKqLzhjVuNkePzadRgJwfit2Pf4oAxPmYURXKkogS+756y vqamyDR6Jx8RYBzWIGoi+CvrIVcKnDDHynfOpueBJvcH7B1/mgFxXi92SR/fdnq/cU+cvitRgOz9 s+ewNhhludDDP+70fW9nnRZLgxbfurM9ehmMB+ywBcVuR4BBU7G1g3xQSzBhWYWUh6pHuTQ+Pb+Z yP6f83hiqMcEyJbFWEgDUt/pcCAk4VTXeYVBLmNeDDJkWmOX/SnUG/vomAQw8gG+VQf1HqCSzvtd SzRgSRcY+9Z6Kiojv3NTopqqbH8VgpGCqjclgdpG/HEFHfajPlPDQm8lEcXnssmINSyrHbGAyheU CQG/vEvNFVtvBHbZLVhCsZjP0rp6vGd5lAD51pecs72K+pD62lxkyrXTt+iNaw1+79UD2U9KNCn0 bqDAR9fMNo0Nqk1gie3FJnx9NwmXEDUxaP3MVfhAmwB+0T1Rf18c/atqIPMsBH6xB/4oDCdhQvC+ VG09rVgBFH0vCZgSWFRClPOywmMUVvL7Su1P3zIIyanFP6axpFyZKlaLC331Bdy/v4psVO2VEddM p2XT+DZdYYxOBSVbFyNepLH8aM0GcFimQQUrcJdb3RYsiskEPHxwKS6mu8VQWh1+D6oh2jMXo2vM px84vjz8D3YwVne/uKBXzSFksgq6gGKuHaMuKVgSx7EORaS8cu/KkorPPNZckslvAlALvxvP/jg6 ffHGhHelTkq4gsGTlfroA5HQnlnmGkHA6fxCZSmZhrQmnYuivLKUghtuNmxpUK+UBwDedrGqvIJb LbKqIsh7ZdmosROCDI+gBHK/vjGJ+KBjY1rS8oOWs7W7nJuRaLjnjrGaxc99qZNXZg4DE4PkP7GN JsRPOUkVeHCR3y5mANCUVzH12a361pLqYa8MxU0oPcclBeuWd1T9B2D8mQ1kdBWYHz1J3rATGlC4 Pzes9HEFVWDEf7nvDzOGW7KOzCNcSv9dGyfk6wTW3CiIQp82zm+wEYrUYYX5StGM1ImGY95b7lbQ n7qjpg/A6FAd1U4MVZAixfz4XQKm9gL66vpoNP7U0Z+Vni8CDl+gOtSJd82QO1g+5acezFZWUknb B7PYAeWM6rVIEqffRDRq8nqvVLcyrN2WCfvpkt04QJGnSkaZgWH5CGHNb+wNJpPpcWqKeeWaD+S3 gVy1j7egr4LD0B1Shdb/qpe3IkJ/Zfy+ZckZlZx41wmmpdIh5KXfoVtY5fUkRlo5e8uggPukG8UT UCgp8l1F5MbUVpDbKiOCDb7/plcHREQqS3OrUVEwiJ/5duHtwU6AdExyS2rrIVXTPyz+HEa8VHfa QaPWHxxjlOFe9erV4X9TyENKUBHgDk5cRf6LpO+Mlg0e3xXgXtdimcQOs5+ky+vSfCAbtCxvWSzy 8mza0OMh8Pz6TuFlbLYRkkJsAOwvxcfAH67Uj1YvnEteOVzrWqaYtFunPH0Mn68TVtgIzNKgkxWM 4oyo0unigX6lzEFOI+a1laAQLr6Jw4w0zJ0D29PJEiOBJxyk+CuxC6TwCJoOLqXe34vXylYV7cWf 4VB1iuGmriXstF/fegVVXwG8TOlvb9tWuz46u71RzMHj4jP71afuoirMFPKtmHMFHhxCfjJePkio AAiZwXLOlwrf+b+Dr9NtAmASLYI8HIDmfC+Bdy9qzS7iGduaOTmx8seD9uoK3VfkjVFk3aWRnS3H qE/HXGF1UNzhFXVSRwgOO3u/v/2NbizSYKYqzV4GXiaCVwMlCR9Nd0luDajmJjyTNiAi0lsSyNht AzGmPRffDaoWzBFoKh0CjUNBhISLrBTTKPRCBPQpCweSFPZOAI/UV4U//IE+cqs3AqjnUfZ4gO08 /NRSTw18Wmu1Yc0mg2NWWSqGX99lZuR5vtzwVkjprHT4senk5fKmX+hPkD13pD5i6VphCzVhnSIC A5YXw4VyjL2rghR3mwR/Te6pyrK7WbfXBHRbgFRkITDsf+b2s3ykDryU+tN5E7tDxOrsYwc68d+W 9CjmYBIPpJszLXtCyganMJnAKA8jaCtsK24nj3QnwgmsXnEEdhCKAjyudq9tB4lExqoWTlVfHiOJ PUXdeKnwwKE/p4a4FkznC2lGF+T+73i8OB6LiWMaciEJNdGWn8SVNvHkuM8gF0DFVbLIpPcNb/aG IoPkxtcTwWxUxVCaaNvXsgLtObNJRSYP0FY0a2TcSXBUGk1+7IfA+bqrDEbStfz45C+PZb2OKERT KH9OEKsIY7P8ZXVu1znBiZiS5JjFFqQwFU38Gssor6S11iVAbabCOeTSUaOXCbplm5oWcHs+XQBF xAfp4aAXECy2/IAwpiUGQ0hW5DrQWwBCd6pLfHbWpk5cvmH/6BPsJS0/vwYqf97u4ceVglA/7f0N mPu5yF148KO+tyRL/RZgvjHvrqex7gLqEfJT+hqGbtezyKU8APqmts2MeGUcffPJuUyi1M8KGQfQ +jJKuwIWWuOZArxM5YwKGsfUj7BjW5P2Pe/9NeUdoo/PVpplA0+t7O6ebtwNDSHOn0HKDwWZd+OM qc+U/k1NvyVoAo1CWvqB7WXzDBYqgwqeTWszwl+uUiIXfG9x0LrSmDeB3ynY9dl15Dqfaj/r8j4t qMAPuo8MYLmW1m/dE2WN3f210YWymZQ+46Tn57ujbRyMywKJ0IV/tcGCwh4M4LXLUrUmt4jv+LE+ bQNDSNuHDzEd6Aau6NxmPlzCAtbS98O1Xb+vSpejyfxDWrxSJ4Z7nTvtPJI4OmdtI4TvlDmb6qsE 06lBtXs1THQ4j3ElEHF39lAWsiXz2OpyPtZoiNIqZ6m9k+5r+Ra3Pnntpw35bdCAynKQd10CstwI HKQb/J/J1a85iBA+dsyknwIFfUS60AddiZ64pfg6f1Zuzh5gFWiYStgIdmlQvyaZ5doF+Eg0nVTJ G3jFybyk3GjhTA7xfsoThkavU4tWxtUkfM/A9pinidS/2GNlc6qw7zx1Gv2X68qyT0jS6q4/WiKd IDZNkfL6+PL21w7VRtLQ6Sh6hSukyJEfGlLPnJ3dt6fCljoXYf1XzYryXRxhZr4QGgJm2NdPmtAq zVG1qoVn/LtQvkZCXLWrUA095eYVRKKVe5NUGQApbNgGHec+dGqcDN82EmPIPnUaccLuMah1lLIT pflJE3fLCjSiud9MVwO5JNb0oR0lhtbpuuBrUcmMunPBmRSS3vvnS77vwOR7K6h0O+PX2j6xsu/S f5qqu5DTKoFDe5pfgWcBcRr4sCcZsCrRhLYzQdEcYJT+W1XqTJZwatQEnBuL/LwrALBfCzMb84eS NG+vQR+9xVa2TjTVHC3f1rAOK8o4O1LRpWYiQct/7+GD9wdip9m9Oym7fN1vKXN6YQZNfzwUpCFJ Wp24uOYXbkuPfELS794RyN0SfiiQ3bRFjx1pxQnJRvreV8smkaQ0C3b2xipXKnofYglM+0G02EYA Bz6/lOuFJUCKjmcfQhhm0DzvCbULeQudxEz5uTtcIn6lxlPjhq32Dol+N/rK8zmWDzOZtyQOK5Dp 8GHijalgOs2PquNP3XJ563Pct0SdwSLsO1kUpnyydWmBJ6qy+g+mEfcmVGGTpz+LTpFzf98DnL3W S3rbmcq1nC0NQTCDr+l5aTpEet9/uSxVX39i2GdHmdYrme3e8fgtGMGv0BCubF4KNaDLzjIqIJBj /s1ufKeDYug6PSxpHCXpV5gda8UZGLyBN3NtkXzdzBSNwsCIBRgH5xhaCJeqb+b2OePmV2l0Xoo1 L7l/bKGZWvQAEBxNRmQHfVkAWQX3v/jjivaa5jf4DfIEeGIoZTcxVDqtFwRzlo2pqTiMItqzxBCx nOP1kvQmguUSSWNzkITFI1Sny0gT1S53Lq7eKWlIrXq55PnDXOwca98+1icj33u0oJrfEDlyMCSM oQkFtGWLMzv+AdrH8xlPBDQbynYHhVIi75RPmMgi/BrvX0bHSzFf6ehHGZIt2OaJCQA5fAwUGEYV 6p7iKYfV9vp5jKrTh1pq7HfH4G520AlZdQ9G2sR+AXyoN0W0ig1s+AjPDfg6F6BwBICi3r30mLPP b8TdmCTB/k++wm4GX6XhBC71ArUGPB7VUCxYZg3px1kKVkqRtmsnR5PnRpaYa1r1YDFJ3oGi6D5c wvct0jAT+rYtqAulShpM+u2i0rLYPbloYLdkEVVRTCHCwL9MoaK1aza1WVAbajp240k/nY1U/nm/ lgLC7bcnH5wYwYxBQxjzk5qXNCoa4yISlx35V1iSl6oOPxD9752NpeEEanlnwlvaIcuuN9JpMc/D tuldpbR5XTqqf3J3lQp4KPfvCTqIRnCnpexFutiG49BmZV1JEWzHOaLGksqWPkHPoE78mb9voqdq MOBMtD/a3ZD+C84SJTbsZR+bg2TU78F/y5uu9NWkffHteTLMuYJ+NISiQM4M49pV81ocHxZlhXhu LNS5qk11bkbOWTGc8bcojDU0PZia+ptXHV7qr2vTR+cP5M/G6+7rk3TYbRlZ5lx7CA3ZQZAumEKV r5EjtvrnmhYamEF93KyJECbZT4Sgd39PrN1IgxzkdW9fvx652fnGDaIdaUH5F62+PBFb7wLps4WQ gG94T/hP2GHzbMQFKCtuTEp7ALh1V+VAI+oZqslsogxHQv5eBnieY4JTq12yJiIEYyVAHvcEehwH Z5F++PqwOOCWbpMiW5L2V+RKOT8jqX3nmhb8k1pqEuV/+gCuA3BeK8+QVY0umEFmPWok8PfanCJP ZJm1goxF1fReP13jXwqy1SRwiBmnQ6JVaeibqXr5EYQJQ5HGOFuWlFLHy7DsrLMziiOHeQDBJvxC 33xIt6fIOetU3VB6WirUI7+WQlfxRolzeh5kjM8VfQ8pGVhszJCRe81NjPkC37z37vmK25utPFAa viHK2LymYOARAbo3kKioFGEVO7LvPLXK1EpWEHVXJQH3F21FqlJXhgcbRg/DlZxmujqh5VXebEfq Q+0fzB6H0tXTZaWKWPSvwuCfPO2PrD96Iu90XLKNaF8aTl143y3HH80RmFTJyc/ejzotoRDb23ld 8mXVTikYYbfmYKpKXYigcLeMdysnb44VjlgZH/Lx4r+YOnh42ztvtozfysk4ShdHOPhjJoJUXLAM Cr4RgwhOr5JA2BybbJnHoT5XEX5JGaFwSLFTv4MR3q/uY7CFwuQ79eKNjUtpCyeI1e4+mXhKbRhJ 2IU3gTtVvOf9ubXC5g28XE+vb48bQtUins0pDAH31zZwEHTpk2Ay2WclUj+cYMs+n9z6omJxmbGr 4B916ol8IkJrq8ZpdlWQOnkLzL2enKaCM6zwTI9fPRA9ansPiiqx2Cb4FHi8nTPfXOumxmP+Ogqh bsXJjZ2DEuqIJO02I47gIpwwYGDihlKl3CK2YZHSOf7nlBHZd+glhdZ6kG5HU7kKEkN1JoVOH7h8 EuI9nDrpv2oXsbjWwVLncY/XAASUZPFLX1jQz/S11XfOF+49AxGWnW8YiXPceUgAY5E5pjwa1UW+ d++rjoWigxy4KeP1H4XsM2JTmw71KOh/4YEpnLVct5IMPmDbVOVRZtA31zJCRpHSKgU4I7gFPWg9 dm8KNU1DZ/z8cn010rzjpMpP4BJibw6s/44PRMhCV8Lem68VgLGycgWyET9OI6q4M17zeExKwyrr +dJcnbUR0YkzAgUmuOld3jqfbN6MHslc2kh9T+wN8Eia8JlYy/ELykLP/k1ebnf0WEz2EX9o6JZI 0QhwDArzPnkWYlTBQH/oxjI0EBvdkoVecbLx/u1srBnkLgvpY97Zv9x/FjpxvfXHuBxOyY1vumX9 KT8OqSd+5lGj+fcOkQD5qq4tuTwodt+ePp9UmQE0bCB9E3Vl1YupHOjbFt1sTWMzahl+jzqH3tHM BtkoNCZGUs852L2fQucj7yjF5Hvwax87MDhptrtkjQdpYpp5nhc2Ke23e3hlPqeQNJCq0Mc61Hef vNIKVujDYHnCZqCm3kq6i5aGK+4Vf9WHxmvEaXroRdQ7qPt9KOvHc9HJJnbcz+tz+92ICPNf8rde kiFO6NYpdAbrwV6ueuPKE2hfYMRNu9GyycDEVgGTQGc3JPyRKy2OyTZl7c0XmuioQrEi2qCu/WJe GNOgD1Om0oQVhSobQ+PUKUm5xDZvvydw29K3lJafKdktwRfUmTB5LumwhBIixlBiAU6IqwBjIy9x +LxERCZdJ/CVQev8LKq+fW9jZ7ilnsS5uq6XWGOZo6IQmf0H2XaqBZw+LrY9Oq5s9pT21Z/xScc/ 4p81EsSYlJi9GBy25PzYCvp2Rc/hldybq5XdAnzFsN8uZDpSiHTimJYqNby76BfP2JX9pc0xVt0s 4rwecBApK6MiMu1IFktjEyu8AOOi8g5X3gEot+TMAZZaYL4PkVRkLqA3tHlLvYBJPG5uTLZWzJWb udqwXKoP+ulpZNnb2NOV+z2+FElZ2LP6bPf/MSVjn3hodKYzu8K1oDJkUWBn21wWcIc53U/s/r3H YNFWCb/hkHTUHm35hXNsLx7JeHLFh/7XIfwTZReWsM6LPtBbmpvvhAvGWEj+Bv4NoIDsc2Le8f3U oJqpWeMiziXWT9jmUUee8KzezoOMQj02F/jWMWusRbcNy619mQnvNSv9GjYy9odYubJwz1UQx1RD mfwuuvP7sqMaRixnCLm+477D9K8PHSY6Ndlg11me2HDzHFoEFUlFQKmbYrvvWEPcO/OPSJGWrmGl 2TmXwyCegFaEz75kXlsF+YOqG7+1rzRDaHjQqpXRJKW9HVA80vqSoB6Q4NtXBwUyshqPl2vQTXJ4 Mv2hd6VgL48fm7W+UKvTHZVqtf9nb8AP5AvBIdeevInAJ0fMQWd18XyiU6Us5cIiOTE486P7jYPq R/sw5qclAmZ8om1M+0AYbcRkI2im30BRYS0xWCFhC+ZFePdU/H9sYLQns8RH+MGm2AbtZN5zPAqu JWDsuAdPnbxd0eyby6WmPTdsL6O9ORVGntFuwhyRQttnlat3S/1kZtIv1RBvmW0RUAsZ6pJG2eVP dAl8oVbWSrjuCSSKAUBPlShEv442v8I/NKsIr2e/3KCsjbWv1N3fxcea8CPYiwg/CDZhrHAuiRAm Isp0jugxOxINnLFzaLoAKZA6fA3g/Zitn0g/MJ3KnD/kP4hTP3WA4gCOIO92YTJgBcF2bze4sSJ4 4VgMTzobTAAtS0KyFdU05jMXN3W9KgsRztxEUCtk/V9sKsmwPhMXKeMx3hEgD/ZTw8oc93iRX2hh zd1C6bVxGG5y8PoBpvXvx+T+222ka47y+uJLMpl7UtZ4lvGWINpBB2sRwy3RAKolRPv76v0zWBvA svKMEQVdlSv/EgCbfdk9e3ybTs5hUyzdAju2XZjMKlUz8FjM0Bnnyv1ovfPLBpvZTNuEKyPxPgLs AsRktJoAHcj7lnMKRvRx1wqTjqb3vC72jSDaQHkARa+V2Qfp90JewA/0OjbEyGD9nYRal9OG7Llt csLDV9Be7vzw+XH1pbiA6rxVfWS/oL/OsfcVUcF9JZSgLY+8+MNGH5qDYAN4vudcHjuLNlzVLqUf t5GbZOLRnOTEdNrkFdIzEYhdSRL/H0XJ8KHdCctHVk9ajo2+ZzDq0RnXnHXllt3r/HYypP756sRn WMuGcRtpjZW2Z3XMNdynDwkWAxGCYqPj5y2KNUSo8ANB/vfNyAHKly62/ZHQ+f/rKR9S3MDZE12n VqeEUafMJc6pdkmFbsr4aZRaWmT2rccYidtETV4hdX64shda3Jpjsld2+DvLMk7mh/o9ljOCzssd PXHBI5nkEbW/k8VN/7vAWOtJILqO7UfefNcnJ2/dcRii7Tmx/SR2j8uc3aBOltPyQknSCY2DsTYu Mhgqpj9SckN1zU+3HNPuNvEUBR53WWxkORm1AUsHRZvRdsuVYLOCZRa+B0JJOIEVAzUGqNuPqpYn XWqJtFGUAatQteTqcPZBTtQL/ppoaT6PQ9YAkbbTPQu/PMLQLcJpovxkUy634DwDhYvQfhPHAPmK d4oFAK4H3e1NGnTnhCq8vz3rYR3tuUC1HUErQchS6pFi8RJsQfCC7BbcXeBDJ/w3KcyEl0+6ehl4 NzBbAO6Pn89thfUmA4tdS11yUo5kD24zf5cFZTGEC2h/YxjumvXsU5whqJS9BL7Xdln0VCpY+sg6 R+xt5+bzidsXSp0uMCTB+CMexrCMWd+pkuWRYk5IVMlUf3qjv8WtxxlRfLld4pleyuH2CDEfCMCS VpsITRZPuzKKV5dc3v1J+4Pm1oxM7+hqel6Zuwhg4R2VGiyylHaiywNbLctLyCq5rfZb+DIkBV0q ZmEpZMCL5EzG97A56ytVHQulgusZ2F8qzPJx6a5+fz77Yaq33kJcqNnJDQsm5t40RXp/8VHZYACc cCdSduXc3rgae5OaxSKzwsUmwpAWJLB+A09spZgFbadSlOGRyw7xKUvxa7TvwDAZ0ed/MbsDHA4J DVZEEqJ60D8Q0A+NRzZvbUKSIc5LeTLWCLiUyiJIQNek6nAmy8gF8mtppKzI+IxNYEi7A1pCBCxk f8uEP3FB3MLYgvu1dVZmwsSC67zxMTJ52qnpUAWIwToDT5DhKY9DgComdWo9Hdi9i+oyMi7IB5VU lZtZFAcNAE+zGSuKs7GGJHZVGzNZmfNRSdJCfiWVzvzfSofxu8lZ2QP4vXrH+T96fTSycdRzoAUs lf26VXfVUrhMxbqVGSQV2hmnjtcZPOad2LDke6wzO9fxovVr/rpKK4llACaPfnsr6mtPL/1y1mjy doO6EjyzHFjIyILvGbNjuFXd2DhbDgQd4cddsTcnWpruiOsjpYDEZ4CwVAQwM5UBHNb+Ro+TDEtt VesDa1/6vvHrajQZfmH51+WJvm8T1aZLJgnqQ6s4jwUA9bQPpoJHThKFRYihSnBJinBAi/DEQInh Xvav4DYaaH2AQN4ubkU0NVT0PNbormr4o5tV7lKJXFLs6DNmiXurHYmU6Q47PEKV537beLIgj850 PneyzyzUeUsIQai19YLoEG2n5hUU4sus1bLRVRNN833xNvb7PRaxTvrQLNaaFw10yS3tsL+1lBDM fQwP2Gbzv75YhQyRt719g1mOH4TGtqhQL/+tYC2bKyj/SbW6L3v3vv4EjSEE5hu4Igaia6+T9Bzr G36e5SAlKuy3L3AJ0vy4cQh5c0huZlZLopC6P2k1zWFfdFVAEnX/ygzLCn4fScR5nXVDtL4lUM8A Qg3gzu2gK5Yj3toggTJGHYcInnSD44NkhuMWsDZE1YEfKlPxdbGhBnOGl4q0nyuhyJcym5cV7biL b9X2fqvjt5x9pxJkHpETY01hzoNs6mpFgyN45H7W1ixzTR/9NI/axPy82X1s1cBCrbaM+0PwQTc2 LGKDr6JJClDp/bESjfRUqDvgRHCsCT5La1KWwFy6yEYLyE1G55+vtLVKyHP4P8jkibr5xkRgqqwy ONEz4YPwb7/jY2+rh7PjeEjKiAcfOr8mbjyClhH2hPA0HFP2IKuOyGxeCcjdsWwO+hxxgJPAAbRk k++V3gY+eKboKpK8z1PpTjHnwSfL3eKYUjsNoOPzfsN0qhHlQwDV72t2dni8MYDTHjl4HpEy5JtF QTRCdBWZqz4BcTV1V+ogR8QY6xUcXC4jdA9mtcAS2eryaX2tinKShK0eRSjTkWHKHhl3LISd7hgu rot784X3xazHlpS4IOCyizMHWP29p5K0qqmV5uwI9v1PY1uEqvAu0+RqwxrqXUY+wOL+5d70kCvB JfubX+b/CqzZazcrpc7yaRsQLzH2JDTXCbzqZ2FxMzlxrRRlnaHxVss4PCzaRdM4QDej2XoZ/fAE jKS9RqHaciBKFT5Ez1VJvyqTHF0kkDeOCmCjEbc82dGqRQiyTHAMn3/GaP8iOasd8hU1kU0BoPKF cDsx6ax/QtJIV4k/0/NViy+WL9JzeNoc7Y7ARH9YlITbyNWTVkzxacRsd/MmEDzKQTJozmRvHDTM y45qbk1REx8k94LqO54qcTkPFKN2+DdvFGAyXD07vR8J0E0bYG3/9uRCaCzGXLHFSmGvz0lJbznW m/d+8TfwqxAPw6llwvRmLo9I52RlIulNVgAvH06TzzRjYLXXBfRa+iTuWcC/qi4MKVbqKe+HU27e zRWZ4jY8IqGgDVqz3zKAudf/uj+Jdz7Nc4IiySL+imP5Zv7yzKAP2edfd+nDmHDFWAuonlewsdAf 3sVywid1MGvQjyTsZHHT+nxjTSUbZnHSy1rHJ/tkBhDYx3Mn3aHHhf7FUd23Fc0jff1/V+dtBlzo lBLTEzUAbZBrnP206sp7N40iOxO453kGbQmj/i+PnZzrVYtnYA9QdUbFYuARZaZYymtbuR0DYUqD iBOfx5bNexi5wBH9Qu6QifjneEI7RgseX/bmdp/l4SXzGqJiKFYwNrK5OwAKk9Qh5pU/n59ALgCH 4avAqMcfx9DjgUux7w+ka89BlljA0DTDK15ZOTjKXI75AG/23bYhdrHDqdPuo3OC0wR/mPlWL4zd RA7r5kXZAIw/mhceg3RslIuc4W1Y04tw1BmRYsFpxqHGDsBoealw6JAjetD2oBAbRyeGAzs+qjnu heqBr9dBvlseAdmibuiHS2DlEZehp4LEwnTzTDQF/SBT10yg6dJ2AXi6gUVklmoDLTWc1mgwbK6x ktpB+LuQAPeC0FZ0b5ntrfkl2c5JuSqboZ4c9iUkDIxjsKhHYSXSEUYQTaHbXEKPUkszpUP7ivGJ r4iOXR1DHD4zuD+RUbwKROlS9VHTS3lFkX9JB2jRZusK8ZHFFnteN+mmTbRHdhdpBARsVewu+f6N FyiBFHL8ebv9zep8WXfZRSRKSI1nCBFnuZESDlzzDzkzla9ahXi1mu+0RTVyXkXUq6kXWREg4vWm J+qtoSwom6O9snm+6jnV4Ai3JQ/sBgmloZPYgWH2NDheokcJIetRTXrxuibiJolnFD5JOKfXQm76 6qQ0Lz2oZOx+yon2KKKTlRmQ13nW3Z3bXrgoONvu8/yMgECt0fdgIL92qYDez/2rm2pkpIMXiMaV waSUtJ3HT0E/lfC8yqHTX9u/VMMwkHNnvKcaKP+nkG2Ryj8LR0FuMzz532qSuaiZou+4GwWQpFOb f6KFlwEiOsrEmqB3OQir2FGecyoivhLleKD7awpTEm5PrpqMw+eHrirWnUMBXds5Ee828vz4Gx2n khNGBQGMlqjphcrZoHk7u/80mN21g7XiNQUy8R8PVdBrh3UYgbRwhusyf2KLaka9L+huGMTWR5WQ CCycjLRBPRU4cNXoKAd3SkFNQsKM0uE4yJ7kpOArIitC4gTz8l3fSFXII6+36dWg3zHRw9XXXz4N 6P2aavv7ZPpL+JxP5Rm+72iAuCAolwzapC4w5zjCugjMEV49vs+ThyuqCiUDOimW8tFvtOUW11Zh SILL4cHxefRloGs7EFDS1r3wOygJK8bpFa5noRxjJAENgtyU71Lhc0wgZD9WcvMXNp5jvQIlIWmV 0Grx2w8xcJNbbHMUso6iUekI+WmGwrCSTNnCnrKqH0VqwNI5aq+YRjTAlVlgtaNJqARlN3pCZKS2 L0hkCHNzNEoa3sr7Ehyja429p+kLcB1zKgvirs49ojbnUkF+S63CGHazU7L4nu05Spz86JyljXH0 BsXMRHQyi4CIrQJIfkRPM3phHfwxtxNPeWCOhM8lELAf7ClpYR6N96P+6pA/Gwt6iV4QikFiTmu+ 7DFcEVR7jz4fqVzl9wJXqqOLxJXjxJRUpkWBfw8aH+byTo5gfR9lvMqtSASZTYv3Dkom61yuPOFT m6lzW5I9ESv6hAwGM+BmQGsHwZwJDHPEvAaU3erorgSdH9uYqP6smNh1iHTSElGeMV8HQypWU3K3 S/H0GEZEs9wYs7Wj3wioSsxJ0dPDX1fMTBspzYnL036xpGcV5Y24IhWcAhhuuZc+wOUXqchmQONt wWP5zJaoolVbn5Huc9/k/wPuiTGrDBOfyc4fl2VdY5bl5t7peYcrdBW0q2DCWSpCdclZTbCZCmdv /hKv0vs2UTBW6YHRhBPSErumwExahUuVXKcTyM9rqcFmTphwF2D8QTWkQlG+do71otawhd9sGDpQ QF9yg6gTct87WYDk1g5DD4cHNjCtlzCcZVONes1L4ZJuYn3caN8K/sKlg3kddWfOX3IbBaVmI+7d 7eldlSezXycmUhfBK/5eeGeP76/sXr+JmgDlmO2McHBPTfF/LkDYGf9NzAmlmnvWWX2O5H+ljcth 5p1h4IQ4jD9aK10MyZlZk42Cn/hvToh6Cl6P+NWxBXNgE+76ezptNxDJShq8Btl1a7LBTFdPi3ZO UId9z69h7jYrFTw+7CMSkglV6xJCSjlCZ/VMHKjVoHN2SIpkiD7Op1v+weC9gISS5x32v7gF4DND s1Rgf9W07h2WQ04uL1tW9vCA9yKhomoDleurivBF0ATCd5ZeArhJ5ZYegvcdapzafj3/iZzEvGfi qN6u8s6r4SMtkCL+lF1iElmNN8iD2/EXrl/e7CxrK1sj/mR0ym22BjvgxTZKIXkYePzFjav22YC/ JlhUPQPse4Icu8wlD9jSU9y8/cvpN26s6BGcyXCoEx1DZtPH9lgjto7vdSxQrKFywY7H8L0Ob5o0 di5ymYGxuRPBbYiV+/Sr5vMVq1pvtX4jEnfZca4Y73FdJavt4QWBaX6/Hnz+0ZMLh2EjKq0E7hTW dYG46Oq0Wr04Kh76sVHhBI3FbJJtCYhIuVEKNg+eOO9Bma1QmisjGmThCP2UkV6ze7d+h5eLz2Mq iFIw2xfdNd1kzWM2rGag3lAGMDTpwJXLI5gl9+3T4ZKLs9973hAcenjEucsbIhO7NRlB8x7wqoXp zQSkzPKp+N3bfeVh7ONvbwJNlQpD3P4KhFEBaF9netNrmgPozUb1MWetgV1NGfytgD267tJpnN+K KRIN+XGg7xCcBD0IBo/vI/rRGIoULm/4DmlfTB2anEi9UX4cqo644QMGCPV+NKo+2FTXYSkhRIbx XheF/2UbFa4KeuqKWDryyghQ0qr14XdUHu4Vf/+CcF+OPrPyxVg/35C1o2ufBy6YoZUneEkwOtX0 bHIAtjnE+1/NUt1EtpR9Z+IZ7tKjIcHoQiNmNlyrsjZw8Yay7CFYaNCgNoi/sr8eUbYsi+TUXXgi 3YfwGvR+vfYvdLimDGqma7RilUvDVkJ46lRMKUcaxSzCvGmmZMRNYVzKInkoKz2DyiqJKOEJni0S We09CUWXZ/kmHPWP9LzbMTw1VQRjcjDq8hc0Pk3ci1dVSVq0NFTcPRe5j4qROspvmWcEuOjqyY3z 6lwqV0yW2KHCwrmJpdB2EiBarywcEbp/Pg8XkFg9bnRbXjETwiaMZP+BWWcemBs6S9UbORMeYKcI JuwkEVdrpQ7vp50HjeiiyYLMDPP7rfKBT1sroZhhT7WzUDyEKc2VgqQ+sg07a61jQLICuXYSVMIT rgeHgP1qMT1oD8vRYvtVZl3LXuqHN5DD4Ro71djoA6njCTegM5cSXm2HkHSPw50s1CjsnhAAJ+r4 uN/PCJ6FHeVgEq85846Ubo9n48qHZGaAK6aUQU6S5li8bbPbwZpPaCC0zsVVp96YNouhIbjwfkzU UpwH/dnrhm7CryPX+ZWfAXoQeXB397aLj2v5Q9C/8gxHdHT0H+VcUwAMi096WqVD3iZc9EchSAae KUUGxpo6pTiUWtK4PgH1m7KYwL7Lo8k/VvQZqN/8EihU97kFM2cYw3TnomXH4YRWTRM2Fvg6xIH5 GTvcYAJxhGNsL3tkpmG+THNpQr7TKl6On6oAn4RUVos8O0utITe+pTQ6cljqrQKJjoexN/4EMBFn huhLmIlXywAL23BsSaIRzz56ESg2CPxorO689Jtqxv03sjPxj6crnCVD9XeTdEiFmTeMQ5fbjzst q06MLUMolcEchJodR1ULl48mG98Im2Q4YVKUmwn7TxtZNuDGoQGuBTKsZbWKlzZYYLLzf3mmbPx3 EdYJkYlicEhhs2mLTNmdjtgwfRs0RlM+G+swlLOmHmt1zJVETj2AcQchtlye7eptSVEJpGfXWvS6 cY9e9n4VzsqaGZaifO6PUT8MP1D7i/0dQRD4Ot90yAqgXeQS7p9dApINnrtO0Ooo+/TTdT0ZuhwS W5XFcPhNsHzwe4euV6x1JQoe7P/IksutqXRbklSj5m8UfDxIpUNJ2SHgZL6Q7PFiu6Qdyi/o2Kvh uTeJ9pR5vj8zMbYzTt+AhS8bFIh8EELIqQ5yj/LChcbNBaFgqWRToj1z2wnRV4WhgqmAy3pNpb/g bPvLKTxiWd6iRQhYcsuVR94/5CnexaIv9yCVTszq/drFqG8BM02+C75IYZSl0o7br8+rhDHUC37c qrZXGe7sNc1jTG64bWgCAwAltDF92kNXcztd9sGbb/YNbMVKZjSPwGXRvxJ4pDauqSBGnNFoiwjr FULgcGpj0SLpX8tivyXYiqiBGpMaKl3wrV8EqugUcQmloDVKMeOtPHLpBDfZJH4BoipOjIimjYYL iFIGg4W0M6wP9UZMQIu+yNjqpgfZsfHOsFAwTbGLwGxlI5VrdGLCy34Vgj8SDQSKAIsCFD5fKTb4 MjvAzZ1xI5f8rqM50T0izkx5tYSbLCxiCQ34mxbHMp4kAkpzOLpkSPb1er3Co/9z9e26MLYjiNDc neOdYUdZa7JmZ0NOY97y0W3Ulvve4eNFZCG4aCGgFfzmpyasbmF7x0BeM6VgQt6MvBpzFB3XSJ2h WTNMXATXMgJHuifIjCbaSEGdsI8h5P2CpRgPv9MPJOhkx6eYqdRBP8NaSE4oqpUTtMZ//1EqLJC8 DBoJ0gctrFBKMvmfrUWUA3k8wlTtsNczPXnmLNLHRFX8QYDNotx8m7SEZqBnNgXD/1W0YI+BbUOM oKoWzGZAslFb913ik4FMxXDCbEfvTfunuiOsyFYKDoVTvTluO6A7RX28+/49karmtKc+ZJyrauOM gZ5H9cOLr1rCEouTcZa3P7DeivnBWd3JbZRWCO7sVihle7S/W/u/itm8/XGk0MRYSenwct335ceA Q6qKROQ1h09wwLvOT/P3u6hsjaXwpdcHP/8IB9irTP7tbNiPXGPCKSxo2tNaL4mNZobYdEubOt2d Ju70ku0KPkEOCeyftoGw0MIBN0/dCbmJ6scwzWDpVfNqheR/92lkZHQ9Z3TmIoBqC61S2xrqnWiF GziqJsaIMuVKf48orOlLQsWt9e9/k1kJkDMnvC9NiXDj2tF3xq/ThdbaYlPZpRdMoZEr5sFy5VF3 CfpYpRovdOtBWJKNu58SHLDT/E88XGk1aYzpbvaVaxaniO2Dnd+O4y+cXyapZZScFRzzg/pr8qvf M93FJHiLi1WIkotTZ00m9JS3+DDtQSpIvPDS81cGUrMW79vYsZaCPO9qd4a0fK4sKua/uNr1K4LY YmPRyhRRZWd6WJ50IvtbXRaRzGlTY56V7oeG0ZVDuAcqOYNAe0iNJF7yLtU421DljFyREQ+pU868 ty3PQKL76PvioM3uaUnYMpnvPMBnP71FQINuaNTmHkKd5hroFZZJbb4VQxSLU2Le2k4JcWQCNFJJ 4LjWl28j1rOSCzWkGIzPdM8AOihQKHvPYI+0A2RpH6hUKdkJtfHEhnEyg2UTuVfI8YTcHKVRIWLp H56RI/UgSCQMU2y+ikQdFItnlFvQgLi5lttMTXEtl0E2/PieT5JhLUD1SAJyiN3/pDXYsMDsYX6a 6UTgSdBNjMrsHtz3ptaP+1uvwMoMhumJMWgOtVkHSh4aeYCkg97Yte/3T3HxMqxqtUDY1N9qbmE+ YIPDDa6hqPM5Dg9TcD5bAhD+RzXLKaYROs+4RzdRci24kAqIYh5eLolD7eZwTSCQLnvgAaFTk3Ku L3RQYGw1tTD70+bCkNTKN3ZDUExoqj8XIrEVYDWlDNjdYinY7Iz/gPTius4hJ4kqlgWejM6+0Iqb gvS6PJkly385qjEereKezMT6imMxf24JcxV2x24LjLpR2vn8bhjdOCAyXIfCGb4SgXEALp/k0vEV uXwHVyFCfAUFt9jm2l/aac8kpMU8nvh8Uip1csyOprssqrk9HrkXfvb4dSdG2CciCjtyw86Or+Io ALhUWkO9gasQZ3M0BpP0CNB4DOF7f8jJDO0T9eQ89mrVO6aDrIOzqyMjg5XJWGs3uWCgcNcD8I+p BhhVVbfUE5Ik2UsXbTi1ldvVWT1MYOO0CpRu7K/9dYjyxMch2FUHZ2JooXmqWJPJ2RZLtJNFpaW7 lQvIsPh3m4ijXEn+2cmm0MdP8DvZ4b/hN7STM0BrxZUnPp9IN+S55GEhftYzv6mOFGOSrjKboHsm zcwWVOT4dFrXs5Xekd+iwrnfMxF2pHKviCoAQSYje/9ym5xJdbrc+IdY+oKYkqAoTQfzjSaS5isa AQJR6qpARdxyex6dYkpD+tkLDoOEbFAQotEMvr8AGCq8NaPO0Y9cQJdlLFfD2QRvwhiObIy5Mmxt uVFDSHZRdwQOCfpn5GzlcU415VAButlWdIBuWyXMJvhmJiSZmAs+NoqoPrs+hfdn05FGM2yMv0ew gd77BTLmGc9YcBAFk5npD0+Vp/k/TiD8qIHfr1ErPvXWBN/d7eV/geNHKmSXOdNQedmO2wMhIN2K UlayBjcQQ+1wfgg0IDWIgZRq7bmXxCiC17l6yjj5W/+PVXoW5IySC2Ehg6dpIWy4SlhrZoWgckcf 6irUJSE68WSIVXinmzuSpZy/0NpJ26NgG57/fQ73ny5+tpLjtIv1IqTmBIlzFdjtSLg2liQ3/ikH u1VmGzn8jE7ugdQP/ePVK/6fwMl3e8uYOxYOa4YRISr/K8nlAk+KfanDp2SDjiwt3oqNhVldcHfP CwbbRvTdOnXZCWaXhRVR9+yEHXINvExEZ6G0qgutCnBvZgAfROdKsG5hLkKtSA7iEG+40pqjZ6Lv NT1HsV/RyojSkIJV7QQTHSTJWR8+KqdvdC8HLEQxLYnV5p27GE/6uboVhzBktkaKqoB23haAoCRg x22bTP9/QGc+Ffbsv8HfQiIS9biXwM6/uibEgsFqzmlm/vPakrubjBOw7GqJwlhKyUvLfshUS9x4 E/bwh3q11vF2bi49YfgmG6HHbk1TvXRGrfNSNBz39UyEwOgAOoISY7mBaaPmhxCRG5D9IttePal9 r+N92ERG3hp5On+9YURSle+/oCcuNhRGicTpedAEoVOTdETbGFweUcGg2Scvd3mOUTYdX4bPHnFU HoAoXikKrP+1jKiU/fvZ2sL3oTvGPxDlSbk+FzcaUNBoVUlX3FtOCfBhL/4zXkTBR+orWPYFjNJn NHoX6Iz6A9rEsFPiC7465miBf9Re2HtNsf6sVyrFebr6HlWe1GkbZBF8r7XK2ZaXAUFfEd20y0zX tIf7/epMqgyOopqFg+eIuxib8QYtGPrrKluZ8/AWVqIL7Hl73A4rMVge4TtMMsqlB6KYTNTJmbpc VguuUrqk599l+PvSHh40xH1ahzmSdZME2+TFrMLPGRJawt+TQKWGH2Ff/ysu9bq7Pzb5kDh/BegK XUmmeZyS/vjg2AueLAYmtIfeBX+eMNcURMJjaD3c3krCeYwH3xbl+VVEnYcwfrZPWp8QTB3o1N4M THSZOENr3NPQvH87Yb/KxDch8HTeZ3JTUuWMLRExCHaEi18sy9ic3FoO3j7WwVg5Sv9+RRUk8CJH b6mlvQMgZyQ9rvOVfyMbJIxBAsvM/xd5ooP7GxR65sJIFpAQtoX+ZdQxBiSSumgmKsUWLdvrZrDV PVB+YcfPuta2yYo3luFXYVnIG38J0OVFYEuRXjfnS492cmZtZocFMZWvsCWthgGw7PyW0L4mKpVE CW4IBSLLbfanuh9oi2bTID1J7OCmpBOty3b+xPVE6ZQn2jbBBXsq54RgYgwOpbICYHH/lUZEvtxV jt07lL66RtoiysrPHTo+zrt1y213LShuXogiwaGxzfIj+T3DrXlkbfIkrIGhDAvESvkchWDJR68Q Ri9oYWOukEB2kLIDpOzERh08iJeEqB+jcG1yE/YhOeExb2zJvxE0IkA2r1s2ybj1dekF9v+pnR2J SDZnLX61Pu+8Brlrq1Zg3ErTqYjYvMq2o8SEwFdeBo7MSqfKdxSN5RY3lpVLHGJdk8HgNtwTpJYM kbSuiYHR5HIZ3XAXAIy20Pl82jRCW/VESze556Xxab9KTkJ8ys35Ra805/+FmhRFDOmM58uiudj5 48CvGRMYCtfj/0Mi3N5I3/SKjbW7jRUmVT82u9+eqVOKK0TEeR7eYJ07B9ed7CiULCdda5Qyuxvw FhZ9SsAFM66JzZDR0Tc8ai5RyMEWZI722ZfFsFQ7FlA0O2euZPqduv67s910H6Uzv0K38N84enPH VtraXe9TLa/SbHQank/QZOaoHcEYEwpKcEuD0WKeamyKy9FIw6Tksvd5XIuoea8Fhl+Q251llMOh jQwVMD+z8kqtiSgw26KEOynpBlEyxMnVuiv1yK/bzZaeYrA2L8dCwnN3YGWrcfmefwxChIVoAIwp CwRDrEwnMQq5Mp9pXwOajR9nXdbOQW4qy9vWHHOqwlVUbk5RLhgkGdDvRGg/K1wPcarPl/Z3x4Qw 0R7UxKtX1egCJhwn6Wt+Pz8ncCTdS9+sKb1JkocSNC/TY+gnI1/Dv4BjOqIJgYQHBcjAllcJc1Ft J//gp9nDqPRCQNe9ad0Y9BVW2frGZTUn1dTBnNJQ9gAqzI6Wp5q3FTTv+gBccK+gi44N+98W+E+c pExZt1xEJjPtJgQYhpBs3QEW0j+sb91FOF4w5+ZMn5sdlfyFqvGvBI/91FCWc3V6g8eDJUxov7RF sq7QlIjJpBZ+CTwnnk8zc9jRlFNahUabzlF32GZnyKU4G+03kj3CE4+cMFOf6+JclGRp4fRnb2Oh WcT6MSvOOFmiFBf8h6HVXKDiAwFReWIk7InnG+uZ2L31mgs8gUinENd4PynowoqTYvS0PjAIyB8j jUyvab+J1nDrmWyL/gmm2HNxiY/R2kAp9yl07PqSxFcBJFtA4GVQAFcDMJrPPNfGEwJeiWx37sfa 4tfsqk/ducSq8cOpgI0zjasqCXy2Roecji1PO4DFikDJCEbHUzagZD23TYaPDx/fvaU/voxHOtA6 IJIKEX5ODchGgGustJjuXuXR17CIBzYXkZNjJEraWnWpcZNfHLzxQ92OpNLivkxBzWK82g52tvc4 X1Tjeclr+0foW8uksebPTpJ/DKLkyvTLb+I46o4yAkdzsgr+pE11pIZV/c6kkkL/NpfahEidB6dB GngsJnHRHjf54xaES2yiR1mMh1PpVEBDScAgMzuDrpKLAZJpzJw2e8B1qqume1hTJczGQuFRo8G4 V5pGCaZVBppGFH6wS8jWlNfL4emQ+aBa17mHdM21rWEEZuZakzleD4iVjXVRWjR2fpQemirjASsH HeKypejrY9zWcD9p4f9RCFNVnTOru9GmYveBh4MpT4pcFtq1MQwOpAsiAIapMV2rF7rN9wqccHBv 8kI6Fex8D3W+YEvQ3gXwFmDu+F0WkYhPm7zyg+wSSaW7M37eRi1ElZNyXyzM9w6iYbX3V3bOrO2p w//bYwlCozh5FFZShFjknVEGSrflXwEiQ4BVOdK+P7pzvTd0XtDS063bDToK7qMmhtV6Xhw7szcY 8+dIttXurXb1+681NPoXFS31pInKt8xtoO/73UxvZnBmYEy5m63f4IH/wrmbGW6xAkZGKFZpGkm8 Ng63iOdauCCEvStJ6ItYjt9qnbA6sCcOqHfGNVmijdfTy45jDTCe7Jt3vBKXeURtHPAa/4UaIG9+ bDBNS6+Uz5LtQhkZLfa+JmaPZkl3D3PIB41M3YKVZ5p6vhsaj7X8373uMsIQjzDVdeSlE4N0x/13 904/d1eXrveyx6RsOm1CIZjKGRc+vmRK5mUG3bNiv5QOV3UuGWpCXJE5jsS78Xm8yEhYoxKmu6Qn dh5D5DomP8BEMrBRd3YibqfWMe4WSZYcXUEH7FNRwUA8lUCMBGfhfvkkhXOslnVln9+KQMMa+XuW dVF3mcAbas091LScI0a4n9Kn6cClw7BZThoMvQC7bDEBfS8PPMaEunXITRjYVXzI/oI5NfHAelK4 vx1N30F6SldnEpL+h7B0DrsNhITB9PfI8/n0LghNrFDjEG/03YCkf8MYHJafQU4QdPqX9QfsOg+0 pe7C97w54s60pIp2+6WRnK9GGGGtDDelNUlKHqI5YMCcqYnuM8O3pIGR3BDVImhRVUPVbmTDn5D/ fBRHY2JrTymL3/RfXDFKp9SGqrx5OCgsgszvJNlYy8kJPZzsDquh65Tb8PST4zDCFG8CPEDuhkPQ om5zR+kJtxa57s3vuAGo21Ky2vrMiND880LyUc6T2n2j/Eq7J9+qj6yvXKoDS3zeRhTCjT9FTeMp EoQ4e0m285rWUaAoS/SBHJl7A6p1DTl1MrixeoqANCPEuvwwaI5cLPSBm3ahXnjbj2HQLxVjWujT XaogrDlsWH7fxcUr0ye1qHcfePhJKymInx0UAodpw5fvME29OLgO3qeAsY7xLmvVbe8dSb/NLPRZ Ut07fwW5tKql/VCi/GX8uDdJsXEn1grGfD7hB/4jN5w+f7hX+szBnf4wnTHTGk/+eyc3+g2ZzaCk szmfA3Tyfb8doQh9BI3PRiooAtTLkuvBelhD9TDXoLBXy8463Ov+I8PW928Wt1HOc9Z4Q/gx0yf5 gBhbyHMpic7VvvG0MOrsUZWdX7uSyU/mr0NVP8VRdDrA7uN4ThLVu/Fg9ezE/P9pRJvwfMHlQGtW YyUdjsLjIqi4zSniCiE0Y9RWx4WnLnOwW1rK1mhcaShaD7zoIxuaVYaUDua52qinPBr3E5S6yLDs zPDZ7R6oeQm8T0jK2FK6+vvIc7zNv9jZSb8fZBuXoqKU7KrFRz4LiFaY8VVcFgmG+dsK+Q0aka9A Gpt0WCM9eSfHn5IhMw6bVKSwe3wDbDMElxiqZcx5s2en4AARjoBhft4UJt/Z/MtKtVQK7NgeUJa7 2AgqHh12LPkncYjS4oFl5RWDYPH5G7VBnvC1kqML5c//Dar10GBFi4MJhVSvjbnYWeng2gW5Y8Tj y5oS+/N4x3uFFtPxWaO/1h7q4F8eNsXKdnKDyrGmdOQ8pzFKv3T88ETYdKR5H6tUHqodcGgaWJ5m p7ORXPWq1kYWD6BOqhGCnWO1F/WOijIhpnaQojmoIOecxmR65xJyHwqNNLLjxdCRrmLrOrmShroQ g6c0VdWNsi8XRk0a98xV62Tm0cgwIohDOEU3gEBO5UqYKqqkMxqKZMrq/hBHKm1SlwbFGyL3ECf9 dyV1jN4SeH/0PxUL241MYcHXQRZmwMfcsXz5yY3blGeJF1Air2q+V3G7kksUTMkm8F1CdrNFBqYB suDsjPxKznb5zI+M/ai0qIlyD8c+XoD5BsCq4+Q9uc8WMl5wAjU3c37nDgLIpc+Ai4QGnejgEvRw EALupStl9FW7u3Z3amIjOJAsJ6Ys6GjTxtrRJ430chN70EY76/BrpHIweCre2paHd3SY8EXvnNyE cqqhNh3B+5fQG18SgROzUCw+wTYIouvQzWq8USAuAudY5XUQKJVo2CIWypnbMgET4wNMnRRcex34 VRqALp8Iy/lWCz+K+R5M6Pcarf9Efx/xAQxRCMhfE87mNG5jEgSjPljpqRg77F5dRk7Eri/YfdEK sw0rSjlFGe99f7qrbh3AWvFvFWLiSL0PAZefYdWOFP42eZvxYxpwTZVMfH5uZvyZgRrza1r0lhC4 5k2IDrjlbxq2RlhtQcOBfD0tYNoyzyLfxQVCUenXmcqAPMR8zuN+nWwMJdO1902aUoOkEVrAO7ub rwDewOF9CFyxpquphHOXPfLhkSmVLZBxJOFH/FW0I+GJf/iEkJsILmRl+Lw0NQCeNDrMbp+0L/0O Qo5o+nnkTiCbene3eW7OrR9MecGLCjCjaxkUrbrb1uugDANgwU6qvZk48cdXtF6H9BRySoJ1GJ7e LXsjRaPgdWaZ7uyYXz0p+6Zl9vRhmFCQti15LEfi23mSrTjFoEXqEZqKvFPBTF9Wm+RrXKsRYWVA C1jebtDLuvGoPDayTMnamF5Ll0uMzgK23XEuWERNzZOkH8Eu1X8SQ5m2ZMrquww6iUG9AbTV6pqo 69ccmOTOXT0D0X0/hMT7Yl7jHVm2/tEYOBN4Zekk21L4075ooWeP1ZWz9J7rcKd3d5uPPR/f0iaU XY54hQ8EnwD+xqmQROYDqi7882lwlsISsB3QONcFYk5UEIpVusKlNqLF3Yz6PXsoq1OjU0t1jjPI qvEr1MlKXyB+hhP8+3Uu822ftuN2sdTycxuFyci7rd7mzBdfB/HF5ZOxaubOhl/TsL6biVQZgXeX tcThZo+Gx+SCPPl7alnSV2fmFiiS8FF6lEC+Y/u4pjCjuiaR++lUDP/U84cNZ7Kvi2TWi6dCD4Db pzYRxY2fF/JSzMRVR2NoGXilZCTn/47iXAkzeTyp7446T9f8rge+U5QrKpxAc7aSUq4iZGJDDCeG Dk2krT3kofmKTorYjPhMz/+MJ69iKlU1HzkmKptTiUBnXBT9rvZ/X7FIX9Tp1WNB3pkd/78bGi0R iNxi9tjIBKxg5N+DA3s+qsOZSG2hTkLhLrQ0B818Au0NFVADS68KNO7NDrr1h4Wx8wwW+tEFQs4L CtvUF+/GaCB8lZtl7M5LE775YGEA9i5uw0QM13+oC6nAPrGQXAzcmLGMyir4fU519s5Awz8uCzvZ cgxLHnSpNpr7FI9FhtSq5K5/udBlce1k8alR51uhBnCBzBNJNrP9U5zlSYA8QI+8v0+91vhVFXpS XTbUS14eynDelR23oJ0PquaE2YjKBSadZYcIUHiV9Fm5JB3NoIWkgYoQ3ehQFaUvfAOJvWW9ehj1 UHC3l7HyqDppKjs+PGUDx3vyA0kIIjViSXwOWtvJtPTIeTRycLp3JsnKfbrPq2fqgoImVqFpZrJE 8hC73sO+G0EBye6PUr8vJerOvsJyTp09+6/gJpp/CNc+q3eqnxy+U/MbNEKTxCIUDujRu4CKhdy+ SNU9Eczk1S++KlYTYWFmVN7iZVPJipOvYrWmqxk7Zk2+Trh9NPVYkiQZnF7UtqvcFup4RZVWh3oC nX+YKC76SwRTHh9an1nfhzgzPm3hD3DQXg19ETEt5AVe61LB7vDLFbpfrdAo7dYn+XVrIqEmnq3/ FtDY5i+lNrwkwPnpsrNFU7KlnloFtSvETO04S0iKxiwW/I5ljvRMQeVEyY16cJoV3wJCSeSgu+BR oErzU65XUt2awEEA0aZURCmJV7s+EhlGvMVKqtGPjV317gtzCwfRPlVb5j+1EGNuFbZnFuM2K2O2 VVsab3E1sxqssZ3ED2alb7UT3eoiBkJMyLwVe1Uz9YpZHztrrMBGCmJrVPYGhIqOYG5GUYyFmzVN MicsVOqiiNaq4bCH1L4Ws0XsM29zy5e0ICDrBCZsKlnpmVTlkuB+z4y3j+ozDOB14n/gjR/9zL1D tJAU7TcqJ+PU9ZpQtltIxo1t3p80WvMCiFL2GHzvgutn9+Ddsz/FtJtAUOfgUwJc5p3VSGUyhTVX yz146QVYr2gHaN1B2D3lr4GduqQsUBqs0ZwYkjWbzS+qbe+Jk/+l185bpCCRLm4acq51hcuEfjxc aE3Shbk1uerb2qN4NOXc1qzbW6hHv9Z/6TPb8p09BVEjfUGn0wZCjh+aklofEDZOrqA12yJ84h2y Wn8nF8MbI3WBi+9zUof1Po7iw7Zhk5uryUQdkKaLXuuaStSVkemxc0kaX+3eGh85u/nURNjcE5/a /ukrxfjMbvniJj8W2H82pkAvIfp8CK62OnDorqqgIzJcyC/020OKgT8Fl28BYsr/nPuUFJh8ZmwC 89YMolCbkTWJ/r4Q/ejzu8gXkMHSHCLuttoFpmDpnERsn9UkxK6GpRHmns3iCVm2BIeoXMylhorn Wd4r/qbetQL6eiM/nAqwCoYobr1lHbacBUQYd6TF6EBwGmd5QLk7IpZiBSZF1Ob81Nf4R2+vTs2u W1e20GeoGMNGvGexrnjnXXD6bbQTjnsMlxTovrT+EYK0RymXeqpBhu05pafgZTuffWYXf2/X7vP6 INSVpHHN+MeRgvYYmrxE2yzSf9aWAFwEvCmAs79wdXTqEKZZeyfTsSKBjauPBDu3WfbQ9qxYaJr+ KnyrBviUAYVtOrrxJIZ03NnqydmqmGn4plQV1kREO8IoTt9ndofjTsHzLqQNOVIQBsjeZa5G0TOq XyQZ9/TIfyEj449i9nQzhw3H24Hkq/cNm+9GWP3YfmemB+D1mg1CdeYnkmM81BYss2zx+WC7dva3 OyxvxXI7922Id4uq+beROX2Ai/+x9K7omOD7WO47Tpo8GJxmliyJe9myopSf1s1zxFiupii0RUqn ZpYovS1oaV525sCRfH1DeP678LXH+LurKszcmv/OnzK7Q57aSaNZr5vzkJhonSu/J905wtf18ImS 0XJKcI3uswtSn4cBWSfhiJVgiwduiJPXz0h5TSqTsmvi7Rb67p97NLHCyrgKDvcBLarq6bvXQmyH h8wPt+caHT/a/8hpsYeI4m1PJ64PiUOAGRKKatlh4GAKwf5wnarw0/AkGMLfnoLSJMjwZuA5tTtP Shtk2SaFBFWzQ8t/k2no7Uum3gzWcfWDaKaCxZR32DIU/owh6L97EqHA8NBuV+Ywrc2vPfa6rbUz Vrc7PuwPnfYu6DWKJ5LtRFmNXJUTvw/PapRj2HQDOWa9WIF3dJgLUgqnuzmFIF8znQ3xbTyI/rJH wRqcGU2bz6TG4MQC2t8d7L4fvWspZkZMcZG3h27W9C5oRlq80NCGQh++gmCmL5kWobEHLkw0wGzP ISQ0u4H+wZ2qlkHffd2btOfOKQ9tGlBFK3SJ9oOUU0DK7bGzTbzAYtUMQkP6i3/QoymhBCYkyjOe bRwg5Lhwli6YCWoxCnx8t3eD/PZeR8+c9rHuS7eo2qgKk6EqyVkdV/Wm6Kg82JF7w/9uzDxPsqje LdtMI8ImoTmHFN6LV+XvXAN0dvuwDOankBXyqfYAUYGE5jM7WAnP8PxbwuaQchM4OccEL3+RkBMC H2m9C4y1ONhbLPwt5MKwkuuz7v2mJrx6W8gWj+2QdsW2/WVSj7I12L68c5Q44jUiec++ypQNVsjs wFSAzx/CXq5cizUCrz7pK93UmZb2Uxlhse08dH4IStF3A8CAdCKQXMcUL7EvC92ZV/pT/orDVoJ+ oRQUUxj8/QpbamZ2GA4ddbzYXkblxctkUq1JGMeVoi1CEtKSJQ7Z5fbC45/bp/xmp4iITJv+LDWo rLUMRFcnDNm6CbemtZw1lUftf0OGxJaREkRGVhZ63+4GLJU0EE0wk8wgV9xMuMuLpllzxSQy7uBP oW71INmCmbyldT2msXPSGDP4BhKQU7F8xuEs8qLHJX3xJnfGCWPSN2KvxLcSBKvjRvjrT+fWhmPy DWNLO2QhEw20H+d/2kfJQBLT1wC+aMpfnruST87PPJERQfa6TV69bNjTzVUxW2lM4IHvvG0fGsqy g/8Sa6G2RWCCj3MwbaJkgGhzFmR+XaF/dfFlzN8XKcBNbYvDy4MB+UT96dmdDPbrQ0K4QdVBjWs8 4x2wNVe+mdfInbveZVxMWrCN+KfA5Qucwzux5tfEgxyaDttz1M09Umo65lNDvzsDwj8ljKmMeHxz gEMHv2AAEg1tUNY6ZHCMeBtieBXGxfMDi6DumXV8Xh3xrRixV/AE2G13wkIvKATQAiJ3E2V7tNCk OCVAUaXt1AA8pT6ycW5ms5xCKXcET5s7IWsOyGW07jQQaB5r/xLn4DFhRswarhv3piE0Uj+frra3 ABAxoF4lsi0gDkKX+hWddWBRKwgmD9/tYiDryc0K3ybfx1sR6J5cc9MLTl7DaSUDg+SNm+r9z38a KxDSK1LB6VYjaAqqP4QDzcu3NaTZWfkqNLdbqhztOppjvJZZe4MAgs/Cc7m7sBXrLJrG+ZHiGBZz aFeSPopVlpcTSvvbgs6BT6358LnRFPnaYlfhGjb7x5lJKkzMuhuRi5N/JD0rtIs0TgsOgRYnN+JE UVPwzAHqBNFhbd3qvs7ZwmntDz8CkAJVMfA31l/IqmhluN3hA19ZE9eA9NjyWv50GSyyszkc8M60 Mf7c4UK2TYU9X6yyqazlkCYFM7hbpjBI+pfH58qRUiFICTtqqHRaA7IEo1yTOTwAJjbNePE3tm5B Y3pZT6jld2HAlpK4tR/Zi2Ehw7gO8EGYY8wR4if/sc0Ovhv+Hqwv7Sn4KbPlPk/ZXfk3iGZZXPvz yN9HqVxPWSUAypguOSJ1GP3jl7pz/6lpH6fpYFxj6ZGUoXbq1U7gNejzPbkPe/lYYmfXzCOWvyjH mXf+cz2tlgJbVtoi3JUxD1TLXjqZsWbGjwUjN/G3ruieqMQvna1QWE5C+lHbJl/XYsjrEMO+lOfa 6gJ1ltiojtFtfUHbC1JNZ5J5ua7s3/BqTdousXC117VzOx8S77LNXgjeFnAOKiQOFC9AJk6SNajx SU7LfdmkBoUFenx1NFcYLv0yVYOFcN4VdkcaNqkToZ0uNPYnb0J6dDWsBxOOCKalh4kezvTK6LsM 8gr0PdfXhoWCb6JhEEmZCaDgWCAyd66FnvydDMomb2XAYgXRYLPWsF/NKPqQv+Qs7VZrCSE7ZdsA W32G8/w0vUSqFnqkHWHaNpPShQk639pXUn4559w1UUbu399vpUuuOj00ohTs4Sdyu0/WQEgaQs5F BQJIpmB8pI4ssx95B4ObdhEcgn50qTUCJzgV4RiOOu1hdrF+DpHz/BXibyxVdBQbmPcJmtnXZibw dHYmb9Exm6M2+GVct2kHyK7vf6Kk6JCX20iei3hCMqp7y49mNdpdE8+OXsmb0c5lKzksjM//gfSP vYHvk2zI48QZZoR2TTypCQVAwRvlYsviYYmsOOvSVy3P1Ck79ELnnX85Vuq+Q6IbYIlmSYRlwqwu WjU3mi2JJdJ//GrrSp5kqgwVFSjJh9gGAJDA1vNiHLWLYe2tZbwlGgcXIbBoprT0FJrhOOFbZGgB K7lNagJgkAnt0I1VHxQ4xVqM4Lifu1tBppSVxIBOw9SCYsXCDL2cKY25gOsee019bR/V94ec1aQ3 r5e8amjmfPo06qCgiCnhTGVnQoYgY8Mi3/KiCeo8E4Uniu2i9v5WxZeGGEXBhyN2K1y5NLIR658D wm5BPxt8iYFfjCoqFxZkpqvdvaJT5WpgDkRHV0haOcgsq0RZ6x7XT680r/NTIYMz6oLaYhvp96+B HO/fLPwfnIPiCwf5Cmdah/X813rVMhgOk1J6oGly5QvRsAjb6UJMaKfNjGg1+lExPNoSLVWIebvs Vun+72mIX73G1zUWlUD6hZI5GDr3B3TcB/V56dCk/EYBnqwtJk55Lcg71BL8CQzB2pMw9VGT8Pc7 vcUVdfTgeNUrxUPf9cLRLGuQ1mSesIH0Vy18Ry6FS9QOHtjsy3tzlnJ0A9pAVvulI1F1k4apb+JS kfAim5TSXpJt36Xvoqi8Td83ytVcosaaLQ/4SPzWa9p1aAoBDNsRDdcqgexiujEIwC+Bk8Yd5NCT Oryp7E65mBT4H5rg7Sv2gzd05G2lVxGoPo5s/KrNfI3R6nftQOGo3jDR8XGatmO9WPfNOb8mjM7W LDwOc5CJh7ySH/VrYMcWs3zVHH2Agdml90qRWNQO2E0XWH71eVjMrtg6iAcs+DlMbWyaTDhORFC/ sLpzxBI4kLMsjwvwqpODObHo8Uxh0N88UaznbVl1VDjEOChrCactrlrd+TYclOPdV6svnOUPwVUb mXS4S8EZBEkVjkQ4gg2XF9dYjte/koaJ0mPet4OmvVhrAZTj03eNbjAZVzTrDCw8YSgjZT0Ub30Q cv5nnYIPqcjsBtWJkgH6SFyPNWLGNEedRqm/Ygor0ErbgcArb/6fijRYMmvJq19keVMys6T0jJBL BfRsihi664MWzjH2HUxTjlF0pF7U0DZ1MBGm4mQQmagq4A2FTO0Fg5mlf9XpfU1DsrKhyTrg1yZv t2+7DH1hAhnWJxQTpYdX15m5v1XMENJ5Ucw+AEBmGzFx3gDvoieAmniLX6YYRXOOrVotSfJh0W3T dS1dYFPaVkAdkXqNr0LwtCPLcdVqcLNb3ZWbpluS26H7V4eITioV45hPnCqau8w/l93ZQhGD89Mo QP+SuT0Qmtxpx27RKa/xGg8W8gY+76VzUNh4lrZCqua3DCeOnWkZ3BgUPgRHt7sfBHIYzTLr9egt AXhF6pFqIBTgZMxE7Szq//HZZGhdtlIFRuQr8ll3wePXGV/6FRRazrdYFKLUbdugarKWQXYCC4sJ ZMnZR7aYwyPXjeXnQOz4BiI4DXSrndOeOinlSrDGk7R3LLVAkRu/Nek5YrKUbcwt6LLKso+mScBP sZAT+TV0gZzqdmOBh8n1p7/1wFwQJQXkSjxCpG+pMyYvCMKb1knkj2Pvp+vu+wgG1wM+7UzkuwWX 6Ty/tnZr98fIoqS5yg/u1OpBom1mKolYIu0FNC6TRSXyL/7PrVxodrtGsqlX0CQWoq+kyCIL1BHs S2SjOdWpfFxcLHnoIMQP5mP/1SUUegvJfjppX+v68pO0XLvcuYBTgPwq24cPADGMngCQbxLcw2tv zeC0m5wH73p42h9WcqD4J45tpkxD/pt0lanGtUrn2wN1wch6uOiaoTZ+OdpNRqLAktDQUaVUaGf4 z5e8RtPNSd7vq22kZh0f9aV5TFaS29v6lMG03YRzYmCeNurNTVJLA8smBJ9Vn6NV77i3IKpLwSwT IPgC4+oncVVMmYGt9RLGa5rGJlvL0h3h5jhnDEnWeACDJBIPaG1EvdJkm1xnidN1mb+1FvvUv6UN d0CHnpdVAQo2Dj7ZqNIHYWrtkG8WtGvyivUK2la72OIYMmJbj51sq0i9JhnwLElxDqiP9K5T+DXF dBF683snZ80ZPnrYdOZiIbAxGzhUCgLn6c+QuEdsJSi5/7YA6Mb6Ru9lJAGaE2JVeykxIU1UKt6A 6NABnpailFFmnXnuJygsENKEFg1CE0SA+0Kogs3UhCbnFpA+pJ/uT0lCCKSUh8NO5lsFaLie/VBu 40X8Dq64J8/TPFgUd8kHmIfzsDAt67txxMYUt8ypew07arOoxXrooI/ZN2MTiX2kzTjgrPJnq1n5 JIxTymiTvYx9MYxTQRPOC0uwQz/msFbIfRdOIFc6KNQJnJXt+KE28OJENHU8dZLzPOJ/Sh9H8asL dTGzMzg/j3cO/+PiHMPvMnmRlB08QmOY95f0r+xLyHib8kldRnbWVZB9Y1BWzyId+IMVgZXXJZL9 fdGLBc0g8foq3f7Vid0hPQWi0vYcBG7tyaDoQjIg4N4tvh5d011D1mfmso928j1ZGsZZq4BtLE2A LQRh6J6OP87YHQobkRfBA/qhrRepSWAohIyv+vkxWqIPOsXSU3WGsiGzVsKTt8hXnZwnuopea9Ku FXZOgYELn5GsCLyvqiM1Mszn/pWqclFibyiOkTKSJ6RIg2svjFuVyVC6OSvTMz4k6qQfmd3EUkvj mb7zgavzWNo+Czx+I5W983meRTlxArA8isD7xSM7xmyDryv7Hhdipfqp7qclPEmUx5k5Jld5N2Tn 1QeG7Nb6M7igo+/NgubN3MkUhXKKGQfoNJRJQFxxA+3bAbos+Kh3QfOUAeL/v/XzKz/KcHWx/qwe tFtE5HtTfcaIJenQhXmfW/EDjZRMM0yVqouRKGkgVi5KVNENalLR7aEy7nPGrd9+dxrn+OHkQHum NVqXb5kBf4/KbFxwVU0cEyqrP3jjA3arTSMJ+bEBTHQA9j5ia/VEWPLQLuUeT7kU1/5LDP7gmbxX E68ATJu8p2ig77BFoDFDHVt6S75SdGlV3HAwRg/QsU0/m5gLM+lvDhuUHJiOzO1A5Uf7tuM7zGmo BjTjIjWVZZhzuEjpoXczMQ0+yl1xD2TL+RGmoye2a2Bc2+RUk4csW+vAvmJTjtWQxBwTTyI4KcB6 M1vLezYlJZ/DU+E28nZAtZEy9jDHQLllxf6pcTMZFXriLVs4GGy/nlZszIoNGSYa/mqgTZ7M2ggA dLsCXLwzTqw5dPCtYL59oXc2PMDX5oOe4rNROd3lYsiZEkA0uHfAyk4Uunq1A9AO8RbjvVVVouky D89lbr4ruQ0B02Jbm0dbGBkezt1/Mp55YymxmpeQE2wb3Iass6tsuTPLP1C+5xZj/WhyZ6rqAUVn QSkLjQaCtKg1SxK076Pnmyn9mikQBF4/NqOwXM9s/FR2qI7WpLua3naNNc0WzLHso8f6HNvtDZXo UZe32rCkQNYMhapcSfg4Au7IbXwMc2kRYt6Nhk0KmqsmMYmW8ANdilYD9J/7xCX2zVZEwq/lHt6p jP+KJv8ttIom14WO4iUIS1pBg2QG3NddGDBHEEKloZsS2eP60dEpTYtNIA03Dz75TJqNn/wqdhI0 djOCiucTgeGAnHIQn96rFdiOik3OsGXCYDg6233OHzyklJ4XztxP5eKSM5E7f1+OT14H7n8uG0kl c4XNQoGT6HDOHtdwcbV5w2O2RSQUZyWB5nrKH7DZ+tCnPvXaZswTVc6I4K9hE3PRLG/zYkpRTdy6 H8yPYGGbk93KoYsywvwDnko0NAcSTide+qsw/6iJ2TXm+cMZjSWTTUi/ISwkTQfujfxRNfVsDA8w 4HqcxuFfDjrKQ9jI/hDDufm2j5VDQ6osEw3CFeTdoLNPfXgvBywbPnFRZF5g2o7LyvKLy/jM5usR Fk6QVvhDT2HY5cbnwOlPZl85UC5B7gTx9WP4gv7YfwV9UPRoHxtGjIhzkueILh3xALMG61pt0t7w w0dxSqFN2xBRZ0VoFpWIQmtTfOOut+zYoeo6mrVTi1uuwZDTiS0+YPuxP/znw0mFrNT56rbpiNGR 8/ToNdqiQVnjatRxkn07HzUuyYdQ8MTgLIIr1etJqO5BfMCkHuBZYTCqllotBD0rWRrXknvGko1K xYzFK8vVmpViz24V0uR6aohYSPGQbPRK49kd3iCqhmazf2tjzx46F5EtoDDWC0vOIR9jQqj5nE+S r98TYPPV5FB3v2fxpmJbNwmbIPXVJZhNN/u2kM1GiWIilRLQ3SHAAI2cnivQrpF8SA5eD+J2TvqO rnj0iUNbtcUQzmSyQEK8tu/b1sl3yVWTgy2W/IZy7eoDL7wI5plzmG2TWUehpfRb5UjzrnKP+JRp vIE5C79aap8936NNjtIQ4Abl12a6bUcESdW/SdwC+1qIn2PaFxHyruEwJGuKKivhP+CzJ86G9Fcq /yYIKzWeEDvWowvbTQ/t5tuXpoA13gSJ5eaeNHLgyvn+H7uuviXgk1MnAe2qbOSJZ1OOciYWgdf1 DngUxy8xaK7xtuvf0oEj5REITTrV0NoCA2l8i3JkfRM3v5apEBALanvvjGYKSKKeZ3s3tuBiOU5h kemvnkKAifc7R6rE+hzqrs5CMVrjKoECeWA1uaOIkt6wa3+FEIzD3NK1Bw7zhGVOCvoVUPldJCur DynfsJE/xiv8FkBhoiTmb0Q6hSf+/d/fnxl9jxXFXxjGOd6C2dsc1TD9qcrq4YVwLhjBgY6Too43 9Y8YXI0M0rGxBmRWG2ZRI4Lw0om6U3tcZgq1th4LZJx4ioXt94LI4/hU69dI045536JsQ42tdJyN GUK0ulWfkjRthhzvViwbRHF+L3kXXSoS4z3SWBpm9At6TrXKHqLk6/i0RyUwCl4UX6qc0ECrRM5K aOQBE00+YwtNGt5tGZsnRzft+wwGb1L3Ce9vhTkU7/5dMjZpAVVZ6trRtCfsllT0nEt96ol9nsTo gsnjVhOmmDhWEpUaJHLHFir4JkK5cqrFP9mCP2PvajDoG5Y50ZQNdHyPqmlGp+WWpSjQ0KEJ8IvT MknAUNJI4uzpR+Y+JEK0FhVfqzyK9LRk308fAX8jkNkYidmM+GtTg1Mayg+q+aQJKiB6xQb8Ztky X/uaB0ccQFobe0PmqwpIXg4HpBFoYvaOFuy64MDvy/hOFz/90wKPxvo8E01eF3QTd2F0mVp3mPvF wrXUpaUDUAa82q2afscQV3oxNqbuh8JrFadWfkQ9SC2JqZJfCyv4gJmz+ovulsx5BIbMxaTSgzSe gZyayydAvRCm3XZ4e+tAefHDufL/Vi3ReBrHoF67rxIR3aQC7TOwMV5VHueOkblCkRPzSsTCBw6v H6w3WesgjD4M79K5p+1J9hfhvull19maSD74NkW9bXl2F1xeKsaHHR/GB9a1Ay2cVRmAWQ4VPX2M 1N+SnZKCyxcj6qlAAAkFoHfZbd73goZFq5rnN31OqIOPJ2vw8litaxEVAGNjl9kc0ULBs/BipHlO yIZLRvnLFKfy3lOJTSjo/OnLvKgeCqk6yFDehM1hJf/pYf2q/o9fwd963dFUXdSKnb4WLpTTxFDE 2UsWkrQAiecWlZ3NsrXTMkMowiHt+Pwv4CvwHhly6rrjfr5ktDvG/ifM5Ht7fuBg75MgtAKxgyzO ltSk5ALwAkLbSvc8gw8A27U6AiqEjIIPJPjP3FjIJtNslZnSk0UzgurU6sMNu47yDqmWCIv78vtK hLwRgUnvH3Qzd9uLvfkCdHjeiCF5ypOWp79Isc54fI7S85/Qr4Reg0SiF3yN97vnnVtJeJoWYppF F339PIX8QXTDndntu8X8who+P1Q74aT8lR+zBjWem7FkKLRPfmHLphngopicvBkKG4agaGIs901a MtI1+FcOSwmcHUAA2ihNzl5HogO5r5TTOVstMw2y3s+81lPU1OmqXzGIHdtQz2+GSdl1066Ln/6D iupHnx9LJ8QGiDKhtQM7D0CZRlhggy6Rz//0UdF50IQe8A37U61Wax27Cx36QR46kHKF3Y0TlXSD cJ5m8Ds57u+3pX7dYJpn5AOk03n/5bpSwPbYCOojk0ETzftIUASA8fFLQvqMrAqbk7STvDUnRp0U oshYTB28p/SqhOi08UZF/PyGUBayadTS6qBE41lFDs5xTy2bu2DG5CfQEzfTr8Q6/PSL7KF+HxzK F4OFng44iq2FwOO9bP6BNp+4oUWhm5vqtJd/yxZe7AKDfuRbtFGCthc+m027G4awEEX6QcbN7zaB Cis3e4bW0QqFbtn00ysm5Aji6KTMzKvzx2m+UodDaJ9AkwDG7Ye4h0fMFfI8JYAe3feuNVpfVyNg 77pS2HeF2bYeB8Jijb5aa5FPXSyD46VEX25LAae5jFjefrVj1Z2ovm9Q742dRZ2r5NgzMEEhIuYO wNcWbjGFXZBrrQbMixAgJWPGJ79Hoi7s0k2V+qZTEh7p8xsZA7of+0XzGqqOM3+9Pfuy9gZYcbhp 4+3j40PWW9YpxTq9xzAFP1tAoBTz1PX2LMaep2V7tqFDYoXnOazLMArOLAwt7NsBEYW6a0hxUK3v yb48W2MpyxYQIlfpUkOxaN3hrvs5hGxWcAxjyBTkQ5adTugk0133v9jPcPv98wpe+GNhnu+lmSjz lyTHX41zJTRYTF2itlkMG4uPW5XaPfYA/UdoZjEHiDeZR5EWC12I+CTtrLODEMloT/jAJ7CFJl+f 2i+GeJlclmjg30LEkA7yIeNGRP8HIctWgqD1FCkWVV9BJsarSYiCo1x0VFze7qxmF/7BsK1EhWKG J/wXsd9LnmvLFLCyaZTlCMHtUEfQlgAhAH4WxzTXP3NsSMgDSEW/czQ2d+drpfLedMkou9XrEvwK d++fEeaEB6iRL4Q1WDEm6GQhXFoUa40ZWBJTLTgClfbRx1H573BSjGcxbwpyDhiJyeCwadAb8UZy fjOdNOL3Z9NjC2ORKcvdosAHIA9K8oQaeoLjD/H1dj8d183YKH1WtmHLtJhp32rssXpi7m1EM32V 99S08GFHkLpHr3hP09BTkFK5Dz1SCc8wTnAfCZt7JxDyMjKPoSh9tzWSnD0uuvj6rInsKvG4LGnD LvnuvJoB4fPVTwKsk/hzbMbntCpC3rSUC3Fmax3oNUWvDbxdMPjTcmOg/h3yPOn1iiJ7Q/OOvkaJ CBkzG57G+kCXvyVBqidrg66tkGfQ/t6Ot2kwR/szsi7R3bNpSnmcfFrIOND7EqXR/ndV0KMBZaFv HGOtyLx1jRXnhrB8UaGNRW3Y1MuWhZZidBxoJVskb6TZMyxMfxqbMofAztTvEygsew+PINNk8Dtd fKvwGGXWUCb98RvObRjTk4BWp3mDttgw0rhqFuGnLhaMM9mbpfIlZpYjalD4yBApMQRzix4PBBl+ 5D9BUK05SNWKDYrHqrWqyLYsRsEJHEuFBWkUELOMUXTcoFX7pndmnVxk7FEiJTTfqP7bgHUaAc90 HOza5LKsgjbqgfQ0i4gunmqXG/rNLXET7qSaV9nj5NuQ84XRQE0JDVe4iYtXmuzHUjowAgG7/Q1e M3FexvEzI+I4l0+4ecAlNHqMOkaSgBpIK6kL4uCYYzupp+QGe1FAGT3LUqGnfDl9IrxNAlT73jJl oczYqQqDl2hAw84coup0Sn7obYQv34dHQfMXe0XorodN//9vjGNnRT2ym5JuG+5TiIJ7g8Pej4Vs R3TTJgkX1R4cojMvuYhhIIc7/PK7676OZmt39oEboVTQaqzJ7Uzged4w6jeukUmvujEpN4JUb0/F NOTZsZ4rzHxOkqBO6Ju8+bAPbtyE5IjsvzKnONZXMAACLHV+hom8sGErAFg1pAWijQuWeAuZpK4Z W9kb+30XUpjp+yviuAp/o2wwxA+dXi0MQ00so1AId1qbbMho8EhQPW/8TxWpNV3G74m0TP8Dy6Km AEHTuqKHGwb2fF6DESxN8tXbmdtV6LWzghueccEl+Bv+TVVBeIrvsLI9FvpgbvzfTeyGbK1mV0qn 87he0lCdh5IUpj/ODxqCk7hwLPNg9KjIK9z924PoyGIEpV6CQymjHE+dquI23aoAnNuvMuAqVGjL umn2x9QEIRsVBiepyIrM2VL2BeQh1p2wn9thgSGI6ExRxvISGtNPts9WnPUNpnQ17JtlRejXQDma iAuhIEBRVaWIG5aM8oHfoWa6MvkRTfMWOHudJvg5gc7pQkvJbvGQAKv3Bhu7VGdWwXF6YaA3xlzL +eSZv6o3pR09UhOlvIYHKknwpCUlYt9nfzSN6vMJXIFdYXxs6PffzNdAgdLIfR3eZZ/MvX8pVVyh dJ9NnaUFxFET5Ai4ZsmwyE942p1UrpmcBgVuaPBoOERIcM7zS96trZRlToxxt7WIfQcg+8Hya4z6 PCatdCHadiXlugHSggFfn63RuC56Q7RBoX96R/E/5E2tNh+x1gXKhwC9mjSj4P1yvA40SUaV7ER4 lVSGquvOXjZkFJ4HScubBl90C/IQPsVa4YyeXgYLyt0adJ9oh4RlNT8MFJpE7m9iNSJLaEVn3vGb QF9H4/aqc8wofuf47zT2Z7OhXjmexe+gJn7sqptS7D+HSxQtG2l7BNJK0rRwxqJl7ibTozhA9fTp jHk5VGWiNrNwJH+WUVPnG0hLaTnSXMlJ54HZDMwxIaKOc/A5kyaHOQgBvhV4hHDEBhyN5UX9L0eE ZPN9r/Hfe9enIAfmWw29qH4Jbj4y/MOUDdYskWjRzufsaNCpq7b5J6HH6LlqKmSTDXVnV9iHjinj N2duv2emEY3drYN7HRhbPeKsQrErZHx1ockpuZnS2rms8o15tFEauVUWNqxD6QuRTA5xMO/tBg5D rV3gS16grjC7SHuA/P6wAcWh/n4zY9SeNn+4BMmOglxPBUnjLHsIycxBqfIL9JanViD/KZqcY3Ug s+0ZXm0BKkynRDyPn0H0eK9wP9Hav/Sw4bYngAtIL30e9PorNC5Xx92rZnBc87PzjEPC3Per47Bx PLV5D7T6SV3obSM2Tq590Myq0w9MGzADTF5yOQKx+pOuKN52kk/CPA/obFetpz3Mt6/dj2WYXplF 2SBbCY7e5Gle6BbLVltCFESxo9HsMWc0j7ScJ/hW7T+NhWmucgT0Kd1P5r7WBoU4hnEvJ+NdOoE9 9XpRJcYyKfHRNb+AOmFojBgjP0kAAcqQspAG/jbJ0kr+7egxeEUccds6zL9XmvEQ78jJxQeiNFtL aOnkudtj4r9chN5qjJboQsaeMgFSjAvhAmqbVaY4tm0505dgoKeKeWzmleD6Vh/hQN50TQOtRt4W i57OsMS5jDfcg1KOKt89qqIkNBy/UBY4RQkNbMbQofyZwHgyhyQdfupRcRPbKu8e3PUTJwCUUsXD D+u5hFjYSVIu/g9L7UanuVQXyq342hK1mrZNIUVpm/VkPO6CUhvMOgcl6+bOptBJa0ihK1c49544 AJZNhwa+7YVrA+zK5FQZPrZFzzRRdKf4m8uI1ZRVnksEBDe1UsROEEw86TG0syfTpKSLPKoRWvdW CMLbpwrz1ojIZsoBr37HicBegjgHSrvp25RloIFwp/A4kmYE+RGhHQc9zjughtK5AqTlsPs0d9SV 8BjJPbtNdJ7QR8Ignn+m0Lpxw9aFz2KH/y+WkKI48wd/mRsnLCxyIQjdwbFVMLpUvuCC6ImdOM6H jrFk0zWEZ6/SINtlWKpyO14gR3TNrsLccpvSnLjPd9TamE1shxdLXlga3uTTBlxFTuEAKZHBOE45 tL++gfLZEFNjCJvpq5NH69ftmeuzRzPq/bI2gydcS6gqrjpY/doft3j6fsY9kseVVWYnsfILsmwD ub4FaQ+6z1xNsMRh8UpOMNi1h2FFMwXzGPWWSAKkSQbOqKpZzstIhIevIzJXdbug6ndbIaWQAu4R FSycR9MzMX32d5hBjiabcq652pYXGspInPuBjgUMHU91BF++rPt9pqgifPDidLHweO67UWgpU8Et gnPbsyg4RMkiRd14jY7Eu9BM90ta/OtqWd1prkSL9cgOkbbYto2FKKTqoc0+m1yq03SufP2GGTSw fgcPzL9O2hie15sj26VgRwrmTjp0xvO+sir6t38KchXlzps3LaKX1DMIFbXcEED9z/J6DW8xblsW zc8ufeG4i369rK4FDGGi/sWK6IWrr+3r1VbrsRnJEOxfEIYAhruUuG1FGVZ/lnz3zfnk964j7dpa g0Gy79VKMhhLwhriiAVntUmKuB+R3jLZxQ4VKjK8pX7JJuJiIzQKetBLl21i97rZh6j6fGwxJyvT U3c6qGivybXi8EYgmlF/oD/2gKrPN2Ne3pS91mZ998/vsbc6H1CFq2xFNYRaDNqHOLryW0HoWkr/ VirQZ9qoLF+29Vnep/0vU2/S7TacquaY837KeF2avZJLY4o/3HhL6Sg/lkmVzMKswS1dW7l0ExRp bmismHBEOhqhSTlbDuXjHP1XO22d8GnIUm1k933N7m2vVdaTcgHEaT2cSvjnDHv+qx8Szu6gsPA2 RVEU8mSfameSrwR9Jt8cpn12ao6BCShVRkh1yHiD2TFD6pAYnjEedqDXRctinWYVvv8ztWjlVRIP oGXiixHFW5691VYgxBLO2yhxEUwDYdGxDxlmd+lGDNHtWcCzjOni3Oc+UIBYkJbR5a2zokjpXs2d 6Bn71Ou7mmlpmCpczkUcStNpPU5IqT9ISWmkoiux4Ap4tilQTfa9/CIm+HG30oY3X1fJbz60n/oc OFZPRZewqMsgxcKubyAP2m69exoIe58aiRWPH08HNu4s/k/sB1SWRhQvu3g7pRWZmdZS/NcZzHEO wCvmDQEHLo5OeaP/jnLp0mhCHo2GxJKg4t1p8Amj9AOyEDgIOwxXPkc2lzoJkkyAEZCLMCJ2mRb4 KSh8DPbbJYNrAB5ShMMMuJNUzWd8434eBBWqdloxSF9W4uJKy9DbEhZ0eEL1e+fcfv3G3JrtkCHe h1A1i6pBjWhZU+7XXyl9Tk7SIeAeQ/wrgDJOMh3nqB2DAhgSpXMwFPoeps2jr7K0B2qJ4TSMkMWT FHyMtQNxNR9pIe0GhUYPc3LXP41UNw0QChRUB0NnWqe9mVF7FzBXkzZ1jo4qVYj/Z9tELZReCYP9 BAR7Nh4r2Ihzul8JzYYzV/cQB28/Oj7p+ORRsPe+P1bs8vOfpLAvTtfpXkuebnAvmeRu8sODkJrC hB5nzhih8/f6p4R/V7A3NFCfnNEF48VAA97k3Eg54pVxVoOucKT3DFLw+PcmK9PKvWdPkXDH4uV/ z+fKGd4vqFnoqLDzs1Wy+ieenWiCsqRAK3Vn82xMQSwCaGXpa7V/Gop0LPEvzwp9XvExdB/UUkr/ EofaQJrh1iUjRLC45Hz17p0p97ASyujhIT4DR5oap6U1iYkD/V79jPDJHhwW0Gza9iHJoCy88TIA PZK7CzMuXsBTZqnrQuGfHdrH/sXb5RHQGAcj4J4UT9bhrB4hMeSNZGM6SckP5TJBsMtqRm5HuVtf 2sRD2rJCeGcKHrSGnkmDm4ZzoftTRzmBZ7+jhBOc95cgZ+xDhTlwdnsZ7q5JmReM0h8iJXA8y7wQ vo3fxl6xO17Ku4jtQwp81e5BkxZplUOrt/6HdLcHGjpSQ57uetEu6ttABWAw1X/CdXUuNZ/sJCUw eReezF+7SkZfKZiFp4YbMGKCSGFYInXwZvyvO33U7r2ytYQ+hJGQHQh62/wNWGjywVZgl5HYXl+x +90SGFXPgKrtk+Vod0juLBaaDmg7Abn+bsemZqHwwv+mcShhBJ1r9tnIeey7ZaauMba2iSJm2PWe Ycb4pHnZOdv6FQqtKI62ltdgw2fE9uL+ccrURptkrlQQhVzry3P3xlRaP6haOWxYC7YBII7T8rC9 +7dan8jBZl5SLCesRwuhS/JhTMlNvOj1Bwbra6OdyRG4hU6og10NcBx+VyawDoITNdXywy41+Fxi mCwYsdzXuuVT/d6HxhjasO4n73Cnxhse+b+saPw0emR4EyqR4Ar/dURisKGkbUIxtQlGS+Ko/8+G VPvEeFatN9gLmg5Mf0J2tL/LdEmJcrIZRicRWNuQcUkU/m1IXYRWyQ4Oya+nlugeDxdArCq30s3s 6lgb0+3vbJ+cxUhs/te6WpZsD1LO/VkEnatiGkhMschHuYMKUCTlYvtUacnMLSPbXCE5gcbux5vU gal5lEwfjn3OAJL18OF/1ogb/i1xmxBfVpp9i55wc5ho1E3Z/ZENpHwBMjaDXstD59mMfa+XEkSO b3H2K6ynGfQ1o523fHHQoZ/xsOJD1NSlxpVQMmO4OQ8Uw3jJ0dex8pEC1bHfiiC/n4Xd9cj5WLBI KqYDNPZDg9ZVvfYhIBpyd1zW6pR1ueAKxJ4iaQFS3uyYuia/g7Nx00AsZG2JEIsOvaXqp5Cxlv2n SMNCRkM73zOX7im204kMB5VIMeyc2FkaPjHA36gybIOB0ofcl0XxDtmf7l7BOvFGdMYfDsS9/Ied 4CrB2wPkAmP8RGmLKUsnjFQIXw+5vnVR2vWOy5+IVV9mxpmGOmvpSytlaKFJ3TdiALZtxVMA/5T1 COGfog7RCt0caB0SasSaEAmgesLBZzNm3mJGhB4iMaah96YFPFkEo7X4vHQOa7o4E5Ih4ELvUtuX 2MH+VmFcoVqVJ0WNNla+0GMCeHWEcVgkMNxDHF7qFfKfyr+i4asYCoIYIysPoN+GrYxbCvasuh1f lDbRG65NCXmaWqEqLej51VrFTb4XMG3PikDuxrPGq+RKHRRZgSHo/jiEU6H+iYH81YtfjFDQeVIx X4jr5Brve3Fsrp9dX766RgTaFrWmBNPefHS2/1sx166F1izFp1pBv/5BNGxRXX9bdENUEL/6wsOl QmeHPEFOd1MN9cx4nDfXTqjKEETFLI3izP9oYLqooZsyhsasdqtBelVa11rC/TjzJ+pXByZyfh99 BzF6jIMrXr9pnMwF3QRrMYe03cg18KOLIrxpXK3bc/dkoVlWa7t4kMa6wKy1PzBkbW4RaVUXLTgy JC31yxi5LcKUF/SvMtrsN0aIObsF6xkZZ1M5SsaBL3mroGdeWkHAN/Vq6wAvhBwBbs5Isd8vKrQk aGu46ma1ZI0qgnO2Js2rnMocDX4TVELpUQ4cPQqoF5g8IaTyoBZSyUwmLFh2MlCYqx/J+LAcXTtP OWhLjuArqbzxXHHkd5NK9t9YAtmwahIEBnsg+jGhQe2qIVcpzLPwTPnetc4ifki9zsrVaVutBygq bXPECF4rsp8deKbYAFmKU5cDREKPMwAKmYJRcPoaG/pkkF+t7VlMPR2+pYtGWem56KYs0oPl9/0e 71hPxMqPYIwgXHZUtj2PdDcOX/hugLnzkdG0p92y8ABf4NNB4wuWP+vDRNzGYXd2pIB+BeWyO8li 8AQl5lxdooeDiRyJtLCrBPvmxdujMJ1M8ZEGfbnO9J/4FF2HSCUTn281v6iuXjG4+aguUbF1rFns NwPuuKNkocXvvvicIh2jrDcEGo9D5LjjBGDTxrRRCpikG9Zkw8mPfhe/NS+kXTIiGlkut0ZdMv9J OeL8qDre468wA4GKj1LJTgCOziGEFQY/JVHRHn9n8eX9ayht6xJ87VmsZhArqEKdaiQUljbNMg3I UcXBUq0aMsedka0zGsrkaW8xVxkY20PNjvhpcqc+EtJ0yTJZVmBT2HxVTTaSflpTT+aqRjsKby75 O2SWS/yvo+F9JWltJ6txg0gUthWtG7a4/RixkejDPljNcCOVIPQ3dtLUgAd81vHntBRR9zYju6+6 Q/kutTUkH9OguU1xuPQ0CM4iS5QN7uxtRmwDoWBbKfxYNyR+DIPpPgR3pDr5r3sZ4n9vUqq6/gi4 dJr9CyoW/hnk5UOS08dmE4uiVq2lUrAMXDpisANHzYGzuJqruFXEGZNGdvKa4Rd00RyWf07Hjjwl C7PLGXkSeZ6xyIAkj7wE26TX6Rocave9V4iAfCwaUQx7zWo4tmVXEIpg6wxaHYEv4pkB5tU21DhH DOJmFJ3jGID4lUUYbqHSI6feKurnmAJs5ZoEejEwmPPcYKj0sYK29PRzZ66MelFqWFNkWiuO/77P zw/r9wB+hejZBb7ZQwO6uAOy0udy8R7/wYwlHKy1+is4H3zsJIMZ6Mx9X5QRRAOO4HMki79zdAlU 3asmL8kStHZBlG64/Kf2YM4kkGcExYrV2psfJGS6cIEaJtubBlnalMYHauEK//zyI31DLWDAwSdQ MqS29BvfCYMiyISdBnLagLf5DG4bea7lxIPN6Y+tA9gcsZb4kwN+De+bpmzg30yopCBBQilQaBxY V38Q3aA43vSXyjApB1+XpVHHna9yE68TVTS1iMNMXNKee9f6GoEI2efsyqPSJAV7drDp2TYwczXW nf3FXz7D12tKu6TAozxIsjrzABq+ddN7u2vWINjeP9+6eN9aT2mAJqMXxI+8eri1DRhnPU3+z3zm EAdieWhlxgPpB4KWltL+HPl8/ChxaqObQUGoKXjhz1b3a3NsOF8jjHqEJRFYBz6ZgRPDdDzT/wdn 0Jov3HsaYoQhm8UwK8Q17wYYYkiDA8OneoZEmVAQNIHZ7dSX5Fgzgy0Gtr+ImdXybzjv0l1ilXcL IJihRDWdYMQm9AIRo14viKdCvb9U5K56EYGLKpDS9P7HG884FYewac7pfP0B05E00R/0fdQ31EgG Hkekw0GXWXLBiWE65a5cQ/T9eO9E5RxqzfP2wcAYGYxfhA13DF3Lrilsyy5MYSzhdgcoeRqDz9Ft kMtFtJ76+J6fv1LSBpubb0v5PcPCiMiAuY8VRlf6WrD7X6YXF2qK+u2lK2IFLFsEMOMohxvrUYV3 Kdn7EmpbOYcL+GbyYXklpTT5bpk/oZ2O11tyauoGEfsf7GH0WsHNAviSy41KkANtytCkpd7wFwse YdxnTu+SK5cwImtgXgwlF/LOWqpMecXNwzeTFDoJ6fRzWEIqGK7vVcHBY8dAgruCiU1YUZYMCy7k ob0LqDRUNEzvcze8sJw828l2xrU/zuH8MgY4ZQ2VuH32cjGfy7faRHs0TJmVm1VIuj3R9Kpaj9Gi faKw/2LL/zTFv2KkTtHhLbKiaZSN5BL0T2BgaxxN50fS3tsWrnMjZsr/fmYr2GSfOTWpKw9sWn5a KC+tsgyD4vc1EI+Jr0vj6d3JfXg+UtR5o9pQ6cRlgaUEybKmMtosscQpIOamNoEOQliAO9UUnx3B jestPN7/IrgZkVbFBkHh0d7hPcZq3kNRpH+xDg1ooHt9P4VRbsDBX4F+I6Iyub2OtqHEf6W/vrnw io9kuc/zdiFlNWDjO7pVyJNsHgOY0PVlzbq05hPt2Zhe11vvAWF3ZPAysqh9uSFmBn+JywiCrBax /rzSyA/6RKc+aZIR9AozBYeDqNtIkZxWU05mdsg38FcGi97EZ/GrXSyueaJsPZMgRoJuIaeZ9VAX 3+tlZFJiDPVQK5m0+KM8f26wed9AJueDhazYa9d8Af4yynihZmv44GoYl38EBWNLkqw71H/hbVjb FbHKuLwPpLAuojGgxu1sjDWqV3Ai5YR6s/4/9Axd8Gv2J0hAkS2RkLYs1eekMyYLwg6QbPRcPFQv I6M5ORz+6tFoGcWARqaTLk/R9Y9w402M+HNyXHdbnuG3orQBaB2Fw52CnzbTixDh3B9ON7bDSe4w cz61r2jVtCkK0agYirfxY4ru39WSMijWQ2kZYSzTCBGhWkzOxGzXekrCQ9FLKPhsdCfCMtgIz24j W61O5q0Ts25VDPu7no84tIG/RQjrmnQ1vN0c4RvKVeefutqUM+9zpg5bzLrjdBAqRP4YjzoUDpNl 3Lu6e7Ktce1u7rwnvJXVZUtTT4sXMDhdXdA3Qvb7Ty1Dig0Z3ciTdmllyRLVTI/e2tj5hXUcnDfT Dd28nZDHoQLaxcwEmGL/BNmM7UJjP9Ad5+EVOsuQDQ/zYJLY1Ozihbz9MBIon6CDxl9NaiFaIY3E ns6qRN87D4w8do7TluJx+Rs3XUnx467ufRtQ/jjORnq1vZRmx5imz7FzNb0k2Y4W3IcpiKGVdI/c p48VmcL8G1oqcYQERIj11ULL3srUTTyKwwHHhsYR2nALiuLZp3sPqEarvrzP9CG7EzbaRhoBpD2z 81PTfd//q8/I8M2/zQvvp54mrW76QmSjeA4ZAqRD9BAOzCy50lW4HuUzSWqPkLV92Z3T2pnDhCWR XKlmB0AtJxNyJs7i4ZQeGu2yqYrB0sACENBDG7sJ0Y+Q+klnS+SSInPiRpFZHm0JyCn1sW+kR1lt FwU9RYoaNGJ8RYa8yDCgT3a80UcK2Gb90HQ2JPbkqMGY8xL3awP943Qo6c649EIOWYgFaOdr+eWG 34TJXcETRpT+ISiPEMDg+UN/Pp/eP6TRidhzKVtTRJTwFmSExroVMusNiNvkpkN4GEnWMoxooNIh fZKGvgwQsnfEFDrm3vTdPrkrXOxrTChNezSgWjBYKfB7p0HaUnUe3lmjBaKFr2/w/+EPWw2ye6Ph jlxMZHVGWBhZTy8jESvG6HOJQbOUzlwVCidY8HXs2qWn0fqh3kYhnp+qfTJHe8gCmIb9Xg70EdKs GDjoJFvuHspHiyZqgjbn3A0onxGcOBC8BdutE/aBSUte2jicBGl+ow+f6RIejDy4nrELdzoYvrXD hcRPYUx5LC5GywBD3mCq5OhOG0wh2TnFN1MLHHM7p6ihnvSJ2jW1yG5gdkKVeXpTL9xqGu23aR2F GpNe5Du3i/DPV98HAKm/A0ohahDhsXaL29aSANpET8CnxgzmdqZix2JM461YsjS+/Xp5C3mwpvpq dM2MSkboo2eR+77pOcYt6codCoSQj12mnEaJlIORiB+Q7asDr8CbdJnhE69vDvOKQ2NqHueYdsyS Rhm3xY6IEKXTVsB0tXGqwI7c2xEexpGab0XKSWRKn/DFM/5o3p+6vZv0wVreiLgaTFQc1z/AwcoJ tSe0zlUrVtduFmmvTXWxaEKGMczPK7FfMWPEIA5SUHOtvcV6BCm5cC0rwvd1pJcliZ89zMfkIN0d L3o6QHrCXSki98mzOh1cBbkTpZI6ybqPKhe8MTz7OTSleNWOAz8tClZl0mXGi4CC72u6oyVRJYPC maz/K1oazM+RJoeHygHcUcZJp9VnhXeM/i3kRXVl6PeJwUp454ZgimNKTMYG9YadaPtk8pi6Ybvm avKnYPYs0c0DVXb3MuZUuKktt6dOkplzVTUDLNefjvJuqCam7zXmZlvQkF0ICke7X9sc7o4F8wLq DrVehuqN59HgyEtoGx87esa0xxqgEhc4gAJBFXwqMizdPI8QAcr1qgOLGw+UAS0hd5L+Dpo0ie87 bsY8nk10JOfNKln6Gv7mF9qdMdKaF2/yOwQRCitQg18VN9TIEjVnuVFWhKtNaMWhY5Y3cdUFCY+w gEvkW1xgdEHlNTphpW3phpkffYEcakCwr97xn7VN+ugexmvs1+2ubUCuedhRiT/Ai1XYR7mkcoi1 FGRxPQOb+h5Q6qCjp8NTQlPA37VoC1pOZYEpfoHZxq6pHB1JVO0kXMqzgfskjhiiCIaTcteXbNPY hwby/JwcThYiqzsbLvVSzDlSyH7HTThuwLZIyZ3tx8GbJO1Feo8Hv7loH86/mSGf4RztpIYctTbJ XAlDgF5eysLBZoXHhDY6jgO31w7Pb8B9/JCWxpg2lkTasPg0i2hNotmS9lplk1YkDp44t7A/FZFW shyYaCr+5vewhP9qTj9lF5lTKHL64n6wzSuYqCQ0VP3kEffQDnBQwCA4tTE3fPG/f6GdaRuGLqVg INtR4/34ByjuY9hL0yIJQ8NlRi6z4PQZJ+zVwacZUG79bXVT6Zp5gfj4DYsM6Rf7j3vgsSm5ItqP bFUt3k2rFsZ1RYuszTOohq7pc3bDaDbIdpwcRrwTFPhERj79uy/DvwB1s7qQ3gsMUig2/iX5pEYX al7Xefhtqk/rjdnDONfBDX34cMLX0v3NSeyRxAq1DfZ30ZjMgVspxM0NBRhHV2rYMQMUt6abOO+1 qXJw7ge15eWWDGIlI7q/aEJ5fyCxMqrKiVihtplq3k0bRp1FxSCiq8vkdEiVgE5vOUCJjLos3txt zN1BXAzoJ64mua2MqURx75er+MMEYb9rqZnkQhtbMzRWhsyem4jOlwVut/hO8VKsccNbLMcr9pUI ekxWEdXW4NWuxV9dNi05NDgbz6zwxG4w+kDKTNY87YpzQmxO0ZtQLt3t5+IxIeVJ4mtn3TTEC2CP c72AZ4w2KXhfZjNgYJmfAVGkyF74O0OyuHCfyVL3t9zt2Uyf/QyciQjyeUmVHpELVUHqo/ebbYKP 9jYc+LqU7Wx8UfLYa2UzggAV+qY2iDXFtK/QoG/JgMzkvDwZ2PwZsDl7lZ9EFxLLtyCWYwHOt+5B F2ddUxcc8unQokxeCjiXQwclctm9qTEuDUE3pD15k5UzNpV2z/2tS2PoWvsBSeLp+Bf2Lrw16as5 xJ+zq/ETO/uTXoiLflGpi543aaAmVrAKxfSdfZ0QoRh0IxcKgU3001IfEmEe1R275CP0vJll8hZv VJTiMN5OpWjS7r7lBMN7uYwPCydqwuu9XI5DDafdYAexWfBXh+mXvJMAcUoe2vRdApQ+k0+6rvZz QAnWiV1TJNm4p6koBfF0GVvpcnH29OnHmHdLH/GxLfJg1izdAUWEd/Zk9kAr2o/uW8iEGI5xHRwr stG03/UcHR1Wm9ukPmyvIXX3hC59QqVwQMKiGp1uby+LW22+GKm73KE91ExawnLWRMK37A0RR/NZ WNt1KOo9Umb5jBYEqAnAA3z7N3hTxw12bQjqXaAXWB6OE/GXP8nX/im+iYCzRFkiXbb/YKvtNtvc obIj5k1/ErLbgEmCuY+C7KsARPjTutPlQXQGw6VnAuGmAFfALIbmGYG1DgDRoOGNoMTnFW8Q8Wj3 WQyX3vRlDUH7meTqh/hH8IUQF8FI1ocr+p1bBUPT1L2zkO6qf8ubKvBl4tC8lKcMwxAPjQcAfc78 9u4hSMuaVyadf5lddjHlgqlGw10HdPwuPXSa+3BpHysPTCwer4fFKfYD1a8jyxuyGuC9yoUN71ia dlYiLLEiTCEnI1d7bXI3f/3+Cbr+RnwKOyLHRE+HyTiC/nSL4F1c+sL5QC2ayLNvzZpAUa/kjYaA 6mxRu9I99U/Gbj5gc8bz8u1jVOF3ZBTbw1v4VsLq71nQhX3wTbjXnxxH+cp4JhUQLLpVzV7wbr1B WlHj/oCVDkgMgaGwhRRjqS9WiF2KhfMG7Zp18gsulPj0pM60NcSmk70ByEkvF0NPgcMZ5B2czmaS cEE6MM0X9UzSsQ56NDqHyF+4gIPP8KBHK1SQ7YwBAf0YZDM8OVr02h6aCn0z8VD2+F3Sf7GyRy6i vVLVMMW++GZmSqS0GVgoCVvKDy06LFRd6zJtqeyHthtmMxKoYbQgzf3nHMbVgSPN7y9XVAFcSxJ1 y9cT2CXYh+QDJCPhAvI3trSfYi2mA5uNJ1JKXf9AY9MLXemjEuA71qtx6cjIxVRKt0x1mqn666Ki artmafZaS2ZytBxnBwj7b+1BWawvX6nJ7EWI7J0doaSQBqV51J7/L+Gy5fCD2CiIOUNZ2M5jJX2F X9zpFTOkDL3OfgGuaqf4CULOCc9zjlInCDmr9hQcMqyUxuY8nKrJYIVBAyGQMp7B0Hq0zjzVApj8 CRepPbf5dtW8fpijop40kdU25TbbCtOm5vgVh14szuQq53CA6739YfkDc6zzctUv0XumLj93ATFJ vNKmiQAsbgJ2ixCI/pMhIjs+aZljG9t/hT/yI6v1XFLEZNwDIl2qQXgbIN22EYnYsaKfOUAk2PSI RNOEiGcvg+rIdJeIHGtbXSMhJQhbt7/d+g9Z8HMcMfF1/4xCJxGS8t0ALG0DJVTZO9XLRXpqcVDt Hu3+6Px/oo8erBDB41M+MoohCM3oSTSHHuZ8ZN7OpNTamHwjsKaX1v1N+JbmfJBxU0BJsdoo+1Nq y7OjNaS9H6KEG18LGik0VRzuT0tDpcKTSBRWFV58LUozp8UbCcpYWMHVKHVhmpqpy5yKs1HwfQBN oz4x+D/F/cBpehEblPPf1VgxhcejHIsfsQLbsbw5A9MNuq/OM5eeopuXc333s++WYN+5EZzjx0Bc WRshVjtqlw1ywWqjyEX8yc3ouitrGH9bMp1igOfuqu4Mpw1ZzhqWolyOmEXqJZaAXqTI0TIgB3PY nyd4WnwaF5WdiOWsIewQ+qigqm9surP1jYkLAirFUrKVf4HrRZQMYGz4mfQrj+WyD3nnZY+v4fIB XDd6OHIRyI1Gw2DtX5zRO95fRCSOSX4a6wXqFM1jQgdUGv2oTQCiK5vR7pZbh9W8S2fuV9hdm50h y0LgSbnnHyb7BR1cmb95oGttSri24Os98uZFWtL2geQpPiBWF814VBanrYYWiXFY1pJ0rZY5AJEg 0g1CwdOcEDBGFt7e+W8yPnE2UbKbuNix09JWIeoiC51m2Xdx9WgdMV2aE5BErxsozvXR8M9PDe7O GNROoban1duzx4bV1PLb9DWTF6MHMsY+YnAaacTp05FtGWbGQ3naWGssb80/O5ldi04rByBvEptK 0zHzB7WA35xmKzuao8C0jYKMPhAnXU0v4ICLDzjL/uhPyGnpPyxwm8lKe4dtFxl1bFhP0AhSVkZJ JkudW02B0n0e7mT4H27TT+cNGKRHuNUzEKp5LmZc4MfDdIdGnh1e1HC8uoZcvIQce5q9oJTYBWIQ kHPCbOgavK5uktGfOLjWTFdgiRAMaojsrPiIrIJ8ZPWtU8FMW1fQ9pF2f9tTefYVA59IK+wU/8Ea D/BSbXG2W/Q68uCY/1WeXRUr5NAzUNhkk8ROZz1BxVyTU4B432A5T6GCYmSQruVqeswlFIjq64ri tKah5vlStdUx8eDzkV/TwYcJxJOkxOUYUFc+nOzAPVB4j2/QtTBFKor4nxH12SD4Vv37lly32gTX kuQqxouMgMsZGelkg4CY7KtOpP318MOA4XGdqkXzdfoDfVCHNHWLpfrOvDXRx1OybplF2QvFTHSm 4MzZXJ8f17iRigxSKz6smM2aElEvaXII6XVPQDdtlUDqkuXoy6vlpI/2YZ2CzUu4EAaY3C8h5gmz 0WbQ63jlOCWMti7a6u8ebmpmx73UbsKNgZLtpAseVUUfpS+Z+OT706QMlCcvk1vZmf0yoNvk1ixI YcjdKIseryxhKtkDcfX249uhHnQWLhVrQqNKLDjGwW+mD7mpXNAoP4pDFrsVsn9cNyWOkNjvjl8n eCvZ2v59S+xMgN34fe6lGGSumLvFeH2NkI20fMl6a5LhnPVEjapzWOYxFSCFt7pg5VK71LCg8gl4 /eXrp3P54ga3q+r5QiXibfEXarudw7HjilnNv3jW4WGu7pX7nW7bYPuVX6KWym9HbxrP6hUG1w2P JcW5/EvOa7NZ8zFI8YcYE8d537G0cSrRNSNGe2EX3tAVTVOn/58zqlW12izwVegL3ECdrYUKudvc zAqUNanDzmg/8ddEyCOv0nE3kwIE3K9dPuO0n2HDETm/HjgQDUmbHSX6ZahONlfS1aPynKnh/ZbJ WUkIKY/DHiQKM+1rw8WZbfvauAAyx29prKYOnQIf13jUuTw1UlJlYLt47AbVbowOoreDp72WitQy dwc4GCqlxdDUheFi0cNe7LUeqCADeSaSSEHXO8kiLMatjPHR4sOlIKlm978uL/1mvMZUNsd8Je2H L4WnfotZx1lEN4vQt3riPXFA8OijInqAkRGtPXUxGWR+so0EtWz+tmdCUG+++3NTjiF2ckXAc5oz fvBG5EHHg36ZRli9hAUZ1lCOUuZvDiEZLGtdnfMMODUxoPjgguJN+5xscD7Rb7ZZtvCD+xx+4ff2 OZleqYRslx9wYh6e5PMcaE7z/+dyFiDmprfD0CVz/AOHJ+PZaca1cKA+iquvspFhEgKZIta6mx/z yjVFpEXQ1AfFnFwIi+A7C8fI0MQDRVj3ND8TtRzRGjoDOBmi8nVxhtNKhvg0y1m0wUhqP9Ge+9+L 5rNSvjd5ZlfoZPhZBmEWOG1L3GbA/hIzxnPNaPWtHVx3j67qN1eQ7OxE3qEX2zpeR+O+Dx1QVEhZ XZwyEWgH6d1v7dSJrNr08MdESUgVS5VxArHXnVVezgtjz+mmsMNleBwnmJ7S0bThdUkHG1A6iPrS p3aGlxlGpJyJ7e9BQjr+adk766JsUyMLfuqkl5qsF79sQScN2SDl0NC7DUhCKxneV36DPKfB+dzE QQOkVoCihUT9eeFC0ykw7r2BdTW05mTP/DG+FfXisAYglxW16P4v3/Dbm85asq6tSXcq4JR6iYP+ i9O689I1cXXHyBrwqlqNi59zSIr7GT9urco9w0I3/TrPyzwK+268C5OtXr7FqlblF42/t6k4Z1NU Szk1nlmUHy1ZdnxFOJm0ZkDR7z4sDbl1Vd82zG3pM8AvOro/oFLSa6vPzbkwe7lO/1CgOzyEpe39 jTGO49IcIR6x/+9JZIqewS9CLCymcB4D5LtLImExwFpKhupQ2v0++wKvgDASgAoq9dZXV+DHy2MV L5vGgBUWauhAkFdUK+rmo/UNpmM2PvDeShKRnBj26cWCksAJI+EJK06kcJ2Dj4fHdxu9l3SkGIYR 6BfBtVOvZCgifVPmoOHN5LdmavG72sFQRaK8LUYNgOytIT8v3q5qgV4rGOB8aDoepji8W+h/HpeT vr2lbkfwMqzvmQlscKImC14i4kns6nYhAs4/LS5Xq/KVNeQA19E3GT59eCysec7SUvIdXfG5BLMd ntKXwvr3a4TyCvzG0aFy4MVGSKOaPNwErLuMIB9gbRkPHuTQ5YFj7rjgpRoUuxdtafVFYiZPgiki UoBZrz2qm2XvmDO4tdCgndzYzGU0EftD3DyHdBrAKLLXnBeAR2NEl+lketR3b9+94wh8WTtegjZM uQ50SrCZ5qPNC6Xgh51qwH9XoiIQayBAB2AfhyFkFykgYSXWV7wiVukcHcs86OpW8Sg4ppV97rw0 lrARtdURWeQHy22kEeoKD8w1l3PMBimxhSReoNJk7vyl54LVB8/cGPt8bJuG7L+ptumBIWxgrP12 PvwQ8aX/rhnxneM/BY50TjVdo4CElZvSd1cbIDhEwa2A3iooJbZ1+9HRhg8+bOECsPknudkOBYRC 6qe4yNLjACfZbVtMhYAUW4f8mIfjQfj4oz0siRihgxdPR7IIJXuK0H3nbodB9FyKeBrKOoa2hpGb DNr2tlPAoiGGHJACCLL0jm5Hf/F/3tPKKBShvH96Oh3tx4BTjTxIVJghI4+wat73GM7f6aGLPHGX XQq1p8dIAQZeTvzUBaXy8ncqr3TLWBkquxJs02vWJvwEXd9lbRazqiNhINNy2etiCql+774gsWH5 2AWV/7dL7STD+u+IWa3Uj4MLVP/7Q6GXrApaS1Unr/a9B7KxJ/W49trLTxsp/xROeDQlKCfFrqcq jihEF/4q9HXFy6oFAxZjlpjN0ATeT1g7FV3RM4wxFrRv8YD4MXYihzSafm+CzI71EfeeWg+c+bkd ZANGvhXpDwKvzmrh71hhxo5KvNIKl+Se9r/iM+2g6dzzsbvrNAAdeBQ3Myb8mGhmzeGjqSiIE2od WnqmKDbstCky7qXqbUf28Qg0QCNgkC3zQWSeNUwqEW6A4ueyaRsR6bPydcHLnTvUPx1eNykCLfLU s+cb9nUvV0mxMylpKJTStyZAWnycxkL2Ubk4nCKz/fBZWBSHn2uD9X/6ILfh6Ep1XAF1i/F2rlEx IoVmhzROEdTqSZ8M/EIFCXvQxAlwWhdln4ikpWdr4p4BYUWfeCW2aldDukSPwrHNMWc4Vt1doJk8 pNJBpvSdY3SHpNmeD1DJ8ptx7PrXJGAPipU4Bj+/1M4I3BKQVq1dzckSmmuWHDSBUrQidHbFyImR P6+HINJXO0nMKNQ4ymqGyMcGN2bASf5D+K47RmCgxxugRs3gwU6mkHlRlQh8p+UorLn5NBiOgAIG s2Y2iHllKt015svuLPsmb2wgIbqiF034+vzKMu+vW8rbncR27UG4HZpL80FE1Ly+g6l61F6M0YOf wId/D8VOznCjvVWYjl4WXr5A1t/Rvi8OGnOni8piseAj8brO45xvz8vKmLD4EN2BTgVC2BtZzVWd Bn09w8mKmxSFVv1Th6lALsmDtq3La1igLFwwiUiY/vn3MREVdCW3xSOtYyNrBaRhR7EFtAXYFXgf Mtxxoff5t+Tbnjk6l8HFxohOlPMPGg4FDu7t/qEByn28y25DpQMcubj4nlV0MFLBTwVy3YUKGTsE YoZLBlg0ctxUAT0r2jgbgNK+Bo5o3VBPvv5GDY6nhcz+c4lhg6Dr3cwPQQxo1QCLeoWfsGcFV0M5 G5O4IqUjvQg8xNFaul7INKYxLL4sK2uIZvbvchT2TWyQNiKM/jHmW3M6mz93sDfOalYSagrj+rOV bjzB0rXlZaCgThmA2GkHOTZGFTxa2qjzMRvx28tF/fbKWQB34CL/atX/0f3RtjlZkWFseTJKda5j QtPU/idN9zHCgNb3t8kYq/srK+Om9KlBLoIstgEVpfqh4hqoMGPP7SgmCC80c2BJidDO2aHrloO7 01VodqD+nwrLNBv7PBN4nLJDZQEFreOTIQN2wrUZydGQix7WuUGWJDkvfPocr19LDc90L9kS4c4Q CG6QDyRk40eLJI9cea9NuDCMT7I6GIXr9y7olqXXTwt5gWv13Jb1PmcM4gc+YA2fSrm4IKGLkd3W cHWpwRM3tJyeo+G7IgbH0YvIh1YuD2/0FIsf5FniWLFGxPPxoGVnRQNVnbU00RHHxDvRSIE9rjMf LjZrIx35ujvd6niN5MpmotzIlQkB+oUavNKZpb+B3/Rm2h6yyk4vRC8SQJNJU5+lf0dxenq1556d BXRjjuhxiqcbrKUDJSCMrUvfsn4ZMzWL5m257LpWNjnIt4f/68hz3MGzMIlJRCML1ker4kR4nYhH hS21m3bYpY9rCIiljYGWR7EW9peSNZDD0O3EFwTHpgvxP0pUmVjmCnCIUpmD56O2MsNgh55eisUV mi4Ddrp+onADxZc5P57kfR24tyybIJ1XEJhaahMbbp8yRHLNalmnY3ksPsYcsszwy6wrdi6y0Ouo hWQ6mFYrSnVARK87xP+sdkPeP9HizZuYBrIC7BpWstPo+O8o18ipsXg+3Anegoj3lr3UfZ3JBzt+ +4kCb0sHkGGQML8/qM/HK6rTvjXKqLjMfvQ5OvldnQjISPOi0xksi7k2KplUoIRqMUgYfoowghyZ 4nWe/hlarie7oEPZ8psPq4jSmKaZASkd5qpBbLgKNFnPP2pBhKa9LKe1LnCrdD2RKM1g3krcY9pJ gGvT60plwVEJp1ezmf2PnOxIS5o1GPnrA4GwBNZ91nU134TFot3e7SxyYfWE00o5u5wzU6AiM6Yi DKhs19oUKcIwQhEleUtOaX8IsEKDPwpcajhs7ZpsYdymmr1l2dl/mXJdLAgWKF5+V7sDT4LXPUy4 OZvSK/UGG1PjtCZmuEmXya15zOQ5AVXtlGyMR4CMmevMuOe8eH5OMB/z/FIVOJdBUGVc+ldfjxGL buoC2tcvgn8sLj4VPEE+Fj49u/XsIE5zpebf+WH3koP61ZEesinc20egq+wVYP9iAAZfoZyEvD14 SvfhdYHFR5/jL+wOZDBItp0egGlAbcDes8eHVLCmM3Oup+KcBsBdSAZVGgTWvK1QyM7aUkH5hsT4 LaOmxzQLC0Jd38XPE3uL0E2Uxw2Ps3O9bdV5ZZ483iG91FZRZTuAOJkx9r4yM3ZF2earRMHIGo+c m4Z6TJseEH0GfrrFJSYuKqjHO6WnBPvbPJHMc+dzeXaCoELxMoTogRwNvekZvz73eByGCfwCdi4U cbdsR7IeI1+El3yFImJyuGJ2JUUzpTE/mUMqV0kvFJU5jLpqUdPDVA7k5Jf+vGCFnI4VTwpx868w yZZu9gfhXVjx3YQi0h2nGdoZN+m3XUAEtWKf02ROHZz/AevlW1wnRAmIhX4nG4KK3rMBY1eGEWOA o3nPm+x434voTkVqXJSWz7ICYQnSDs5Rw81Bq5l7eBEBk4I0SiD4SQRTkh06VJh32Rcu4jCeo0mz ZaP2c7gnyif682dd4sAbGw/ql/kkWXvkxrpzE0viJRNsiQBN4/kDsNcXXt+DnjDv4DKkvMrXrgl4 YdRsTglrJi8HHZl5Eu1z+Hs2oe9faTzGLno4l3ckz1Yw9haXNlJlePnmwPplQNnxaG5hZU5MDCBm Su+9O+BRza6QTpyAXubC4QiRv+9PXXzgi6l9rB6TuUpeL1haE6xhtSuLfhFCFuH/65EPTciPAHja f+xo2TTFHnrCoQtqfBhy687G9oGznJEQO9BAPHdNzHBzPU578c/Bim6x5nV+CsNA3hjeHlBFHeEr 2h6Yr/EmT2XO4HRjRHALvGS52UQvJaXAmEyiWLHVQEtm92NfT50E3Oh9T7czCCL9ryMmjuAiq+rM jZ/9KKhvPK3lbbeIvzte/Tnv+KC6xmf8xHfzcFtPmL8u3PRnNHUFJjm1LE/hzIAmlO7q6bTJv8y8 pMxcai+W1/i0hO9gSbQ8EyX3ebxjF0FFBr4IVnuqYtIrVlAYLFVz+8jJ6Hix2D2LSLWmjx2pBu1P clB0NE228ZUtwIDIO/GsGR5YXmCGNj4iEX8guYdacPHq9VsZzSvOobDdrEVz8jxY9b+i6kfr35mJ 6qChA0ZN+ngr9nldHpWmndxiHRY0MiTP95V5nOvqaeKx/8isJr+iBiX9fVEvRXu82TzyD9X8YtYG euHZjHoheDW7F89QL9hGfoW+oBz6v6xsCo1jUWvGNVwWDKppiLJDaYSBQCJmIHoi0vSB/hMeETnj ef00irHgO3RI1PTkcI3c8vaLzEhp7jSju2siH5cxQAcSXZ4FwRHyqT9lLFBkAq8K+n0mVuN/Vr7d 58DZmC4MEQAUMnb7/JLKfVyads6NiObqAOnhNe7u+FU+WI7s3aLxXs/KN1QWJ/GnP+uhgr2dsdjf fbNLB98g3kqdSZp353FZy9YRTCvJYUMqX3MwHP2xW/s6Es8wQUh095bPKDwyYjYWiPw15qjSpR5u ssACwFCHQYOiEDn9f99r5aoMdoIb53dbbPRN5KLjfgevZbyzWBaKg69Yy2hPKoXsb/H3Gdk8fuvi dzwPBHFsOvhZJaUwIwomO+sT+E4NY7YC/Y84M2J2dd5gh6wIQhOe2l+51q02Jnf8m6eeYRMTuIsx KtNM/ursKQWlDsIoIoCbSfzEMVoM6+C/LdMsj+OCqu5B76ynbvY6SWvx1MA645zYvDq8lEvdHplc D3+Nhp+iXveNc/YRczI5TWkczq8ukfNM3TA48Z7009bB5J3N1j2+G7RRKRWp7Vooew0bxKEtz03u l7uDFBJpHvf+mjm0lnwSNrCU2YEDhuZywzRJto6wjEi3cqbC8GGFllIPT+CmR8d88X98h2zZDiZ7 D6Z/gH3t2KaGcUCMD3EPGdQsp50hLH8ul5wU2HMpj6HLb8T4aU/UB6YATzyLHDytdaRUWc7UifzW GAv7WDw49zAxKP7XNjJG4VeG7mNu86GxacUQi6VU5RZsqPHuuxcjtom1/+H/XACAsfQtp/KIv2xD m7NLFflB7Q1F7uGfKaYpw2VNl0fkW/O5BJDCLKo6jlrNpl4bQNecwZ/n0yWrTEuOrwZ3hygAI6nc ts3heYPXeNCi1tozgGCQC4oX9EohylnwJkmyWVb8T2oOCn1tSDJdayCCcLXCY0s9miHMPQoaNgp+ nL+JIdNZmIgnz/i5BhI8PnouscQZYcRlhykpLVEBs12z4gu0fXenLuEP8+PjIaWuw2z+m0hNd92p 4teC7VbXAqvDkW3Gi4PUiFBaDSD8n8Dh+WngxjwpdWgATFA1E6Xx0Pe3S/FSYhomeLavJz1PB0M+ ywj7S+ddJf9WFj55pNbV/76s3E9GJE+0YqogltJ46BqRDHV+r/Dp8BdUKdriFmSzz7+XUmgIRGZ/ dmAz2yPyHLriI9YwNqQ0ENZTP39+WMM+q48RQRHdeWWsDX0DZVcDcOCASoSdmYMqICmshhkUkcIA zu28Mf8m5Cpv6xQzzk2NFJUEaxOvT45cXvgn3GiBbJN2Rls6wXg+C4Q83wHM7RZ3k9l8yx8WysBW fsXk8Z5UXCHggxt7vEIQ18HtCn/x/hXZWPKNB+fN6RsmFrgNkFd53JciGCmGE+eg7usQwxbrUzYO tcWru1+QCF9FVitEgy4KjGP5boj+BMrYKCGdcn6dbnw8nY0POXpr0mZYWeVMUsepy7hyvKGszIJa /SHuCYUqoPhC4tSrZR6U9V/lB6m8teeNFJ0hX8QFycPuKpcp1IMl+nzEODlReyJgWwxoaMT3C8n2 fNrxfuYu1VJpQnXLZMEVJBEL84Jta9rYPD/aYp2EX6NbGeiUwLP0FMWgYV6e1w+7XadjSn73AY+R BMqvvNll+18w3KGXXQ9ASpNekP5HC2nxUm+xfGPE1FGRl43jZyT+yY8xSNeJzx3spBuak7bvP8zw nd+00on+Xb/mbquOts30Obik/hA0AAiFUxsx/i0WHTrJww+vdyDCFpnbjCLP+jQzVYY7lnXxHjZc pEw692j4mxtdy5RTR17bNQELsK8MX1zihHUqyFUNH/8aAbvQevZn8/s8Va7TaoqI1GK5qsMAXvWy CH702osF3QY5MkbInHJmmlFijKNhk7QaFC7NucrXwrBEoncT42YEVt9Zl5nq02Qoz/Jtiywa6IVP vJS5ENk/PGrH0JF9MbwlHfLAkVk6UgMbBrSXiPTvpK/aASfWpTwr61/vZaPqnT/1X4X6y4iGQpAC I70JH661Fcmh3ZQ2vWW/zjB/xmvSeBVWNk9qKfE5i44Ph8hTeyYFYvvEF1j7cC7gv0PiSM5msMER XVeMpo/t7KSjQevls/TSqLaaBRwsKYOwTW/oMd88VzC1kjX6Ya6TdMf9ab5zqND/gBYZr6Ls0Ni6 9F1URISUTF9iLVGscFA2VaJaY3kKdxclwLdYru8MVPt5zv/mZtkpZPhkbiS30xkNrs+F7WrpxLkl DYJJUWA7d1jampW4N09znPrWh8DtcaOZHVn80DyeY8oT4nbDwa2Z8iL1AdYMWUx6Wg/lXqmIrm6J vlOyAA02MTJts4TneS5F8VltSHkLYzZbB9jyFd9rPqjqYfimJ3VVke/v9cSCkCfhaMxlPr4EQYPh PRjVRgbxaI4qNqw1i+dIIOU6T0k6j8ZfU1j4q/Kt7l/Qa9jMGjF9HRwDWj69gfi2tYycK3vnW8Z0 KSBm3C/uW6JuE2eo1b0E+n6NEPhxAA5AcJskj7IKnbz826aN+XuvSrmAy/Jq9nzH2lDaAxNOfPZo F4Q8UagC2kupunOuS6gZ2DsNKxgHvAvbJ9lOBfyy208v97aq/1qFReSDyt41/3qELdS98FW6f2R3 U2+PVfBPw6QDjjiceNmoQeXrBGPP228YW8WLm2UChRAq4jrmA164BEucUtpzkF7T0aeqoTWfDg2q Dt5WSD4nXsD7XQ7Vw79JnaRKiHLjFWrw1j+GekS0H5UUkQR/ST2KfLKnhjdf+epHZu5sQjH2TSTf y3+fw72ZOTNJMKOy2p58fan97kawR379OOkudjvhesitAeD6l5b3JVOv3/06zOQ3S7EiGv4C7Ro5 LRlFofsxbbcJEYURsZQPrkQOajlVOhmgv6obIEIyI94WEQt/LKSlZrFaEWe0QEYSsiiglu7SoFIX jwiu8YclI40eqNK+OeQy+Ag8gbA1QOnkRcXB1wGulIeoWJMKUPZ1BP3f85Bz1jzuzn8nQ4rvY6V8 1BaI/6DpzFWvIpBDhzKkcx5yf+D6ho1a+Nn49hVrnkqk2E3JNUWUAmW9j1fBg49B3Zg9mDPYb35v pbkR1658/2ZtFcw9nnrBy0ehIxGep8YJxfqaV2gpMcV1XXkD6c1HQOnPm5WzxCZjRWP3UhYm9yt9 kpTCot18XP70LeuSqOeOnMa9U2qrSwvGY7kDOFOjxbCWO2eThRPwYj+hjSWePN24wWUnTxORLaHI ftvP2M5Jgd1Drez6BKXxrH1UXXvQHFeHtkkY9HB9bBCOiFuyMCuJxIsIDVUDiv4Vd124JUDliNWF Q79/CSrxIpax/qx01Ys9qixNuDmd38zL9x4JMY0y6/Ml00MIhYQJmV3+8yh1mnAsdzyHrWLIvU77 0O9yTEc0oGK8bZGEEY2mQQEudgzb93BFsM/aU6sk7cLTgONjxDf3vH5GMiNs6pSTPkAsBtpm8KFf zpndcxBaOB8PtbO1ssv9UQLelfWiV6aEEzbfOu0Z3eS8e/ulNrm8AA5NM2tJIjFD5aPpUIbtSyx9 jJRSXwEsiPC6JS0e7whTecvpddGx+5oxqk8Fo511PpqHbhOmANQb1ctKY+7SlgWn3D2ShSLU8Jti rLd/S6ffK6s8U3Jm3lTBC0mUSOwpXlcnKbPSGOSHL8PsMS1EG0P4Js/X0EQBRUxMHXsQdcG716Uw g/4hqrrKSSNEpAVD+EQ1DG8wmOP7TA1OzkdCj0fAESPVO4uTaEX7Q4b6uRBYXdY21CnPzDNUEXc5 oGhxibli1PfLpjQJzXjvba5EnNem+p1TVN4/nQhWCZI4g2InSfRJn+fHshEry6pvuf42TQuD4PRI dvidZ9XyGqnQqDbOekM1g5GXINjw9Qd5d8Nxa68XhFh4GBDcpA5OgFzm3yOy2IfrIOZQ7V0ELsaX BG9pufhxOAXQy+BKF0tGlf42vPMKm54+Jb7rN4BayiwfzXHXxURj177xzKlvLPzcckjbk5cnCTTa k1EWeaJt58DtRpS0KFMomrU5zZh32F4DEanrsvQRCUY1dJ61LpS/PFtIiegbTVAlu9sW/l4uB0VB Heq+wXkbgWuI6UjTHlBVOBvUepNJH8x6owkprMry8XxUg7eu/5IyKHcRVyabEIIXyd8/MHifSAYU I9D/xQtlAcz5kYp00/ZmVhtbYv+1F+4gWEmzi1Z4oP/uWO8g0TUF56uPiVQtD1oLUqk+nr7nhdNR /4EnkkMbnr39mlxPfCo5PMb1AuEdUVaYovVWSykaT85lGnsv5tz6zdl0QcZaJljPaCLeHuACHbat YvzodiaEnMCIqRe1DvjHkVZzgxFhtXykNp39CHGcMTSmt9KuprVEtL906PGGzqRiEdZI/j954p40 bZSZQzSCUzSMtn11eIoHcZRidLe5n+Z3I7BcKfh6Z8nmmNBAhmh1JOq8sySYk3mQL3bQjOj7mlZO EGGjweuL56nJmlNMWpUsaWp0cBH0H7k729p0pvU8BNU7saFaDULCL5jwIUIP5SkIn1uXdYhb9Zys 676JuFhh+PqI3NGYCrVx22ro05jl05sBRflJXrMcPrhhLMemNNs/3hEsOfgtEth1mgQ3GJdI6Ev8 sHs/k0VB/LywvXh31QZdLxofNcA9F4RWMh5Z5zR6hEmHtp3J5XqWCktiuOVWFpbazcPg95oHIFZx /35i7vviP/6szMGQIjqQ4QnluaCUGi1U0U94tVLNPpd1Rnxov5SH+eJRc+IdDC0JyMt6Y32kW4Zi 5n7PbHSHpEIvbx9qLTXTVvYfLwKuPBYiT7P4QoUX8GiptrCo+8oAweKNASLlRk6MMVHkoK6ZK102 h51YDAaAzqSuqStG8TdnE0zra3N/lFw2O2ZCQGa8tve6bdIRcFiDTCSSZieLUo9c07zYMH4Ukcst ii6p6Cn+mU+PHMtishwgRlBvMqrm5EcRnHoT9JWuRNTtZ5Ls0ixk1Rss0R96/VwPsDT69yAg7oEP nOGes0VIQUp/pYab0jfJtAzztsx26jdBm0wZV1G+xbcZf2/2AYymie5ewzcFYwdiQlRr3ZUffYeL JTejsse5XVnV3YCe2KvLQtsFiKOE1jxQ+j6gKM3CXZN7UwtFYmS6oB4Ss9Dnam47FQMjXMPJXYYn PM6e8s1xQ1afyiJygyfPuEzfoaeYgGElXy268svspaKPZG/2hMzzQS38pcbpiFzqYp89pvwi1zbU HIeB2deL8YxVjiDWuTmtk1iCFOs7+w4xM/+qKQVp8CeVfHTSi0rIZKLoaSS7SNCc5ainWNuVYc9L Y3YtD4hLiJfp8lfwmOlO56BxBXAx4OG8zlZRGXBff4/ljdsHlevsdgGjslpU8m0HQW2Bz15dmMOa JEw/jF5invQaeRccUxTlAD7BMfcUMRgi+mxWLqOXxPD1MDncwfju5/rNFPamxBnHGTXadt1EQjRB U27fy58uffVFjADfdWQ/mt29WzCOKjQ6R9VzHNLIg1crmFTB2lSiaejn0xE8HeRN+jHlGJL8S2Af A0ybAJy9NQxA/0kkTCjlw3tCkAgR1tmELkMgXh0bXr6hfSNdsIIRqy8wHtRFRKi/2X1VJsNqmVyb Suu7wRqO8RwI21UJNW43vo/mtFbb7I00PmvbghJCx3E0P1AHsCadlifnDC/clGHQ2lb8gvilMmb5 OLwijZUWoFkBt3mofFO7YkU9nH/GHJCdt7Ed1Z7I0GQ95GJx/5HYo2bf1Gjt/feim/Vsepr2RKLs us+5ssq6oZjebfExo7OdIhtUUW2AOL9p8Ptqw9kEOtnzwH+9gGZ8QKkgUY8vJ65gRC7Keb9DQ1NR HCE5soAi/V3Mg4Vu6I9fDYiBMutBvHIR8NlBOd+L19aoPtrLp9Bdjz43FzUZKVAkhTRE5Uzc7CWg z81wvEHED36qbWbpniNiCQTlHqJWF7ejIHYOlkZahYUZE+w8LxpUGra1+vVD4bPFnBxYOqs8jcKb nmK1wS7mj+pfBuLSV+SY65XTMhkExBU9oCtDRG7RdpPbivriYbhlA2S1lYp5JcztJ1Ri2esJTv9x fGIswEbER4PtaJR9o2RbmliQbdfguXUfQ7hEKCHQbI/lWEIDm0xpDOTXkgDNrk2FxPcyWGcS4A7R k0g27f0UfFsdv/BVcgFS52grTTJePpVlcbzLsIXyyCJmQM8AIeyhvvCLaeSpJJ7/M0DHalrVY35O pvrPS20EjrYwQRdldtV1g+CFG5sYKYKE4dOsr9PJVXa9QrOGcZaw7xboSB22ZYyvnw+POvRSXAA1 yO2doVxzgHZxlUAQC4boBLn2HyfbuuoIe+fzZ2p9lH2JiCDMrhN2gGwWIx48kbw/9FkdKx18swQJ kFMM1AJ+fnbLLp/YKzKEYMd12ZmpMKrLDK5Jxx91zcEI+F43Eghd11Dq2VBikC5KZApGwfNmtUdq t+kFZryT/oTaPKyzrKO8cdVus4FHtokoR31mxU26dzzmhsv3AyY3nsiB9YJuwWYYZLGHJEvjr71D 4L/uSPHRTaF8G/tSK5jgfhErRgSvXzUufOVqiXin1o6By9ryEHSIFKDRmnsBvsf07aUx0sKtzBYy K1HI8uzoJbfyJGX4rqyfVPJD/7YxPBOIctxw7hcjO6N6j19S/TJ11pVC4TnphJ3sYidqTms3P+CA uq8VmzSdkTiMXISHBMfA5ME/NXCe1OChSpQfT4QI2zC8Kw8ctlAIgQVWEtH2hAMjv+o58yFWtdHJ KincvBo+fydegzQB7dkt6q28nTKZhY5svnjeVUl9H6L/LqxwKvcbQFml/Tll0pTVsX9NUABQ48D3 RPyOdcO8kYlNx2cy50F2CSw8N6jnovHyf37jMcfcHXCOkTmig5zM+AIX979289vhn/H5/0lgJZql pswMWjpghxDKX1jBcFDPvTU5VwuraGxq12LkbplRdfSWvgmFT3ffQ23QuJdo6VmBq7qGwnvVDKYm 7qMNh5q2/IMz0M+PXYFsiyl8ZBqU4RwNfa4771sWTI2XtU83tezxaiQMqBIq4mISYrXu/W9HkFy0 r9XbdrqkusjPiu8Hz5EsqKyeQb5FnAD7ZuK7gFvwYUo04uLRmWUQ5R7sauEXy/8/JJXHBaN9FKFI YjvCZPkcQjO2z8ygMLjsQefd5MzV3KbuK1zaloXe25sx951yCCcDoEICKCs7PrlhqACyiv8fbYnc zfQvD2SmITiC1t6d6CBrcX2DMRJ22HLl8ZOXNeBXhQPnalTbT6iUprE5KVNPfW0EXOdbinnCVXlI /gSdVvSP4yid4zaSzYQq5TT4okmW7uRTNuyG/dbttjswPlUDmibcGK7gmUXv/iPe2GjXoQ1UuVsu jnc9SyOFz91g5Xk14X8q1eNU9AZBuAx+KwpywVuftkjetM0GdbgVxtp+VtTXLsXMXkkPve1n8XkC wUoHPl7hf+lMPercFxawX6Wp90kE9tzA2bdkzJYIczrYTYMcJOv3oeImQFZeTJOi0GjW/NQTFd5z 23BAidAhtrmOEtmAPiPA8PnN85Wwylu+zGtULW5VXcCbtHDFQm3jrAhBeuxdAGOkHLpPrKxwh6HD 8iCy5xFclLMW0Ke8r7cI/iTumbQvgCRnDGrSVU0MGnRajRbERdc2RSuYXwxgPWP8F4mpJjrdPNol 2Rkzp7WSvTKJ3UvU4ZPa7ND/IoQo2l6m+zfT3J0JM+uUFECR5T/Xt2phwNd2dY+NKMdaWNggZgEb n0A0cPWPI+e59KC1m/33FI/rfsI7sB8h/rHeyAokPC6vIs0lk39OlU9p0PT2EwwabU6OU4WXgl0j INxHs1blZDRp/BusmumXiOtg+aIno7UlrIThwAfjz5NLnz0nj5ebsvNf/sxD/VB1viurHgMmQImX cLINP4avAmn2AigoYolaqqwozkPsDvhuSaDDMHkgnQl555icgzUPNbFRP0COjcqRBwqi2eanqsbu sEInwToaVyBnIScc8YFuwmPfouycacQcvgb3m67XOd64ubdcP/TGrD0O340l0AkAai7ucPAZL/h+ 9aAfMkNb3x3zRjDpCMnVgpezbwBj4bp9WQFB0unX7S00HBeRQL+I7lrE0OXXkifYk+CaNtiegJAc 0jVdSEgRDhb9RwAFJoAKSqIo4yOkZslc/H3j77tE/lnzsaItyXpCiWyaDY4LpUu4GZlKkIIHbDGH PErNpNaoTmYFDLd86OBz3iaP9gXHK/m38uBygZoqPBLP+Y3ft9LUZsLkCd69XT1HYWd9KDHdBDNB v2L3sWDnv9hRKtIKVrap2H6C+N5Zqv3DgwuzA4WIEFGTiqycQnlqRx1vG+g6Am3Jl0+Nn6VdOm7V BAe+ndbTPO4FEtdmdoWNWXWoaPnWyBv/2jIP6Z5j8NNpui8hnY29XDtxm4vvxm6+sDLj5ZuH1ofS HNs8JGX7in1aOLOqQ1miDJsO4thEsQ80Fm1p2DH0GSFu0TrOZnmiLrs+05ftl0Rhs0DgpExx9cp/ 7kMXaccYAs9I2nwrgzUqgePQjGsLjA79xH0GKKdokWbetJ86nXzgIxKcutD1bMiPqBB/gP/Yu7I5 c36aiyPkMCF5N+nG1dAMM+yjxCpQXJJNPjfCD47ojx4nStwG8uG8stQ3uY3olJHfdqxO1DTW38gC qWSmzYLEGUKi6xA6tNNeTLVUxMIs04ir9GBBowXf8zWMHiGOlUXAlri6Q4YU8QxJX0nSuNK9iyHr /A4bg5ef1yzN42JW5c8UCYVwSVu39Ovco2+QQoonTOYkjv3PCvDoW1idD7sRoh01ozBtMsLsm2q4 PAku7J6bH3kMQPCJpxxl3OC4jZYr/0rGYxkpo3fxCdhllgMb+ITH9Iq+q/dSN1UEjC7D/IOLRXRV JdjdMKb9EWMQ+GjJXyCoHSHRwh+vdCyIWdentbD8SmswinbhSqmORj6+taZeaByAcVqxtcDU4Ijl Q7pEX8kf3cvnPrVmthxYWhOgm96AymWkJz99qwcryadOPWZEjNa7cnnQl7z3lkMZiNGXh8MI8sXn iw9udXE+f75hrQ1Jte6ohZLG29U/5xutgSQ82bmiIwAyZrhFhZPFZxog6v6VJ83rEMHZi15YqCvj yxUeMtpGn0hZJo0kh0Ec55SXWIyzJVKjzqxqCFiJeJsLNqwU9IV5wWIoRdee4PRq0NVK5Z7AJtL+ NTKJVF6qHW/dhoOTgcnwOEWnRO6deCWaXmtl1+IXMSMWO/YVx8F4qPoUP4eY58suW1GE2fzmQ+/B 1yYojpS4DGkBKkPNW0qwusBwsFk0ciXBHm1LeV3dZNTHcXy+ZJSYozA2A5aMfWcSdHOkfbmHrWY5 odTNg/9XcaX5vjZ0oTGfC1nyO94EsHGcry0xnfgGEEEsIlImkEM4DBYTvgFI1hdRZgVrfN/6NZVx AoXKsfKI1zrbyCEga+T/Q0YdBJrumsFTx3Z3OIGzT9qAmnpJKfxF+/7BA1XBXlN080oqebGn0DEL WiPMgCLNOaK8qKBnnRsJUdy0u2RNfYKfLvjFD88CYv0NtKeBqtM9lesUsGOj1r6TzK392nI7QfaX AYTYbIuiX+KGlMISCaRU5leIrPFaDKNaiw7lUGEFDYCSrmjvM5Z0M8kmvyhzFoCZ2ZaWMzRL/tgv tQyEHvK953SJFWKSpiTmPmsDPzytY3cfRPT17Gneg4IbCGcLXQfFn1dZkW1jq5K0W8RV35HD3Ts8 Fkbx7TtBe/sGGm3vSoWFUCHXJVOXpU3dppg5JHnm58oK2Gh0v5B/udpSxQ8yPZtSSCKNww7RjXaS aoi86D+jpdmuKSgVoK7pI1QoX1Df2NpCSD6T8EuDu1BdpdIsrGMgRnvS+TdAH6n83E0deCAHbxXO vVgV8lUttlqmtKhQMDFx4hbDJlHUS31newVF3xyMzGHVAxg04frGK3EwT3th7OPyGbWePNhyPEEz GIGcBup2PGBesPqLgiZ9z/Byde35fpQ06/wKsCE8zFBbchqkWsfCjhT9ZEjD7357xSs0Ig+eFcp+ kznjo/u4//jBvl4HAqH8Pte1RXLIqSjJ45U8AGU/OLKv1dK7irVZWKEognUV3JABOPfV2Gdw8zmu CvWxufwexHUFPmzjqkfU+Dq9ShivIWcNFk5l7ihkkGyBb4RtXCounZnEPPDUrHqrH4nMmw8qtmSI iSMJbgrIuE1F2m53Z1EP6etW3g8cI2iU6R0x0kXg8Dxme5oqoizKwfF4mu3wJG4dJHnJH7tdlNQL M6heCCIYzoaZLKKELR3foIGzjH63znCEWyE4sLovfh3sLDQwIpKh2BuMqjauIU5+K0daWzu9q+wu gQPrVMQBSSLebu03TCOQkSCuxaBjPUFt5lSOJ6U6cGH63pT3XAEU54DoFDTYQ2Uo87oSS27Jpf6Q GSV1sDlC7slPpQvJWm+FS/rEtQSUVvhiLoUWKBjWUwjw0KMhX8/iVzY+pP0lXE9E3dzPj35JHY5Q 5BtBNjZhbO3boOHMKHFb8BwVRZ5iDAeYSe0/MksZv9jY2qVJ3Rxom1y1TfzJH5Asty7xDmPqCVMU xkM9gux/qwXSzDVLqwAukr8wkNS7YTWm11SWUeemg9eqIa00h2RrbEHmEGZOLQl7sAcCSzL/+5Gd xFCjXqRSz/7gLnM+sdtLLfnCk+j4A/X8Du7eVNwBqQsLw3drJq0MQURH7AIKNhF+72Nb0EJH+UXK TCqEzr3Fc56ZswIYpXPPf1yTcbXhOOgJg8C92pHOpijmSn4nV+tWsLZWqRVev7Ie5Pq4KYO28ZwC +xybMml9wsbNfX9xDJsHYq8F1XLunrkwqMjnncHJUyEI6xgA/PUlCVNHYfB5+3OC7d1zNJqHWaSz 91siqOIsnA3MSfEXRpSPwpWRtYPqO42/zMUPXzaBgw7fYW8ocX3aMzxppVZbRyATEySekcIWcKb3 Z5DEAuUEPgeztxYCm0doqGfKcdkM0xxHrLAB5EO4IIcX/2MS3RIcTrOoWwsNe8fbxDg+Ch9393d+ w2S3uyvszR6zqD7+y2NfjxGssDbY5CJph9sAjHy1e9L2StrXi36yZIFAD4fqOP0RkM6t+78/GHR9 ybX+laQNsXuF8qQZo0LgaSpqYYCexd8GZZJFqBq6gOg/0rlyRcXAklP1nREXpNR/Qv89HLzYwVYi 4Uuo5TtMXA3Vyytfsh2GY0LLnRmz5A1of9dhy+SnezZluzW2u6gnVTFCGuA9m3+y8F6xDkEMbmP+ hkJ0UTeZhISqYk1sArgn6IgtPw2BZTnrrC3IgrES0rGZLmVhW4bLKIjFsy86TN2Z9cfZdo1L/NPk bZbPPbc8ynvRxvgG5mppZeMEWmlvzpTGI/SYqua7et2Sadljo7smaBwSHAd2SWZyP2balMnxOWJl 0ypAhxS9qM/MnmIw+ARQLP5uOIP8xbNXrQch2P07Lwli+bm17MeRFoYZse5lmZ2n24rB/DUKq0nu DYeSXi7Ts9lBwqEQ6a9TaubbxttwSJk3dmzMr+YgvTctDPv3tMEnnk9f1piPfFDBqigtDbtkSUA5 15iK1OnS0JygHzVmcJkQMWpuMrB/tbDP23s8D871CIXGWLEF2IvAgEIc2xWpJXUB+Jfo4bu6aEaY IAYLjZK/H0cgTNSEY0eicPE9SrY7UF+8B/V4HajptyjWCQNpMSr3leSVqgpyNrQG9q7ZUZjYsnUV eLdVoQdeqjyr0y4lN7l0WUkqoOwzc8YTy0vCAuW0clhogcKm2wnm8rM9VpxtDEolSpQRT8IMKE5a KcO9vr0E808nb4kM883BWE41D6gSiowxNRLtpU9bmCw4ZFed3BLXUjukXYbeTB5rIg+K5rKMKZKJ 4RL6vbU263cX2VJ4KLj4cQ2JmqWd/v4dltIHuOPDq93XGARoDsio5UUPW76LpUPT3t+aRqW8sHl1 lTkIWvulMpSUyoKHQpmViNmojcovsS+3wcEQUJRiZ6Gw8bWa7/8ptRHVXnWrKQO9rwfQHwUc9pL6 ptr5jCiuxD0x0cgAxi6FCXcAoDUKdw7O1gD64nX1+C5TGjHjELVvqRt2LpJiBmJEf8A4mMRKn7Qv itXxGwYRxIRg0vmFuTltrdwC4aUTE+c39vaLJn4ijQ2takVehAevItdE8GgsiXEC0ntpE+gpRY4S Ym/5UVr06EtZOcaMC0i9iRSSVjMdwQAidODMLo9+25amE6z4SVgYOH4PNpDedj7Sy4uNDmoan2Xb 8ZXSlaygl94lugpmLx9JPAI61+H9RP5wMoOSXMsZpdxlx4bQ8WXTkqa6qZTjQ2JgidFJbNXkC5xE WoSP3wk/q9C9QetTh18MP263udOIDS4lPv92MUdYXH+/D3mIOdmxYlgGpO+pXurVABa9NSEK0NDl 40wwzrNAybd0vV7IMoS5QfiqRSQN85mn6BV/cqPcG81OVcq8SeKPLiNvqhCv2l4azb9NFaMMLlrS H+2nZxo8wN2JmmNwy7i9Y+XTWMpvTvpJkVMX7MUDRUFCsRgS/nTio5ysE9puFpoJ2vjEcAiB25oM U1eTEos2/4Ia/CtU1aj8E6FSCyzFnS9iq38NB0OJK8kEDElwyuiA3/+SP7EiCpfadGp9aaZqCOG9 w7nkw/9+sGIpXrplf79SOBNYjmflRf7rUcQDeoOaVVpXzJ72sk6fbkb1uZgawiQcfnUY7PT2OchU pN3BDg+9lE/ABtJHSGboREhyv+ZsKOi+M6I2WErpuSSDJ+jppVohKhZ/zGtxvJJ0rNb6kBrv3ZZq USEY50CS9Ovrq1ZlyG7fslcXACkJ1CoRWVjykVi70y5Mfxe0qP98C4QFdo6TW+UtlGeTPA7mnTzM D/Tfr1nclmaVkam7zzLtM/E3dhecg+/dPh+5bZOqoUdNAfp+pgZphvAgGg5pSV5ll8dfkIKsA+oy HUkTkcmet3EQIBjxDKEms+xtZwJ4X1e/FbfLhL0M7DhD9KiJrkuM+NJve9vBDn5pHQeu896vPkjK kUoNiy+iLgiqItklCTFkzAcG5Cg8plFtuidqG6T27c9CQ/Ou/Bxj+sK8tijHQGZFEVhVOyNn2QVp enFD7qO+LimK8NORn0AIpYFqLiGlUKEdTdfNH1KcVl3PGzzEZCVFNKlxiUPtV7Igp+WOTzjkNvDI V59CqCIv45z39tPADGcJ2cjoGhmEBD2uDRu2uyYgV7wKvY+NoIL/CEvtVXuntYXdC1Qk6llryAhr HS0kgk8IzWn6eec73h3C0UESaVlCafoXnNORF2ygeVyx0jEvjxEkqk5hK/U6K8BO565k/BCzkaeF JbQMCttyUjGgLemZl9jH+DoMbBY0Sqt69mqXuc4WpnxF/1u8wAAiGdLBftv1yYWG77Eq0xmtb+Xh Oyx7vshiygbG88SJ5uEhls4gujKZV1xoTOvRL5UW5oG7Knl/ILdcYVVcF1zq3uPCU5r+frOOpyGd aQS/9bt+euJeLS4OrM5b7eGl5lSEMxxpY9zc/CDBd8iT4jQ4GIe67aoLpbaXWHtBsgVI2spgidrv Mk5seVnrHXSPzgR2hddMBgxij/kkBy5Q/k/g3dsE2neuQNw7EfevGSIUem6gZTaln2z95D7t4+0v ejx0yIqKam8/1ugNEBUskyKIfW5qlp3g4HtoLvJMOwzOYCmdFlXBCMWh0JV87efbCI/YIRUcuX/v E7bT4sus4aRwHyAaWvRJnjNtOnWZbPbkxOfI844CpyTmCnxWfTBln/pYOPska1+s5ONH/2Jx3j1z 8E48OmJ7nTEZxMwH3t6WdTnLVZKVHMDs0nTVVVS49hkRoAEyIZZyV1drU89PBhQ9UHVcyT8q9tWA 67n2QrH5H6SpCYHfbDA6+CGy+6N3lCpdiO/N0eCOwQSLGh70WaAA1xB8fpDHqtbWGWsH2T8JyYLg xPaYQ6sQm5oq+aFV9P9H7zoIMlTA3W0gvA4r//s5NkvZ67kF9eUZmG40FfyRBqDt1NbkJPysUdae msCc5RknoNyHZlTdyiomLDSlTvFfZcv0+l/W4eOx9+UTwTeMh+AHvvznQE2N8d9kGcaSmJIYKU81 6XwsizhFJuhZaXty+i0oWAlg7Muv4x+8nKzOfRSOgjFdd42tWQW8jChJkFg0bGEeF1NMUkrel9Gg 8uiAuadSnUClVkUlyA5AvDoTOn6XfFK7LA793MSF938kDc42BcFxGoUh9lRb0OmJEFBntPAOfOPV xoGUyVBRjP7Dj5+U60Z3D4caqYWaS5an5boI5kVEuzIfKDVzSpPfGxSEw+LFHhbXxekHXoe+QZwW +6zcxXAr0M5do3WmIV1QNhJ2fkcKXUsqPNYhfvi5197ATxy0qlImkLmd68/k5pkFXI35VcaqyFw3 5usJicbaPym0KCt9jWY+YcKHoCIcESRGwdx1SI0OHNzo/0duHWwY8LE+pWWqQGw8+yvrUUvayApW lUg5sACcGafQLe64RjZjvWwapVg1ip8llrTMoHcEFggSiWPY2Q2tRR/TyZ0zp6IkazPuwQpcs9h9 NNbeGgcnL+Qo9QHMEKlnBcBajKrS+sEyEooRuNjOxOc/yeQ3TNXVLeqPQGhYE8W5Dk527ijZr1lq d7g/yGto4ZPnYMvhRp5mr6hRkZJ7CYcX3nAzwxHjbRLg6bQ30XRLefduNUFCmljpvQZAJKWDy6+2 Y1eE3S+gT20h2XfAUqsPqkAv8rICWdfo6tkJoJ8gRm6XVkuaReiPX06GLuuENDxqRx2ZumKxtw4/ m9Q+tBMSPRrw/LRdEC6xCKsvBhARTNmG/Z+4oXtb6zJegKdSAOElJ16+DcjyMoXyEhPkNzbBzjJW inAZR1K1dP1OFGuyV5+2wmW8dLDF6su2fnF/MYKW8yAjwP5gfailL8jQH0YgdCLP1UivDI4IBEDD 2SAhEoJP6yDKXiFwSQORt0meiKMO7lenb5DqO6jNJve5gyiY43OCT9uyZMc7Ft1Zff4yGQN1dgnJ x6VrUYStbB6lC8lVdsSNQ4c6iD9QcK/wrOa7cS+1QIV22oBT+P+2TR7JfvjyatE6X0ZIgRhMe+I5 uqlAisvE3iVsc8KueU1bdGoP5pnCvXTm/vxqQ2775aJ2DUk9p0V3swFS+45NfZIDmkNFUE5QnTaL pTkyb3+WLT/LEPNVGjD6CJthoHFJTDR9Wx/pblBfJZ9TUXk/55euZg0/F+ITSJaGKPkDa2ijPLX4 xwGukAAsF6KIuzFp4NpCeu/ZVRCAb5W/P4Npi6Hx+Lwqs5wWhHbcGUW3MACpw2U/2nGtxpmhXCwy f8pkfWYqfzL9elY0oQRIAoJx8cz3ojIXBMyTwjlKnzaBJ2Hcj0a746mfBoN3T7fnwQMJhaFAEY9V Heu3x92/YtGdQMZX1LiIinz7M0Y3AKLUXqU344EAoxmoqlNbFq2Fh9OjRgo3otLiALi3VUCn1jIi lpTsRVUdN48j1oxLOlg6GDE5ZU5/JNv971QblkEcXz9PKsb2qNaFiJ8guiIhm7qrmV1bJvknwt78 MqwX1hUe0AHavtKRqANlrzAsUtq7sYOwUYkcb5nF/lkiPWrcjdsFSOBo0nGBNaELwbHnL5tdVw/g nslNN5PNXo5FA3FNujuScFonoUec7pqLKkkjgDuLihOqOfpovmEj5stHTppu0XX5Ri7dgqiE8PXE 39ALheTAROZ4AvfZWhgws0E097lqnapplbmW5xAAffBt3zEcWH3IhLX7iuVl5l4IuHeip3vGmrR2 ziQenwLQnbU5GKyruWROqAIlCWefkCDfTE/9ktW0hkmxrtUujkfLv7D6koHUUk4tOXSIoLleMNtj pCXcVJmHlDNyvzNrekfwR+yqWJQyq6aunDxI/p46W1XjKjvOpEm4OqWCLkaJsSexj2s2fqzmhS0j S9y4JAyIPASyc63WMvVXSi/jwFtDmktVG4LuHR6INTelE9Z7i6XIYLvYPFpnlpEtDJwe/NNNClW6 ELeBZYXkJtlytCIRwZ0oYFM2m3+vdab45bzEH5N4B1OJWIE9POfIwa1InZhEzpcRBCMDeZ/JU6p7 +3JD2iUYM2/buZdqDrhG1Gk8IS36GzUwbhueipV4BOb09xlgezb/pTkc8QHLEq8n4EXLzb0yCmuX TrHxfbrruQ82OtqDvHnFsB5gXjqYs+X2lblWyJKE2b469Sj6dYUg62coxDXVLoRiY9qD2sit4yJD ANyw3dUu2qAV/I3hx+B3EnnH82bTQlcV/FrN3tLmh9x6JuqqJAEDJZLoQUyURp1/CbfOvhGR47iB 8zUmNlv2ZnaJyHsXrxUWruBie+UJW2JFDybnKQrU28ILd86nCJ/1/1gUNNNVV5BsLhlSOPH7XI3D zPaCxFndxt6gaE+iPjTYj5nyupSgmfI5krmKkoRvzDS/x6dWb5T4pSq5rrYmKbWyGblWAqhZWAlz +X2y1p4LERY8N+x+Vna7MjNY2YxJe16qfB+PVbmsShMzzt84Q1OhjxbwBlf/JgK2OintgDxRCEP/ hffw2BzhEFBIT17uGEksVWQCSw7/czCJ5W7/WgXEsFvgbyTJCMhHm2p0+Z2czt7V4t4Co2kJZN6K +72tt+94rsSYMQIoMYruZAU0g7R4NXPGBDn63Zo8RjYiIjTnWu6AoPGsHq+bIwW/xN3ff7/Pj0ou 1rVvcE2KEZVBSS9SScw2SfNqIICwu79RlbzTBFq+M2INLUXD6SgZ4K3PKb9udZHzW7e3nZJ2NHcj pASPG1/Gp7KvG5o3NqY6yeVFWOCzLXxDV9YwwnKAgpXVmeT2uOZjQ5GOyUk2fX9sGtto8+6V715t C3dxSA9+vXPxz3lnKpHpVyIAOAluW8ORzHsi76Rba+wP8bYbCnna12i2WkVBNAhwTJynYSZJF7nR I1aYAwDKbBFsd3H8ssTPZyva/+/yLCCPo6Jnq0wB1cbk673MEqFn7R85k69in1mXnKsEWb0XxJ1C z0YebfuK2Ow20nNKholroLvTJQrE1UnZU5x/y9snm9f43GGhUNwODnAMJjCnm4AksVg8r0Chxb08 zawf36WW2cKWDudkgWXd53+aWo6bQMHJAqLjT0N1Z47QX1hJNArlXQNYNN9wgpbMwL1naLJgd/vY eM9offR4gFa3mC0YgB+u+QSqqxCsVUHeUYayrgmDiGI5rLoGckbYw3QbZggC39k+lkEv3qZxmOkX sgoOl/q04+dYXxFsG147Zl++yrXX/ZPdlH+n08Jx+5omC9R7+FI8Fzj7OyGagAJ6CbuXlHQ0wLsL HqCR6pCOUi/p/6xpXNOaGDZaJQG2Z6YWU5IWh06BVBkG5ODsRp4gDeubiqhG1RrRqokbMKzeOgJ/ iVRKn8T/JAFT85hfD7SbAPb+8aqUGE11sxXEJziCTJZ5Qlpsbf/lWfxFbH0Lno8Sa6jS3jGOBj04 14vOPETeqJLYA149Atksis8tETPLn31Y0HvDRNRiDSeIIhDxsxTBdBTX3sFij5WwnfOVh+rhlwEd TCb/asYFd+8e1xDSw5kS2tg1sjc5XoyRFqcCCIEYZ3nYquh7nVTimGORO6l5efm73Cuzs5bYaa5C 2CX8D0d+RsEoz7DGlBNdCweXbH7OQxUFcLgBPTXfNBU5+sJIPS9oKr2nn2pU/pBV2onuKslugJsI YCENtcMVBvb5kUUIdqds1oV6mCMzYkdt6rYiLo8fiFIpwcKY86bIcqNWTjyNGPdVrRKSAktbrHAL ang3fNXw49eKr3FRkc0JyOIVeAvEKak306WD1Krm7h0WHDLrk6fjO8OqV/HBzoVc2oLyoFgdH5Fs uuHv1bEnMjHDajiNFM8wdWxME3bAxHknpSKaPvYIQDdHrsSw1tvasfj03QL1uqCp2OPbt9+MytJM EzcnWA3qr2aZ5mqsYD8q1datg3p+q0KCjVVHg8/SNISX3W+POhUumgm+Gh6pispQKObuTv/CWtOo Nyy2KDAbJpOuzYDoipcDXgpqEut1Pg0vRJiRKyQjvraMV0iysPNZrabmz3H1OXLAwxnhSzuUTzUV 8xPU6iCkQhvGrHN5fXMnnUTW0YuI7Izm+JsYinu75fFS4mxb7M3IVtyRBSQyrjnm3YfhloDdBN1r CTTRy5s10Y3ikHKuKDupdxi7gv1yadPY6TUIC9KR2eVHnuI05e0Pr0uI907JForMlY5Vaxgbjwk5 YVoOUbnLMae8RBmTSm67/LvpcSKy5XvAgLuxVI+OyR2g2CwsgNFZen792hazzvHdXWd/x3sPWg8N tNJ1bvalEF1XLwDhUnEEVYJ2oN/Hv4J+88hEip7kZhFr4cD2PG1qvbLwdG+I6C6pfYdU7awRMLsY gH8eRTtKDxtGOAeQs1c8aYZoYVh589Q9EhbUcFV0EJ92dk0FkWP9eYXJxcz/j8Yrl2pFdSsktoCh PQMxwYTUbheZbFl/Bl4vnDFsfpXW9r+zSjdtvsneDAbq0IKro771S6RFGZL5HJegeEURv0UdRNsf UZccfHgWEN+nOw0irSJ+V9iOO22ZmBl38GDyjY2xVen+M6DtKJi2Jmi2R94fK73cip1w5+l/Q8eC RR6zbEGdVHPGc/PEBVG24zMZmody85NPBmYJMNYXRam7a3Aavry+Nwyilw7ArLtzbf9Tm2LQGcJv Jzt+xmncGrn87yBWRyVO0f3G+BUiNAEIgqYC4PoyFWE6kNL7ZlVamam2cw79nkFZ+X51oqcZGv7z 1HCk+mi8rcOdNFAuTr/rK17SBA7YMZNqX3pTTX2CaDhEi1lSeoaoKYUrP6K2nzAhJFxUriw9C1oe b7/iayfm7Wuna1hIXj1i3wjazM1i3jsa9G7rK+khase+Olacmc0CmrqNvbwsyKX9Ucw/sttWT/ay NRW6MptJWhHJ4r8pYOsowPOf+XmdPIzUvINdT4XvzwR267g73IQD1Tkge24zSvOZje9iG/MehmGL 0LQa3kIVJVjUHpYwxij4ao2C5sSRc0sG02z6AwZVNezNqZwjsdhs442fQl7ZFAGGRXgKeia7D1DT F5Cv27ry/FY6p5hBrOGFQEaTm5jX7cNfx66tP6qoQ04ts4mWB25RSI9STKIT442ogDbWsVrJCbL9 OKeKxFmw/HXb/9gd/CsFa53UpHHF7XGRxqZuolieTIq35HQwlXrc0N2mj0e3oTbXrEm1nu5SmbGf bLRvd0Qy7FkGwtcMxI+XGdA8SzKL3M4FeTbkH6K2qsd/yp5L9hAdkSDdv1TlVQZeYVnIyYMRqR7W IIbDSfWrBvAEBPnn8ZcZ6k2oOYl3myzTdntUXa4gSFL3R0c6XEttGZ8Zh0e8wI2kMhX30IYBrfp/ cwDby/+88Q+UEOf3EzRpLBWLRiq6mtiHFN/DULzVPRmUY/udsgdalXIqZIql6eNjh+APsDCmuQ9m J7qkaFPe/7vNlhYPQIMW4szb3Pu+ORQSAHV/5PmbyWSJ49RkLPXvDru9GrRaqFz9fUxuVqjFDwkQ 2mQST9s+VCp5VVHU+AMelavLAF+XO/PZTsrzr6ik1l0oJYXmn/I2PDOkDGlqc5AnaX+A5CQCqcUa 3aEK/vbimyNsMhPGn+6lMNzIQ3q8o7nxSvkukWMq5FQzlUQpCdSzmF2AFQvYnYglml02on32Hb/u o0/w5IUUPYn/CMFbo+OMz3N50BSSHTFozmD/RvOxTIq18j2l7aCA/JKGMHdW02UQNEvCgtiJeXHd SPi69zZjBqBqYn5uMXC6DGO8U7/Mt1WpllVfZ4KEUGug2BfdSDFuYJLVf6o0/4y5omOLY774lrp3 hDj8zgNouooHzEwDnU5J/PHM9J2QNdr/yEb1toma06Pk70yS4e5KrYdocm79IDqMCncEZYE4MJ+6 0vUc6ieAgQOfZDS4Per+Q3WNNhfRKx3Kt1Sr1JzoeFekOL5DOJpiUsZbjTSMz7wB+cPAEfs4EG33 lmzkpP0E5AlM2uMqk5CkYXmOgLGMbB9dLgofWrLoK6ryya1sQJlaYP5sHN8SL+7yfPhhTpyBA+xH 7M1RY94Q9z6/sbcTVATbRi12Eu2D0LQa/FtWWec5ruxTcZHEXIGGDnm6wKZuM5EToisnQCFqUHtt n+E8zN39KoYASWVP2W/cCxT8WFgrOaLfxHn99zi4ZYgerWfBUa1uR8oTCAbTXwcoF/WBsNdGYGof VwmhADYN2B5w6G/jbG0Kt/2kjAN5V2sEXraONScu1DBWLKN9H6GlmeULCVKy+9JBex4oOw3/d7a1 iSpcs52BRb6Q6vAUIasxFiAIoq1bhySq5ljNiV2hNIHrR9g0nze83AJIGxG05rZIgwbzWb6o2QvM TQe++eYtGarn0dC1jgRGqNY2oTNlIXMr81HotDvA0UBjMYpilt/P2mRe8aposBIvYoAl0JpONWcq bdEEBuG2+HXLps9y6AU8p0C4f4e+LsUcpFeLpjznHks+dN71NWE2RFt1a24OXj1+QOiqcwI98+8i qW59Bh04oE8b+JgAtGjeu1QUL2+03qv/ztxN1p02Wxd0i0RzQlxXa02gjU7DXsXsHM3nyVpJnjRq MENjoZSOGR7BTVb1Iny0i3pyZ+2nnUTYBWC6VkM4s5xDg5g8WV4An40O0qDYZmhRVTKKnI3atAcT UwwSDKim6oTf0sJt6peiIAd7gWvO099npaBHree3LZt3gZogQ1miTryJUeouPdUxwT2GWlxYkUWA 8IY+sg5GSYD2Yl3iPAqUMF019wC+jZlV4M5/E8DEn96d5R8UW09mAIQTiqBS/HtXA+7jHRjVCVyF N0zxs2Kqeh3nQpHD8NlEaf732yUrnKXeYbzaukCPL1hYztpkL+2GjSwpQwLnxuT3OX8vGSUSX3bE TsVGG7VHxzMy708yPwtmWsqKCGqLS+o5zpvypanu1yzuGDv65is2EfBf2Mt1IC9pee+DkMENCfAs s/wvKI6/8dY3bcotb/DaHCKAwlASn7ZGsTCNwgi5UhwZE7xl6JSsRibWCaYGrYh80HDqvbhiuUxR RN52BjWi4e610jCSi71Gl2AHwK/GyQzAoj67lV6xeoVZtLmerZSKD4MezjTW2PzjxK4nGg1FCJpe ImaRnBm+dqIq7g1Lx0v8hIEfvzuY7oo8gRgNI71VE6oL/0EPxWvfbfjlh0rjceLj0IvogETRcvj1 j4nf7TLLp1Nt/v1TIjZU85JS0DYO7gLAbLRcr3KQix69sjbVb/NH27OcI8tv33HNzhxvGoKFKzat lGh65w2MlKEodf8qD24KSe5xInAMNx/DjHditeb4+Mbj+pb3xDEULo7uCkuSDVCt41Jdq/dDcUOd sF0G+b9FFRVDnlfj37GAwQdzJIEr6LYt5g8two3b+Qgt2EhiDmoOLfo37lhVMfdI4llFXxDjTdFS 5sUoAW8t5CGnFdHgX/bl2UbUaAyO1aoL7yADPdGtghQpNmeGWF1md/SVoMPrfGs//lV96AB5nc7x u8s7SjvgoAMVmulYzovpedZIBowkWzN0rLEsqDI/4h7Xq+b1T+glj+oBIvtvinf+pHE7tvlCbjLl JNPcv/wIitxYb5vlT4O56Xj2rQhXbh0bUxB/TIS13lgL0nwj5FRaTxA0dA9Y9EO/LLcx04m3EOpZ AsEZOYuoZ5APZp/seARPPteoTuqLV+wvMJ/cg93N+CmVfu7SEYfE0O6z1XFiWqR3gwjnsphFkjIl jIi2F+f5IC63l39B/R1DDB1q5/jlpCRSwgJYKarRrSWJNA8TH8HJSX+HRm8T81amb3ZsDUjnN5Cb BNhKoDBOSJiMWME7GW3p9oI0qsqecFUT3zK4K6eJRa/gICwR1qhRxNAD8E7a38RHYxdFnx6y5jr1 mJJkHDn+HdbPW9rDu0gtrI67g/ZRuYS8/cBmJ026l2NLD8bNC/F4DKKIp5/nXONQZngLBq+7GR5O yLsyrNm6DZogoj2axsTsOhraAcXHfsL+lKlCt4KdgNKUWXv9clW73pSYtA0V56ffA0qJw8T9aMn7 j0DBUBBAZI+kRNmAXensdWssKYLnmRR6CEyhDL0PPcmxcievnn9KBaoV9SJyo8hYnPIonxA/cAlh Xw5LRORCS/FPjKbQ1k8TTI7E9sPmkEU2h0DEu3PlkiWFGPDzzVMpC4rfZm03P3oAB6VHsXgTFICO o68vfv50dzyxj+F1T2AhFImkU6sixvADT2uaN4EwYJ7iIqBRIHyQvISrnIG6YFTD4jCFcJcI/NI/ TKVt2zFUbgmKWiM88K65pVAhhWnD6nfZw7zBlP1/RFeUY/9X20r1Dr+xE4qosfktH8qJO43Fys1v pEbKyt8o8NEIGaqqxg3TXsenwKMnQc/qOGJrkY30Os6C/Vz7ozD9HRPyFgXvlWrYa+8wa1MlGmV2 bT7ghi4ZShCAqIJjTYEpXUMU8h+VPTTcbbSwxUI/uAv+RgsGHZQEuhqbi8J7IxSZsLM97GL2oecz YrKskPACcDH7r/nrAQ4CF7swU0LAx0UQgV/tOd165eyqTi7yaDd63xhwZ9cccBU5ClXwweMsuFtX bQfFCmNFfYmDKJ78V4qhKIkwvDut03Q9nbibuDTSvnJEMBtpvys529fO2nnJN1yAvXe/qLy55hY8 6RQWnZIPP4Lamj4HjrunXPgRg0NbDAN1pq/Qoe/EBiJWO18KJLBbnyTutJ+fcV+Yc1g2lh2tkwBN WUSUET1YU+1L6SHcCmYiE9cBcWVYHMsB8NHW1DR5L56zptxO3ZyxIzgVrVUxPf3VamdScbH1N8eM jD0Z60BohP3EPcvhR6nNyu/Ehdwky5dohHDATFPsPQ0k5MiieWoEmK32oyuoMWEMgwhuv5KTHgnS Zm+27EsDBX0msZyafQObBs4r7/M7eV0p0fgXiZxv8wx1KjZptquSLmhSl6LEX9iBaRsmcmlmEFm3 SyiNUr+Atd23iy6zvZjrjSkAkvb7SpaIpNaPIsV16LNXGYkccQ74nmgySmHTYY9JiSPHKxcKswwh gbQmMFH9cGdvIOF7zeS9qjhdk0W5XKK+pk9fi3pHr4Mj3Za+F0vmrV/Gx2YOOabWwSxi3+IOvPTN xGHw7GzmLRoR8ADaQJjwsjI0OXg9VTbRV8smczFWLDxpNq2PVnlY/FTq02sW+4te4n3QCOcb89YS WbssyWH2FFAWUdDxCn7SGv68/ZOpblgUJjQWesYld2oMuUtrcB4Js93G7c+xN4j02PPtL4fswPtu nahJ9L7vQpH5DLf0braoPCTmv1Iet1XTyJtUv6xaVb6JSj2ypWt5a2k0etbswgkBaxa1WhlbY3uV qkjXMDCVnLi2qLOGG+9yBuKYKZEQHZlEkYg9JBBlzdp8l6o03cePyk2vxSvtS8SrEkXZw//W68dF Rl1NKE2b4ZAnu7hb2hBjqtzfFkVeLKugyyTiv4GxA25YXVWQvYoV3S4AZ/4A4cd7XbJlPo0Iaee5 UIrKoOSGRVLmFmw5ReWlSKm+r/cOT2qC4ghiloXdbBc2Z1ATnjEObsXFdxL/pvIFRpGJ0ulaIZoF /H1bJWbbfeUkGeCWWLmavdL10Jw8H2dFwo2VlqZpHRuD1geE3HO84mJICF+oiko7aWsB0mMaTGsl oSTC5QMRyYv0db0i1Ddhot/4LfnQK2fyf9fsRMIKnpnxBVF8ophlsIz2g3gLKKLTtXrqNztRHpch lPt1YPcQtillStQRQY4FmYbWxSUJBnOEBgkbsXjmUw/Q9yJfiX7GoTumMy30O9QQfkU3Vc9SzcsM 41+GB+ea2WGksL+i+JF2FsHUu828EL7GMYTC8PveEeuAnMJXo9tcOu9OnW99d0JsYf4XtO942pz8 xKw2ZF7hC6M179lXYz0sCyscsf5L+2ZTCaGOO+jXBK/G+seFFyXrVPbPIsamHmkNiS89e8qtgGzy Ud7nCN90+GtJdahfPHMTz0Sto8+HpkkkTIf9S1Mfe2Dn5JVSsovTwfqF/slImBFOsULhzFq4V8NA h1rGwukSW6RoOkXiSjrkFPlah7Ie6l01/0A1Ml6Xkw0m5G8pwnO2CH+4V9FhEAICijWIIhYSUPbe bV/xqzj9NeSD2z+6QKqiTL4vgBFeQR3wyJkUyZy1nlnliGhheVCDwQXDsfUu0cbhllb89P46w7me 2eMrL2qJeWFdl3JwhkV8oVyMas0spoqoOGIatCl3dI93swEYsgo69Zz4udvxTNNdvzjbUI1Te26v 2FvXLZzCD0cpUNSAEzaIW3zx8JPw7J2ZoWoE29iupEu3vSrm7aSWwWIjUDN3CBeh/vwSUIH8bIU0 esIN5Q2SO+YwtQWY9+LXlJnOxXMQpjVI1quVC1kdHOYJZszw+31F/HUbx8fgGfWbIg75Pp7NiNKp 654PQNTNTtFhpadM9fwfWaICxaf1MM4diq8Gsr6nfdf9bcrPC3yYJXViL0NniXRqlLa85zakc+Em 9j/eNuB7Hrfwx+ZbD7c+8TajyzPDp4EyHFNSyxVE/vy4zB8rWmbWnZfITOdv7eELCwKMnLbijSNs L6m65VNWmCqDNLl51AiA4SYYhQcAXhhgGwGoHReMx+jEoYacKIzQcz/erEkxtg2PQCGsDZN9jnUD MWAVu4rRueVP2Cmg6bJNRRho0GwuK0j5NcapIrCXTSUQcmJzvSPL00B3PYZOd4SLsXkRBR0kUHIP 6k6vJ+ffJIyIBVd+Prr3o5RWvg0qFTM7HptcnmIwudY09Jnrz+MwXF49vMzpBCDXJf5hqT370IKn 1Vb+su7HHb6ctNuS7UTOvJPjn6Jn2VVnSG0BERHwP1/ZP5IVrWsslegcqht2whyMpftor3TEDo8p z/OCJFbVW5RZ0DVzZLZa+Z09RRMaUqgErtgAqQcL8ICyMYd/+x2CHtP6GWIcB/iKE/LDm0LTeQIs hPcXeSNHitcJGMTUc1R9INciIUYxsP7Btj3rE41yNhM8230gvMf7YjcokuRssF1dsCx/t51Vs3sB /O03hKOipOvZ4FUNfiP1heoFWDrgACAihMs+i+mG2HW4GFLHc7nKxmTHuz6Meki/Kng5bEe9DaJl JIDkXO6EDX7APJpyvWqF9Ati3DzERZuO0aEfGdN9/buddDG70YhoBSl0NT1YXQAHfFN8JjqNK0+2 LCI3pZMLDS3rD7cIle9bchfrfeRv+gdh5wuQ+GOnlIm4tCXzIGbidDvNAzPw2ri8cZ+S5LqdxPct RONVcnkTmvXspP6TFr4RqDv3mbgzcYbibwTuOXNCP/EbKvkn48qBJaQ41NzkWCLlY0XLFfYI5dDZ 1OwrJ3BcjQyBcQDmexWwJbbFT5M07yVDjtf1NOllDf1YcCa7t23voaN1VHHlH7T/iPucJmiRJs84 lxr9agKCMKPOx/vgeDGEl6uYWUsMLN21Y+1P268YBTS0zUExOgyEEuo/19Q+KGjXt/38lKAfmpwN DBeTnSv/3gh1OpKz+8oNbVUQzFahYou9UfX+9+bTiZUTX/DPTcIEKx8yRgTVPDvt3eIf0V58oocU 43Lt7EgoNZKSktlOr0lcdnKjuhCJyOtbnNiGWy79SioEgnzEfpO1u4bfGptoAT7LhFKgxG1gtoN/ jgOU60nYcbujr5jqwvXGZ3keZcMhrkp5Ny6RXVKJKJn4Yva3lLwKYyBxYAgm5Z/FsV4VnQnWf8LY yok+bwT6HfH6pz5Civtq2Iv8CcoOvCfQsE5mC91zq2rJaE+HuvFiJAjEVRj/hBCqXbB0dzr6CI31 O818cgjcSdpvwp6eGjj+vFIzA97mW9iXTCWM8sTdCDrB0rRy02V3YVcGO3PB1NGovCNmlyRco9L/ Yle+c2vXqvuPc3y/Y9GFCmrA3hfjaDwDO/46soCpVy4pejAR3+rHB/mPyxbczdYKa6hYgmK6rBZ4 YlzM/rJMpREAvXuDA20iIIu3W/4hpk3XDsehxDKfeLoZLl1djZtxT85KsWH6UFpUQo5FH5m7sysU mjhFTFu5R8+CpMw44Z22CF0qJ+eWCwBSUzwwNVXD6h/9edTgJmnt5JqMERUpQB04qQGeKicgspt1 vTKdL0Xt1iuFRXdB+xhwBmrXAGBXE8SXnSP2iUWCREyG5FdJWurg9raemp6WkjqFD2UPY8/3XDWw GrI0OmeQqYLXS9y0NEMs3O+TGuaU2emvu7a6Og8h/VF0pxOuIRC9qYoO2mL68y9MgmKURTQIifv1 yoblKzlJTqXOIOfVpN6tXJU3pGBsSVjVnzA4jiVoSz+kzMTYI5BfvD048DQGeKuWZ3pR7HFMTIAn 001XaMaZatK3gQZ3MkfQHHmObIrmT19HOPUXDQw465NOgO0ie2IT/dc171jneZV3+rQvhQttfwIS ovkY9v+RWoEcGgRSzTOOhrW6zkGyx98/rBjpDwVxDYizQ+ZxuETZveKfputZaaxYLUVjMXbXGTRU JS9Vu/PkLLsoDClI2olARNWtiRb4ajy4/zCkFVs8MH2qcquO9N/ecrZNGUNob5KlM3lv0JTcEMfB l2AEcuU1dy+UYqviJqnfYiBK2XTmTW36IILVQo/4+ArBsUwjFOi3y9MiP7PqjWsf9j89+YdkGaws B9895x9QN+pQZb9UjpzFHYmWM5hUzQhDqIWM8OuM5sgUJ0IIRLxd+h83cLJ97iXaKEN6Ne9uObjE OGxScNXtXt2Xb29Djv1jC7KGXVatCtS4uudeht0F53xWnKkoWNRWlIlBHbdbplD9qzT25NwEqxuU 47bI4Te51LnH/BzdNJ0LvXoFozQMpRZ9dMXD0WIKP2EdMc8H89/7cR/wAolqEAs1V8Ax3I0YVNjt D8r4Z2CibUV8dTc0fJi+R95DsNEegUOWllBPRRoNnYR7XTgW5ZfYeeLj1wN2fQOjOcwABb6LCInk gxPsQUGzjNUrsdYPpBPhRLK0kjCcQ8/Zv21B4AdH8gchAPx3cQ92hw58XEZJtKSfPy/yFDej48GW swbXTwMPRrCL/ttvd+N5JF1KhPhJucVdf6iP1FS8PLCLN6+nl8ow5aFgjjtWG04ACqj/Ma3fld0a UiAmaxURKomj7GCr4jMlut9+h/r7tRxJ5oJvHPAOxL8rRfbT+HqC5FzyrmDnDtNQSbfIwpKC6Ijv nDmOixOVnquQinLHquuYc40mHqNiTZLoHSsoE88LhR3f9WNF0nY7rPGRBkV4Mpto65zqQjy8NPbK IZS6r5qEJBUKq7tozzBciXFEX7UskT45K9jhWhhQWcq8YTtNmY9tKbAf9XVzi53lR01U0RBe0RmD G3c5sR8Aub27RTnMEuQ2w2moriMhzLKVxcPUG4e5kEp4M13gkgWHAn2MnonWBfGVwNTCcxXalgKH TWkt22APBxomXMFEqBK36VUZ80zQcS6nQp+IN2apwUXreTOu600wOHvfswDBlv0HzJrN4OPj1rPr fiaDd7SmGEId+pxEiiXf8ZBZx0/Gr1RCz6YH70o1KJzp0yq6G90vblDQHUN3irK0OiwCLgruwwCH XUrnlpvBwPUYlaAESiRNH3unb2Wqd0TVKe9cu7KgfvvY6Xf8I0HLMTcNBMODwabogCFvIoaxkrQh YVLYAkmGuDwTMDfDRVE8qsCEzsJNMvcjZFmjpj6vGDtbgwlftTyppQbGn+BaxnF20xW8uucl47/P JkUPPMEvT3nBMUWtiijVw6nPO2nF5sdCqWPSKhZjbyq5kXCHgJQlwF+gVl7ZHfj9rCp5vomgEizS E8z/gkUNW5pYEiL+7Iem2CX/EmxH8RXg323HupARvfelCyj3Bru3f+uXBRGyZ05IZUEpfQY1YFIj TNHjFew4hf1b+bgSx6kQvOZ0LgSsAPYLcFuzZOe9Zk5/fQTw2Bhwn662AtHwuJBhqAQUhy0aUsx1 b3uBN/4+ntBT+VwlQrpaMvhoeqdXdKOCclvlBkxLTQOaOUZFc6aLJABLxBs0g7EcqDkD4Xbdmgzh Tw20MKki3LEz5buhlC86Jfwdzf+ebx5zevdgvAE03YkhmNitEkcqFKExsHskPK/to/lvXYmTCVfV 8hr8sT151EifUsRgMHz0HIWS3IrGtMKn++oPup4RyQneM3/Qe3Fc0eb0qtg6GpxrB/LDBsOnieZY 69/8crnvvQ1Yzgqgp08tTTnVtGkDsQlILHLmXwEn9BHaGzV8jfLJiiw6utnWj6WButiPu+IUTHEu 0hW1/Nx/igjlS1EwfqiDQkFZLJ10Fhi8R2As8prClFWtPBUmjNToaMwqlJIWODaJd+ZI+ITdSguX AxITlMUHx1IZHF7UcV5YlXzzbIKlYDT+U9DavrAtcTR7y4DVw/YrE0fpHma1gHXJg+FyRk/asUGq ayxRxVYkdDrBKGceCvjLUJI4rSRtoAMY7S6QzmIc6QgHWq53zQZIenlf6vFe+g149MwmhguSRkl5 s0rBfOzBdNCFKdOf0af6jSdNJ/gd/YgoM0nEvDq5czEuJbTsVjGHWth0T+T6Nf8vKALBUMpMYOba ddVXUsKKrTPQken9ocSBOn1r8jWX6ExDMrx640NrCLqTAfOxId2jewaQLyKWnZ3+/ztSaBJuqd4Z iA5E4LBW3VNDVcjwCG7RP1vY8794bWnK8O7MwXrYRMhOhQk6sBXo14ozgej+c9PWWcihZtDShyiK PBZQSXaLwxfCTLnm5SgpemXpNnchTH+AMvdsbioJ4cyT4lLnGkRvXQrXrQDgjrFuEnqEiIA9FdfX T7JwQciOPXHkazWwSdeaOA0kO/RZNZaqEor74Lyr97MqTMlUNTUlNFVz5URIQFC6WCZOsneyepaU F0VU9bp5CH2jQGHFYnxv2cE4u8XiMjfbVvNBrx87vPplfV4Xwa38am92FF+VbSO07gqykXRLAQ6N kUQJRnSQHgg3677UnEE617O9JqaXSA/QRWMNHtS2LEcYSznG27ySE+glQB0MIMlpOmoDxNFuLGTp zeKvFuwJ3JZX+1vlVO4QTp1xcrM2hH5p0eeg8xqhsRdfEbbs3FNIStiwCMT2KoXoPoGUOBjoAwe7 SqL1eOOVnrrLvW/8/abshcvW0sz8cjWpgmRYFcQzOkIex7u59xVg2Cd0e9uoav1P5N1ma8eqS38S 6W8x0jljMRW0rwli/YIl039ctP7LGf7GitJwMKbs9gyPKLtF4X2Ww1ArCBNK2ySmvc1ZtCQHB9pD rKVaXSE40EYF8OezzYF3WfiH6VaIxZ/FtULHNL4ATAbWYSwpHRj7aXOGqSgPM1QaE+XEb9sHQKdK NM6s+6KYYLR7fVj9ozVVk/OYThXihQwzBmdhNQvqkEj8vO2ZwsAaTrmYSVwzOr5R918EJOEtECwN F5h9LJ7wTiixCoflfxFXStPiJMxxhAHC7JGMaW9agaPCs3ITd7zesnMNrpAyqcmkfqgBe5PZv+vo XdSMV/62VNt+yrdF7j2CImYKsbjewIC5g0Z0QA5Tvez9IVg71lm41SMWJ05nPr6RbLd25cN7l8j7 TLFqC0E59FyQzoYnT/MSBAPChTftW1zBhXpDEtsTYx2IkAN5VtzGraUpzLc42Jnk3I+2uN5NAAkl sUOlzVFi7MdFKauHwLwzrtH9tFuqyZoivENADoqjA++XJK3PSiGvBZzhwU6pdGslbc5mPp/F9FPI qr5uDg9NJgYviKBKzByN0G1TS+WWHr9KN5T96J8AGIsZHmA++34UGOSdeh9tclivtWkqgIpWH+NP H5rQPaspIxP9YFUSuAN57KSJkM/Ie5j2swO0rN8Oel7LRuvfc8n4bwrE+wEouMFWYXCmLgQAQ4UC 1397Ylc8qCNpFbEEF2HnI/2/TOLiOuclW3gBoYfwHFO4s1reEYabls5dtXsN9pE426kVvI46XKZv IbjO7HWOla3DrU2ojJJQe716twgD64RaYZE+q1xLkK0hUbiDsEqNf4cePEo6TBhsqOOurrbQ+ghY Mzf0Oezxh2TNPIpSvIvd2w+uQlV5VvXE6AmSq6iJO8RXxU81ds6qUxdtBXRiitCNuZU7OcAecB0w hLUC6xWzBSd0Jv3aslDfk8TIDPXV9vxc3ugmOqIh6aQ7RRyOQVK3DpzWY7S5//77SpmSnYr6xJfH rStr5BgasYMjOGSY0bIjMix6OEh1zjn2MBt9CV7vLgXckMmA5jXjYornCa3sRdyTTP1Fwl+oLRsm ODwWQKfCnyXUm7uZHf8QbOzSJtI5rs+jq3q1Ysu7Nlgl8RejJM5fFXumeLOIfiJ6UKL618sXX7vF tdEvSN/5KBcyM9YmAvqGXkjjl2tNn+pSa9zglK+WPRRSnEqA8D3laaANiDZUUjISNRpeeAuYq2/K Zx3yJyiTVj+apNQDqLP0oGzP0qJIqd1fkmuLlL03zw1QwWkwUwdw9AHXiH1Vyo1FsqrMLzqBlPaC DcomSqOrkbAeLJO7RH1q70ShtysYQR1Cge4BXyJnQQLU2TdVd69pmEWBh99TOQpDlJqKwHKUIAY3 H6bUPFQORRYwC380BfQn6CGWQR2oz1pB8OVl3asDGNDwWhCaDzLipMkrlfb58tZBhlDaduUcSfDV u2aaEsAvLq31qcG5L7Qky+tpUnPKOPPP99200J1HOICTfuDs92RsGpFB81oWGG/xIGxwCJ8woCvX 6OE0x7aWdq5jn82BgCMT+A9LYChh36IrSsEzRs+C9Wwfu/zFpzF2uGVVP4PjdCi/voCX4aXjOX5s cDFZ57Aoq9aaYEArg/4NshL26dP16xVyOoBdtrOxN5BFe2c9f9JXyPbxV8/an/cX+g97ltl0FYBV Pc25a6aOcmn+xuhg7nDBtJ3+I6FjX76scBXp7e7udrBb4TQ1KAnVeU42Rs75k4EzZuBhLUcUZBmq Bc09h3Jyp8nA+oYcsQoI7FtBikRHwP8BCaevyg2zWRQuul+t8gSYZdRfuhTv0TOD54cdjJEQrq2+ wfHzv/WZyPZbxNIdKyC7Fh12le2HPl/QiEbzLETd3lCqszF45bMkYutAtlrd6zKrfC600ysQ5Yei 0ZZWsmxtwOXU1eZJ+PsZwaWoUI2OtR2+lTQZWS1+Ee/BP/+rcmwePM3HRGARGV9s3rQNs3VbaFyd Ca0N5m1MfwqKRHWtOGGFqyhtUNPzBUTS9ef0IAh0wIBTBLYWxg5TDYq3XGs7EnIa1IkV1gh87gf7 3GrqYI6H4/HVLIoOdwoy/O7FoIxK68/hMgH87FZr2x0DA+HVmACd2VqKMk5UhhIhixrQMEVIQfSc PaJA2ykjMZY/82BmdyzXnu4d9PK1Z020ZznoICH+MGNzA3Uym6Vz62iVHUIeRMtonZ+gmwd//FI9 iPBGnEefOiHEz+h0E63mz8pVyXu9da66Agk9A7I2Yv6FoQGLMLBDzJrIR5Q6VSWxaOjTMFSNc2rz pHLVtWMAusj3TMlvAKsqkmpHiJ2kGSf+j9sPPLBadknug2S35bfZXi3WNWhC1dgKJ3Fs1YUoaC0T w00P+b7eWaR6wuOsSnoBx9NS5qt8sXSIVGsmLwB4VSVwu9KFTPHxwwD4qAhfKrSHkorPedGYeuZj wzKJ2d1QpzxBiXKyRvCzOHBsAUm4FkkqZG3mL1RYfow7FbTdrKjadK605Q6lLsEXup+OuEpH47+T I7tmeukm7iStmoOv2t42bD+aubzJRLW+3o7Q6lTbRdQc7l6Z2ZPRR0G7KSftoI4vRenVirKKx7gY +lmP2rreqDxkLDYwzrJ+qQmFM+mAWBX4C4bu5/tF/IvnDxUTs6UfxrTA4NOdaMP4L0sjakKHN2z3 E4NgPfmVll/jV7N5sVdtgnc17yX0sFHufe6DGuOFU6XS+1EL6/E2l+2OyyXFj3HPCLBjmFcfxoJ3 7yBfOng+vbAMnS8SOhOEAa/Ke4UBRas4pOF2AnRgNBogxBMezCf2cgziQ/VJUdOg7t9aBG6XPOak oh75CdgrfIl/wBnElwe8HqjmTS0Pu9Kv/dHn5UkIKXfuSF6wP6jREviNPbpK9FOpXL73gAJOtskM eV2AlpfJCT7OJDP8PNr/Nax9NoYPXEZrBkTxf0FygbcGzKOgMCX8pjMqyzNBD1LwKODO9ABLrk8D DEk4x/D6Nh1JhQ/suV7N77Sxv6g0cxOA6FVREI3tLfiY6U7twR/plk7jCnHtFELNIh1/KQ/Iimqg PK6FHMQ3oPh40okiO/uFPE70xlHy9dGTssD9S7sVwRuf5cMz+KiPpCnJ9u+JzixeeXbiaFZxN04Z NRJTVZBEdngmzB/g+N2LtdBymGZA7LwnaYqhNWMeqWLIhCAW/dZxI6n6ATM2/yZmZnEtCu/Acza+ iNbKiRr4zcDbT/f4ocbPDoNvIe2vUYLw26LaSJiEc8ibIamMFfsk5FayGQq81ua+DTP/Vzq6LmUB MNkNAjeo/AxW+qUGk6mCirndlITuVfHb10EvaUI3MwQIfUsBeerG8uiPHl2E6lqtzV6QiKMXiLOn nVSYjQHsNnRmenfb5ciUfAR2k4i0Wcuzbx8jwNYAQ57ucgywUUuYlyDyzVKFQc0Yun1VnfqMTW4Z /2mmPKkDKWX6tYNwgnCl0r2+eZa5zckHr+KW+Lb4uOjp4zyhJ8T4V1LbmOV9h0P0brhkdW4Pn+fz C9BjhvTmconKLy1A04MTT16lvNCg4RbQxXvgQm5QUR2MIobs15n/g/JNpAoAfDzzyR4kudxsJjFQ 7a0Bmtzwj9g5IDki3CcNsEdFo2e/LW9Ga/zWopz5ZKfwxslVZZtmm2+vYQk6LazNWMgsokLLYJX1 UKnjspY3lMXTaEp+Bk4TwR45AUlZPH8MyD3JIgk+X4u9wtD7UGgiCIdkTRnQJh2McJZmcj+5s2yD 7jI25p327qdFiYaBOzIKX/rW9YzXla/jYqifrA9x1LWHPoJxSQhu6zjOgUVAGWi4c7peiYH7PtAX 6xyki2RBM3t5gW8vOYjTesIn0yw9/CWYc4/g0AqzXpTV5/sHFgt+qYS3kVyEp4eOGae8VCl+i3CF 31BNDn/MNX6GNH+NSiJ16lC/n37HeAZKozn9ucCnzCDV5Mi1jjz+YrmY2aYMY80jpqE/Y0/dVLQ0 2AEG6Cfx34P2z68WpwLepJGFMI+eHInQJJm5QJo69r0widw/MRzLA60flzBSnD5B5Yv6CE10Bcr+ hQbJNACio5H0Vtw0IJhI13Eo5Fc7H3n4pw4F7hAagt0iGSdUFAyAkHuhr25SGqQgwzzgeWWxHkmD cC6JwmyEuws1KVIcJ2fGZ6ECyI3xHOAufsEBMDz0zU1yGN1a+5t9E6A9GauuqQ9dO45ErXcBoqGR nl7xUlR81KjgISfPe08POIw1u2kF92+F7NO9X/ZmTOHcc3NdYatJkGDhn/6OFWxFYxeAAXp9ZqX7 v4tT0YmddujQMB/sWy9YbQ+qnUaFX6C+hdMXDzmHum/p6QRAN5Q+CSwt0acmibL0ozSnGYlWs4Cl CapUiDtWI8UFdGxMU8mSx+fOl4YfryDX3i2Er9Ey3/TBrH6arjdYKq+2Ib2zWu8LCBgrDTV8QkrT MB8iJBH9ltATOJ20k5eOdcgxJJVjXdWHuoTbRQPiUGYl0kVCyeObHBsnQXtHq401UHhmWODOGFIG Z1BJY0J05mHVFLsutKW/caXwRHBHyqOWZ+yOH6H4NPzm40iPQSGGhQ1w6ciK3XfJCLm5LB9VnEXJ ws4v4O0a+Yf/Tcx75KigSGiWlX0tH5mnCbWYEU1BQvCqBC4iDxkNJewy0MnAzoEerj1S2jRcOfkT gFVLyKOGgZvYSuw8t4eEkPScBzC4OCCmFhDxOSMc4TPi0QggPSICnhEXKywEl8ICAeWrD7PKkm9L mxjWz8Fe4FW0pj9PwvkwhKotPK5QNIrwhUCUQZwTNIt2zY+NUSiq63XlJY2fndeOSmNbbGa431Ju 0D+KgNJLT80+6nb2669ygvs6PNQjn2aIGcNRRUoIfLOErybcy9PRQoUlCRJBAok3CK2EW9X1Wd/4 8EhEzgzC+/XCOUGOTNxuLnOAWPx1KK9Hx1fa2/mIgW8Uxz8elvJ5XnQ+fsiXfyKf9VHetOJ+M7YC YUOeTPoIFvXQ4zQaqlvGUuwnKnfhf1sM5GvBdRtiB0Mw6At5u14hC9t4KjA4DdWHfDRiSlrH/58r sN28XgvR2FwA6t338YW6ggQooi8RAxqtWU/KJwzKr/mwNOMjm7RmmbbXFwcuEe8cIqpFVlhjCckf 0kW1dtzG0XYus/NTvqj9ZfDwrYZvB6A5h4A/B39aGOWOHx5FTdy6qr9mJs66dC9hMu3OBkB4CX6D x867pyc9QNjRlyHZvyQOym86tuPYDYLBTZx3M30ghOSvaekcJubHWoiragHzj6w6IDL+vgqNrPN0 S29BxalHFPkXL2rCXGg4i89AZgvzoFphLzC6KTU53x1o6wZo2c5QYfT3uno6WBopgiQm9D/oNJzW z3AGXnlVP0x/GLLIttuPx5ET9RD8DtiZUIhgXEfUws/VGE1tF0knOdaeQoMHls1RXvvVgSiGUCBU 4p0CApiHwGZlGiBPtC2S9ws/lKo5NMxorFXmyJbl3Y8ntxIu7B4hydHg7giAHYuD6lCHuB52U01s PFb+OD59tpryxB/N4ELno4HqO96hZYJXneyBwnzfGgnhoW0qnAoHX1uhy+5P+Hp+14QEB+RhigIw ClbNwhROpNdA9pr+cLGN5vw4e27E4Jk9f6QLeykuYaAjRXrg7PVn9bCRjqJ556JfeZ54CNW2Fswl vPZdTgKWZS4MTE+qYaB9jwVOYqwdwzYPvC81WArUvxg9jgVyAvrA//TQ3BTb2GCvX0e0g6kzelDs cjli2hRciJa9Mztv1nuecZ8Qg3nSbhvdjkBRrYMptW/wy6WhRSdayYcS484ut4VFPY6QjTcALtT7 UBFodwlnH2kFGNeDsaPoxoXqEuZ1CVEeY/YU4TfAzn7GJEpjn7/1gL6fymjAhrKoYZwMFFiuC3sy 3j02o1yRUTKzQLHLqYSy309cqWSJf8fFjIqiH6XmIlYZiZ/P5ui58tyoas/TBuHX+Yr+wZzTQ/+h 3wTwrrGTJ8xvWpt6J+kgCWWoGMrUpS3VkGqnMNUMYheCFj7Fe87T2178BUZPbu/DkUKBvfC3AwXx 5Gr+yhFvZwdW9BNnF5BR7E25JkDCkApoA5Flo6+wwj43HLu01mqAFtN1Lez580Mh8u9kES/lFCJ6 ZZ1IRmCO0lVh5mT+OnwNDsk2CZusDBSOq33rmwGQZlP1G3Zb4VXczRRSfXsfTTB8/AK0UPuaPciy oD3kWL2XJtrUekn78PcvIk0agAm4iMSI8bGsRKI/lzgiCzf+o5+OG+2UgxY3CVlNvqWy/we5Ek2z 2kXXu30V/E+MU+WgDVM9IenqUKr+A6Qz3CpxmrukG0+GVriZJNOSO1Dj6hLqt5kvVkVocIv8XFP1 tYnE5lrVs7DjwDqksWDulujCoTRz+6PcIieP2/7zajfNCKgE1rlQb9++MuBrD3Q8Rz/cbIemu8ph pXkbsWGkl7y//oFHORkZbi8rNXOHw1hPgjFsooetKly9EBFwa1nBtJUTNdRqtr39NKbYlU6MZbzl DXv572/nhOL8/L8AYyalNiapwZpVzIVUwafHUfHlBVwrMp/ujh0t6q0N2NwgvtvFDN+CHstFdSPZ k9kEYwEdRKoG5CYVj+iDf5i38COjgw4/4JFRbuu+ADstGyJMqnqoh5vRjCJVK2x5R9wf49pkBXmm jOaeHmOpLI8KTNXnT3tDDg9CNHY44zpuMFDKXqVZiUGv7b3So+RK02w5RbZli6QGP/y9GsYvA2KP U3QG4MqJ6Ee/pL6ATrfrL6WMySqbb4oihUjp8zEgxnLAjBpQ542L8s1raYWXqDsygT3j5m6mUlLB cWSbOIjHha3ll0dSqFet6NKQxQrZr4kk0yzewS03jpHBncjxkDajYBFwTGyxdXWd5CDtLkAXlIRH iRTmM8/W9aisG103nRN6ItCczlH//75c2mY8dblVGamB+6auXJ/Op5x7E0XrqksGb/DHy/MYpDGN 7FA1nLgbZ1anP3WgYMNhfuPAcOrz7P90HwBsGuTQDdEvPLBmHMircQNtjjgjeVTXx3YNWvG4mqQi FUhoOPQk38VALwcvBIaMlPr3wXW61nc34cWYxAdio/cQDKxCKvSPks4jowNsM5kzN5mIGV4sk+vK C4p+e6HGFa+QAjUEhdP49gr3PmUSk+Mu4llwQO7quDjHzUG0adkZQPT1y249AUFCMA95Q9W8bYpc o0h9qOnoU3M2dKKXDFtWIT7547gKRwY+K8IiY2iHvNxIIr++4CvjLtS8xr3eZn5cLBOlvHbY23Vn nAY4VxihBJZPWGMHpE/LswmcYxiaeQgFG6hfPP/r2mD5TcbAdjNDKI6szrQdYp1gfIdm0byypqnl xfVMIQ0CBbeomrz3YV7SP3xHeFZTAq7lBel4WJmctp9UJmYfwAYASm9HNQoSHT7tkgoR1l9HxQx3 b8N1m6P/DW/ohEOBT/KxqqCKCNfpx4QTvbLtex9iJe+P8azLTsF9mg0Xr6J0I8ukT8R3G0RMMwZE vVYsxmkuozs+hlvhpQQi2YPW8Z+9nk2rzzA3lAGU+vnd0+u3/CqvYoumqgDMXjPc/xjRDHkRZ88Z dt0/ud4OsRFJsMWrZ+v0J6B+V2mqpEhCW1ZM3c0en3F4i2LeIzyFb7z/wS7zFvKYk9kOy7Z2EbnA AYn3J85WLq8qlzcRS6BE5pNW8ulnNM092i8+6QN21UsIvuw8Yaz+migq2FjyYZhBnD2zrY9SxLMs feUsakQTrW2muL2cskJOIO6vjtHNA9obVUPzWhirK/Yxv78ZaqsWTN6XEgZZdc3dkq5X+Sl2ebLL Fspx7hAng+UzU8KSnFSKrpDgQyM/MMJF3TBN5zFa55r9CMlI85Xl/lxZ4O/bbpksiuWBgVndrmLN CtY/Gi8NZc0UIWvQdI3mYVLdoYWrsqZKwMI5Tb2r+rNRH1xdd5KL5nkDvzX0QErOVady1+PLa3Ah 5qoaCWGZRll3IZBiUStAvcUejs0sVMcpM2WBmW+wgd08mr1A+XvkWyEIe9XyFENXg1TlwY0t22t5 Vmj4HS+2PCiiBg3ZAcDxjLfnTXX/S8aljc1W0B/XdWl/+cdG9k888yVkHGt1lq4IygNCqNJD88Vv ow52ZRwrNSzHnZljJl/8bpBzScNPfc4IHM//gpShumWn1uPaX1AGjyUUHwk791FvnsXGKCIZRim0 8altuUyN63kvMPEZWLstRvOsw4AP5ff41+NfifxrWSIg+0GpO5sfHV+7bv0UsiQGWGpJGp7zb19k XVVU6e6AkxRoS/5rBYMHQyDAthLUcvlcDJ+4cIhMBGogpkjCu0PbpfTp8vHZQyNIQ2pGIEghFc/9 ZyJBzX7V9LYmem7caTQVf85k+73eZSwG33qnNiGhsdz939KXeaBYf7KUMVqQbasRYMiWJ4Artm8j Ie3cOfW8mGvlY572GgtClF5Qk9jYQII9fpFt6FyM3O0b7oc+Y1tJnDnTs7MIjmJgXm7Gi0SHJ8lT UnYo+FQwr+nMI2cL2XjpGD5hqKQmodDvG94jD8buxS1mRHTRFM/+NzXWCacsjA+9iEpUBcvmLQ7c DLg32bS+OlZ/dzkXFI5FkN8btoCz2Qsdkd62nVDvwWd95C34sOQhu8U19g52B5ZmXnYkja4rHwsq brULtLFScvCqdQQqjz1DXuYPhFo3YNrkMvfmX7oUoCzClVCH9QAx+fAI0INfVI2n0wEJpQZewd/9 xHelD4ICnbvDsIRXCmpM3Mm4Fnmf882oQ4CIsAEf/USdINHDd4gI0zN1vVJMRSW/tThUC23kvUH8 tZUXWichpU4cLxD65mFjKjCXvierBc6B0hP7amdjSUauMUF3ty8H80dMImRo8W+dmVAp+6/4ZUmI dvXsV36XnavBfsGV4ad8URamuLR+MpF8PdYwS6ueBvRJsX159i1zECSWXUdHiqladqzqkcGYCLBf TxzCxn+YandfDNBWvGwKM0dpuLrfGSpy7nFaqa3t0aUzoH7dBDCSIQTiMisYK8hJBSm96F8r20eV EYsFFMurO7tb/UTMSFpkCrmaVgwMwxFPd+p/JwhARAg5APZQVFqPCk6Ec8ASRjHJ7vWEM3AOQss3 LJD4L4xnG0Aaf+ZUlufeoqpUy94nmc6vfR6XP3ZHR27cpT01UcrdI6AihlA20rj5+OgoFwBkFmnm eEM4Ft8X6Nvc5i2Dxs+/WlBGp3E++DGxI3GXmj9BCEE7lv/1Ajc6O9syZa04aMi7pu/umCnwpxJO WC7cZf3DajXSWEbRi8ghSS8DiwbWrvF9qZYWdvw0Y8NrQ9e9tEJnE29jrxwwfA6KYudLDtl/mPC9 nJmCBcg2Ifouv5IuXvXdVcTjuCvJaffrsfHigSUQ3Fg8qZOQqVkMuz+hOK5AqT/a5kxVJjG4Y5X4 lORD6x97VBl/J7Mm2i4sTV1XLpX2FTjrqXehax7LzZyIOCWF+lXe3rrS1uZS3l27EUyurtq1je5t ocJS0flUI0uW0nwIQIFiCoEQbGmZ2yT328wGRQrJ/LQoDbkP58bRz+NIixlEgNwbDLONk3f7g65F pwIFfpq/VGwjivvMFB5IxPossVyOeKDf1hTlWLjOdnckqTgwCrXj78fw+uPqBjBjalhfvO9inagT rWuGHscmtbBUeM70bnaYdyqPj2qIbSsk46UwQcrQEBIg/onJMMq8w4EuSGZ3pN+pnrH3DEuXM3hQ nV09SpbM1QeICEenV+2zb3yqSTWpwI6PlPI9i+Syd1d5M8Fn8MLaiyeWdJ2pc/ErnmHkeKDrsXPH IIcPbfipFQvjZA+21Kl3uird01jD3lLdLVl+q2UvZPh1E8F/nvMYuoZZstAxa6vHsf9sCf/4aEiE zFCwhzi7lbkE1GI4MpMrAY5uPrQlJqOME03W0otN0JEBzktGyIMW7ZPZoQvTRYasWg3XNtTuZC4o SmGhyvn+eGxChKqT8Wo9KCb29f0Eio5NfM3HBU/uIGqboQav7+DwlKA3iMUOB0chFY16+/eBgbHm YrJrZ3iWjqV484xWLlgN6ltMfo+eiwg/wP06swPhwdIJqOD6xiblb6t0PjlUJiG6G4gQwyFjNS2c Mlw72GgCAHglPZVsoHF2d7tKWl344EYFClJwvAHhafSjPnjOZ2Ms1ORpSVgi9LZe4XYa6vCwgG0I 9rus1JjPHl+exsPaR81yHxY2YL1hdpkphfvlbVI3AtcVfbhMX1lEDL09s/cWw3rBNJGTz798Pmey UK/o6/hcnWR1S1QPlegdyQ5TqFj3QLv5MhAsS2iWVn8qjeGdQloZUvp5E3g3w8WkN9CfxaQ++9dN 4rdqUPdw1kcSQHesaosfRyOsDsCe7FZozJZL3178r0ROnk2FXeJhb03z7BrOlz1rcSJ+GlGaNI0Y +rQT9u6/XWxOtTpywyPpwe6BDLZxfA0ssioD+ratS0OrMtvN0KRhTT0ejielgiZYLxqEstorugoB 54QhWOGhZdPfmbArE2f4u2K2p6pkYlBWOkZV3rSkeXSr90xCCvgqB7oGoaqaBPBQa8banA5Fv+uc OntEZlcOyaHeMtn92ihvanw4Qd5QOBiXJCNT+S/d5v4inkdXp4MhMHEZ5i4wuw47H2/qhjaN/DMf vQ4my7PtJJSVK5o7MChUO6D/uzlcSMU07MocHdYkva3ifhh9ByjSYg7YV5aPX+4kCC3MM6EclTbj lyeXmpXLbXxD52zfPQ2nPsTOX1lLiin2CyL4rmNWBXKctB+Oj4I+y/rBMc0P46XnWNyUVl9qf4Gk eaEnkFStBpWBmoqBuWKzammdZMxCOIHQYNGsc01rjee8FUADAn+TyGYGz/+3v4y1IBVZACWobQNs OXqF8vQV79I4uz0IsrT+XzuM2OdtUfVabEARapwWCgKWhgVpGtl2PevTOwlyOR2cts8nM12UAvon 7wkY4XaZCPDo6lzQw5ZoJSpX6mTPETVZLV5qWP0fvvHY1MQCFjrqv8cwg7C9yIeXScF4kHmVbaEJ aebQPnW8fYtUjLPdrDhY0BwgEpVjUOe/pvum69AGl/ekLmTD1j2Us1E4Mvot9ucN+0mFKtB3xPhV CirSCTmDoEZ05rRSw4OF+jFkbdHn0ymR5AoOW8M5QrK2r0ZuSg7aUxuigtLFRQsiOrc/uSBiNjPz ioFP8lK3CQsGJD7wgmTs1mLvOP8O6rYEKF+Q67OqB4TpyosY2/5qGVqdADq2fy2kGrYhrl+11mAE ARIZIg2v144EtbcXaXzMdiPZ0ejCokHLr5RY48CRGNztgMFyBXWue2e3nfyWSWUgLKOIkWvWXnba nGJcPQje30p/Vh45cMBv08tw1DXlGQgrtV0ZUZkpQsNRiKiX4cZ4bSPMmH+9R5vuVPbifzWByBa2 aB6G0tDtDi3/4K3mz/IDHLcaazNkG9MdCBtCatattvJG865k4rX2tBDzos9B/NKY9COeXvYVTpxQ LLpNcsPNVGulsOV0cSY5yq1yLVuGLK/+1oLTuNPgF9trT/45qP1mfDLNf7nGr6Husx7YbY4V4ljE 8FjAYMpzmYGrSgd0bxG9RCJqeuAciF67Gf1KYETsT2VLkzeu9np5uElV4MFpNs8O++1Xh8UudVjJ bvhD1hrtFMQs8O6la0HcrdDQLpP1EH+oPz7JKKyvL/WDqmhPyJXODV/85zc4AM9EjeVNT5tA98XT 5p5BxTgBpFQRIyB8Ps+Uy5esIMW2jF4VMfXMfPxr8gmW45L4zCDY18TIU9h1APiwJ6wTZo+CLIET 54wE31uHP25JQnHnl/QG/YvrmHVoi2fXVXP195W1vgN7HcGDkW8m3fYIdfKTa/gyeBf+9MDxsM8M Pn76yTVW4RCZcCmJMKby/ExLqW+Zq++WYvkFrb5rgBV6CjpW5gk2EDyZV7dhOkxlzwAkOlgFsA7x dpaTZiPKQ+OZentuIPx3HvAiMw6BwFp4sGvejG9W6K7SXxVqkgxVMarNhRmAULtOZCmnYgfbbTrf eX6ZJRe/0FgxevAMASTp8L1Ekf1RX3Q5nvcI0C1IdsBtZhnAffzZOszLb4YyKFUwgglxRdX9qBrj TjwLpQEeqc3Z/s0FD0OS2hp+7CCxpbaNyosGZYnDWMab/3LLVvTiPFp1oOUuxT/6WwtSY6YDmYVB fK4CU0llgADN8OU8ELKUwjsIQ7wAlrcUdYtMB5YX50ZxDkFxYXMV3VMXpzsA/K65YMYxQRu9bCmr G2Ot4DfwHfDkoXLWWPYmgwDKX54JOZOCbJhUfRO3SSvUk4cjreKE/RzglPeykskTCucJ0EegeCgg qQLoQb9cRvoPG5d94kT7U6DJHNzflw0g07ZofgbBJB+F3/S0R8hGuWZ4jRxTER/ENirtZSs8avDQ aA64HMoK/XYs8d9HqBK6xaBbZddnRFA1WM5vcBcQl0IShwPeaQiTQJFbzYOuKHVk8D+N6KACntnE MwsuaBcDPECOMoPXDWEHQbtzgROFKmRE62baS4YZtjIpcCCx55Uaro27Tj+CpMu+bcCcD28MvkDm X9OvFsxgN1O1+sagzcXH/RdyYf5UV0gPqxCC4Fj+nhCS4gq4t7B814+UaCVv/JYxw8Xqstho1GGL 7qu6f70OcI5vN5V16POz+zWT1XLbKHqtj1FLb225sbvha9zLzu68brn2yx37119C/UEyDIVVPNEJ tx2KeqExmlyaKx5AYOY865e1LZB4+ZEt/m733mpq9gRnc3mg+D9qX6J6tkMzMKuzB2OWbCtrebJ1 ZfEvASE23XtTfIErVusAv/CgWBIY4ku0DlAF5DRGSCQwY8NTVp8TGPGkiA/Ku5ubZAWGIUvFlCg8 qe3XNNhiBpYdmgMqELjcformyoqg174ptZe+Ye4o84x8SKdGvQLXABw4543Tkhin5Y2g3ArMwi1C lt/TbcKAqYPyZNCFegdpUCdNOkNj4JRSIZcQ0fHpmgd7bxlukpdtbdP4nKuROH1YJHsgRAyzgvEN O7NHVnexfOwSh0f6bEKhMJMonMBijmcmUBiUfHq7B0GMGsMoOW9j1Td4CGAwW9vmaVmCSP80tzUO Y6sL39ipMzAsEkeGb+s0AAZwdaOYWNpUYMuOEJqj2GgPvCf6BATVc9+eqvBSSjby6zUfb78El8G6 DYsNVBkXEU9j7y3nPDuptSlR0YqHb0lsVIeoPTwEzL0Ci2NbrKyeCLRirVP0s0F5yJAOFvypDp75 DMdLp/sfIiBi3VPvxGSSNTYlI81jKWa46zIiB4bVs+IvNa34cmGsaaSEWL7aUamCo5Qsde7ugSgi f5440vg/B5yppuo7sdp7rxu/dPJVgL3q1bRvo5u68MBl9f7/w93nF69VmVQq1L1x880zu3KLOGfF dXgXJkPy3rawoPcxp6xnYR7XYPJ4C8/g5bg+dVyAt38GpLgBSlS1HQmmaGNpccTTo213MX0B2tUY 38LHON6jX4J4KSJ/1+qj0ugAjmC0n0S82ThGH6lSl6VcxWfiJusuqp6iRMEb9aaaI2tY+Ulu1kg0 kRDYfNck7HDPt7Btfax7RoGArC0GIdGwKxu07KH+VdWjQUc7PmCPggUXS1rZVUU4KVP1AukdLbro EKlr955jwVyhD98H84+KY/pLx1rLkpIrWXCQDXNaJD6jF2K9q4BXbyx4aldyGhMEuUg5cJibKupy Zwmn3RomIz0zx3AWVrkmvhEVk72NCizKRIZKbjCn2ATrZXx0W9ahf2qTt/h+fqFVGRvwcTc8Fl+W sqQhsrcsvkyNkUUtSJ8UDNj5Lenf3+e6gdcbuFQUZXq1Rp6PH1KI61BqOqOx4hkWs+3zBbyGTmyP vadP0vtSBgBFXxM4sdyBnCj0muuAu5VcLYyxTC32FPbzX8o9xsxpkes2MBlVcfzEsLpX8tcaixc5 aQyLyh6FzKjPt+gbubHjfogIpwsK91mI/N889ZzMn0h9hyfiC2j8h/FL0IK81sACkG6wjgAkg2eG qVPZ4U01Cq1U4xQC2N2mbBruXErgDHfG/L2so4KIinQJ0QxLdf6iIAz4LBdsBKzTJxUmknKd+Dyc CnYLjYHZ1l/umBzMGw6CfsT0B56iL1vLgkqlBQRg+NBmq7n1NW6st9a6ngNJYuaQTEwiqsjRcvos JVwMwaeZEP2qF0D4Ay+52EHVr+SXCQsm5AHq4y2IESOs9TEgCBfw3l14K/oM4pWQkfC3oLs4hJD7 ChjAfgcMnhHfvKICLBflCrF267nn3N5WxlLi253WSq2YVJuZauHpXVbNfuyU4Oi6RnOLAlWS6QxO Tf+O99GPGVeKH71QewGjGMCIOrxBCqHvd50JPxGMZEtJC1sBoWF9C29S4voOkE81S8Yt+o/DTuZW RZtDtu4BgoRmOk+5RysJK0Ch1gti3SS+6Oh8fB3nKDY5HJ6plqH6XbXMDYMpp/w6qFVQYs/rLsdB s8ctTO+PWJuhTMcbeoaGj0uS0usHz1L/4ZRCqR7yS7oikIKOoKdI1TIxMKBVFBnk41zPxAjAI0z7 DVOnB45S57mMocY3Ib8zzei/Tgm9vHfb9DMjMWG/pFJb/KX+2hoTrzmd/jpE/soHO+G2hVpQXUvA 0k3dm6q9AE7JD9GPdOVTVdubES7tK7xaIsuV63WIt2VNYL9ssvnXioT18z/sJWyuQowktgIlabIu qwNmOEmbaw76M8Fp9LF+gjoegUmXZoqx0ka/M4inMmq6bNNhVtIxAuEob7QG91rDyqQGk+H69dv/ 1vDUWTIAaraRYlPmmEZ6GfvnqsFLEMfYx0RfY+yufNjZ7hWGZ0UM6YDQmTRmEtG4ZJA/DWiKme5B BkK8I7Sm6y//QrmxTOr1et/7Ag6c3eSNgEcfyhJT0pPrzh2mIrZmACLdRWjRsE5hl0qY/wIir5dZ xvolKk8pWUUW9o7Qg8Mm6WU2GNUgPEInZvu6w2iqpCnpsOFv7DS2EdhlbSXTERnj4RwKh42lO5II bNtB2e3D7QharvkpK6XatvrnYKBOSQjkvfIVXQoxQAU1gvP0CqrG4x+QUhmuT1fQJPCcJB9dsv7/ 1Brsnzp6ewJ77jmtMtjpMO6MkAyHyV2d0BVoFWASzCsV31gHITiq6gwR5nvk3UOKpAtABsMo/r3Q G2vc6lN9tXnowPsVeGL5buOa7bclGaq88GFpnGRidR1QMlCXQmMujFzvE/ptRLALYjzge4pEr4ox 9rqTndr79voa++MdsDFbjWWY+0RvBKjqKxdsQK511uvJ0xSVlGs4HpB3jPFz4VUv8U+vt17qFnBO AHdfGCtn63ldmKciNGraqXLgWtc26iTJoOLBifGZ05V4ZfMxBiYEFCS1vB8cVrKY/eN0+7GbWEAS jgTmSPlQ9TVG2JqMKeaARHXodvx4oodz73hiQSiCk/J/NsWQ9J2z5XYG+kiOZrzbF9d4WdtMWOt6 iwiw7EUuSprxQ4tZVxdIuMWIpowjV6rF2mcWbx3PFqn+bLSftewRc9LawR+rxzEb3tZv2P+6aCuM c9w35NSaWZw1SQ3JV6bBz5Q4hEda8TzHCWbkyAWWvpZrwkx0E7dYegsjReNNMKTQY19QbpcjkVVI 2KqP08GMYeltuK/PmfeMoz4SF0nazY4hDO3E875zi2Fw898uzkevVB2+zyh16p7Bw0lrSt6CSB6F fztD/V5Rhr+zUDvgtuGMYb5kte162tAKUQynnGTXFAZ4TQQLIDgPHLYhDyZ/cA+ig7FoH7vv85j0 J5yK1kr9I5SLtO+g4McpK2wGdj1TM9I8knIourQqOIMDw5PG6TBK3g501rsFnE2qDAj1wkDvOwsO cbkFchUl0Thp6KUx2QnRGOiWpU7lLAqZvHEoViAd2SDrOaYEKTMr9KB06K9iMGcfiO5J7Vg4S7Co bocIQRltkMwPyyqshtfqwocmamywwB0KptkTc7oOaOuVIa09Uffky1UnQgagaTN59jd7b+R7ynnZ 6CgivdliH5hq6qHwcvrLnRNJ/ZDrf5Xn/s5LzT+stzXSZrkukuEvjc+p1oGDqeR17ZaPJMoV3ffB eOgKKolgHaQ5V0Yn0uAZFDeSjCxu/VwbW7kivmsrXYEuO9j4JigDSWsCFvfAYTsmUCsMNWqvZQso ugiwhKu5LSjLJL8YkBzKhC/UncqN5IvMIQTSCNz5uNoUfvt0O1HO3GEQYx2YgQ8c/j0NLTQiYrll 9MQySvaaQ4JaCMJbAdxQ69WH2D/qp/neEL6AVLXEvyUTu/5ZyZZ/Y9G2kQResdWvsJeEho1eOFyE 79htuP5UzhJKbFKOjf+B4GaqK7miTDQagwiHsf2KTRBMi0I933aLHIuvqd/RRhdR3uQJo8nOldhg UyIi9Eg+uVbR5mNgHmQIR2vePKQ/1vB/AsrFCNvCkONuhHWyYhdMxrQPj7UIE1lexrn8VGC8rmao bNX8zGcLNT4nlBT5DqCJxY4QPUU39dM7quc6TRhMkij8aGqYNi9KF3UlUmL0W2Ex2A7TsLY2yqBt yKyHgbpMVf48cJi4LnSFQcbLYVMbgORoyB3rXzSyzQWMk2O8IW64i5bRhDsQOOGns9tvWPIRD+uI 8lPF62bAmkD0kSUZWJzwk190NWKokW95qw4rGwQzxFckNboLQHJXoc0BsOCexUGBvI69JjNeezXI SML2d+Kx5X+LKawIhgFwNtswKVpc1d+C720zKeBDSo43SSGovkh1TIai7gdSQNksATzE3tKqSgPC /d76CkSroEfgJif1nVcy8X3Wo4zGiv5/2kduISczCpgUKuXvo81WMo5s6/Pm28OnukkzZzdcSX2x YoD39WgktMNRQtRX/8QLHTvDnGPLxmDTpY+N/A6fEyPTurjkMawF0D6KNv06UCDNLI04k4oSec88 aT3z2Eg0RECZquPeLF0AJzLWY0Ue4klJGmgKvWP7R1QtPDppeE17KpPWV6tGRRDmAECQdcHbl6Nn IL+lorKXceoN4IgR8WYKTL+D81gPsNJXX7b3h1vCobDvhIs8AxIscyjuLBAozYVMZ/p+ogiCzPfo yf2QMl7iAQnA92M/c8tRuWZQrfDIg/04AD5GnenlT1ASquvI8eZe0bKyMnProUwwXcRdMMO/5s4n DHGQfmJhuVfx+JZ7hQqR3ERuHzBqgEUq6jc2rqQMl3c78h7gsYmQUEm9a3Fhpu6BOkRLWlrcra36 VWmbloShGiAYvLaXlZR8cELEApZzn8RFu+EYlqN/qjHyOgTefoodfQ8R+U6muHqmoxvhSETcSKRh 0BZwT1G98geHowVZJ8VxZGpBrdBRxwj0+UfLxMxh2ww1drC61TMlj6l57edtUgvIEHsWvO/GELbs UZj/orTHJruEScqDDcwdcGdVdr8C29hXbEv71wVK/EsZAi9zhCxBlHUasL5fGkaEu7KsJWv34kUP Ay0xCl8Ov8Rim3koDsg7IjDF708SLgPm1hl5sSlbSSztwvFgq5DN+s+SWmp3jpjNutgRIpK932Qd Sh0VhSyr6iiZxpKdToHnyFQjN406+nxFz8QW/qwSOf5O/+Pp1UUqRI8JhatZQaedthHfHTPyb94F NPmGSfy/a+vPAQkxpkGbW4kTnGxO6ENU0oyDkRH2OApBwf1qTnFVovkCemPjBzNd93s2hFfNW4OO SfbGFGScapHtazruH93/gEimFos2G3LoRX7NDD0MPTVvfjYwPc/bZZBTVeT70AU3+aW+Gz4ZpqOA H+FIKPAvQvC0mv6yWoi03RJx6O3srqOtrbM2I64JFGD8TGdGybaK0zb1Qg01L6tGerI2duX22p7b H0DlEhTt+Xg2I9r9cr4mPQ5XF9ctqTkdy06q+8KiHPoP6IM+Rfto7PAi0DqpulEQiU6HXwBMnoTA m1Cm3ffezuLkq26pUyV+IqyxlGLd6tTDaPAyYpOYYH/wrZaLu1CUbs6vLmXgVDxlp9DaTHJjaUte +ji63k8Ey1/o+V2rSkNs3YKKaSD441MVJd65mAaWEkc0xPaAQ0reKU9V34vUlwqjsTMXeJxiHCkQ iK1w5KQxXw6Gguaby/6FXddv815NJ4W5Wnz41oMIxSsd5UzSXCenZH9k/KjPzQCIsBvxgmcawPMx gsr+1OGzV4noX2lJWwnPKYEoG3xN2Z3DuB4e5tKMxOQdxBOJ4NGwQpVOVOjJJXLPOGSvJ0zSCpl6 Wwm9lOHvJ0tZmpazt+kMBz8QCKBkRAx+zlqVGXN62OQlfRStc8DOIvcaGSPXVSeDzaf4Nw5gD+yE qzrR637fwyKxiQEgdDxsTrrPcNdO/spPdiNvUnT4utHpMGdigvhuInT0Jes7NBrmAkyd4puQiVyG MevNzeSyqbbY94EeoGRQ7uKIMI1AuIVf7Wt68f4j+GaEarIHXpdo/4MtA/pLYzYn4/HF+ipzwgOz rHtztJ5dAgcWBWdTRbwFjY3R98Eyozfr7NTbAPfeluJHffJPushGX5OhTlwi0yHdA2spOWVDVZt1 LSXQMo6IQEebJRLaZPAh2ca9w1O8VWRj1q8fLDK88Yb+KjVUiVcwdqgf98ZL6ga69LosXHDKX6c8 Ii1y8jWvIa9ek/DuBroJYvRcC+Lj0ehLWceAex3ZAYszhJXltyW5k/TZ2HRZI7oB1RNOyU3etdrh miW1qpWuuDuzJqSTxu0j54FJicwSLD5PQMaT3CCrCfpkBemR1sNMJYaq54X3DlhOjY+oetlw+2ZC oZGstCiaQc0q3SqpLS+zjD7qYewuQNofymE7wBfdk8sU/ddIflpKC4syZgrG3GxPGgPfJnjLZ8j6 0Rp9+CejyQpRIQoHENSpHFSy9hwx4A9nHs35PEXwqcj7qJUrHylVF/QII/2FbEykaHPKj53pvuNV y3y7ukSzM4Oob0gnvU6FPgstzGI9VS7DY/q3+/0j1MN3/zJ2D0CPyjipKqtZasXiQLCeOLoQPT2w 0EIJ+W/REnj5m1Dnbj9d8gZEJG6WFqoTfTOi4gttkezCdha7pwnUXKZJGxkcndQd0G3pinLgXtJ+ CVcpAL78Q4nS9BdiVlxd4shgXUrz0ECGn42g+EZtIM46nED34x8MBpmomfIVOP6KrpqDnFfR7B8T 2vtZKXEFSggdIxpsgEAwZASA67gtain/UIuPzdOT7EpRBPRR/1Fg/R8hUs8/ZessEpGuj8SzJ3J3 Gv7PUbZZGxrDLoIru6azFpRP7XvkSOhp/aRapWZHcVdUDxynCc+L9DT445vtmlCHNvdHakRMu5Mq D8gSNptxKE9UUCOpPd5xfcY4qpaUQ76Hfx8Kds86mh+2Y7zPjJwNvTP2mqIt25lefNox7fA8lyZW 4XO/ckw7AefoWTmZBoWQRiZ+srBXgbG+S6OXLKiEhXkoZY11m0sCQ7Qb/+jm4mmplnf76qTSaIX/ 8Vda9GJ3Pp7tAFIrDuYskj42rV8Pu6nKuwgIQ/kXhn3t+ur0cKWELUwcz0fqNWopjqEbMuli7nzf 3P6dNcuZQQnfBqNWfeBht1/dwwAjusXxHdhvg9JpelfSrE2CyHg5s5ik6U/iX601b0/YRztgFs1Y bKcKLCbDePeQrp5/4JLYEX4ltb4KzNTBt99fJatg1JYw/3kviuni5OSo91F44nT9WTBSYdg6NDRR NaX69iWfyi2TWvh7bytJC2m0qSKE25DWkxQqQESMLR8EtyclHCtRvAxIVGqrhMWbiyr12BG0tYkh vg7ayqKy4wxwfC5/Y6Ij08bCK0e5JAyPNR+Np6es5aXr/7m9vuUcHg4R9kV6fQgrOSEti6/0dSHZ HR/6qHMRV0KCZW8qpeNqzdkzc8dUL6oFvYv+7POMKgCkiAmbI6Tm1bZhkH1Rqwg925Jes3BGgNoD IKssz08tDRc6Gdo+rtX7gtSWuS7rfDkDffJ8GgWdbUhYSZRvfFYZieLtDHJP7nsEWa2p8ZW4a7VV ZWSKJpGdkYMgxoITBalW5I97awo/lqa0I7SMSUj15UAH/QKCW0fQsJIb+DWl8Y5Mu1Q4HtrbdmvA DmJK23m6HrEj6Sq2mP2BkivYlX/RRCZ0ci4GtXOH+46vjqaHgi+lcDLpX7YyWxoIC7T0FkuyYbtZ n1df2yrTr/NEH1vku0gkqC2yv1Rjegk4ed+yLrzlrGhRm1RCM/QK6RlKkHWG3P3AJyBg9IP82MIC MGPsf8PTuKvzZ7ZH2GaM1euWhaGQkmRgdBvI40QH4dA11k8Y2gk/a6fp0sGpmKqmgrJ8ZDqp89or TosuCjOJ9ojnvK1iOgjLsM/3gYm7MfL4dKpzrF7Rq0vsn2OS+mWQJLDDK8gtsD1vCamTVjZpKcLK l7ObPmDsy49iAONR4FJyoeDWsxBZKNeetSrBNDLI4bKslDq1+npQ7pXgFUaa8R2tZLL5dfnkDlQ0 BWH1RMzZdMIOEYIa+SsERKfbMuqFbzONuBm9/EbKwLzico2YXt6IrPxFFqHq2I6d2YoEd9fq9KYH vR5c5vbAmDHmgTmVEO+r8/ckiIUXJN3d+DmS14DSwMnydH0Y3cCSm7ZDMR9do+hLCCSefvz6Im0I vFMQw+ZBuXxNMKfOIlqssOBdeoUSVLzayeEdwCTtAKl+wZRHloAXbAvXY04f+qghIoTWYf1O7Jjo D0GWMs32tn4/CQH7TuhM52U3zskKkAoqOeKSFQLL3YbW5h/i04ZITPyjZtXP+LfX9NLv046NTzhZ Wdf4RS+aIDkpvS2FOU3urTD6utgIT9z21aHc9kkvhlOzDV7AeDR9/FaXyVre4cpvwbOhvjJJRQdv nCw7JXvatF5+X6qmRsc2vbFYlWAOfmNUZzJmiR8t7S1803REnHoVSxwd6x8LSgcqcB3zHApLEHE9 HDdLesdBnMUQqJR3LCoibChDgbGMsCvLSI1er6Ph3BK6cJLeYDyCpQcmY28w41sdtncWpuZXDkdw t4jGAXHOFycHpMYmfRmEBAYiyoO/A7AbtFQSMzI5xKi1hU3W6wCQJN5vc3xs9/kzGqX2OtgCuSYa FX6Wjf2/N5Z0vhLuRBxTTu743PP3lV37L02jNbu9DDSM08UfolZxntwmG/8PtIwRPwi+RmKOs634 rPFR5WBR+Cp9twdbjO/qxMyhhnuGd4Xed8Ui/xNaunci47MMG+T8t9L3WKitK+umJ8R1hewF/Cq+ VjanQqIGpGgVwKV6jB2K8BBHKrQeIqn4YkU8TUnq/Fw21jdKzszUM5DdvfN1bgNvUVMAyVzP6EfZ NXYC5HV/8/jC11+yTAKQCjc+RDJege/nVIzm6VsPU4Fh8Jy1K0jiJYf779wl+xnv5faRj4dRObDA ocDoE4a90L3vftKCIJnvcQGgO5Q1rwEJAxjxDW2/Px9/LS11281i2jprRwBFB6OYR8oV2iNo9X+s WF6JRHRIXNG8W5k6PtrOpDkphhHYjDI2j7javdv5CBIokbpnbfVfQZplGLLFp9avvWhXxuH7Nqk5 L1Gk0FwvkqFidMgUk3xeF6whFrlzYWoGACqABu6emuqaHkgCb2GdGUIBnLd5UsliJDqMxs4iLFZ/ fD39/WnyIgdaMO2z315l3fOCNOcB7oqAOBJSj2GVnq6BWo7JKt2dixGpP1bx1MKkY71+gQktM8EE wT7gUx5WUN+BBbhGH0cAAjcB0uEijZSc3Nnq7S6f5dFi5et2TJjwhDRZkL94hSsvxMyXZHm+1y0v fFmdCOZpALFYUF1mv2nBH3PDGBrt4rIYwviARpQiLFbeLXY6+O90rTOUOpTr0nCaO5pKlUbP7ebu DkPCwh3EARXD3pJVV18+f5o9rP3srLl50w6d22j1G8tf+/R1TCJjLuGy2Jdf1EF0Sa063SLmZ3xo AHOq/f79mmhqXBvx+f7iQIAwhIFWCzX3XAp+tw7e4NwSeDWX/jyAP3MJE95XizERhY/oXw0x9rwZ g0kzRiRPPOHmsqgR1BXp8AbDTGgDyaaAMWvXwHouytnn0cRpmtII0hdgexWnqhyywOSjcYU1KyiP Po6itdJWsJOx5V+RxxXdZR15bNWOiRvgupOX8Gerg/MfNkEk3HLzqKrD8PrIMMpxnuW1TI42snCc BaVHPzuJsfp87LrqvZzkFjpqEEmZHxJFVRfZlur0wYoOPmdHSL5AOLzwJ8PFt2O6wkF5KWlJ+exW P6Lib0XZiSFfFahTLqaXoCT3jANTFIWBRa33loSTVE7zn2fu6CodUQ44Z0wrjDDNHY1zcTsZnq/P cZ4Ptn2OfwiTNllZlFaO2PP1FWqG0iBOBBsqpjCYEy0FYhZ8uoDsp/5UYVoRIYzCOyiNJgKY7RN4 OGeUnxZ9t1T3e6ty+wRpCFZbnX6dTCgGuYJ6eBTAbPm2ZCujyvtII/S71MfxBTL+Ch1gl/p6+W1v dWoe05L+/QEDBC5uBiG+I2OVN6P/c8ds7TA4c/LgcSod8UHyjWbOt+cr3QGTyS1/iVRwLckrFPeU cMk/4ltnfraWvwW1AcXzzKehpSXoafUbYByQt53T0v/nEe6LEDlNcbu4g9Ke4PgJKnanGS9O7uaz d9i+XyFVHKQl8gw2Eth2RN3ZdrhUVUrscBViNJW3yfMWqVYJAW5dFyfHemkk4p/iV6Jz0xFS09/1 CJ+hdrdLv3K1WeQJoYGGTrY+W//TFPaNf1uMZq4LhH/NskfNAzwe4hqi60k0C7ALE3TAG4dgYgx/ tuucdzWcau5ODnJGYERwZdXACn6Cod48S1QV4fKqADLW4k3CA6p18c72YlH8pysMa3ydGH04S1PM cw+LV8EzSJD2maONz9YL2cGMxFYnhNpD7XAJGRic8k2BgZq0XPFLMrdfHDkbj5/m/WndyLldZ4hM qVLjJgW0RG+QPtxiHZMiNKttTVvlQ4yNujNvYdoWSISdbxlIVN6k83gYP8J+Oc57Wgq2oKqM2CAf hye9k5nvfO3ZXq+t+aKjGjyymil+ZYmxJauY0YoJfBWYg9nMCfi8bJZwIUzRE2+vE6PwqI1wyGy/ x2yWdY/F/7L/mL96KT4mCgj3JPSUVJdxqYApQE54JDFujYhiQHS0cS+r2eQActvlq5A9GDyuBF1v CKTeATHuC2UMNnzB+SaJp8csRVkRlFxxh+bcbuYgZP3n8wUMBzJ2suDk/MXhvTpvhZbx6JiE5DgQ ahVaSezEIbN0cOiHPJ/TduZlixiee0mO8UCfx410vUyjwqkSfEoeca95KiMFs6AjwbHlXBEJN5wM ut9vlYfO/OhyN94/w0xMbk5pIB74fhsLFrlHfucpj72ItVgJ5ctXLIb+9qegH91zDzWUicZdmV2g B9GFegoVb2v3OUQ7DSDTXNK+Ix+e2awXPZ4/6iu6alVmAxTwXnEj/12WsLTcThlqhIVteMjeYNRR 3J+tfQGu+tGmdb55fdFWN2GXryTQPt4NbSWzCFcpuCvd/Ok9EyiPxTNsdyhEf6Yiaaf9qb4qr5MV V+Q5HyAABUzjaQ/jDQO5LUu9adrid45k5UZKmk21VhN83D8JEu33uk+RJwTQZBErE4ehOc4OL5AB /PxKhGUE9h31rk2S7pngoWliFrVGmAcCvqD3BxzfcLlx48mtgu6PIjugfhgiPEuLDJTTeldAMmYE yqsg8mbUMfe56yC/8TD/9N/OeTMb59WcGuRkkqy01ac8iXrXX3JpmVCfz8BqNZ0AF3Dv6mB7q/lu hynrOa8ubbyhgtha2cvTdtxwS0AEYi9S1hhE0fDad9274qCm+/oRR1UAlqZywq+iNz98cRmE8Py6 hE7PFjYB4X5zjdE1ErfKlfpK7GBXLdRoiTv8vp0g17TruRFoOSkrcOFO2OLE6D0y2+IlYusQ09fH yPsgB9c7oCuleNUm/xwKjpxY8kVtx5x7vYW6rTz0XTsKvn3NeM16gzph2R8vo0gmY1zXK4gEejZB S+TC0y98i8ePY+pEEvKGtg2MW210BJFXv2q+yYla6VFdjr43rxJdPMem5gwcUzhER0d552euXtdU d9EzJZNma6SFHJeL1lxec5qdWqw1l87Nqk9xKrn4OhCXVND84uSIiYF2V0F4q867fYG9H7BaoDWI x5j0SGYHtCE1WVU1+f6rOjBoWnrexmv65HBDQesVkqBimL8R+7G2xgVQCNo/4Q+WHaqH2cTtGdAz NKCahfok5mojGipZpjth+l7SLZwkABCobSzNl13cCJAjz/pz9vtsHgYcwGeMw90msCoFBxi0beE6 KUdl9lfw6Vdkq5QdDSrDnheHA80gotZLKKNKDGE/OdiO4FF4w/1VqVZPtTxyX6gdYhrwv+kMHTuy /tEKwZ7XrRHfft8QAy5LifCmwoIW+cKCjfilZchXwmJZc1PSjufOKHbl8PWsZfIl7S7MYXwyP/tH fsiUmzXHW6yibIfTcCgoynQ56kNdk7H6Cl2QZ8ecBqBuMELcnAWuPDoRtPh+/HTalUiiJWGeVvk8 XeaSkJLa8961uDfKKPpu3QNMzbY8Rq+uv5qbUZUoZHApoVkZMdCaiK2EWhmYy9iKtykjgVQFpJ/m K+jq5cU8eRBdJ4DIF5bvj0VipNMFZdH+j5/dfTLoAs0r9V7dF3+FF8fKqSqdPXpVLIi1ZVM2mHwD w5iRCIWiaY6TH2Pw5GYQIg1ejIMIBzPkvuVugKUio2dR13fdFq8/82UQUXsEW2NP/GYBV8croq5b BZvsCw3vqiHoi/lE10Keg3DbhuekOnCsWr+QjBQC4tYezE1BP7Rbs/Je3poMGFe/AeRR+TsQufUT NxTA62NyVmwCzuo5fqHMKGxPsPVVnZB6BMktOnt9WoWMZXqz04lodH8MXrqXWOLDSQJTxB47s0eA R/zGu76SyYBK7qftGjh3YPdOTWEET+jh7EYrSl/FZJIZGnMOELyRasWbf3EqT9d7TAjaoEPwcDKe 1B6SMx0GD1C/ivg2qoFPCqQxaEqo8B8SUUcNSvXNA8YTBT/GXgmLEKVniRcv+MZC9vFPeUGbBmP/ afMOCdjuBR3mz10RNW/c2Nkv/mzDzWjJfzx/CNsM82gXz6MUSZnfoJ0TTVnXR5HrEuDzZ53XZIrf td7+e3A4XujxK6+Rmuk89/7gb9/AXeUBzWCt8vbM5KTUtC7JKgy6kPKzaJSIr50egvDiUHXUl5Wo ZnEn1SEEY7F+sY8nB5f+yyMnS1W+edlQoSi/HdnFbk4DViARUOOWldY2MyT4dVKRxPzeL1UE++lH Qh4AtuWgBH+1gzMkz53drwbAI3gZ80v84V/1cuKe2N/Zk+MThY8T9paB00PYB/bWIO1BiZp1wZ3p ZpEO9YLeC3kksEZ282iMTFTpMlb5q/nsvCA2f4X3LS68w7QkA8fy21ILOXGenc12kiEx9BNoPQKo 3nNZR2AD6jlH3R2zWV6ZvZo6Z4iSWRMOTGXh5j2nv4OFAPX+apW18Q75ztwAbxSe+RTedRk34uQ/ ohBulfdNXISnvnm9qVZgkVT7BGenNOfSZeG9m8mCOGvoiKRqxkPsQNguLLlB74MG0dpENLYtG1Rf wOtFtPhW/cjIZovV2NspMfDxTglIWRj5rFIUg4ZI5DMiJPRHHVWTj6scOhXc8lfPsxGo7Q9iN4II TMSsoN0VSOR5bbsT+K96o7itbru9g5/h+4RIOL6KakOVjdyf4FVC42CAa8R9cr24BEwLTmaUtFqn VcLwhp5sqbMMQfn+8XM0LIY34YmN/rVahZ3LiBl7/g6VVuWR6I3xWfOPEvHunApP1MeKM5jrdF20 Vc3BLY0nRbfzkEx+hcXqIXJNwnQPtxFIhSIsw5WyaPD3G6PtnWWeEW0jgSCjSqPzanlR9j11/ynh SzFPhp7v7+zX03/3Ie2n7bXoq3lXQYror926c9rRlrla4RkFba6FjWOBPcmsKYhg/vcSWCOhdywi kdiLeiR06BqELVnQsXO+zp5ug8keufWSH7QAuxJrv1MkDFhTTkxrfaK5Mfd+PI8mheX9OUuoV7ZP iswfm0r0FBYDmi57I+SnuIGlLk2AluJ28Nf2pjq2nC6gbcQGqx24GvcqpAxx6wKpWYxznbq1nEC/ FQBoxr0UjwHE/3zH+QylG6x+cUqHBqI93IluZqqpBYlF1FL7/cDlLREjXyUa1OTU1U51tDy1jeYM xQn3fUKjvAwQ1//sMfluFL2jz0DH4yTr9Xe/fLmhvWHsjNsKU8T+qFfLYJaPQytZEAnwbAR+Va7T FtrbUGaLhLcUkROIAReFjrrSJRQX6JoXr11LiaB9j97hXsnB3FjyGcW6ja6mxR+58qQDZrrnTPr/ AuS2PErEf7Vg2aUb2sZjM1SKrTWG6c5fAtT0GFunRS8KmO+H1aSILAdgyp6VG0vjsU78RyqQaS3I 7DApyUNabFAgSH8br9QgHMXXqfcqqZ8vteUeR8ApK2s+vMpGGiqe+cjYvEq8Lg4dZXQa72uQw0Zo Dw6ulaWGcZn+daebmc3IBe2KE+76iwk/HzGy2jiYHp0FyhfHKip39sLChCHvrbjyK9QmuWKCSi07 DaawBYNQZL6GEv83Eaw56JMnyXpAhKUE62jDH/P76xi11htbBEOVTEpQY1Xduhic+RGtiXPIQudT VTR5BCXRWH0lQHvyhi8bAbDEge2pndXXpEEMMB2W3PArG9MikhEV2C2/4AIayNIH10+INCB7Z5y/ MKE4wYQttqfuyEv636pXN4H17WekuW16+OkfvbsjLBQ9chU/4akMJl6GnoHlPtq7NubYDTpN2yra 5pj2YSQK6jzAW+6d8CDEIzHwHBso6VqJxoR+MYAdmnE4nPhHp220MFjBT0nH/CtCQRq5k9dmxvq3 Zcw8iTLv35MY4n2eaKZ/owz7WVzXpzPSb05Tf05ogCFwO804YzDQ+wDz32f+SqKE79pk6iyOxSiM kxYrc7D8ard1ianweZxLf2BRt1CwAAAFrKsKyIJgR+a5ijYJWC81NZvQI/IVUlMHhRdj2etogHbr 36OgI2ed3Fu+Vu0F9geb7N8I4avDSX+MNQDb6UzDEEz0Nvfgl70ezB8rzVVe2ooGuP3B9rx5RXv8 mSRE5HjvdjLEQZ7aPv9+jbPHkvKRi2e2nNROAoDzgHzerJShSmmmNpzLyhXCIsU4gQKVmVOTOVGL CZ+0qd9/leDWAkHBxmU1GUpaklztKTbZWV7rWy8K7dgdqFgYubB7lpYXJxbeFTxxioLMt22VTfDU 6Tgap7Jx1zmQFq710bIN95reQmKWECg4IUrYC11QKh4Xa0NWRziC4g7HQgW7EhDmAs4jVIZ5bT7D NPz/Fc/Au9VZN5H/kOdyDm7GOEAtp2T4hcbZUY4w2dapfw5lIUlKKf0f1syrHVvgxFTGIudPgZ0Z cRz336utd3o3XtDzBKjlV393nVlyrBtdQprzumdKy5OqRA+tVS4ySTK7LEFa0GzPOENWdfKlizr5 G+CRBTEc7glnAPwc1SAOf4AVOyHHcaF8Ehj9ds58RY3A/+EkmZZ5KczDaCl8YnuSwHdpumzSwn1Z ZTYzJowhRiWQsZ34Kft4BiNAlywdwH6qZbd5zhx3K6XinLyNheRFDDPVLvfI3CO/9Yap3i+RSy9Z gMdRlxTugC5x+SjaLd8g882gGXAJLLkXzCyuhcUNuxDsM8GRURN+HD7UmqkPEXOdOMkRguil7Hg5 Wh4A0KZx8H8NPmaNgVRfg94ToImn4bvgf/xpE0Rzs7HmtczKM7rknOPYEVoBjvjcS8ZiUEREpO45 5rD73Gao3nNs+zvwn5ThAvUnDnf5iApYIaWhmpuwT2OVHowEVJDt+vkJGuIX84KbNMfMVW0E2UkQ srjLn0cZLPRsLXWL3ZlJwVPzsOlRMi/oVqQE3y5vDan2qD3b9O2ss9f0Wvo1jHdSdzHnB4hb83EJ qTOnef6oeASnBQ4UECKUU9nzKGChyGKm8lkGLfKjv9ujUJLa9CA97+ju1pwq3S2K2cHQxiC8YmkC /7kVXExsqEx+2nWyjhU43PrkurPmP1SgCwHc9uvlT0/WTuCnS5nHDMvAyvEPbm3OArENugguzhLO x+QYcImR/35gO98Z0WrCClk19yUeLW1BiiHXh8RlWI+ReMZBrI5XFLLS7rp45Gnbdrld7PJtj5a9 MFXgTCK4Pz4XzUxBMf4ITutNlrYvVay2T67dsqEjtoMYIU9B3mKk4l6hgW4K55fkoAj2HCZY47rR 1zFHrcur6LImj9FNLvMlBq9/hUYLYCpb4x6TM7wopp+C81E5YrOIj9fvHYByjA8vfd2LD7h+uaRK Pe+BELh7Pu6jESm1Ll24Q4r8g9ZEV8VU6nhUZquVmn/hTxdUzJm7C2JK0cx6MHwoKrl4UG8wP2w6 5YQZn8W6OpwGj5RghZvITDqeZOG+0X+axyJERJScUt7IZoQbvlXCVqG1ONFc8jy5/2jV2/kn6g5X luj/jwOXWBdZgtE2IKl8UCmHFbfq1bDEv3Y1hjxj3Zf08BlJrfcU2RFJLNCX7YbuV/xSTG6nkECe v7ZKhVcjoXntTapOuaFHW0sSbqjU19Dsk5mZW12oVsgCHRWKeystKouItKmYpKQiRcVlR+RYXvSv 1afMdlC2mFgV+837xzW38T4l7NsVT6m5XFMW072slzTj9bAAB+3Y6DhDVnUaEDV7xWD2BXqpCwRK CVHFYPZbWjn75gbFYYLQtnvsNeZR4xoCuS1s4Bv/vGP5ZnU0MNvVxUf5LOs8poDoX1V6iSEHO6Zm AD2DfEdDTdNACofqAkiK3RkpNov3KDqoq3X/lkaqfq82xBmr3Pp/FlYTo6CfsAE27R7b4ZL5Cd/Q 5U1rrhsowjg5oanGjsEpE1nfQsiXUlNsUmzUOYmn+7bzDydDIIvBfa8akM6o3Rt6QUB8f7CX4RbD YVwCGafUiCijXOfoSC7V+A0iTDjwcIVlOAnsDgo0lL6FZlWOsXVmisN420tFKt3CsfcyZwGN+S6n JNEDDQ5fhZoStWrYtwXtjAPUyd+UabsStsINR7PaV/FsZRjn2y+caKGsmqIYXggef2gu+6Yw52e5 BCZ/AG+OKeQ1x6zS+0qYgFd3dpcfF1qEyfDeMHkaaIsD9I9rCfhMFQ+Hp8JinGHrETOaMWchRI+D G6phwBOHmGiMTJ/v9ZsaUFoN/Z25APGS0ogIP5w6DQJpohvDxycqPR36Vrsyi7xyzHJ5PaG3AvEP aUyPEM/hzfPD2BZw1HcRtGXopfC9VymPqlXarSK1hthn7llSKXROGxe2iZl7wq4mfk5sQoWQQf3/ Ndfzf9Ifokmbxhl9Q0bHAWBJb2H7MFiWA9mCDfTVHbqkNDswzgzg1EccDU49/mw8CeUAvuU1gfag 87CQTgLvtsqpH2cY3RBZoy4GoG1oifl5BtWeqMMuRgnWHbSLJ6hmaty9gdfJ+NTYxvpD+NPfZ6z8 XQ8nBymcWzA+v3rgEsV5W+eFrc+SLlKKUaGcxmNyaSnIu+5y9fd3rwCg/uwotGgDJyeHKusG2gGS Hc7HsA5iMmtbxt65H2EC2RH7bN3ycONTTlNwo6SnVZDfpzADzBRREfp+PFDdzJrtpNlnzIK5onsg pBBhaavMx9u3nvSM5d1SY4sVZSRFbHaPOoV/z5Y20X9yItfpXIE+KpN0Ikjs9KwYqvVcoKa9R7iR IoD/VYSYpZ+PUTu9yLatD1MbWA+MFwo7eGHHjs1vyp2oAJWGbzlmoYb/xqvDWLqrwxfyTbpKfKub Yz8UeH39FaQ4wd1Xw+ybJL5exo2ciL4tP36yJKlZ4or9roOZrOeciRKlg589UH7JX04Xah36fQT0 h3RIf0kUhzpMMDojZj/TmkF3FKGY3EhbQcCR2GI48MCKMFduNafWZaM4mcQp0AL+AdJEqm+G5OOt GDGw2WkttMkVLLVwgWNp23qFICZJqe+aZ0N699keK2at/S+dK83BwHKjvQYQJpmZUvfKJzuYirV8 V5JsxYMq1d68n7r49NwXzZx1ZJr5sjD5OHcJXiQ0PusHcrRmGO7I7vLcPmmK0RTYrI0L2VSjj9R3 4pPP2qFCSe4+Byq6Y8Ltw8YEqg9qnm93RvWUA0J/5f+0QOWU5tlawLoRiMOt3GL1vmwzzEGru+pY FvvShU2A5CNHYKaQPHGCz8+9nXtJYnAXJj8Y2PIf1XdhWTybQdOEFynWhaAMLgDoAeqxmj1SDJtG tGp4TDglsWWgw6joj+sKe5/wD0gMa0zkeCsErNo6Qefqs1W1HYmhyl0IZ81hHou7JTVYu7ty1/ED U9TVdBN7hdh+p8NcIhaDYyzhp4SVMZMzc+/1DTLRs86aVeYSHlahBVGuEv1dh4ouCJvVjVQZztFE QCEVouZWAbVZ1FYzgznE2nNzv4jo6W4f8/QnG50K+Y47iJuROXaBItteMO6VPKS0EgtPgJQafRh3 ii+y3+IuSqvWeD4/k/QRsOSCHlyHOReDmPjy2HtQjMnLZO9JJfHD3McPZgHzs8VZQ9DMZ1e6Kfmi wvENNiLh7F392wdq4y5pUzVtEPjxitN5f5CaW5qZwk8MIX8wVLPHxe1H6UkoYlVLPtkNad6ngdsq m7DiSnOv0dneQdE4AOCymugUR0D7SZgyGOqwUBMFXKuAZ6USdmq3CIzvlBk0tIGwQCkgJe7Mzwqq TX5fnVbkp7+uKkneBtzyohJ+V+Jg3dGpQdAjHez+18kqnBuJzeFkNYllRaW3QyC3zOLhxW63Zn3b DSfDvRX6ouqwJktSkrZjlLHGrjejakoKDqHIyJBWCC2CQrylPm36xzGjtMbWY/K+X6IeUo+fuHaM NeW6PaFabayPMb8b8uedXnA1iU4MXL5rfqWqfL47VYPIRkvugCp9qtLCDB523xMTc3F/BM+hlq2E 1YI6XTeTFoPdboN2tn0h61BB/wLxMgMvvFXvGbuHI3bEeKdXpnxQ/ugKU0L00jKS6P92rTXkmqPd jtwT+hkCvlcaWrmU4SIcyg1FOpaMO5PCGrzPE0XHml2xncg9g8Wo/dQLiDnrBr590bX+Dkkyv2Vx N7eC70o4k4ngcMWXIDxcr8vU+cfPvPy0xvVd7geK94TOwHjlrUo9Lb80SG6stdXShLEf/vR6eDqp TaOmOCdHqLjTsWAbJg8Kp4tbFxuCAfioqSiaFKmsIuIUxnAOEJWpy9IVSgPb2hYFr8MDbeRxYJiU w0bkigdYgIWduknomUg2vMGhA6zPH70UjC+z88f4cXKhB9HnOKv7bEF0uZ0XN7ZpIJHyiJJKArKx 65uWGQs+HJyqdXSRX5ZR91UYWWzR19/IQfbKqjL5ZPxLltTbssR26c0aYWkpD8R2fTsuvnoUcREe qBYAMjzDkFGs9sTNPWzID/dWXIpzssrb8EpQnoCn9X8oDzVeRgi1mz+59Qlrc9KnA3Fsk1MMVywF g3ocUiYrcpg9g0Z8e0zFsqUWitIJ5+V+ePHQchP8uxXhkOlD0EejNNLwR1SIuB+8FK4+ASozJeVI zX9AU87L9pKtfSlDueE3xtemzKeiygBh42Ni7+IwMLylC7GmM5GoijdV3jdqtPOKolydpvC5E1Gi +2GxdCzV9O5sAyqlf+LB7+uHecCss7Z5wTzxRe1T28dE7tl54viZMg7cL2CCsEFGTd1UuTnz8wpx jnFRqSD3U0uKMBcRBWgSns/Vr5MgU+1yEYYOdQ8DFpPMxZSHviYNwndzgjfJ1Lsq5gCyM1SPBlci NC07omQ8YO2nwi4M8eZIoE7nWxurB8IbWD4KTU2sdsENZO31LSMzuHvEqIT5IfvQwDN5ynaQvCG9 ULiSUSzcImqWBfBbF1uNaz/KWwzrwtwKc9X6brDnfkytacMRHomP+lqW9s+o/n+QYdW5yCjAqzdd xJD0XvPlUlmQRjuqfuuKT8gHBYplHjkpcw5Mji8qHPSRei5nyQzJU1C/ZTbkmtVq2lSdfAUZMTQ6 mUFLuY/j2QRD//TPK6jdMHJVo7F5Zum/iNI0tVVhrJkNQCmRKhg+yNkBD4L/yphycquZfpx5u2hj O7p7qpU14IyD9yYJAdOqtIBwXtdZ0fzBnlKiP2xZBEZrkmQwIe9vj8d7E5ymWVRKZV5YFszCqeHM V4kqU8JqHmR5gzYBWwe7879dehbGGmTP7/JJbi+M+uoU9wZ9RaM3ZsyExKA2eoNrPmCS/vDWY0vN AbGEeLId091Xdorzi1e7Bt+DHJuk7noxv1EvH9m3Uur2IlIII4J9Uqr+ZrprBuCoe3Yz+XcjPmN5 nFIJMXjkfPe59UZuiWmhPcg7u/t/WZbJ/VKDViVYpgve1FDk6p2EFTfKpXOZWPj8snesg8126yi1 +JDg9nGbFhgxggbiAkrn2h9iJM4nhdjiFxuE/8A8GlfTJTsG6waYRBqqmkJKXLlhy21tUP1PXZh8 qX9kC0DuR3kN65bzB2VPzRGQ8ciEMDZBbYRiJxtjbfPa2+OBtU/kFwGlopwfnnN4XvvcbwpveJYu AqI6zmHWrghGGgt3bSsNq4wKR4uSN2xTUYpctB7E8hwbZ8SeZLemiZ+D44F+hYgAn1PCzn/hP9HG kkxqDTzTmyTSTmIiRMfOCqd3SyelG6w2JxzrlD3Sfikal2okX3WxYSuoKikokBjLeUuBPKucfWSx UTIz0klbrZlzgyw05nMHiYESJB659lFN62LsRxXpkF6BES1kyhRuSRwYHeXlMqVlALQ+IZEVlOai aLwm+5SbETtANgrpfkRcMFWke4mm6DgvplnMsOdL1aegn6wpQ6NIJRf0W4mosZIYd7EH2UFWSz2E A8BCfKBKS4zWEz2AuA2Udqclnc1Lp/XkckG4jhRLrwM4Fj3sQnCZAldBnay8iBlxMA36SDqw71iA MS7kxVSDub6MbddAyTpOj7IzL13tUoBsWAbXT5DRCxtvmYVQZ8oINlwyCb+RfpjAG46KhPr5gEQu 3xqkwqkY0n7EXXk7YrUC0Grk/bII7cGbjBhe/Wz4xGJOdIhm1p0cuFI/YYD+gLP4B+2yjFvGJbPN 37ynsg3m0SKwDI1yPTWm+wj8u2QMLHHiUt5dvXPI6Wd4vRbk0o8lMyzpjfRjNz7WwlKepgaLI1HC 41OkVtwcC7Xhl7uQQxiOG9dnBNKnjPv4xsLmfMCH893FoVzRgUgXE606o3HiC1rtowSdsmPOIAwz gS5MkE87r8+IeG1jd7n/Sjp+j+FzoEyDqnQ8+jxnJlMio/tW46/Zg/Wk5t7fuJ/lhACfj9wUDc0E 0X57aRS5oy5Hxy8MQ7yyRjCv+M0eME3Kp1Y0BR7bZdraisin4/hrIrzFS32QoGXk1zIJAqQzfGl4 vAezx2aIWlR1epzXCCj24Qy5mDNjQviTc9A6Q+yxyQDKHPdIWJ1pIBFvrPet0T9U7yQfLdWDCKvs WbHnuFiJzMoGF7UC7cE5LMa33Xep6xRfMO67bqT+ssuIylcC5/HTGdioDV+RYwDXL4kRZXulQBqx R7WL5FJs8K4DGy5D0igiWnHoKeoaWJJRLuDTEQXTQFhr9Bv0orp3nCVTl/yiZZ77xdVI39U0vsTy yrwQfg9a/C3iyf5rldWuBKz+jFysm99Cp3NaOm22wDxM55hPpyzu1uhoAKnmM98E5LUcB1p60Vad 20Lrz6NM9Em1ur0Fh3GbkgADxtM071s79otGIRbWiyC9SCTBZFEE3yuWklpm6XGpxYP46neRZ3zq ccf/INULaJZnT5NhuW7K0bL+JI3dujwq29wBpuOorYao7bB55ytZ+7SaYBWdmDdbuBhAEPpuGJSQ OFyA+JyFVtyz7ADtYXAjmU7NS6miPQwY5LPvkbiuxL5ZAvxqSKUelEnaD9HfEI4sXoeWtpO2FZPa Cs0j5cMcxD9DkrEmbMISSUabUR9O4Dd+XkeHxiz1r+Je7JAnCBvtQ734PS9EXh7kkTt10mJyeLAu nFyvdYzkw3s4DCXGkr+7E80sA1EjaGUso1yGR3L3oxFBKFV4ysDbGVNGVC8VXqyPrjIdm1iJBNzS dhT1uTVzWmXH1ou9sRWpWMTiTtygAt4CMX1gmqEjqk6J8lqbymthkrmWuRqt1tnoUuC0sMjl/EPX PuIqyLk1FSDT13oLhTcP3ey8K5lfba6wnZtLEcL3cXav1U1tXAhN8bMGOEYenjUtZETMVdQJQ7oG WvESLpJtN/oQtqec2ZBwnQudb1JWK0eikuAu9XISsa7LMnlmODGWMkjtW6AIjha1TafG39jsin44 imRXGTw0lbtym1CYoKp2CfkjEcElrgMKcmON35yrKDu9esvt7yaroauogTe5bhMaIWzTaap18Vaw REyRH6XJrGQ+8DkFVHbwmHICPGKBTZ8iVoHVFVlkVvPkPCwLUkL9gyv9pDTrRc/fQMEvB7un2F6x RiwtpoH4MaSyNDZcHuD69JNubpzNZZfEYoGCbckmjke7tqOH/M7QqSsuduQ8rViotw+gSgRm2hvh 2ftKXwBpeVG5cmeXsFtqIQDppRC7knnmChrqtvyADPWeCan/25ulqALL8+4PLmauWsuNcIdLg6OK 74W1GdyXwTLiPIwMIfrTHHK8y1rRgrKA+byED3NOSKk13uGqwvTyI1zXAD5tBFhzrRjj6p5uTy66 tTPlhe5Oe+bcr8CNcdw5RXuJM2aNnYrUPIYtaZSZ6/cKvC9zFPTBJypTRgO8weh/knHLk8D7FYZg C3IQx0p+h0gU4saCfGxHqN+Q5NYFRT/mjZD0Y1B7S1dljX8KzSXSRYvQGXy4nD5RoeiopMrQ9rTG KlZfptpPfuJE+4F7WC8Bx1pIH7dcVGemrxclAyk4ruIO3eLEpr2JnPkMfrhTi5Qx1UE4FI3vFD54 xuyqwefIGYCYdxWGZ0OvqfW5T3BzCXDLNWnZ/5n3U1gqeYWO+YmpZ5F2/t0dTm0mYiJ2Wvyd0V2X t20Cwanke4KyMjgdb5MyVAooeIF+er10z+dVrLl7h2cFjgkqUuGjpquRLgGcDJef6AEb7Da9I0NU DG4LswdXFX8MmDhsGsPhjcxT7zD2MDuLHKGdW9sHzio00H0qgvt/K+zo3UPfLMwd7XNFmeUfgt6W b65GohwTns1mlMRiG2KyesNqkaNTLzVNS9kRfzvoZEqseYfjYOHYRZiq85XkX84/wZIrW6DafSKX es61MpbGTGMlfwxB7W3F9UMJilFbxyrCPh9NqKlHu0MdB6GLnwi+xSn7ENbSv4AfZ3B4UWpSdzdp i1X1LIE/Xa/WWtGr8iamxbc9cb4RshmyzvulxkvDKeDxb88Djamw5uh4CIDJgtEa0s7jCls29DUg 0elageu5KO22fpM7gzcT+EKcT3tsdcQd5wVK5iLPt8daWr5xw+UwVV2V+kmdx5YsYE9SFTYZ9kGQ D8yHNyD90pNcyYppOuYXS78Q9VcXxiusuUCEmbNZWLAz1fYSXrYV8fV8t3SM3D3EBGWZ60uycCDO 4Z/NSRDi5zF7WErlFSHCzBaWhvz+zHXFEEF43bRY6JXPnmUzP86cI6Vd1TaL4SygKJltqJrsLfa1 2UYUeK3E9g6HB80smGIGT3alksKSkgItuC62a5HpFsFYA5EB5ujuQVs0LFH89JHIeNTdh4K5aSXa xuTsOckimGZrNatm5qXuLAJeYYxa9glCG2aGWYAeb23gL/dh0c5moAepBOoi5SrZanZL+YH5hY6A bt1CHkc9/M6TyC3UMUvbklW8Yzxz/KYtAeMudWv0OTFUlO3hd6JexVJ9qmrw2IH4VxSN16M0+pFJ c4LLscIbVPazvJhzTI6OCWQtmJHv7r8oBmpfwEfjIcUeTBL2DSQFBa0qnDtCa9ihhb4pOPcdJXtS SGChERxoyT26AAoB60tze/tlxbjSDMD2aE/pJ3DZAsDJIzJKcScGg2nKT7pmWoonDi+sNluyA85r 8pgIrfym/IzTOV97K+04+uIPMDdG4O3VVQQQ4r2O7qcSeX5rr1ywMJJJE1SXIr1MgEAgKSrQnjxz sa3jlOLBD0q+jxPxq0HYTsg7hFBGsI4QX9mctbFQnLFPxDcx4PCi5ZivjpvQR9y2ZrXkwt+2Mfgf sqfzQHrEcx3n/5c3OZpRAATkddQ3YdZeQdPe6QMKGMDIdsPM1ARWqvSQSPe3twrYUDgSzqxVDdxM wrovNQ835CqMCwKmAtdb3z1LKsTaH7oo0WOKCQBW7aAMvUOs2KoOtPMy2k20WVw9XxJwWI4AvrHg 1WYptl/OVntCLTtMFEfB/RdN6IEF7+0216lbP7H1UYjbVNdpiEA6o2cRZO28osYFfpMGM9+qq7dW 13ADogQmhb9t7hKrTLaUFsbrQRWw5OMwwgqPkSPTd+ZV96h4ucUomj4Mr7REPyrDcOIEPY3wFEaw h3xxzrQcoLU83ijddGzZiwus1IBCoi5LTBBIV23rbRE6kpR5MxfEDDOsYlAja6DR60Aeo5cTdOz+ kNyYvqlyzloCiH6OneroHfUBPuq2of8OkZIWkaOGjdKOdjC8FlvjrjljWmEVoXrnqjdqZoyfzvkl prur7yCT4HeWSt6Z2N4pd4BOg9GyBVu3DzQefTYtbojrbo7r2qkjk610qb8IPsCnnFkzIr3k8/oL a+P5XhyFCFdCO4lM8/zW2InbbIzuVDWjLamRokNg30AxRWNco7JEULkPYjxF63BeXoJdj/TnmKPR Cx/B8+mx0OPz2owGrpXenZPt0P4zO/RNj2ofdSQqkFBimY8ByPUWNlUEhQK24RbzD4ck8btgFny3 07mcig4hewhUDwZFFeSJuKNxEEBKjT5I3h0/0qgEBsk8ynQj5dX+eLRPcXp52nJgoRvFVvIUefh3 fiM/QlmWdJcxayXOOIUxnhtQsTfpAgeFJ3XuUHXtzFWo8orPPMwtCrZBjpXWyNnDaJk8ZDUM8YrN DROnkJYizwUXwZnwMSvGh2ARIM+Lq2D9lGejpUujWR8ApdzNeU16VMkaV7lz8i2AA+jtPssVsUV/ hNeol1fEioZfkMNEbiOk/7g0Q6jd7r1tXKc/zR1yeGIUcpSitFxRR4VI/ZISgGf7BoNMnzgnyv7G sZFEXw/13cMnko941WZy1GmyhWVNExaxFU+NDkLXIo6tQ+bx0nD4MH8Rvce18iAtZwDmxXiVqoIC 9/CjnbvZO4pOlrbhaEctLNzYP0S1LZumZHnpvVMKKFOEOmbjvhpggfK5QpgmRU9NJ4OqT+RWFgj1 04illoYkZ2Ue2QPZJxWnmMSoVfZAIMr6zsbAY1zJhyUjsazJCldJ8LYdGhFsbHTb7AvwHNqs5yH+ Y0M2c3Ws8lrE4kWkaLnQZfILuc/nuI/61wR7O7/YXPoXeWNkVRf/UQmzxE9OT1yZZdZBO+jBUIC6 58ME9YRyq+hZ+AzKJdPIxXKiO0IS+D8opoKZkMcxR22Xav6S9AYkbHY5ZQ4FZXDKKeqjY3aJ8EIW fmbNHb+EBSS+H5eASYeiRoQ7CWx0lO81LCkXaCCXFCjj4T3SYK+ZfAYuOx8Pe9rb5SEEwjLl3WoP ka1BDjMMdA5YxIkhff9R1pgB/xIH9FEk6vuhjkR6PMsdWoUvX3hiwMN/ABdCkujH3QalACsgh1wR UPF4GkU8pTq1EcjGB9g43ArgpgIXBRbiR9+Vf8MSoFU5zYW9cBsSBICb5/4ExHIeitU2s6PljY2+ 4loXeHTap4i9VYuk1Lv7pKzpRzUVGL8bV3TJ0SA1eVQha0/KlaGQTcRVfmLdDOK6drIBZlH6bULL 6Ozd/ECAk2lLhnPtkcK1IvgqfOd7DZUYpMXm/vSp7TquDHjPe92kfJxNaI4KyXoGkKac7pwVGcOa RcAfZn/d+uB99g96gpfq521aSmcEkZ/rkJKVfLJiSoRMBKVguxt9wwTq1OB/CVt+7B1+cyJt1biA vmtPI4tF0Yk/23G6TLSEPYoGBNZTcS8ZMGVYVcUOS3VK4nLGj9Fu71lD1GO3/DEv7ZGXbUFbmD5n YB8PtHo2M30f0MJ9TOo32zI0Emoik0IPuQFoOxUlytDOwhTonu3JkSTMgmmtUETzbqcu/hiEGPI3 wSueXDLk5ovKWwBSA7/dVQWgxlDv77OjEQY7zYIB2H19UpweFdJmEF7cx9RfkQEdFyusC1AWdagj 2/lryD23j1lu2ZqEnwlefj5QRGx/bebheEEfB1HWVHIYGKaOGRFTpnLIiXWLmqFDsc6gqAkZwojr Nt+ZPPCjVdMDsFijt/uwJ0mPpqhQHqby9KEuXpPYeegraUtsMxGFEmr6WA7jioguHhxMis+n0PZB qo7cV2P1FtMtUfYLURlH08MTooeL4OEo0zkCfOl0kM+OEQWWPwDi8Zx1ARYO5SeWW4v70Bm4WBA3 MpIvrGHWrW4ksZeqcRgU0d5Blbo24xxlFBbtkaiz0Nqfrk6jZt4wnRf4zzsbzGVMNsVM0bqJ5yqu DUKdddNQST7npgDQj/FcHtbgA+RuScpgQ6ys5KunDnw+3OA/FbzpSCoXSt6cF7rZoMHpCrYT4hlZ 23QF1peWKV73539IPiiyYhGnTfwmYLDuA2uWpgzIs9my2RrpPjHtALzAzMAXoTQlEnwMlZWcNGYb oFvp70UNSGyFHO4bj+J6ZH13V70qRLO4SHc/503YY2+Nd68I06osRhhgW8Q9tF6Z9m4rBnRZCUKA 1j9yJZqn5qsTMuVC4mpxzceuhvETLUb65z7WfAsLb0zElNIfpxW7S/DhYkJTt9EQkPZcCk7kEA4h pzdAjQXLxjDj27jSP272wjdGNQelKcXiieu011see9H2UOQWsJLVJ094X4yK0XYNAkKk34cR1qYa E20nOPmTdRAfWGZeO4homtg1P/9w0ZYfTrMA5DO2il7bWeZHoGV5n71MzxVyojVxD1PFDLL+uL20 j5rNwuBHMQbckIgBXG0UT1j6VVO5GBgyMuSLE6UDOo9Q/7zWdjgHaxuEDHgwnhyCF+cH54+03851 +FHs5YmIeYJLAa+cngFbvCwMgjWTxbSyFTqZDsN1E1mblxNjRmA1NKd4JgTCfoIXJbA0CS6caqYZ OHNM2ooTIFx6HI+NFRnPWHaoUw7kolLiB5lVtXyPDLKvJdLF3wrOxBihzwJZfcMeoVfoLYbGJF7Y ILkHMaaZFca2793SQGMMCNj97Ewjz37KYDotpCDSJnL7Gw2IxqQ+oyJRo5qS/b/f2hNnJo7rQfvN ipEUB1V/OPr8Rm600cj8dK6ryqUoOxxYxB88JXsckovSN+K3qvh3RCbA+isVBRav2JhqqdUTwfv6 2uO5m0Kf+Zq4CLmjYsgMS4ErH6bW9KseTqAcTkO5P35ak/z9FmZD4NLkR3bwwkw1EohB1DWCFAzw FD+w5DIC2mU8WfM0bBISA4oa2LuCFtA8ZxkVLAuzf1bMHoSfYTiwB1RlXZdIoIcIDv3Ql0GLR1dw bqg4ejUTP1OsnT6FhcpiSG57HUEhm+FO5YA/n4apUpZaO8fQI5EnZL3niSqRZu4HaEjqodo0Q7ui QbT5dOZIXMoo1itdJ40oR1Ezx9kWMjZoGi+Oogq2VOcLgnTASoBrvIstX6mjDCZ3Fs6XcnlibqS4 WArUwvHmV2dvYWfguPPC5gl3XChjeWbXuXsWpK3NH4M/wlIY/sOK/Ns0ZvW3rmEJi1BHXNA7LwtT QKeq8EsDj8Jz8glCUeHL+Nt6rZCWt2QmwKaiS9Oyx+rU/0Kq8J7Qyv9mAjhSrgUzglUGdLu9gqht 89HiG+V6b1QiW4eYHBIqwMtC9O5Tangwsi57AtTwa8GDr8sJUkyjYhNMZGtzZnyqWjQ6gqwJYBKZ iOpfiCQuuwfx6fWGJSuNz6JHd9Q3aaC90pSK5413SxR8uDeJWpDahoxLtKHoKRxB+tfoYmXVlsqp mQs2Nu7F71ucRAM4QOCk9hXh6WFBhmSFR6+fpNx6JxGTEkeMjCqB2Gyy8ktSjhNGKmT986i9qHb8 oRqgo9ohraQpPEswPamue74sjBqSI+/6ddWZvLLgGFFUowIC2F+sEx6rfnqn8Z1iV4L5O79yr2QW jRcQLvJKilwUVclhZhW4sEoAoAJ0w8mAMffbieE8ktgl0F9ud5XmSCfBwD2z13J1UvjQ+4MMKQ4B yqLM2FPBNciSYzOKdZy8hVuRFMUT9L3cSila8TuZQ9jW1OPMMBy/vRF8RchZ77q7HX5+Anh9WV/9 f3Hn4kL38OuqhpxzUzPMp0K2bpDSuD/1AlfEf/tYfQM8zTMqzSWFUw83R02Z63A5GpNZCCR/lFVE XnKHa/+V5+G1JvENFJ5uJ3RZkmvEH04PDxAbJQT0Mb4Uis2W+Tq8KUAlEWix5Xs1XMHuy7IAv9Hk t0TQ0jLf0Ie3qN5EbdEOybhVB+K/KkRonmoPXi6xTPvn48keYhyG2LrioxJYXzwtGPq/ZdxocKvS JPdKhk/k4M5OTsnmtVXOXfP4FF+cWUiE9e/bMP0CGSMysHS3fyoq5SqpqONohAq4SWe8jEE8m/x5 kST58y8rJ6skZe1DeTB3hzmSknHkiR606JbIg5quLSFms0ECQNfy3SHH+1Dl+aOy+nk+kfyMh5Fl Ajb4v2I1qDaBim7gSc2ZHUxNFOEnst/CUkwjGXTI3nGxs3Cvcde9uevYDvS5lDeFFenJ+pP1VE2V KRYEDpW0mZK9gsdrF9bMUpY6RKp9Hf2NtX4mTu6hBypYPwHARjwtrAWebBQSoSelX0TzR+9JTbMz GAG4lvWnnCVRdtLkqGGvgMhT6qhdtnkll7AcJq3amIuY984EWjTb/CJFd5m3tjT6eMXyYuux9FOJ biOvGZbTwzmKzFX8FycozVm1APAI/H2YVi8xKx1yS6X9KkvJMswA5K7ddPn85gXvk9L3BLF2KAD4 wrK2G/P7bRryJRiunTDx02e9qYifUZWCijLSXSdnvTaKwaMuXtkBdpZqLn04nQdO8uQoO7yYxStO EfcyDOS4pS1K4UeIn8nRgVX5fYiauheXjOXaFD1nGdYn3ZV8y+5jUZfRm0c8he0DeOCXVKViTMGW dpg4P4jgGmkN76L7ttjR2rjrl9MrjGTcpaJ17O6WCcS3FcPAmE8wEgKmH68hjaT3vOrutTNHsz6D lUetije+flA7FYHDTo9I9xfmoET8hk8meddWG3o2Bs19ZuA/x/+Ccqe/yOixsXzWMlM8HqwCYKL8 dMzNv3V671PRjpzdrZ/Cho5ZqFlvWdP0qt1LNuIXLiBjgoFZWtwoRDiijOksw9EWEjeTRHGaOvEF RQtaGxG1rAWmhAjFT7DfFToLmxH+HtOjSODvg08GM/+5j+vZNYtgIeDixK79fZ2R+/Ez1k0MCLT8 Od+7yvDpMzMmZ96KXIHK+cP/yWdIUYwbgIjuWqTyAqZplflMmPhbN9diiiWn25DEpWoTiWlpMmFG IvpVwJwQIH7I1z3qJmceLyV+7qggmhJ54Q1hVwC55WJVWKSeId3QPZ9nUmCUsXNAS6Cj2JIL4elq qF3oGMkprH+Q9hSzqk7bqr5DgYz1pzm9Yn+YGJ1/bgrRqItEBqWjIWJwEgmccpr9URLLZmgRY6bX bw+MslW+5zDYxf014mubFXpZd4kPShm42rOkONU/1sZEzhKc6dPXM9u+S5mIf4lXxf78PzxzdYhB m7rxuIZM6nTSpaiCs1nqDHlTEWxY/ajJsAOZRus86kOTM/2PVH3In8PfrwdcfwYtNsMVVcsqswan oOs3DmO36aSjJqlmmUT3+QkHSXAZavz9O/X77pvJC9b8DxPdmq+SNAz+lg3fefzTFdQEOvSYxbG2 XLSyziysie9LsFk8psC3u9IWITvWyF1LUG9O9NklphGmLYBWIhjohE/ck/VlkU2qoiz1rIyzShgy m061dvxRr6oRitsYslZDRvj/L4U386YYQLgiHD/Xo5eoszpGmRAkukZ53bDbhMntx8dpCzWI/TH9 Cui8tahrO5KGK21jt1DpzyfOlhhobPBRaj8CgIn2S3KmWHybVi83ON0kw31s1wsosNgVLvsZqNEw ZSn3U3mHcRa0XbiGNtPScdaPqTV+mMtDoUBEPMKlAGBaEJSFxYvl752wuR/XKwyFz/8n+7WyvVS3 quwCDEtP5fNs/pfWvAg8sDhW8IQfplcGfwwqx+obEt9Fpdex1o2A2Ipay2YhpsnUwVudFw1fZNz8 DUdCrKBG7w90S7aGll4E5RTGfp/5CoGlLDvPXKkPEL1LnezP8ggprLRelyhn1pW6WeDBB9fVpvKf poYF526vg3VeFUZqjXrkXJW8vsEkMZJxbuqNmyDCtTjgscD2OEs1y0+MiLlyLjVWdvamZy9P0x2p DC3NQ3XUSsxpDGWqV7EWz+agycMtlpJVdHFpVm/DBjoG4SG248efnTafjoW2InKfLunAK5oyCtDz k0GvjWXtrAWJcp/ra7YUiPO1vUT7pSy3UkycePy+pc433i0kiQtid5ZD7dgUBJoe0rxrXMPvJtxx 9Agohlb15ZHC8Rr5adpefBzcubCGVCCVaoSWG6zGM/tMHX/RTM7+MBYKZiCFmg+bJ3CfgtOr//oN WAeTMpScfwT45MIcreuEvWativR2eBwJO9IQyksCs243DR6OxrtPnJAUFiGEMm4bM+UfOnPZweF1 V+smRqMYUyAeZHZZzlalmvjV/kXBybwQgqTyuE+LiTMId4JBQF9sPF7l55A68eP2k6csSVZOIp2V eft00VB5NQTSrFta3azoAcI9UxSNyUs0W5O3XzJ3nOAlnj7cWhvRKwAFZ1yzTZoJLCqLJqyED5iY bV9tSc/nlp2PHrjfFtYiBIY9Rl7uHqbjSFTICagFkd/tPhhR25WeNOH4776rnqXy1ETDpH2TEHjn rkmz9Ujf/HWpv+F4b/E47NWXRcgGEZ5m70lNhdcvxrdI/FqBosQ2qVvh1ZU/mrAhWS9BI9dPKrv+ KLa+Y45TImndImoFTvAMXxbCElEK4dOaCBBwlyTVHMdpZsYQoke4t2EsZEMdA9j6xLVEr4JESDCn AulmW22Cs1p/C9lk/bLLjwM9fhYuTbsSCPD58uSVAyZyFr+3HgKgcCZ4zbKa3WmKhJYLsD7oXUBl VVGl2SyrsFVXeVJJ34rG1Yj3qttRZLwhjEPvLVv0WhOcTiCQclloIlk2JdSdd2wFbATdwJoDi/kQ qD0ycU778SLaZ1PvYgYaHSLtNvWK7Znce1R4DH2xvSjBBRJY/8j53q/ZQ0W2fYsKanRz6BMty33z YNsozC7+WOB9d+MofyQVXUHzYrdqtkNOAFPLdF/Eh1hqnFCAOEpiVk2d6kzAaV1lFMw+zTe+fqb2 8W8C1GOlUzWgOn7k15CRVqR9pYSLioKwCxhYXwQhzqGhujtk6Cl54HN502dhBVoviUNgL/oMb+CG vlWEn8w0mslwV19erWo2fXuI7p/oJawquDxYc8TjahXs9wJvKByVMKCKFmonA6fyFvW8DEU8JRA+ bVE9ch33r3O9DpN0vlkukknk3u6wmXwu/bs9tlXtxamW1R5W+6xk6Jw8E+cumP/z0haamFhdBMLY GoqTkNO110n6+Ii2VkVmjr1bts1LEXvCMMCKqV7HS4wjkUhJINctC33wrvxPVFRqP/Y5s11oKO3v 35i5M8fRp+QRQGtS6ISbZ9q8Ew29zUFjL0MY9Rp80cP2f3jciUP5Wo+BC5deABedNCUVRXAN0xPr ktUi3fXcMGfJvNUoeNR7fOuF3SNp8saSf35Ss6w8Uh9HiU5N4erIt5c3x1Zbnpk1G66NampJlWVj DRLp13KFB8WqZb/kYpqJtYw+W5KO6/6VxvdCWdScnM21lmfB4lDNfT0igwPLZceAcVqu7lKGTkMj WVmXAdsTmXktTF4utaIRjpFJHtEbaRnOmgDnBfOuuIjIDO2MdfHy41JjkHSaz2fAdX1IQ89LIlrH UQRVD0vXeRgNA9+MN6xqQ4q4FFzY/YxTpVKLhnCxsZbbiOJFonXZW1SvHUrvsl3p77P9yxcO+qlf VoZEJEGfN5oHQfYzS2qSWJOeZfUOZLJQ3l9Ynne9MqRX3FuvCcbMcDWWwe4fUADiPDFBCcIeo1GU GDzeaVTfFFp26hjg8Xb3JYRV6xj9fAXC4k87ZpQFCt2iEvzwM8ZtV0+5oNI8/GsgGD+YNvUFjLf+ LB9kJ7OFyV6w2JiTtg94e6kR8xppwoGNlQ3rq9BQa44LDDUo1n6CwCxhBCLNVu4ZxipiPurzTgBq iAD32cTdvoQO28qjQXRvPMfV0rMU48re+erG/fqsLaHM1IMKMvD2lOO4Mw9x45ltkCNrfN13oTro fXxjxMy3a9rzYu1WwWePN/l35O9QouFlniEUq9D9dglQYz/w7Ab6cvlrgY8dNeusKFw5xl1u1Hlz 91iVd1fWeAIEGI+GpCO4mbHlPY9UyK+zdkXSV4VzSMvaa28mmbBr8h+tdyTEfc2GVnvGnASKYXy2 sHqnO9pzo+mKrkiVrJL+EBiJLQOsfMHPJCy09Qs3BAXP8o0rgL2H1nLMw9UzubWO55ITKq/dGyBT XF3iopxBFEm1r2KKpr+45CasxMe9/VYmehe8QDe7bmzSQChq2B3ju+4ZJfCe1oGD50LW/2beZJjy /4b76hx1fbJ8ACYG2XucC0ZEZ0d8w4cZg9WsHHGCdFQzMWW0UdPYW3lAQ5cc9vvEW+5jOwsUj4z/ HBQPOrOFJtMw27JLosLf46YlndkY1H2xZgsY2bKmwMzpFTxv+mug/r60nMoKiWcQZZ4mbqBeaG/K jG9OTFgEqzLjEzpJhk4Kax1IXWOZli/+PGq8WGS5Bm37xEj5Hk3YDp1f1nWkXxe2fWcMSXCdTxEQ lz/9QdBDonFjRPzoJ/iJKT1FwuhOubhBBBtzS4PoceMv6S5lMF4KPzNd1xkWmSLzCbqv4s1luxkQ 9lvkFLIRDQSqXnzqZRrjQkWnN2FE3Bu/HtcGMKjYJKQT8OTGKdl6/a7gYMPiputxfobifCZfcqCK /E87NTuP0x3qRaoe0D+WxuHdY07e1tD1NqtvVLHNxTUmCXhJAqtv0ha+WAzmDZ6ShxvyS1XNFTYp ylTgKMnKF3MOyBWAM2iVHo2FCa7qEMBnrMxJ+c8kpW998S+ukSHuUd/FOJUuV/xGH5ELIxMDXsqS CCsw5xOhXJ6xsZYSAgdLZ9yN/eR+4GNmg8itSNTaHfKuFeoFfJRjN8zyoCWFPhLgjVcx0GHQf7YM JPECnH7XsL6Ue3ianSqza9PXaQ1ZJFqvW43N/ceJ3gSpNeRSNGu7+2ojT4WBS/Vp6ULXxlLH48lp iPUvRjnKrMYK8AdQ8n46KdDD06oDVkNpYwHYrtOCiqDgSkH7afV0vpzkdcbB9InXMss7tq2GZjSC L5vLpBxOnFPetkY/wTMXjzjnAhhQZ4nvz/vE2VrpYc2RrJh1EIVuXT2frPy5irMHEpmKDXzjFP0G 4SoUhHcg0NT629OdPfMZ/ogbE5OSof9ezZ0P+5mpAFQhWWSZVGLSwmdsuwLGVby3OWY43tB31Ruz EDeMTtJEGNK2pz+qF/LYPokVEP2CPelvF0pRT1QrxLMxyBleyYUYFm0kkb+ljdbYeqsMFyFzyGqw YWkvLDOBvAEJ8Et6RttVPwqFIQce4aMLGu2g7r3n5VIRO6x1CqcnZZu47WOKkRtTNprcOhioDoHy DkmGofjYhtStR4SdUe2+uZvITIl5SRqjJO+VPTPAvVCGAMWxucJ1fXUttHaDlNhHRnK9bME2TbrI OQhZ2n7YByKWG+2nfJmu9nUlaLjlscC3X4ADFRiQlBBb9BZzu9LZ1HCWsPQjrrceAnyv2SFpKnrS TecVCh3ZFztM+BYQl2UT5en4YIpU2JlBmKWTF1aFgAafJ/RNegT6Leqq1ffXtWYZrZkd/SxVGsRO hZrJvQ0WaF6dImyqldjjcUE4QIcfg76wzdvkqfRz4CM3gwxOIuWqCMudtqXsNWZb5/4kALTYAq0k Xgo9XSvkF9rvUFtKQIa/8Gk5hui4bZ8+rNUyj0UwOl2HLLUQVNR/O+Q6D1mGffeUDd6knCWhKNZu 5ce3jfrLxELIRsjlNu6se57WO+pLFkhgYhKM1ISukL5EZlGzaX9rgyFdg8w/FJxPDt5jFApw2PDY +koZVz2DRfpRYwM5Kcj2IFVsy3ip2f3WlQsJgcC6z4OuIzV0o56+7yb2GJX0iwi6EpJlNXDVyIgH A/ZSTrgW547ina6Bg9w35aDN+uwz/Kbk06JrDv+9AGHGfel8I02EJVqf2mqr5CoVXkUvedKHozRW h1G8rJylrRRobGIJvegCL3cppgn7Ffooi1jVV1FUMMxlfmL2d1xCkJKr0YwjKG0/VSWZamPgu0We VAW2fZkOck0fUVTtu09Qok4UVldHMz+9GIlvrazWDu+StTH4Yg1e//excbOFGX73sjIHwkDjRtMy 9MveDffc2c3yMCtFTXr1aMeopT4soCOUQVRJnkmhzRfBDx5Dk09GvY0DsHHRKYcAj7GUkVgie0t3 yxzonowtrLsCBI6alw70ViCMUyTLIh9Np6gJ4U5i5YAc7ybxy5a33CwSlcTF8L9MlH5y5SKBYo2O 1lGuq1kiMsR3kOBKMHaAnJpUEdt5cSBi6okzPYdokzi0LAijj53UdCaCNl1qXCe3gRzPjMIMH4ZC aB2NevSsQWoF+dNZHTboOEob5JkwBb6Ubr4Gwb5z3YeEA/XX+AUMvPpDCliXBzQTBBw9t0CHdsWl DbI6NitSqIObUod3BbFowWhMEimMAJVFZBgSUzjL3r7rVH55kVCSUH6aQTaBg7ZjA0X93ks738ah UIM0Aoz8tkJvmSVk1JRqRMKC4RLhSTtqU6AATVfoxbv0rEIjo+P+nL3lOWfM+CiznMYyhy2p92yN URrB7YBcjT/+Pa1fAWGTINwHhUPwNV9W/j76uKCJIP/MW9T6+72qVQ8kyl1M+Ve9eKtW6y0aEbTQ IwdsUkJCKdmvaTsCl679hq2EQQ40pz8Rb32DLzF4rGvbqvTzpGNQUWhIssJxuMOcYgcQSZWgIxaK XeD85lWUvZsOxv366GpNUMSVm4Y9c4M9VtOklqIlWodshN2/mVW4WVOK513VOLRnU9BW6EpPPhhV 7AlRNhuvn6blx8Dq7OGCRwOm+IijvuwmzQAFgnOB9JRy8Tqv1owxyTASRq01aCC80br7LHSzmiiv 5GTaWbKTmVdxE3GMBfDA74PGSHBaTd9Id1yItbXvDEBUoh1g/9e6F7zv0tRUUxGr52PiOjKn4A2c +Ot/ceMhLBs98QtFjMY3ouinVSUuQ6QIKFLL07Js4ovoUuXzDqKiJNaF2kM+W2D30nyPZaaM0Qnn 8peBep9keji23REgXirezE9FWwxQyFGCa8QCrppanCLYivYBSBMvK2oE6JDgrbvob6HOftpKTFs6 bEIfhMKPocgDiNQhahxSABYWfcY1++gLuSQZyE3BMX7B8Pt1iGJ+v/XVLHftxYTASERuu5CpyGmn KuXaOpX1AejziFYLyJz79yyS4wzqoKXqwKfY9EKkuaHkW8jRMh59CsGV8RNbPk/8vOFrEUdnOurh bXyPg6wVrjskXYRucsfDNRx0fsBlhxsagaJtezP2Ia/zIZsofvCdDb/62RLk5iw6BjzfONInFzMx 0vnGpUYmX9EuB1uy2ZzK1WyImMsfFiym2nJkdFVtfiKPCcD3k1kt51SI5rKZ+9NzMcVX7Z3OXdzg DYbG3wyLe5sA0fhXZJdUwQMpiNszGEsvvbzkFziFjvjkVmT/lXenZhDgopgdEE2Sw+aP+p8QlYTg +G0ZhZzA3q778pvVBzCd7Zft8Kb9jJqAvGAlFjBHgV4XHEEVU+WsAXOPDuFAyivr3Dq2YmQLLLuB hawZESFPIH8qbsyCPHCZHGS4NuC4bEje1E8lJCCtHVDZLgXwVHr/w4/c53EeER0L22lB4U1wO+e/ kXpNw8v2NrDmAu0fsASSZZC26vqgS/XEt2JtKHfF2N0aS1wRrEzNXox9uxySSHzSTO0jpUbfUhYR tXazlBIjzwdS9pjXZ1TPp9wbF4oAQt0rw5aRg7at3PCCf9aYSK7rRmnMsSoER1yEHfbnQYmYqfpz dXrIpid8+No5y5nANRZFPK2SJ+x7XozG95fEjtKHXSscF+g0qaRG5h3EvQJk4ruQsQXUOHUhU+/O n1mSeOTCxlFsTB9ttmzGZ9F8pm5GNMkcpozkaiGvJpmJjnOMFZyppkShLn2xz0bQj/+CNhyr4oRe JXO78wQiMkFp4ilFn4M9buZuqds7G/qUgn+d/h6ZbbBrsUvLlTGG6j/vGB0SehEqIpggNo0/9i9u b8V79P6Fi8ptPxLWHMjKuH3crgbGnTHj9wWf4waawYridLwzIrlcaTW6epHPFE/u7+vh1RNxWvb8 u0RCQ/ibME5E5l9o2wpfMvo1HI+qPPuZkX+hzfckgzgNFOfRr/pmi0cfFmHqdVHkzYk+hEGMIcpP bjoIxiC8Jlh6lC4dOoRtle3rqjuDMVFq/9NKRIs3jSe8Qfy7q4+hfkAaYLxwQodH0hupUkrP69sI G5SPIqxjIa0oyeCNBjygiXUShU+qJ38dV8/yOVqEZBqfuD0qtJ2IeUDAM+++Fqa4osnSxKUjXLs0 RxW627ijxLLcQprzd1XGHB4x8YDVnwd2jI72PemY4p31WoI6iax0cmt6DrO8+N6Oh2lKkKQ8ly2o 76PzvuF08s1rFPJVOj0mepEmtXe/Y7G5jyMXrS0E98tmPz7WUajWze1DqzkcqQ3Zq05ooVJBE8/6 4lgR0RKwExN9OLYZxo5oTGqKcXO+vNachYHCZL9cXs0TKhDsmg2QJ8x5hdWTR22Y/6STzdMuEgXg XxsHmIRMr+cxgbQuT5pTJMrSH2MAQGUm/PIXtRPE6Z8VGBtMUyy1oCXrCWiNAc8dpnV8zXPaF/Vh EgTTKy/T2O5cMh4gQ+KDCSJInXpv1gT5Gu7O+fXrdZ01hv675JriuvfitHxb+6e2DeY4K0XRsJIS /HDfLiMoB2NxTAe1WG0aN5Ve94FeEs2jQrmdArA6uy+5qTjk3muXfjEMBKykweK1AcqAjtqM2uVz W7t2KaSkC0DJnlk6jhiwfJfDomOL1e0GMvMWLu3lnBRiAOQp5Y++yrFNTlByFxVoGv+ik8dQloRz AmGyD0nYyP4FSADc2WN6GiQMKoJhqsYBt5UlrJZgexrjmkp3zfSrN1UkHF3s/dR32DJj35cEGEh2 hh3Xsqcyw3DV4JAvKHb/7DYr3YSG4fvz0apzG+XAdn2rlHCliuOoZQC4GaFTTr1h4S9f984hlXWb TW5DXvHvdQhV2u+zykblJHOlmZQkEegkI5GHIH8kMzmKsHizWNyBVcNxYKCspfX8sRfcYr2xLtp6 vQdASZRg5fkEX+8WtV3GK+GSIpmHev/g4JswQyxYF08eObrJDyelD/4tcEl61yqKwrSjuKNIIYvH pdke0HUZNQDeERoeln45ROw7pz0GPMh6o1QKoQm1yLmoB+H1t5vWvdajJa3sWPCO8heOx5c2/1cs aW5QY7I6lkvMqQNnqghxi1GbWZ/6T3HczPBho9iVuZIY5S3rs+AZN76vHgtlKMrqAopKF6GYKEWt gpCQnkUfzZNnP8IcI/7jHqw8jMsrstDowb6SHLmDFE/mYMNz0Vr6lDy09xi8XUlYQPAT0FqnLvu+ uqCOytbMuHQnuzobSUZ05bzuP0hWQ0bP8lX720/0qHqsWfJbtW19eJEamKiMn6WWz/kRKM9A6wfM 0SK2Diza8S8xNnq4kOhucYvZ9QSopAx1doWU2uwlXBL2bz2NgV+Q7XqY5dqd8mFb0Yg+EMRPC+UX VQEYLyW/ndnjbTkfIwami5CY8rpLb/PdWM2Lfftbup9YOrpBNZxozyh3KBqESCp/KaFu8taOqgCc k+Eqm3wvZzXam94giDo/lN7euw+8xEbsSvRW3Db60qwWIr0jeCBuoF90lk5NvPkR86PURKCLfe2X +zmwSBxcLeBG9P8oylGnC5mF3zTt5tl5SCzxWA/tAq2MkI+y3Y+9ilQCYLVJMMfVOppMHeUfmgo4 vCMosnyRLp1ToG1aDsTGbnxk3z+6dqgLSQcJXWS285HOLl/w7KjJtcD0GNqQ6bfSm8WOFhFDfPxV 10uB6ro2oe+DVUL7lfaQgwhVsnpoUGo+2S/J1GnH5Px1GIcz6gEUmKI9jMI7Nal3sPRCUC+AU5br KkYAeKhQzrLoz5s9ghqjXt7cO84SYReeu0VbOBnpTEEXXTR2uvAo9Ni7AgGCYdQInpRAB5k01iW0 vao6dYzo8AYcg0WJTrB0ZQ8jnDBb3vuTW/Im1wd2hJWSqGSIf9uKvIl8NhUHprYGx8rzK5aS5SJs C0YV8ECrTYMxaKDodmi73W7JpPXSuEM/Y8nbbejnEFNjsi5ReIt0w6d5vJX22O0pryB+oCBuY1xb 33sQMwZbDgxAWpqbgvoC6FS4f4zhCSPqWYhb5ujYQZG7Sxr7zqXd1CFwHqbU7Jj9Lf+eAZ2DMot3 Eb0TG4ChryGlMG4kZ7/2wRCD/gEjIastmZe6J0y7o06EPUGnFapt1IlnHPxPsmeJAMiN9q8gQKhM RKeQ27QDjHBDGo9nvQhd/GqkbKLJ2P7A2hjoQLmY8qjuCJhlY5Y7R6GW8qRL4Nw5VKj5ztpDujdr YtgKanCOoZ9vi2jxR9I09NJUAZfwCsQDcTCVojy04a9HV24GKxGbzJsbZik+zYubtunAF2IqHssA hTdWL8W/77SnV0do3kFDSYuEJY3NDL+9Qw30URXL7Tq30GxuV8W5f5hEPXwiprJER0IcgDo/CnNw VVKo5gKo+vacz4mW+HdmYUYFfiMuynAAjhi9q4rmOQhKaDFljfnep+Ikv96SlqcCE+gdDltR0rtS cmw3sbf5kbX5+MiIzMCfzhLG6dvvFY6niK7rH5IvzZdDHnHh7W8jjXVMaHX3P6PTyWVAGfQk9KOS oMp2UP5GUyiGt2bejiNjNB+q8kfEjHBzpGDbgIdk9TWQrVv9nyv1pGD+5/gn5WdRqwxoSAZAM70p r4H0wnQSMjx8FZ0PivyX418QkSbI97B6mgAssggICTo775yBJ0jDldrZbRG93rQ87TGDWy4fplrj iT6eCzLlB88mW6FKdT2A5OUoyCdTpfWSfZQgtlo+OWg2RWwbvUAzKu9fV/YIJhxRY2t/eOjnaQnP 8g+ThjxXerO/llQlzjaWC+yHBuOkP5WUwnO0LaOfFMaVTI5HYH8lxAqLncuXY8BcPBTJxODx/ccq 39yiY2s4mZERvObcTaYfJ626DL1XwytNZ/t3lknZc+E/fNY1e34blTNPraPYLp1KivJoHcz0xF11 0erX6IbUAllEGkwIfoLY992hYnm440Rb0ATk19I5+dayasd7HOOeiEiT/Fjo2ZHUvj7R7AuFsvh2 NyarTruTP7NyxJTMRsx5Q6ZXs8achqIrDeXP5mmwQF/bM6krRQsx8VkEFMw/szh5iULqAbtDmIlp JsA+wKFVgc3Y1GcxpkUmEeVimZn4CjGM7lPJ+MtEsWDgLB7VKi4+L7ITzxcWzplpV4gfjLPg5XB4 UbYEbX3tshgtBHbkfpBe8bgTPxnKNEUVFvNFtqramWiZeCRhUQqYk2iIrr+mZEtBjY+f7mJ/fMMM 6aOR+rXTbp2iWAvADIi5A/T/UUiYYC8lGrFwJT7+wM/xfPwbwlQjuq1ay8d4IXjY7iJ5J3Ch+iTR WgGl0CLwXgOrq1/jX5RaGL7MSixDf7k2jbq84vFHHFU2tlZMDqoVxxwcDezpxQLAELZsGCAaIQ1O WXYyBxN8Vz3ifTptUNXaOz/odhh66y2rMsbeHYcGrVFGIfXIBUXOfFnSicWRkiwPOrvayQImyAy6 qfsxbnLofW8nB86MCbIbl5hqNT3wGaP2TClJC7tBSB8NByQ4XkfqBOEL2+Tmt2Fgyfb74lahkg+l JEml44dJMRVAqrZqePc1MeYmOmvJKG1vCS2Wt4DaVV0Yjd7anOWKnNi/ei/eo+x6dtnLNAL1JsKF SS5A8JlzECdlaCGs00telsjAhBu3mhm9l2dm5XvPBBjjczQPMG2T36F9l19RsVRsaKt8Xf3jn+yA vuyN8koHrUd8vjPF+RsF9wIlNkw4w6ySmw8YDhelmCCJ0+sIB654cBlallU/pdyWZHrcdIpPGIqo nNkURr2TLVWbc/ubb2og6O4+bUhZ78Tpx9/UgFRo6kkfcy0/rd8mNEE+J6/R0/bxtMyruxfo3G5Q eGeQeqqFZp6qlmdWyMUzQcGWwuYKm1ol7g5IXVh3JOTVODUC26GyVi46K3FyM4GsF9401sS2gWMk hiL5lV5GVY9cWoZc45bGQk7SLFDf0xjGzar5W59wOYwCEedM1oQexPM7pwL0GvqLWH/4fHybbmdK 1X7ZJwAFRJgGN8PRNa0Y6VWKi64dlUOfDEXM0KDWIRrSe5w3NIfXfI5y9ZGlMhdtMHwf3TJFIUCD Nsjphht1vtn1WqDwZeO0JbhjWboB4nh1loNSE4lCp/6RhAX+K5C9lk5fvAojsEwJ6yEzwGm5gJtn zBmQEZDIjNzYorE9PJNSESilkgQ0iNSdqLu/A9SU02kipm0nHtefOq0qzoq9s0CoQ5ZxqNh3C2D6 x8ld1EA+pdY4zDi2Bc8YENAeHMnLhyWGhces81oLDVCp0slQ9LIfTEiWVbegh/WpH3wQKk1Q2jn1 mVHO1ee5E0+bAObUiF2cXDvm57mO6GcJu1MNDPo3GGGxUdhX8qygkXdBQuq58UiJR+EiEf+OO+xm 8GDcKU1ixH7uhJZTiui9b4jEKPfP2595D6rvHEV+WaqTDybK435M7FFywB+DBelKaV/4LYwjhOUu dy+I7mqnuFTuRkHSAJp9ZY52wKAYcF+EPMLhFJ67RHrHmqZd5k+/ewzZF8wXOSD4Z99yXZvWY5N3 GbG4/qPcMRtSQ4Ij+1prK7V5BpE/EHzktitYklZibptHFpik0+a4s4BvXn6dqDkDbQ4jrxKwdq4G fyDqaP9yqTFZc4gkSh+AbosCszMmZXHMhEguy9stVs/Gxlb6hmFcD8pmH+aUeS0PaQY6yow3pzMd giJqf67F4PV8gJ9n39fP+H2Ion6waBl6/QGJAk0h2VP5qE+ubhE8HovAr6N62j2y/o/qpu08HDg3 DYbUydEcCL9xUsOdh9a8yNFbOufPLeFcxeuIQE9s1415bBAkJGCjonHVpp/vGjeXNu0EQfqSK+nS UInc1WUbfC+ndnonA0OmvFWhzu8YbQO/qf9edNanXRubjeksl87JDB3ALYUb+JVyXG+KHHh2F430 FivizfJLadZ38+WM09phb4SQzPbqZf+oniHuAqbpppje9RhEpV9icxy7kaoAXQWDIYAojHuN86dm CfZz39vzFUvFiGTqKdydSE1tCKAPbvDei+Z5yYX1aCHhbth4w5xyTZo84yoWRZIXjijB21d504Q8 2p6/rwpr5Df/bBrRpMETZuA8LfPp09ONpBrvuTEll+HSG3JfrxMwEsWlpJUx5wtNZXJb4wPw+odC X9rjfv0kcVTH2UVkOye3sQrvqzeGoJtHYRb2IoXhPdSQPa+2Cw2oOgPbGFa15S2OShKFfWu9JCDy Bn6pflJk28X9r1/lid0JoJwWLMYnWpnunOggBIl004qhC8TBOGfX0xe3mzzbObHARvYX2bjQ5ehJ zHwVa9jOgcQ2e7IyhRRZXhw2Iq9YCDaV472DGFH64RCLJePpTvBkIMPoxU5BPgbDDzLLkLeEZPzg acMcqwOqCH1ty2AhSW3d6dMGmnIxJF8gXXUmzw+0XxSpeGadZeIHpym6kPSrMydDFsiK6hdHLfNQ cnrWD3TZ5JygznrHAVTjo3M8KJ2wPtE7GiQgDJoU4bW/4SgTvvAJg0YZ6yxr2a12HoH13P74Ylpq AFhPxmjWaFpHZGJkAr/C9mp0nCCSSoRGbYwe9lPbj6g7PvMdAUpuWqg2Adz/Fk2sosFpsz90QyWb xNuVrkKhMAVCeB+oMw3fDzBz4eOKUHxn2gvYJYeBT/akMlQn8CADObCdEWq26t06drRMWntv+qKO 6MR4YVHM6/IsytAn7Pd+x20e4AijsLPE4BSikpoVkg17AdLIsAyaCaC9vgPHEfzk0+Nyr9f/SKif L5QavXAvDQZzSQgpoArQ7eTTlON9xzDdKQssHHooYcyvna1H/70HlVD0u2YiufFsBgXPThZMrP42 JqvsO9LcVE8OZmiRXs89SjVq/ejPn5vi7sIRnn7bHKNwNPuw/OxYZeqa0dGLTCPW57AiEXB3E6wb Fw7a/sf1IuOZ5J5RtXy6fIeIm+LACip1EaGuYucCzVbQJjwoSTstP+iVz5i8aTWuvkhyeRC186SD i3b+FQ6vE+ZFXCK2OgIuvx+OeqNrIZUzVcvP6pwN3nK0SuKJBv98ELgxK+A0UqNR3kx5oY9nyhCR G4feg18S9d2WP/Zo6G6wmYSr43Av2TQtn8fQPiMYSD+GBawizIXrP8cD+W9OkMx3vcEtetz+30ej kx/L1D8LIdiLn22ITonDFGYFV5r/qxgLKGukNzpjMVMp0BrsucWMRKgGq74fWI16Vn0PnlsAEHha H1nI2crtMVpJxJpW0t5fEfvapAIV5j9rWe1QKpezJi2LhTYFIArT5rZDujENvkFFcgxMgnBDicUJ pGo40ffAnoPsxSBf3XflB9GRLCf25M9N5tMvdQTjDROB3/UADuousgq2L3J6PJtxfTYqPXlV+JWz WWSi/4JldsWLsnFrwL56LikBLACCqbbB9K0v+TWiS0dbjW9m3VbLYpCIRYFmm/H0EcuJJ9XR0wnr DE0dMjeu3kZSCFeOiWfAuQZadB815nRxl5jawpy251Ei9ySUgCEW3+sJzuSWzJ6bKkxj/FMQc3G3 bhaN4kKww+DMs+3KtWRj7GGaxuUzu5Eb+xxVBYSnIRtbhg9J5aRkspjhZb9vErIBgzAIl7kFLH/7 yLYNay2m4nles7nN9LTMH+12tpqRZNtO8ZE9qXBWJt464VbyqW5yVeSkQH+AJjMvPzOecKUcx8zW F8lnPckiYkV9qMerSlYEuwssD74p2NVi2XgWFKqWVG/lSq3QTLf16GQRvAh4LtvD+bt3Ab/aRdGI JyGEDUrFugcuMxvFvOxTkq/6eJ7tBPkYV+4BIK9nnhbyrIC6Fri1hE5pf4DLEHmIMUCeRhEaUFGV J8P79YJR7MrlpcX1jJvrTKxhxMjzYPZR2woG4e5XqQcwTV/DVHFecHA0u2DdpXjANsorDTAwq5N3 GvQeR0UEOcq1/TBDeDaA5A/aZweFvXzGecq3bvXaRlbm+Kui4EJOcdoCwSHIxn9wvcbn+QIU6zDQ 7gmf+IQ2Vkp2Kh4zod96m/F5o1k9iTnJw3f9RJ9E8EB8gjCAvymGB+bcn4xShHIWh+G5o0ezB5e6 v43ybQYo4Ho3RzkuKxfOCsX7zHlZjtfCtiFRKtMmyCYSwenLeBIjJOlnXivgS9C0K6UJjKsEwtpK e24VrWr71ePGLYjGkXLrXsMrPy3Mf93w7/H4+ucfY4K1Idey9/Uzbna1apWpRVSQME/o0ekKf1st 1aMqBFrk/zjasXAO6VqcXNZMFFHUo8nzRI6Oqte/owvXakOzY1AaOHxyd5wO4QjC9r40xZfRX3Bj t/4SAsG9oBdbjTFVIOapxSNNlokUy+ciIW6cKL3nCvFE/JsXypfKofb6RxlSCHG+cpLYzgzPd/Cn ahxw199DNgGD5s0sayI1npGEbLo9Nj3WMtkcb0INaiigB8quD1x3+pnNA2n53CBs+f/5kv7EhviZ k2aTtVIwi8Pk7AWanfzbhlZNMK2Utxi8q/UBs1PqCPwK4wGckijnbLUwmsiyGXSOlW+Z/44klXRJ kCuEoathi5kqCskorOIpFRWWoMSwON3D9NmyZpoPjIA5DM23CuEUQHuTDEtpZdJ3zGvnACfDx2HX 4XWrnaSMSYHrZh2VW6ccvCLU8PLLIgrsSxy+99WNcAsIKct9zlgGQivoO0GoVIZUuTXVIG/Unq1f cbj5BB+hx60Awd3/llh/5HUOClpQ7MD9n+b/MyUfEN+9ysMWg9oyzeknJ3zBD3J2k/qw3KzFcqSJ zVR3UN1Ip9vQaQVZKt2UIT60p2KFbEfsEW0h3RNX0Mky3Gcpt1kB3gTS5GveGQgR1icHuBiS8wcK DY76HgM0B37qxvgsf703vMWpyRmtLA+EYlIedC9l1Q00177dYoj55LZWowAWu/C5CBBS77bOVwLu SqZs5lBffHuZHdHeH8laNnpnxIAMfpWKzEvsMysKwQsuuRDUfjMTGa/qudNxzJTBd6aaynWqBqhM DmN9Z4B/9EqNxC9SQffmJI9k96qOfj4upPsX5/EeB3vtxfJXjQxv7+OdDhNvJ64tkCCX8iHeUHqd aq9pSUagUbdVQ+UPlkOvAw8m6pVfOU6FXTEQ1mzbR+XfIZVwIP85llBP/YfeyMrdfkJem327V4A/ U0uPBb3FwoHZ5hr4vueKxV6Jypx621KsQBCOCH8rAAIRjUdJDGbWbpNNjpxAvogI14Az2dCKjIWk 7Lo8JJhWtVrCLS8zDDX4VAuWMPequFrk+2FvVrG8t5e8iDqOAP7NkPAx+h2AhvfEDsylBc7m1OCl Boqwo34xOxw9wgt/KwLn5YEg+9OHLZOEC4zmQsuiLv6JCjpjmk95I/8QeuVYGVZKh5DxWc82AzU+ 1IcPebEue/pftzavrXXM7fidPwnZTcVQa4Na/U8jNQCxG6YmOzYthRNf4J5FtXpn3t7PfcAInsn5 vNZBt7uhlC62oK4t3UG4Z2sPdvrOma4Wj4Nodhz3trmcSLBB2BR2sRmxPo/SdjfdUGTI4u3ZreFG 1GDeLqLUW1iB7h9xpw2Ue7FiFVSyaMFvCTMUgf0h1T3588WXr9MyOfQMP4BBwi4j5ABisAQYTCa5 GBRmtUmpc4t+/iRQkIuRsWYVeEBbWrGhpqpzc6Ei2NJhdCDHkrJjV97KR1xANZ5E9/TSDL0Ld8a9 dPw4u73vGksYanpknZ1evqGtyzfRV+oT6VCg5vmVNqAjpP5Xo5lRlefOyJJzb71QE+H9p2IlPgdU tCGaSSzMMoI+HLQaFoGrKiJrp/O5+hZmyvR/DV1W9mziMKAsYTSKAXsKsHH5oyOg5VQo1J8Fxpyb hDWYxbY3OHllw1mF0+dimkn9w+YQBeE1ZmSPX65kJjGd1OZRMHq0C66tjeCcGlsIMkue8kEodNK7 it04jYfbKNpU3OHQS1CakJAA4DrxH/Hl5qa09Af5f+qplxSfK01wPjdTxcXifK6wSdLcD1bOG84O 1Ymn0SX/4TdirqPQx2QboDHRPXHSbbxUFIumdR4cvrivzQii7r1REr4aAzxxwbdR/HuW05yIbDV8 K/Ow5/CLiASIfp/fGBzQrToZVdbrvGaOFlMqaJKmUeFm/aVo7p0bfLcKefNfASPbxIOvPKUCDhI2 TpPg4w11Opb57mKnCWwzWwsO3dVDCH88X/q92E6zkVTJpCrMn9JunlpZlNn6eXUqwVFCk2BG+o86 zTE/Y96isSaYUPKnWr6lPwHeT16SZWR+AWYPc5TWii/lkSfxh7SDmaqpu/yvYlQiQRIQCON1uX+M wvhpAZfdqQT5H6a9n5NyfIwMLDshcg8IMmk48vgW9740Gt8DID3MU6Js9oL5nto6C9fiIWAfDEN4 E0yllTWmffyKO5bY7zGuDCVox3K8f+IVBdUXS8k0BdZUxKhPSgi5g9+7AoB5dKWrFlSWRZobcfBK vOFcQqtlngkkFrksAf0UQDXP1CvzEQOXc32vQI/c1D7WqhGQPuysWRJceqgDp351WZ5BuTmhANGE l7WxrTRUHaj+nThLiA4h/Jl5+/jsANXip/Kd7IY0sGpXo9yRqiriEvBlKDr5SJ9i5fy4aMVS2HS7 AZhWgyGFDVWaoNwap3iTV97oMOsEu5DwgEvUN5WdgF/UBUuHGOYO9Qf88x7ziQqEN/UgjbEZF8ka TyvPfjW2vw7QAcHCFrP2ASaIH08W6yi+m1qNK/NaloJTQDISHz7EhQQBhsTdTjqrA+LlkjF5zncW IMb1Dey1EobhSpMOIUnD/Wn78UJtmcYLUShOLH/3tn/8HpHKm4IMIU/g5U3qHyoduS40T2HZuq2T JcVCyx1eZurwE/lVGiZjuqPo1GepiZQf3/y98lXxVZSsG7UUIte18ZyDI6pIcwuWs+PqwMCfPkwl obSybDD5spgUjSaHrp62SF68JDAjtYnwkhovpuiNIJubrdwj4kvcAoeVjNlpNFGC+iFkryPXoDGZ c3s/QXQ6pnCNe0bii1OMF45iZtrO91/h2zkjtc1EdRb3xKVYaduQBWVSm85I7HG3AxHsmtdnr1+Y hHtxL2nw1dhBdWnyXmxt1E4RnNyp7Y+4+3vR8h9fVYP4KGujqWYaFbWCQAE2soNbQqMiBoufFjCC zHPP7tF0xf49QY4LgDlRjGFeHuqFhl/B+16LbpofsaID7mYD5EAaeiqXqmiqAEBSpZZ4TkA96dWt NI/JVBsjuJI7SQnRD9GBtc339ADY26l+glKArb7kflXmmJdEa2IhHDe506boltswS3hoAx7/8rez Yyt+y6ymcA5+I7drJUrQlTDGuT+BBj+Y74TapDyW595c0NjZm/a3WjWkY+RbA6s7wO4faIEsRnIh 6L6ORilLMBT66waD4N7aeLNz2lK3vS+o6bPcmlRk5cy21TSoa7i/mNQg3m7WiUnM/1W4dVOi7CPN 6S/TcepZuoUVhdkMdahW+T0lAxoo89lAX4TtsnAf88qpIUl71mLzcYQOvSwal2q7rUfE4GmObWAc 9jJ00zBVeQ6R5Y6xnNg5dWYhNkjLErqK5A76qaOuy8ZI/7YBBinMpe+mawitkvSF7m4pnU8IbUS+ LToh3m4wnNLIXHWHZpnXxno9xKUtzB+r9jk3zkW88FOEhWwXMGkLliTzfnr/g84krcLnd2lAZdFr VMU7nruXt9kPMS1Y5ok0+f1awZqvoRHR6recsxfJX1LdPbY/KfkDg/aVaLh96bz2Z+9izqCyMRJ7 CSDO6FalxbzGNzCFfokjhIaLmgW+OAJzYYkP7pDF6ChNyjKLgXNpbIwXqyvocWC9zHNh68kifibI aWPuY1SgTHrh8WNwt7rENwYIG1s/PYUN9mJWdIEMpTRKV/+LVAqdGMPuGVY7bt/8J7luB266j2dG nqk2b9yO/JW2Y4vdg4obHnCcw+9FQ94jZ1tPk5ak9nL9JpJLnwbU8+jpdtxoyEMxdmNd3Ej9hrYD IGl/w/hHUnxtkpI6Ude/VthtukMb10HHAWjcxXzcjWTL82QEfqcaFR08CpitdwmHMdeqiFnG0YtM wdVfb+ODt4Aw0QN8Y2chvi2xcufLizJlel+qfr63mIvpQYqdmlcB8FcF2mHa9wau3wg0KDV+VCaw munSWScfdViQZWbY4Ft0O4uMYOW59tkXxaHGbSGuhWpzmlpkSQl4u7fFtgEXx25E0ro/3A0j4Bzw 2QtAk/RoSz3NhRRDDMAay6G9Th7l/O3zc/679pcGGK8vpRf/lEH1W5jP+OHcxovxa22kdH3LeI+x skBV4A/9DTQdzx7yCk1IAqSK83YveILS5Ac17Mc3BLoRzGSmIcCQWc/EciofH1rhFt7tNLXS9dnd Eu1yuzh5bNkj1yhTTwBbyxHwBp2nnyhmO+pR+pBw1odT5NclR8ksJhjtEAh/xV/N6oQwE0d6oC+t Oijg6pYGoqnRMXyJPPuZIE5jN0KfLMaHnw1UH0UERL/06I7MBkFundXgM/uYVoEE5DjjSC/BI0G4 jyUANe+54l3Nf9mM3gI3jYAu6hxqPf/uiUJyMUJBODOmyykXHW0ZBBuCzQlGzMsvaOn+hCnHiaRK lLNq/YUbbdJZdTt8J1lk/aO+5pPLCXlDsMtO2TnHVcOEcVgOacyOp0630hTQFaIwhOMyCqrMF3qA Eizy0OrmsXkuOtevkUFnnLtSI6dZxlKWKFV0RKWAaJb3y1fc6eGewrKsvKT6krv6oEr8Ge4ukzFT EJ9+G6ch7H6Wxr10SlN7ayXWBQQpZw68/Zu+JK8NX7POZFSByctj/qG3CnnzkDeKXiXSy6Tgb1SL gyFgFIRlPvSYv0CbIxXttqFWQD0lmOZz5+8T6IBNFr6pVLTQZZ6U/YOTbSOdRpVbSaryG37s2V+8 9FE0P3oQ/N26XbO+B6GaPbFPORg7+EmjKGy4x1oojpleMixIewXahCzklVQPddr3tBHGxAstrUrz IryhlsFBfMUQDxWuWrhrzxuZQdRuI36PEuzFGHX5OL1Tg6LbIkNE6N1qly7MKkSx60uvK+D2Wued BUe6CzO5nH/8Js4aeJgvXTwlB6ISNkerViOJ0I1NMmZeikIuCuCPu3DFqC6B6WdRgCpY8mwq6KlC wfyQGhGHJBwRxuBPSf4U42AbejL9oKLETaZgQxB+adWbI22S+6bUifxoFu845bp8d2/BH33g4EGw NmqREQIXV8Stm5yYC000S0ZdJcMjJxV26W7iQGH3OncMDonLaMqhX72qd2vu7ytNdRky0bPyUfTw ivLJ69dlZEy2wdW14XcAaazm0IrMnwqidDUfmYYTPN8to7r4kak69PZ9T3jJqfuBnrHoJVBJgh5h hIo8TBQPFUzFzD/n5KJo24QAJpoZTcBEL61F6hwTKoyP1Gng/K5hum7Bur8NYxMhtuLBc2KQRpnF Bp+Dygu5WBdV3CbU276ykQLLtchGlFjt3uRIxpwt6Q9t8FJAlNZTz9+64PjNzIKqZ98fQk+gX7Fx GtCXBkiggjgP067qR8psKDBJ9TS31bm8/iZPyhVkHcmcXyRLesaGqn8X8aqR2AtpP5T9Z7yaeV8D VYlI+Wiv6rISrVAgN+riU5Hbxm+lhrbKwvRUo7mwJlMGZX2U4LQLvtfFAC6vWC9gkJNbcjo5mTox Uf+7i+GCFhlkF93plSJjMSXRMdIQKIaaKI5tKFSBAbCQoZA8Z5WbtYVpWT0JVFfztYemx2ql9DgZ SEYqnE5jG3EZIO/Hjc9KFDJ6aBpUBMJAk8GxDB/gpEVE3bedbD/Yy8hX9EY6Ol9QEfELDdjrT/iG 1p3B+XGakNaQhaGwvvo31CGAXSzRaNEs8KO///OUPCOd/Eb5xMlaIykhzocCIGFiy3o+RlCOmKnE 1pfInl52TOKmnyrEl1idxQpnwQL0d3itB34UOASLniaSrkDamcA7FlU7mvWJK6gDbC6fzyF2Fy0F bSvaxW1YCMxlGJ0IPcawopBAnp+zyDeXvcAbBT8zkV2YzmNiW+LZHA4n09FriZ9Q2XAf9hHupq3p o4meyzJewyYgAlHnYhjNByg3Dc+tkAmNDMyiduwC0/+LwewQVDlgoy+8XNqsYuLk29BcTGD6kiQg QRgggHNKMZqopjmB5mKrF/ev/wSE6XxdjD62GIG49BXslblcX+Tmp+qSz6+olxscKpb6kuFunbG1 BY0HOuyPZe7oT7vu39FYDM1g9AEc4OgxS/vPFDexh0vMnMwHgaSgR/8jq8ersTIm7DcdQP1pAdS1 w1+jVfe4OG5WL6zrYSLexv8mQ/l/EpGpdhA33xYOLbghMNH1y4dALd/IaSXgNc7VqPs16JpigCHk LPCMSE5hmnjm3iCJeZj77RwI4C0zyhKmue1mOt2fXSLyG+Pe/qXtf4OcZGoznSPpbv78mvCz6sSo NeeYhqnw/jiH3VxS+cDcQ3NMoPkzzsiP/Mr/YDA9LzpJ+knevS/LLtgZhsUprkqEze9ExZVQnpBG VYwnyOvL79NLQoKM5/mXKMQTazYH8hT7mO0rGjwatqhNRm5/+aVv5Vh5Urm6LOBhthYOWFYnKwnT GBlgmTgb9Z5LnCQdTuhXRVUS/mC83VP6DHo7opokJRTCXW6M7z0gB2n9Fc1+29cFyMTXp9ag7T0w tLT5zsDmC6NIn3111pN/yCd4LXDw0WbQvlZtcc4dq2dQs36gLFOXFBgQbdrDwwpfSkWPjr5dn9bH gq5WcOhbMB57uA0OCQ3HUEN4Jmy1B8IJHEk/yMxsvoctTVmDClv0jTLYVGv2ONo/S4zx++PjWOSW qgy+QD4IR9Ccb8E6Q3QgbZhtQlYPgpu7fSF/nntreNMndFrpQ3u+2UnPRkqOHEz5C5peufu/nRsM mkXgAZrFF7lchtzHufMG5InzwBFT9MH38NRezijDrCgyizt9lSlGJd85Uorpl9WgCbYu/OlHhp3A OxwxtNKECUIXn1a98g5htqinpz0X6X8Ab0+OsZZiAnzUppgl0Oh+01sX+6/BM1cLV6RqEOBZcKc+ cfNND9zxjTOeK40VKVIHdg5BZLTFN2MLle34TppbovESQ9ft+vfd2MiHvVhAwdIEMkSeeVP2KMFw uvjLWeDqLcWT4KeS1zTZmWXD4RXGpmgszk0Szk9G+BSdbk6lw3V+Arvyzw8GhMZEP4uGuZJDOm4E fWQyanKQLnjbb4qnJO6T6MyNtVFBQ98PZnlIBFvydHgC1l25+3jSgdmWaBGqcx6VwKMdG4qGeX4A RD7Jp2irq+l+PKJdlowKjo5heKHq1QQZJHOm66uyozHg44fStgTVZpWQi5554g25CuvBZLWY32wL afkDPC0jNxcP6Ntq6HAbjAclUgbKegY8T4CMumaxZJA/DHJWdSpVr+tGAhNPsApJNuEY0MO21bOT nNJtAt4N2S5icw0c3GdkCHyjMu41D3gMP90Kpbn9B8E1xF3Beck/pHpOOZHJM59xzPQD2Sz9FdPN +bxYGqN2nP90okxxpPhAwJ0gB8fVR2xbgnhv1FFMb0WkUKTABqlvHdDUxIHN6k/UCp9jwlJvrMjb 1ZyM9fSGI5lyDop1yWaIpUKOYDzy5YcTDojEUvs/GZi2O+kgT3X4H1ipkqU6xlWCdrB2AU4HGtCo XSr7Ov8DHdHOcm2qq673xN3DrWM5yAaKuBk4zjQhakgrrBl9Wl20ssFW097gRrqrgI4zjqKP3Bu6 GHeEsIIyMFmgs+4B1RJ8Xd57rF05ygPa7O6I32+jztt8xgWW5HrkHrI5ODAmAAjlTFBBoXezFzQC fC8+fAOnoaXbD9DIzg/E/Zhm8ZnqI7bnZmzZEjF1Z+TBb0IFce421yggd8EpdvXgfPyJ2KLx4Zxi yhRGEaT3lg6yQbltQNdJyEcxaQxI2I7WnAEsyjaDgS3oURS9Zo3Ws3zuzwOeKu2Ru0S/PTLCIZ7q qI/vXkl0dMBOMM+QiZ99nSme2hiECb0lOKRD+eNBXxp+reGLtEVEEMQDCCzz7a1gG/Ec/bV32RMm yxbinMEMmLEbIay6N9y1OHTEQD0guDKKqWCiyJlXbLaXggV/hWqxLNXy9ErdNpl+SJGvW1L1XX/H ETdLEk/wR0gY5D8E+/VUxmFY6UrBdWuE2DoUUpgCW9m5ONt3X+d0nvX29QLuDkEy+POH6k2PTFVZ 7EjbFGsuEAcBtkTEBzF52fTGRVV8tuzc14sGtBvy1D/3BV87MjSk0UffC7QjiaekMiXYKlJBAn1h 9A4a4Y3SxhpSCQs7QMZ2VW949ylBRgXKOf1ufF+aSbDYcIUM8YhCn6QYRNJqJ/A/g8v5CMV31ygO gj3FJT+33aJEMN8jkVoOAHuoFt2dY3u2EdWrmVfd2AlIWMLAR9LR9IOkmJe8eMLMPx4EGOfqfI1g 8DqSN7zHeTWY7QpjyN1oKFlc3CMj2lsyd4lPRA2iwL3lGxXTU9QjQbvJI/i9phoaEwdlcQP8oq7M tSwK7unkoqAmvfd+wLPu+im2l3UWs+MKWIFRRK9lcCUygoC0tx1tx7Fgdb+qvNKAFdLPQRLnsmAY ebEJEQ50HFtlhVHo9J4+4oSneD06w9IXRCcmX/61oRCn6lxNjtPzLTtYzXuhs8q+iQeEVIkOqttN pWnDjbyiPQNKuGETXMA1cnxPU2wnY7966l7H6Bn3i7O9w2KuG19JVdcYYLPhoOWfeHEqscWgKSuC 7ZB8MSNgB9rm4Lx8ZFHanjGb35u0vU8KBlk6sa7bYIexCHKVYBieOD11wKhQ7cTYixia5T4pIG5Q fvZveG5dlsSivJl1zuH0Gq90bFe9DBkQt83eaVgwkplLwX7hmsknZ9LkPWBDnenGmlA8pta4pdzq 7ICIbsWG7gNRmwooCP8oMHBrEt63+zYBxrQvfmqJByhFY22dKhklWAtUo5Bze9RfKipGeJ6zR5hn CJFkgiob+jYuFmmZMZjzHwG4IWHTzz8KWuRhqZk+UFPkcN057n7LPsRW2iyvMcYgyjSo78HCHf12 hiiJcLAKvyPNX0+ZGbbj7rvqMFkgwmzFlF2Xc6+QO5FrQUUQYqhfHV+Y/rlERurluB0LXgWxEXn6 nCC+olXT4/xOds3tJlDKC9/jY8r8hgVayfLyD2p/9Yxmt2Y1CdH6x4cZJaLrCslaVDHiZsV4G06Y cLUyNRo+wGgkDx4s5ZQ3akFe33U5qPyeRcHDSfwIx1l8KBCo1K+OCuUx0kssI/+sBvxWaO+lXxfo QwBac5msbV8kzYE6CYW1I2S/xN2I2k7TmGG9A42Zoy/H9j+q58g27UHE+LgInhJRz79zTTvJ0zTn dytl2HJO9zQirTyCw+dAZsCjt+uQHKLKassKvMNo5bqmukibbrlnHL1KV0xljU525ewDYCDaqQq2 UegaPockkSUmT6raGtMM0k6qiVNA543LsR13yUF19+XI8Kw4L01KrsVK1se2LNVbpv3Ri+xhKh2T w16KKbPXkRkGjb1Z4GafhXEOz9hfa49ICje9wJWgwax3StjsJR40Biluv9rTxNvIkcuUxg2ir7Ch apI/hzNKzUk+wdOl/qD1d47uWxJ8uqqUiEbaK3yrYwe481caIag3wl9iWXmBoW+bEtDrRk/jzdHX 8r8o6Dz1YEj0y8Yx+tAjL3kW+94QI5zaLBehPrK+SR/B+eTFhQIU6on/krGdejw4ZGnaejqT3IdT AZOWcAS8QIbkTpqPy1Unh/Kzz9ewx+zABH8LRSqDtKj9DysmMO7omllNP3IoWJjHCSUkWt36g04+ +zG14Kh+tMwZ5+BvU6jswDBuEVnRq+mOFUoccvItI09Dn+Z1lwnbnTyhIOzqzdveAOluRTmU5L3F vi+/7L6/RT4I7QaDN6OWK/ppEGHarC68A8bKY3Rv45LA6/nQn0maqSYsNjzVh8OeJqLqk4jt856G /BmDgj7hFnzeV6zz5a5S3aibJWLy4rukcUOmLNFF69vZSqNl4Dy/jd9kX89dwD40ZrchNjdn3lTW eRzKlII29wGnHqEmaHczYAaHX6AdJZtG++5McBhTbcTJsY3e3+li3U5kH4NlgibvAK84tNFqaAu3 7C9X14OfUY8AQOtG409PYr4QTpHNJ3kyuk6W0RznJGGWo2HmGjjzXRjYye5pdTrWBcwy5KhCow6X zCaSFZaqzIis3Y1qpw59DSnikosWbAkr2Quetgwl1ROCN6+xTGJB50WmIGGw2B+aQP4yivhtC1Rm IqMsZwSRenHy1OiO/8ipS0RekdOs1UTAAUiyfXpR062Tq7WG+ZhSvPZvKGQdd6vSMQrdajvvcDBQ ctpT6wTZodysy5u51KOG74+vf8fdHwny90Ln/ZF4aOHX4snnMgQ61ICfkEjeEXExPcKOD50Se02/ JSZC46+7o9y8PeT07TTjWzrPuKfaO2cHfTYiH+75dFsNxQCJFnOzpe35cZweprsE4DAO4BMZithd EvjeeDLVRJBaUN9IcHEfS0N78t2ySTDtw8HjqYraKX33hiy9N4NjwsMnwgH2eQwxCTdYbtsHzPiT pqzKKhAFHQb+7C/RCLWyMH/Q0tL+k8ku+6KBFwPD1Xy9xCaXocVWO7nNO0DmoiAVmRKTUOIkdy3z 5eupd9Eu3ByPdSD63cnsp3FP4eSS6f5y9d9dgbHJ/p4d4ZsTyZ2FQu3KzfIyf7l3ys/Ny8LM1/EY qlGnrXv06bIhEizOqiy7QtMWtSSQl4Q/IjVNWysMSNmvnQQjsyWC9oFjvqR7x6ysL92SYbE1Gzfy sjQIzBuKK9iOS8Ps5oYdzcgnDNkgqASEXV6/rN4Q3bZKVIFoUHi33I0phkz21FaIr2wkpxNO18t9 qE1qavd665RQY+4ZgQZswoDL4WDNyHkc9pjGQIVmxLsKp//BGqkFVKGDJdDpKXunq6/x0jFbBO0k /jGt+Fx5EiIOyMmx0Wr3ZmqbZmiq6BprD2nbvbXgYznt04AoB4W8eLLDDap/+IKy1sv3R7DDe7jA O4qm/Ibd8DAPWUaFvkdx+Aw8e/W76oAeT3jI2nwxmVK/zGdSTbMZCUfI+KfYwBtcS0L20W5EHlPY +jeMnUs51OPLDycm9/BSEaQ+G5Wsds/So7XDl6S0N6RLqckl52e0F0X7PKnzaco/edykCTCivUD8 AOv43WcWczmACs54hQQHcbJnhLo9fTUydy+XqZBaXYvbae5sE9o5a411tWL46a264EmzZG2+8pH9 UlfXnkoO+PVbdtI4wdn7AwIzs+f6P07aBwJn8LplaI49RaZoPHDGJ0CSKt0dUf+J3mVHR2NheYd5 B3SmlozwhvLaPlfgaQx5Q9sZgPyi3WlBsprwnNPHp/oLlrh3g2uiKlYnP9e0Phq4vyBVjCiKaTDN AlGwRzJYjAtIYXUMiPa8zPzuQrGoi3QUfTeh8RY6DAWDUdfIII5ulxpubEYkMplw3rZQ0t5fdht1 Jo/3T4YiBKKZtaW8IdH9RoDJUoIncN1rZZMa8mMDKPnX4pezkmk85jAL7ilQ8kkSs7Ndno3eHKse /zDjPDrWTnKdsHW+uUp5tbq4V+IvctQVuytI0jrEHrPWyVfbHtiADInXwgFQ5KFZhXx5NLiYhha3 TWVhcIuaOvpNwciCiu5vYE2v8rpC9CWV6SyWFTCwjc+giwxKPW1uKYwm6ZzNNfM6tVxOy11yvV/1 WUm15/xLpT2PoxbKEoJiuzFQN8j+qzbpccp1CiYu3ot2zxyWHCzxOu3QQvETc5MoK2P8xIdWvHzf 0+43oJSZQ8SvxjrvNNWpbDTPuPWRVvNn6elj3l1KD4o4olWO08lKVjNy+2P2oLt6/arZIYk65qOn sKWOaLPQMApYvv4MKD+h5aUP5fRWJ/0pnybirdJVZzVWReUYBJiMpySIK3GbIEtbI8YNfmFdbldr 9WFGld/+pUQGtb7XFEDGKsOeWOaXiu+XeBNzL31A1jbrHtxqAplLMR722vpP/S+TzODGFMua9gxx zGz2/5GdLsaqoS5TGCE2MCCWaUEwzw835p6+EbkA/gHqinDo3S7FZi/ghxFuMnQ5HnHzB1o4Uii/ 7bShiTOrFOrLe49VJLA9z1zcsSyUU1fsHmZBMBQF3XFp7uvd4MaNCtMS8l0zRCw5NLQDiFlJ4Bdp Qc5cRPAio/U6qgKLwY0WbvEF/c7GXdeqwyWg//OZ+MPxWMEh2J+UpzDDYjp6Z14GBy2Tb7cDL+XZ ZjtF+mWnkJPmHLc99mZs6yIaEx3KULU1IVnPC2LIVV8tAo1k5P0G2FXsyVtZbkyKICr0mPr2PcjW v8S1zMLMsrzNlXJQwxmeB0zulF98VLhjcv+gINZlB+bxNkcbqcrat/s0Aocfz8db1s1kXH9tESGG /lBQeoI7R/w03wXAkNYGZHXHhkCTas5ZdY5OPXqDGtOmiG3QwdczBivnXNwTPxIb9A6zf4sldyac rBnTJ4MJmdAGel0qjhtJF4d21xLjvPzlIL3ySU1KF+p4CJ2Ul9GWqPSexHvWx1/mnGVWAQ45WAvI WMqPNt3iTi33HWIq4ZRkWXfR1nLWeHNIskEo+8MnImab+Y1ttYhdhx+O1OfwRetjS02kMrojMi5n iX/kouzUskN2PAGviH0jpHMWl1XZFX+At+A5KwIY60qhKe9fi8xId2+GnM54dJAFbNg88IBd6L1r +rV24nA4/yeSU4p73VP6H4M9LkiL/6fd73X3Z0wYPT5sRw7SkiSnYBIX3V+P52X1AKs2BdWRqUkK RuTCdrwl/bd8LyeQ2NavyyjJiUiySIIkz7Qp5EEEFSdu71Hb6Sx5Cf6ykVhiW7Nk2cHZ2mGvJPBn xKK2GZsOaaQcHeRF2Mt30JwqSV58clb94CDO1EteISv6L9anxsAP0UYLGJAHodJQPqYDH+g/csqx +OrX5FpWMxACrFRaXAxI9n0th5RAL4yePH4FME8diL6OOVnf+ogaBU3UHdFs5a7L8RTcNewcpvVr bKkMAXdcKRrq9n6GeN/mL9WQIusF6uqZGWowWDlnxwOUW5XzUok5sF4fjFvpiprQcHcmPOYIOMlJ XWI0V+LZqsQyrRPsarUrDLPWZ/cEy01V6MahImfiZTUVclSom0cl1kayD/yF8dLQvQVQkcvHiHUP hBph5Mr3Ytb4Vz7rl3mA7cpS+ay/e4CWvhLVDfLa6en+XEqh0yMu9Jk5i81EmRHJJ4JNUDwUEQv1 k4fuNR0meDgcV3yV8NJfHuzwzT50OleJoisemCjLl+QklUDRYI1cSnmnST6g5ctRu6EFsHCOCKnm wTIedj7JDI7Y7AiwYUPXB1+Avhr/CZRapqNOrLPc8iqmMXA8lM4kM25qtu7EBi6ovdVj/FIpQN26 bVDc9pGpPnBur4Hc4TP+Ti22KS4HtnVsxEOmWHRFDQ1GMcON7pCqZwm5HB5ljPD+zZAul+UcLq5E E4+wjta8mL2xfNxJ9i/oRbqxNE+n/+nbimY78MwqLjSNie8A+6TkcxDTNtVA3UI58GBISC4ZcHCf MXih5Y2AiPrLSInefY5k7qVLlDtncj5Y9xaVyXmjfFT5GTh+08Gd1iu1gR2eNkQnNXk6hxO3RFcF pzn2xD/5QHON5NmhJFSsQ8pf3NoCXzZ96I55IOAKMCeRmxJ28j+P/tYMin7hFFcV7yo+b1yIMa2Z 4QbQmn7wAtgZHoanQx+NfTcQCrkKlrbj/6vuzuXj7oSNF2pRIEnaO4N9CJ4PotTh9sNR0gUk1wd8 JzbDj+oEHiQikcAGJ/XcibrVb3QXD316O/ulmxlhGV7dZLqGXDqeKJ69Hr6781mSg/8MAIjENPL2 NMyRu3JFA9tfEIuoNP6BvSv5SMd60frmRf5KHjgkL5ZPALqTgQrxYoMlZPciu1bKwobReD8bKYKn PTqhWCvRtdOm4oS9BAKTE8EIro2OiAmeVc5PmQ31hNw6mzGvxXRcjnqhznMrTGHG3SSVpvCWDGKs 5Zbep0PEEAQ1BL6q0B4VqFPjSqA9S7RtpAPWBSbrXUOoSlW1ETFcN9pT6NetzlJ3oEinqh+ImhmS anDYDcv5G5Z34qpQo0Snj1dPbi8LStYqWSNTQOaDxkK/eGnVQdZnKM0xr7LWKrJCILkUkE+pAtvr k6/rAKCB5nU0ocoLjcp/zev8UeNqRXxWXYnqiHvCyZkYRwp/10UBTwwRBEs6rVDegUcT8hZixYlR 4Y4MHCHquokLrKLXdsIcF0BWPXVZe18rjq8ro3ANpWx3MkpocyEJWBTFIQsG3yHm2e+nCtKBpWMS qE+BFaIfW0Or4+r5IPhGEAK85vetze+K65JwbpZIG1Bpx1C72Di5kUhcSHYH0u24Vkw8AZkiCjFA h8CaP+G1sjPgvihSsJMqq8mP8r9xLivF/IikkMb54Mj3zAhffKivi7BT49NLvgJz4/6aaIY+YOh3 nNkRqbs08/vipcrH0t5XTpcgCDoihquxKlmZNLs1dV1P/JhsD4jEQ9mB1QsI31twOJ0ITxO6ngu7 bET6eM0ZMfSXfSdsYW1qigGRYAs9kdJuSIqGyZVd4c+LCM0iS7/OX4hPx9Lm54Mq7XoMqGi2UMKx 50wdyiF6oNMP9I+8InAQ32MRmeeP4+OzH+YQrv4rD+TER2kReu9F+g3pOBIbe6iECRECDWomKRr2 y07OydErlMKN36tv9LXi+y0a5lQgHvBMYqowQseIgpUGl5SwdnFK9EG0ZWsSw2xq95MbIlaIjDBH RSp1uVd1nXxGgroqC7YAIkciJtj5Yk1vS2vXM63/367pO8S23HgnFPXfbfgNpgD6l0aLiRKzvYYe cA/JjCHon8+0ilnE5tr4LTZ0PAG/7Mv1xwDOQVoDzmQv9zM9BHYZPUZHXsw2/dN9Vz8HEQFuCz29 AyTmuHYP53hFBxICUpJ8cy17Xgyh4yg2GP1SV2GVub2r4pujaVIUejns1RiObJghpQ+Yz+Kf6UG4 Yn50wZwSnxCfI1+dV9MTH2GMRhAkz0r3/Xh20nlbIxHCM8oGtSoAoxWgPWt9BNz420Pm77a2eZcs 1uBblNSheO8Ky79ztS2xb+XIMO+Jk4LWP3tFkmzQgVVd/V6h8tbOcmhWoEq9ijSjYYf04I8ayzj2 dO/tN8PJusi6chXHyRJv5AiKha7F37rZ2FVZbUyPFPCflY0qG9GRQwJiuj2XYfqqkVnbWrygaZEA XY8f7kJdv4BAeoT9waz8xK0hYx5YN+Zrn/ILe/3mWSA3gyCaa4plS2HScwZC78ZaiA5r1hEo32Sr Tt1/oiqhDJBKdUxLSmIwCERylRcu+U2MqVkbV3GC/0wCWwyLTBPm5LPJcRtryq1/kBdgqUov67Pd RMduhspp+sXRHrdnLb6U9w4xGjZEIvJl7XcP229Miw9jvOFUYNNmwemPgdSTKq0QP7rjI9ajktqv 2kDDIMr4ND8oupZhA7Eloq+fXstm9YKjqs5rR2WqzZtqv72KHlbYaOjKxRQh8+lTt3ZrP1Odfqb0 VUrJH6BO4GDX4gFkijLVsoIdjOMmz09a7Ef5TqVcs9Fd1aVMqeffWREXK2vVO2sYqbdOtoLshncO 5e5bD3gelRuf+xRMOQC4ghFOitpwJaUfk7DKo52jYnVB/zRcgguISHveZTcBLlL+j7EBDelb5pDt lrqHzieA9LH3K9YD2ywBGFy0Kpgih4Q2r9pjQqkVtWhp0r1ft+6OW1v4xRsCmR8SBIyVLI0NAR4k jo+qptUtX5TEQezKkHAe43HrzEUGkpLrUik1d9Wco22+EFdAXXgqcu2ee2YoMhEmeRh3tKyFh27i FNNdvCVVkHBJ5o7/Rnw12az2OamdR1mOkZJXd+NcL+CTy7w7mdwDLMgdMM/07WMrx2D4RaTtnJ6J zBBMu+BawhptXyopfpGYt89di+71OjzZ5VKvKJNlbFufvp5akL/QyoKA7AdRTrHyXB652DHoO3Rs 5BfJC6RXh5oPT4wmCj4XnZYsiWiyv6GrnGHuAQ6wfaF7/VR00NUX2Y5lJSXRBm7N9B8BzlqcFs5V j9SAo4kKB2hi5X8Zdm6eVSRgBXRW+ouIT9YhgYSsPgwgoBlnrMHqca68h99R9T54yH3EABORgdrg hKfriVNLUcg4mgGIQps6IphAWmgNzmylMXdC3hsv6nFZirQ1uAxzYVyxALq3OtKs+YErjliCMW/I +eyQo3UV7qT2n3zQEumFSQjnJTdR2SttfJGLJS+FpAyJWxDiEzyvXoNXuyWr4mEoNLW6aK8y6tvu ABLSSx/DXuMFhQdnL/I3jHUeyA+nvosXwQ9caiANXDgy3THkSKM5CFiPR24QVQBS3Zo4OZtOkVl2 u/qbgNSsCRcU3+ar0ZYGlwyy4NKnHsW9i9aVRSDXwiXDCG/wYM+/H6hxiGL2Rt7mIiPbyd8N/f3z B8aCFRtUJmUJUNugJKFq/hhPMZFLuAaxtjO+y2anvlBS5frel6zdPcSkrssqvHdKdDb3Xfgb3pR8 R7jWJ14zrwi0CkKkjVMy9DS+fFs/iFEU+ONCd/OH9+CL2GdGQbpBDEetSyRizQSrza4F2p0YPYXc s5N/RHFLRIxGHnaONZQglcwv5S5WfGkWfy5NAjrU2tSyuUonUSqXcHLh6H1CCRzb3/PPCUy7zhbM RVRg2s+CnuK3vG01mK4PjZTahmPLTGYuyLX1AZm8vfX4lvlmozRg5j9jCBs4dOj2Im2nGbk4Jg7z Zev7HlD7HMT/p8Ho+HF9kPw8kOcN3oa5bevs1tX+ZkZE2gHSM4Jd5MFKfS75iaaZEAmxb9rVyezd pg0BsN4UbQVYVEWH1hyHes8IR6iznNf0UyjnFMuWrXY57LpbrO4DxVYjA2xm+7/46ayNUuZ/Bs3g taLxaqTxYUJOsiRoxTp1dftUqYnHII1SS7aSlxNRnc7zX5yDvTWBReVVEfJOTfmF1fZ+Ipytq3cd kAUnZNfD8KT0PS1kNix/FA6TqO0MagU4OlC0zoZcnYG14svVW4+rSHjNuFYSzVByp6WZCtN76jcb mKFT94IN5BzS+KQ6ngqyDjzkGI7rBhoNppXH5ilCml6FBiqZ2diWDY5N2IyQ9OplPEGFUgoEYDr5 oaM6id0DO1fGH/J+Wr1g8udUv5LaedRsssGXJkjO/7X100hzLAEjPDT8Kag4tw16qf91W8lwkwsZ bDbMJnZWCAyyop5/Ec9L4t+E1FhqeHmsWy1uhx09cU/B+lJ4cyFjE6esC0lHhFg0k8JHNebUEibP 8Y0trp3qAP4X15QVIaCXQTGxhIHvIfPCQ6YIUnS4pfFa8FDiJ5mEsnZi5X5Zgt8u3y4KstC5AM5y r/kooolEb4R4HUt8EVFMXgU/RXiTi0axhjfN5HxksG+N2F4Rprzb/32hv23JvDU506zl5VlTaIKR wBBemkHKPmAMODdd2TGVZIdOzWheEuFKcOgMIB9qFNnyv97ppP7UJlkDpf6u8FZJ/sNSz+rhlRaI ZQQAzf+C0KYTSc2Wr46ukF3kyE+C+Hgb+OXef1KmnGTzvJOxAq8slkD3EN8GhJehtdJDSTIlRYrA SOBQPEXyTIoQu2izVfFehFkmQ4H5533Cq3yqG+LFcMDsXZLRsDyCaxhg1opp0VmPOWNUcyoY1JRJ Pk920oOsVgOTvtjv3FOzvcs1ntweRqAZNLVcqKr62KKAgSqmETIDFyzks027CGeAVrzUeWMVgUt5 UlpgUV4WIMRXLH4dFlalcRWyXKEEqahWjLqxSVqseUeNmQYlrw30GqS8WcUQu37FntTO5jL8ynkj 9P5mZJ0g+xG7y1Q3CUp9O27/901yrlbe47HmQ30MhRJuY4dseZ82QDRk+HA9LtabPOSCuqHPGf/3 MwKOPkTVV2zaaHIj1j0uBCIrbYoIXUswWWuS5t7Vc1cduF0OVgl+xAgtx+yjZB8vNIagOWCSXJFP JHISxpn2x0cO3ZHC3gkoC2yrUr4e93YfEqumczP5XBkEGrd3w3uhrNGQrqJaqOFVh/dz8DBwpbDQ I0X+hKUNn36/FIUcuFArxQY7uY4cjVgRZL4yyvGL9nSk77PEXCqT2AAAIrGWcg2sBviz0S0CIUMl Q0hoyxz03+ZcVsJw2TTPOO4pS1UnJ0G/hwYcg9H4SO6agXAbZVjpQgaK8h9VgEcz0zmdmhtcSyMS m58xbPdO29nlAKUzLSLTUsz8SCxWDY9ZJcPLv09CSfD2r8bQBE1RdONxtxZPs2hhnqmMy+a8k4UR bLZi6wTTWUimPQP1tr+qMVgqlp6h3BtiKHYUUkR0D4pdPy9yb2assTTsDJrixFTsbEd+Uj5WOgB1 yKtl5yTJYM0PFGFLexsOsSCom3jERqH4zTukLWE4CDOFiipjZJJu8V14QI9xC36KKJnJCBDaVEKk DhM7BeTDg6dMy/PhWK3WsBmZcNZRShJFbfCUEgTnZ+64rm7b+wBH+HSWsmlbaHTMqE9OmjeAAVre GSYYehJZgeF/UqB3dKH65bX7157bU3nc7xVfioI0pdQI96hE752CfsyWmLXzBjMpdRPg3mwRbKWs lES6fnfyPEBDqJI6XNtRAPt8UVOvCqSxQ57TemFlCt0AeJxu40q1rjFwzYkSuqS8IqX9+ZCHEBC5 YXR02QUMLqCUYeS2xw11YJ4tbAcrqaAecChuKGFHxXTRG+g9dvATwOP/6krkP0AH1nRXYLyVElGJ HoYdrSThmE4hEDNOzWE6O1u8S6ICJ/vi/55MYsAcp0/6OHV4PlZcLtElLj0efVoR4uMjqxotCi/A cNTH1Z94Ap4ifSEjQVkZda6DZJHjSt8iVuP9Xtyc/uXB4Q8C0J5R0sDnnnqMtETC/eLF4BLZ/kvY kqVxvEWRGPCngbJlmZG5jv5SUWeuSxP6rn3ClBCT87/e3YOLK81OfkTtev8tPlFRRhNkTfMcwqJH jQOXdb9THvHztNBvbmYmTaKwtEXZVghY4GGeT0NxNEEfDFHzNbM3/nHU7PKey8pbE6baC/mMTWSO AOy+i9HZAz4sQNzt7IVy7EheIlTBAlhEoxIPCxXLoN1kr8Wo1gzEAbkC+krxERLYMyh6sx00SRNe n2R71iknQNDHa1PE6Y14Fjo/s71KiS/SM983Shl9jqsNImBkbO8g5Aq2wze+PqwZwqzw2yxX65CP RRuCZ3FLgBLVPwxCA9BbossuUH/1WjC/uKpeywmGEJTY96CWoLnkUwoqRUdn6I6SgXM3B7+y9MSd /E57vXlMi9xF5uRbDg7FINYED5eAL42We+RVnBXCYOP8J4aBMcOZTWYH6fVt6Ie84tGd079llemY t/GwxpkSElaCNgrHI1VEDTVuV2CYYpdiN+0Man7n0ZDXtG8Jvw/pp95wncZBOtPSzlK+Hm4zF5vq lku8EMF5Fm73E+bnlYywzOcMLmIDLvGPZCmJBEU4tc0ra/pbI/eOX5SWQFz9U32zcVE/R9mQ1pg7 5deQqZatYORr6CWe0HEofpfl6gSfYpBmPPeAsyh9W8tF4sthkfO+4NSIjugeV/JtE0RLn7roUBQH IA62C0dOjVQLRLdlqrKUqOutn6GwlbvUv6JYGL9LXe9QfMbb262nVrWatu5ClGxUt09Xuv3fgeaG wXkzlAypIu1U3g0O3YmBuqM3SD2+83WNsPjyRdtUlXZDeFlMPivY/J0nGr4wB89LV2WhBixPZCEz d4SA7+rWBaeRQAIK/5tb0dzvq6RXSnFvwyHhBppVskrgJDbWwL3qz6Lvrqp6DbHPIB7vvLJ8ROSz dUMtEpJ7nMp69pmXTOn348wuMaCAeX3s8+QQuv+HVF1YlPHDFWO27yHREPFfpgqpvv/cCqLj9btV UQy5/uJomHHsLX1R79Vzomg2L8xQr+suM+2iZykB0uJiWivrGAelVGx0OpiB6RqtosifybT11dnK PAjGIwk3DfELhqe50Y3sKsCyRnhlB1EyXjbsQRUsTYp2Vs2UKkMwHq8ko05Bwh0Fq/QAJUBTXfJD FIAGFTlOtlguB0vVBmUW6B+W5NwAHF1JqVr2ZrnYJDjtcGLqjzzvE4YE90GOf66XLybdulBuve1Y SFqBGScLBHjRzzLhEQVlxIZdysr+0mT3TPUeX54NJcQEtsLFsf1kAxwPgMbPlDpcfKVoYKz+64M1 gLdUTCIf9EpBCnlHorcRnSd8h2vu0Vw2DoUmF+4NnZOyPNS9oJ8po9f+tggdmkvfa0IL6/DsKdi/ cRZskgx2AkvcLAP+Tm0QAoSt550IJNj6KfOPzvnSWOMOL7ufHdYeZRGKT/Fvb6FAkO1SHQb2OTzQ feo66tVT2OezqklCAur+SInjvpir7MIUewbVvjFbHicyvVWcXlPYY1t6CfLJsLCZxYzTwVdRdxZX kYkZJi7HOqVwIKh//GrmEBvYzARFcmLmyc2VjCE8PmegJKdifHdTAyd3nyrknNFnaGGHHStmgPlj Emuu4JCNeumYbR+71xmNsAifNGxGKIjm3bjUJ1TAwP38caKjvoKyhIenEil1uc7EZmaNt1cE5gw1 4FW0Owyk8JOmLcLOhI00x5cP58kWc9FgPZeC8thFzL5kyqswj9RqXDymVfldUOS143fHGde3WaqR RqG3YHJRELK43Ri3AUB4LHBUU4jYuMch71gST3qkv+HA4nNG3YyJgf6bCvka4WlaoJ7yKaWFxhcj hYMpIvs6J+SfhX8S928CA46fAcw0IXq4clsCROW9HYJ+nsBgaBErjNNtEBb2M6CSf7u9PQkpQdCI j4ti3dyGl2rLGpmPUJ2x8Uwkqz9Qw7BZxxugwiaI9HUjNeY3byo+iXpDCTpDZ1mrDAPqhfXmvouh umFGKyJwLLQu/p49Ms7kAQ1XhHj6BH0rne0gt9+YK4blkVzG3LDBIJTcoaoJaDu9a9/O0gxq6b+W GCdS+fs0LL6+L7neWR9F0hKuedh13S4Gf2LxymwFTWIGvUEfxd5xRzpr/jtdK+3f3FsewIAtPIRY 26fiSUZtl8SqNC29oluTuRMNEJPczP2qoygESHvAmC1hDiNBOJ5+qPYQy3Im5Uguxecst4OUVP4B BZbYmbB6CIdsY1FNIRn4PxncNviDTXdRPN98neGz4MCxppF07wSz26+OazXVQwlH5OHSGZa/Tpro /ohijUxs36DiLy7Jw+BPPkmI5Jr1b4mbfGamcfVWPfDrhTBIyeD+YcRbLofzv5am1jihDcly/OmE ViaT972GqBhmxoEEUEj2L6PCY3emt6+q0hJ1vgg7O6UCDzsgOH+O2nDuSoxZA4REEFiRewykU14O 0KwOVcyLaWOFHJPBHxdaWtjxolY+q3AtxJHMeDCogt3qSdiQ/A+WpMGVg8COF6r1BSteMPdkL6pE p+vhdXmuUJZwJg3/GdNcz+OSs+78Aduqmzw46C7fyQgSlyXSc8pou79Wk+PHs0P5fFLtHB+fwvkn lahXMRUj+lvhKVgWpLk8ZPSUjivajkMck84q3IgXiL6ATwhbaDYm5GA7aCsJti4ll/Igm8VHzp68 npeiVUGqzmgmm1WGrl01deoi1e+aQT+HbWqqQYu884fQfu0JIICIY1BWPXzoqYQxobCiQdBHriuW lF9P8FJm+BwWvT23UDIfId2CtfBKAOVoCgKvCiqVXTGm+Kun9dHmHNMja30TtqDNseBW3C7RSccY TCEZWzk367HGFOrc6ntRbmyrmMeAe+lQfr7IFweu/ycbwT9kBqIejWM03D/1xTEqCdI9xKTqJqsR /iyQK/gx8oS903b/1SFhxNUhmGQDYy89GpYkWhfkz/hTT8WsrqvQf8gEkH2uAo7I8xLsCg+lMxEp 6F4S6PDkMsB77vO+QKTyY262L0qNvBmip+l2MY99xd7S0tXwLqQXz6pNrOqbEV+o3f6Yr9WwYS9p L8L3Evxvby6GJVfpkOD0IHuVAxg0mMcEIbh5j6aJ1dI2/YXTaR02sh4UPPYQ29hCiB2l45UMtem6 aWSvPPJyeOYSrdAvmXqsGU0641aN9trYp4Gfm9POvXexY46BHB6VQ7Q1bIq+uLM60F2qw0XP2DP9 o4MpwuHj1Qt2Sd0G6Rh8MkaO/mv4qbvLVAGqX+OSTQEh+KsAM+ZfzOvIfm1j+itQKXFjIWoga8mS GmLsupkWIqQ8BlHN32b4aVirORQmQ7pXd/dlavs7f7jwDwVQMYO2kyHsQZ2BOzBfmpvKY2yDkJGm smqCGWFxZuJgEctwQz3pmtTQrHR4MrxGGIaknugkMh3fe+bYzlJCGje0R3TtYgPpGPPEsqMgiNqg hVJnDWmDfoyNrlZsZ06cl9Jb2vI6c9lsNyecaQ2EUU43wVKu3SzjoXNB67j2FRkuGqwwmY0uFLq9 L3GjU4ZlKUM0+hyrwI8TZDdpjeZZUQoFI0/QrCFcUy6+cAgMNTdzK71oXTh0CsrbvZPwSNOvPYA7 rPrkLxeFNlx5cobNNuX4TxvRB1Lsy/i18xoizXEW3PhM2sbZCwQF2gDDREPTx1rlm6DWLbZE7kxO gNAfgzXUN2rVEa8CQXR3ffotRrarAMVFf3FKRFKDyWLoKiKaBixxlVW2dKXQ9ckYSQNF/9dSGatJ fe/po6j9vCbTDf4biDnMGPzhj9QTermByUKoaMZOcHZ0aPjAEdgrSZDJFCw+JaBJhS//UD+CB/hb SgJMLUEsqKmQePOqn5FYO9wFXgEqaWjLFq2Oj+4DMC1smzZ4S/2SB6oUJPOOHYctFPFIWEnErJf1 2O+rMmQtrBb7mpfHbbTM103h7yhbarz8lCUXt9Ub2pc/kN0evENi1sqS1sE3PJL2Tz9510+CoeC4 S+Zfx9jtdRv6MDVydCkGG9tF3LVcgkFS9F2jwngUnY9VVF3BFlO1qlSHHCfFzqtHMiQrruYVYAfT 8AtMdNrxQh8+0BXzDMKiOU6OGiN1krlw1v1bGcoiZeB2/TNnPlaheKw7WJNM1Himq+9Tdz8a4mJp 2Sj5ICb8iyjH5gAjpGGKvFX5kJvt8BjBw5Wa1jBkjxUBW6vOmJfplATvowtijrYXOPxyhu4IXs6J p0GHu8+FbmwlVV50E6Gperj13yR2u1I02prI/fUGGVhYDJColmP+27iOj4FCSPamde6gk8GefOvs HtSwp7Th27wO9+aoibDFdAFqpyS3hbOXo+Q+Qdr+GIcCJ7DRl66c8vWbgm4wbmCoDB5uPSLENemS Jn6nwBuMh5kBzrzM01qvwcHUHXjsxLA5Cw4HH9MXanZDXz9lN/hn5x5RghNyVxAOJ38GSyMv0ggm e4/ytAxBi2fgONCl9/g8GHS08NATV8zmRUb+LbhGHS8QlG6TXFtaJI9zFeld3r526urHSqpvauv8 0zbQ3hkP4jSos+mP0niAHMfw6Cx7k5ls7H1vMVM4/LeMQwEN2S7IbR6JwGBjqfX0bfNv1jOwME5v NCAVBM3SDQ4QTe4KjpvfVS1zk09suCd3zRDWqHk/Q2zYjaeyjzgr/URhIKqtwv2SYy7DRJ0qfceM CQIN7TALFgJ+FfIc69Azw3Tusm+UWWWO117ft9agMX+mSxHhuf6236QKWN+tTrXwojGX1dntQdjD JUatQ6BN3IWzo3aqP3V8XuE9ejHB4enlVJDph0J2RWoYZUm0jbXMB9YzsPpGdgpa3W21XabxFBfx 4Khmg+PuNy2uaKyYcGalVy4dPZWP0kHBOAhPM2QujbwUkowLosv5scJe8iAyTTdm0i+qMUIpNxJK Zm+WHjdAmHNMjHXK8LwQtqFuOCW2Repg4CO1PUuZExqpdlIQaQszhV6Pb4ENStv6bdkMbTnTZ1e1 dpT71mgU9Wzx6+OOdC58mt0f2zigs6KZw6mi2xUvswS/+m8I61GJPZO0HMhUeP6sIOR27+VmJTZa 5iBBP3TPIDjN4kPOo3ZHmuAibPChCRcWV+8vx0cX3UO6vwGbfTdvI7CessvrDSuutqTaDQG3KNxS 1WlmTE7/hb4X+U05GpNSoj71YTFm3pwilWIqK+XVecKxAtstXCdOUmPwpLeJ7ll5HMWVw+y+Er28 vApBCJ189WMUzmRtOxl94kvnUMTVXjLyt83LqAT1E6/NDJsBRBXTZlEAtozcr8DH+Un99F6AdEue glpafI0P7zvu2ZYg7F2AADhE7aZfRrARFCmXY5rZltg+483pD87Nx52gU0y1ELP7snoMnFNqBIy8 3zde8npsGZERf+9IF4lP1rD73CPzfzyw7UZUq+KvRgyb3eXlRmUbV0CbyMUh3GM94eFhTThhJ/Bh cVeDQamAV//RvKoG3lwZsv3CM2B7I8IhTIvQUyDqIfRN1PuRRbnVhJYUl2kphTtg1ULB7LlTz8D+ 5VfnL6IAYWRGB8rb3wXMPuU1u2PLTEhoxCnGLTWJzp58RBlwCoRYOvvVJj8aieXy5Wp5CcZd78jS pYCajhRWsyvdykYdFHnvURaPmU+DftoSmFXoP5BApTR2f23/o4+xy9A7UpmC2ceFfeYU01N8ndnh DFBFhdCg59aKu57Jvy4wOvnWfkiGget06wXU1vRV7Hqw82KYnyY9PclfthqUggUBisEQuH1JFq9Q 9eW+M8pA1wGHdMDJAZVpcDgWqiAa3VbT1wC8Ls1m1AYLMOhXe+2Ptq0qXia08Z8UzRUVHwTKV4FE cFBeEkaLukR2MIuONy68cxjId+7kUzj94wjl+04LSZwXOzGlsXx9FRPYrESvzrwicimW6yU72w7z U7lLMkZMFloBFV85AimF5jZFsaFzmOkXlmp2X1zu2Z5iY5x3W2hpEmb2rl0xbN/YAgy9TK7KovYw Yp6aAody5xFpxg2651yRyxCiDwF1lh+NpduI4V1RBN/eApGhXrCj6lc7fh638PWWPCtn6+wGQG1t AMs3d2Vu+fx1tV5oDywQDG3WXJSNKU0W7XKbBSOINlGmNFnaWmiGHNzoXJwfbXQht7LP2v0UQcZm ZeidUHpspLnlVmoDmiVtNqLznPE7FhWHhI6po96ERqbb88sHHYgHzjtSmd/q/YSzGfTN9pCqxdvu F7aQENdOnQdmii0qlDgcym9e5jmymva9YLxnjMpG7DqZS5dBrYHuoxg4tO6Y5fKu3onD4nLTaLCu 1G1EkUt5unGcESEM0JmeH8+b+2kh7s1sEcjSSFWlDVR5FVATNplCIDomh+HgQfttMMq00/sM4yZm dHTEJyCDkOk9BUeDc+rBK7BHpg7ZHaKdlP6E9uL05wsVbYEY8r9tfr2b9n3ERkAesdj9TPczQrhS HjrOvRnu7fVmpSU5b1IXevGlQjd5H0YghVRwzuaLFLrEYv8Wr/wyjgB35Hf7Fw1ZhRnsgCe+h+FN am2FsSiE+/+7nsWJszyD55Jm7cgvq64YEqG8Fs2SXjg7Zr6XusdhoBGtAfVman7L2iYnPrRIch3k 65Avsm7QvFV4rKohbWORPsQ0DFQhyef+O1RgCCjLPx0TLJbuO5gzU2Iz/WPIMlzMfYbwlijZMktN sR4J+1H728XOrx4zPC7GWDg845DqJGu3T6jiMXWeb5BEVvQXdiuWVn8xoQEiBvE91dZtcqzAREgw NjT0n+ulaOqenZ534KG1OCmGx1KWgTx0n3sfaFWxExnVS2StUYzqOQ3hnDpZ5t6ejQ+8cPKvxKTC R7CXrkwF8klwLz+Q5TShHTUsrHP9U4QCWKKk/afaRe1Z7x2T4UQ2q1waU/R6HToq08xvhonuZMRW FACYIkgJf6aGCQhJMRSNTJYQXyPEWB0sr+kxYLA8HKjisCOD6sv+d3PKSZvZqUtFHhroDglxUOJK kEeB4YuvFXn00Kvns+6oirD1Cs5OmXi+cvHjIuODxL6Nqf9IISfIrThOH8bmYm5BmtU8xWle4Gc3 R6GNLuBKWMFC80tx/GjE286Ro/b88NfNdjHn2SUHFT+zq1JzAmlQa2VeqOZAJvZ6FQnLKPI6FHVU ZgOE3Br0pMA7N3oHaLiOwiiajsulIHM4ls9gL5Yiv5DeSBTDL1Hnylz9i8kIUNgO6U/DG+Q8AnMn F2nOVi/K2yW+maMHmrZNP9BVqtfEEb/UmF2tqvJPQh14mD8HT6dhPlGYJTrpTiyEtOofoecsaCgX hCmuDW1npWyVMXN+c8z997XGqbDsA61UxUbIdK95dZSYyQSgmH80mFd9lMl77+MV5V4HIl6ToTOw sCZVef938VuNdIBFn4hqX7FaPKwzBK7wmMXH53M+pnztRltb9L1Z6zLy/KMyTCt3IvVXj1DpzIlj cHdjaWsHAIw9MneHGYVtbjii7h2W3tItV6IGVUqDyE6Ev8TX9Trig7HFVXsg71ZsOd5fasAnPiFv tQ6I8jsVA2nBGjlSV7/ydfxOz2K1362S9h1g+cnEz5Mo3CobT7CMPpZI98MJb+b66egMML9rpRUj +oeoubdCSXN34hzehh6BfwFsgmkQd36YR7LSGO1+aKyRYfvFZRYxwmeescslkNqU60v0dKmOyWmS H/d0Y0vnMV7bAspWweQPYNtm1ectTo07vc2CRDnRxmh2uwN0v82jQ2O3ja4mWsbrfD3zJnize/pX k60OP3kuR/+kRXo7u64583DWvbr/pR8y3CVtZYCbj0VBZLNFvdcwgbwdzqQ83tKjU5kyI9Gytyds vkrbT9rgRDHd0S3MHn6v36SnFmmgobDAxbq8W5nrGMlQn6A94+rzOLWohZWD7QYYfKVJFL5G4jI6 8g/jEORy7wLu+JzjgPfp42qY2y6svXbwkLCEKGK1ioVCNmqA0dlkqBbXcYd9vTSA7jYu7OPgWvbg XpEw1zIaOaU0NM5y1zANtiphRnSxj7Kn/nBuLuVsc/oDTMTooSOrwaE8IcjzCprp5xzU5zowLBBA 9lIfv0cqXZxr2Xu0zysDJRR7CHbO9lOa0DOcvBNoujUUDTJ2h+7EeCxBittk0gyYpKuW6GcyJSvT PxlcdSBb4+IVjUzUIJYlxjFqeCT5c4JCG9so1fePzx6v2+XAmnNFrowo82f8o83GW9VTH+bpeuQv nemRlNIffVB6zoSDlm6awGzRIF8yZ5GB9Gvpac9vlx/icCy5QXsWqCBfju/A7WXyy9eAz5v8BiXm SPdmn2J4FuooYZpz98kk5HJvc8HRbLCfv7ZW1rQqFSB2m6I4t6N/M/3e546RGShgCsZsbiJZlL3u d4jpMw6KBhfPyglrd4SUOGBWjlnjskJuGhoU6EjyT/t12hH8DAu8SzUqSdYTfCTG7zAdi4FoJtnA VS6+ARVlvWfkB4jfXrCS+UFn1636Faj0LsKacsMjzRUWr9FbVpQkU01AmVJN6cr6NLn2qe0Y9O+N bn4aFhtiA84KEzeRGj3ZrsyG4H8wJrHP8gXBOb6MZ3dhXsAsZewBPuixRWDB3QPHti/0ZXjnbJ75 DSfsphBP5LqPY41ZBh8VooRi0noO37886+e0VVh64W5jrm8o31CV10zZklfOUK0nkqtD9m01crzV gFfZYwUDbZX/+5Jz+C5aNVYKOo5q+qrS878hryzSn3xRIph/gw3LOD/v43c4tdzs4IemwxVMBF7l Ti+M8bpV63hexj4oZYyyXe3hZPuRtqYyt0kJCMH8FuIoHSFkF+244CVWmNPCQQZsC5oStpXJe7Cg H1a6FvMfNx8d+ejxsFaAk8RiQi3sTqY4kTzngLk8tH5w0LUd1f2QCj3qW1+eIPrTe+5U5FSZ/rxL ocxNKaVG7mKTUY4aRtz+VmsLzQ1UGh7k0hqI6M9BWebLuNrJ+7MfDGcJacPxZd6TauRY3ZJofsWX gBkjuuLQH/Bj2bvj7yoWzZwvFkGMFHom3/fzXNiYEvv69QwQsWgb9zI6cBhhiLj0GgSBKjoo/G4s VOM/Dxru/pBKR3pWbjgVHYCKSKgm72TvxD00bl6cP+4jITReVFV3iEUaf8J50tyI06qQS/p7S9Er ZtDuYQcaEmbYVmneWXYZbVv7+6enheUKgKmDyc4gfVsPdKDCPki6TJwpg+gbioSuFp0I6Yk1aqsr tbbBNEVb81vGaxMEdbeB2nGr05AXl9ix5co6sWOfeZs2pmMPuV1awq2e+IKk06V9dkZyWjai6wTW hiSPxNDKAoCHC9wvIpto+rLA4piRPtqHBD4da+FoCvZsRJtO7kI+2vvqzhA6TwirjDuCQ/A8TOdX BuPCAD828hqUeICkHY4QSx2l1NIu3qCrjMhyfXLVFDoIv3CYI7EsHEoUJzwzhR6pb0poyOtPv55v U7rSAnomMKpYFpD3owTko1ugifLYDyLU2fnT01T38pp2SI7hvve689mof1LzISinK/TpwZHXu2cS J0ZVYYGHyc5r0RS1UGsOi4WlDizYyktDzxcG0XFE7S8ZltEeOx4Dqz5Sw2Jp72R36EkhrzP3tBoS jT2tPxJuIP9NqV9OGP3OwBO355gF8flh7i5qjGDMfXIIOmaHiLlOLYuFDzhevu3F4kL0DqBy1ym5 OpDvbCwDEzVePiWR9E6jOOfKmn4xiAFMZmY0fAxMzTyfic3+k8NR5af6sBuj48JiUJq7G4N1XMDV 5PliVhYolFNynLgZE0zOsHo0c3Ynl10XlVNjbPRJmIvJa0sx5mfSh23CY/zIeGBdTUZB/VCmefEE h0Fhg1/SMyeIElLF4iRtVafiMKsZ0ZNS2sDe2MuHijw4CEZiMrgQPaRUgJhnceBHmFD/oKb98e8p y9HNBvNS/emUFrmSQTjKqdGi1pLEYwtmaXi5N/G+IehstP6xUg8kgEQxDPcd2ItcsIB8SROjLqlg 0cNkAR+NhNSu6tIV1mgefeBRq/LabEeda/5gW0ZcJ3FpdJzi61pWa6kyOKttdinoe/OE1GNHyiv4 0Eb724vYXesHDC05RfWokjc5gKmFEjHtgIgoqN5B+SHz8iDWG3Z3pXA5nLqwT2wlS90QQ2o1Yh7v GrUQHf1tGfRE8eD5oMLUTA4e2EPtShUcoj4hnIfX2yn4Lm66yonHbH08tYt67RMaWQgnZvQGzjDK 8bXT+8/NavHxm500n8+azeVN8H19D2Fv6blNBMmSazEGttd+CnDF5uQE4xXKS8CXnxH5c819hsxb vlvEo7k9XNzjnQptlDJggg6NVW7oTbQvsOvtucqTpG4grc5JXRWYigDN0epQvZPQ6sBAQ6VWMxP+ tfKkinQ/qdhQs8rqPd12fvzmpdxz33dZHqYfuxcpAxedUgizJIQZffXU1wIB662B7AwR+EVLfAiU dGT0ZQgoatFcCT8WblRgt2TaOW5CTwtDdrq4dyd6mUCkgTBY7Y3KQfRlfdF823GpuTOHGsW5xWNn /Ol26JvzB+xDn5vwlFsDs1O6JRXRToPuOpmBA46069aR+h47gQ/FF0Gqvikh6Fw5UBQDIt8tjwK+ Hx3GWfcb28lCntJaymEj5Sfd6J/a9yptP185iA8SPEukGjn+RbtddnSU3UHnJa6E8ToGtf/4mpsB 5Ip066TK/Bjw7sMowjzz+ZuORvfSkafYq3p36G22noDMgsLCFwLiAzRrVsbN2WHNde3aesBFhLRr itbYCl0tcVukqn66oaGsmCO52YmnbcaZhSm6O6KICZOzY7bOAyLPot5LPuZ0D+4Z0w2Zs9evma/R KmV+8rRjq9gEAPhMTCCqVwU9/r3kGlzos/wszBXaOV28LfJq1iEuMEohkyVbHNVzYSFRbfFvNVeh /7oUSJRoPaF7Z/bZ+nxzYr2Tv1bSgKrTEX6xRv2TjBubkkbv6Jxcj3/JxjIy3iKp6WXX1y8vbKFU hRsMu0boYcBPbhSiTkikZU2MAPvw1BvO7D5cfoOEVafl/qnYWBJuO+eWnYSTdZkD2xVsQnzjc6HR /d2esBomgvY8vV3PK+FLAoXIGHwOu4PKNw+Ov75RBn/uEg8qD++rMm51DHTeVhcFBUYTreimAr+n TPsEKfnDILV5pdjsQvmb0pgUJ2GShXrurevLbgsFDnoT2oIc6w6lese3GffiHFYdzOlYzPuuzZht Dx9SLx3qCmLIxdi+nuCgtfVUC8YgGcDNuvT5WD7AzdLUfhuaxw0lRm9zet3j8PDSFSq77CGBK14a y4XtDP5VzXtn4Xp9jj0Kg2kqLNEId2+mWIynQVMwyVlBpXz1qbp6d+VL2g4m1UAuYee6X4t0EUZD HVMaD+MGKepKC/AEsfnwxY3C2fYIRQ3O4smF91JwcGbJ5o3pgr7ncotIJKiky0ePm7i+WvGFWdCh GQXLAn4VFxlm5RQSXIxHQIud86DpHlYrH5JQLHBUwp/iExkWNQmlycrRDX+RJdLO6fJ7mT1O/cFX ZFznEym1zbCWASxZYzzN8gVY5ke5dqMkFDSeFvqfogoP/JOyzf3pnoXj57ctTwfqOHhWlLykPRWp yCp0i5ruicY7dYFRXwk16ByFJqbhvpOKKQTiBRn2dUBGWA6huUUg8YrIxC5Xw7sRsxbl0vJ1mf7m ziiW2fwp7jNJGYpQ7/nqm+PukUJtlWoCzamb33WS2nYrzBElBwa/5o6UGaYnKuwm4TlVg2z1xSNO qoRwO3Ya3D7b24kzAZdvlckh1nH05duWHohhw4GA3Phnxu9aVu4c4DN02iBJkUAb0x2j1n/vfzbm wpGYJyZXVkfJkUSOz43zL8LuIscGjjYshy8Nax4xUa7qcDeu8kHCWMLCnXmhS7xOo70HWK/GA1qQ nTNpBd4dmGq9pSuannJ+sKkWpmQ+yl6smN5AvlWrvwCE2jPKk+8kyeAeSP+tdFqsWOfRydBGVljR +npVX/hyyZv8sF+ftgXhH2gOL+3ZS4uiN5j1eOH7ewuqzmKGQMcswFAZYgGMOUPT19/ZmVIVe90n I0gqXkTo6M+A3PtM7RAF59Zyh5lNo3FV41MaoIDOdXa7c39iHQ25xgJQyHC2yNG18A9WXzjKYQNy 4IOQfyCdbJdImppsyTg5JR8plmrnmlxeaT3nNOlxnDDOowSoM96gAz/i4XNE/IDgONXCZEfKsEch kL21XaT91TYm1GOTotmgoDg7UTSpZtcsi3XMEbYxuqdzqL911RxTDpR/c5fMcvJrTN9eJvrQoYHt G3NZ8RtVFVtwDk2jXOGHlEkrBTr2kN31HcE2OZ3PdF6VEM50h6DmEaTw2JCgImTxmt3J6HIqLSZI tHvZLJRc221xW4uhJnFoLE44WCPySqNarQGXhv73Tbg0ei9k1ZiLCTYG2SlDQ4mZJHJ7EdEi9JWS oyxT7psO16yoEGjLJk4X9VnJ7ANH2hCr6I/baED66xLPOLJtfDo174Y7S7bbRaXNAWNBa7wJGOTc YEWury/MS98/UREx7G5t2fCDPioeyyZ3wejAl23l0etFrDfUfgz8pF0tEcbM6ojPFM0y+Xgoo6E4 mIzfPkCZ7fphGMAQ0/kBphPOi/kWKdy0Fs4mASovL42rcn+Yv6AmxX4npiAmWTKZfLuaRvCi2mK3 Nooa0CLjVN56sQA7U6ydgDdkul8NbLFl1lrFIxrH+9IVQIy8KEctik9u+CiCD5G/0uP0QrLh5yp2 gnRGUlUT9vWfOFX8IGFuQBqm2I9dDixgj0pPAf//Vq21aG+VntZap++L1cp+cW3l+xubFi8GZUy8 sCViwGdHuIN9TFbeqmmR5UPjg7WceS0I4Cap1Z4wYUl73wQo1yhxcHHK1sg3JmglK/2pnjbEjVVW DObYic1jf5dwxqLuQw50L6UkioXNiZKGVLvKRGmvmecgda/kqzGZbkIbejxCsipNEQBOdY+r0RyL BdhyGUqL3PftGTcAraJlA/SGeVi3SqS9/wVjh0lOHGePuXMuALHUBUkDXhNMxwHAwZMQTeje/i1U Szee++uVPpdZFIDitI0EP7/7sfWWimituBkjBWLPhn19BUezcuf/RFnjsqf9c9ZELWxgujTpGRhX YCqgUp1aoB+HdynvLmJS5C8GYbkGkObo9NEIwW8VWStzbAZmd7Oo5cKKAnwjkEQhyP6XAZxfBGZ1 kSiz3GIsyqQtyECBoEXZNakowmmCRalmDI3y/XYXt8/gleuAnzkvZNkBp2RTI1ozZ25xlnsDTWJd GUIdc3UBGQVa7mi2EIr3yN5mT1bRuCIiqg5tfS/kNJCvy6iHUeow4ekCCz/8xrKLqFfvMcoDALsg PpOqdtTEIa/t0HcrjXY9H2ZYev6CgWCrtkGzNMKjzjf5+r2dFp5tQDpIHACCXC8HifeKLTSwlbZQ CiU5tkJL84Aaccd4oJ3A+ENhqRHoIsNGlX2AqB2Kd6/4elg53Qs4z8+66dZvt4KpEU1qmbt2ocDF hW7AMo6D1riQs/pveRA/BaDmrmhGFKEvwOUsVKU8Oed0x+EQbXHLU0NARIN3H0Az8JV47mlDnlrL WzzJLfldsrdNXYwHUd7qb7ckK3CirCe5L/2fHSRGNlnGjINlq2nlwiIgLt5J7CGyHRoFXtGUaICn /1S66WzB+4BUyTEDb3dMnKXRaCX3xZloUq/fvbOPIP7AG8Nk70kgHxUjFR557xWAG9wUMgeW2C+3 UDVyfiIXCOUEvKW+z/PoAq6UL8qjpy7wsDUPtGqgW7xrWs8EAaYmzehsZ7tSbH5uqZ63C2o67Nno nqCCzeYIh3spFSJZLBlfNRzPERUefFN57cDSdDdV43YIY40xPiULJDUAQIrB9iNM0VpF6FOngjvL g2EsB/0psxj8N5gf3SW6vGX+PewS53nrvE1b0L8CAT7QQuLEEdZJfAsqBkUJRPJ3NG6UeWZj/Ld2 DiRJ8ZUW74SP1eoMUXVsWQDStefIHFOf/Ec1frJ4IzbFuva7tzC6cfT27r2Xvf7vFW2E7/KUqnZa MiDknMHyc+sYl+POJGgy8pytEvoophgL0EO6x8oQNM6HTImkxCz8JpMaQ1srcHRZ2MBIcRCrJ8Ls 2n1kQP2f+UzyKFTlIPXPMDw0VQx1oH2Re/5zbkLGiclZWT41TSf0bPNpqJ/kxPFC4C7PzKjA3KBv 9zQoWfpcUiiUjWY8MyndOaK7lTOoze4QC4GohOz0ll0HW9CjxC1F8UM3l1BoQ0xpa1FKdPzkO9rk JGmd7+JXuEan9TN0Cw6pBPVuChAYleHq26oZDFN0rX1fump5AiSuouLCD9F2XrCnax42teaA5/zl 0GczyqGAfd/57iEDYQrsUuELtzA6cllcQsWBtjRF2CI/BNNSguKEX0+sRrVMSSaR3jHnf5tH2AeK prbmDYbG8Oanow11R/+aZQZkev/s2D0pVHchZMko+SIykDZslVke4ldbGXHe/kZKvjM8C0Q65hv5 +yplev06nlwaChBZBZSNDBd8kVynu26wBxqesHl3xRYydZiaXW6JySNYax+LMTfDRzkrtKBTy8yF Z1uSacsKW3wUliEQ9z6jWegJBoWHjAyjxWgrfae5eHn3gX7INDuPKtUhPc9AXoPiRTSarREne+dr IzqqkC14cxMnOS0yas9xiE+OyiYjUgFpvvlQ8vDyKeMXwlukUjIrGpvB2ASITJm1ZNyTnNSperzC V9VrokEtF4yhJIC+Xd23Q/4wed83kXAtl51PW33YBM+QO8kFWyUUQpcSBRzgX7uuy6buwQXKLj5X +t3XgQhWK5mOlWjBAniVnRQxnrlir38wVCfRWLLX6yYlJIRbSogK8zg13/vUCuOmU4UHEAPHyinM RwRVA4gZlwbKDoUZoemM+Jqg86Dtic6XT/6dIzN7BQTBbDDtiE/TZdkKp/wxjUynr2ypXC0a0fnd QN0kJsChPIlN8TL+4uAt+Stix+lfkPVpmnRs3CWfsAVjhLRSCGclnHSQ99LuROuYwKrq7en1qjIE hTXPZsso5JUD5I2vExTWvr3lh/OyTmjAQe1N8EN7hQXN9PyTcMy5VyWKH2xqeb1BFmsB8MX28CcJ m9rlKDqxPXfNyOrPF5GKg9xsQJRO2Gf8GrbbgyM1uFgT24EcwfS47J/qwcOb5TtXyu/dSi2KDLZV CkIazwcJJPg6vN3xsbYGDBnF/rHnmbNrJahoZYoIObt9p1djmSkes+TklM+aBX+q2e0jJKVi6wB6 s/jQIISRiSm/+96F14m6nY63DMww5kiGxcmdO7EhgyXTdwHKD3Au06rPX6TeRcAbKOVW99oY2w8p mCNY4sJ+rX00OEwdW7RLVxOt2MrXlCOiaf9I1qS6RnD6NCnCTTSds++ADXj4iDezqb9Yv3Q9bZDd vBG5ax0fRmNt1JU3NBFv7Z1IgcE4xzuGcXPoTiOPLh42pa7fPSL3uxzDoPaI46qIfOOCiXew5Ecy ZnBKqMDY4zNzeRmwE/dMPM66bwngWCiAaIvnKSH1hGxtW4aL7pFQBen1iduYXWRqBiehGsVjgldt mhWhQaGjmZF9nl2RKEzsXtS61sD/4XvXG5mN10I1GzMm1OqE6rc2t1DC/LQIbeFm1PBTZMc+I8BL WAkvvgiofmefI/f4dG/tuG5CLcYfRvSCLwIKat5mt7w+EmlRHQaHYV9fFrPfE7swfbJu7/TyaiKD xoD/a39RRggcS+gQWZCkWNE+2beRh5lA91owVA75d6YonoDj4/1+3OlI9r3beE9RuIGA5L6gGq2l lWjx7hbJG7FaxdcJ8CzuMNt35V12aKX/ijH8vP1i9l5rCOpqcite4CD5PUjND8dqsrRq6ZeROa2P p9pJDuOufBaUGqRndH/td3ciRXrIlZCmGafT0RJhKJ6sS7AkvSS9rJOvma3hzdjrhBCpAwljxP12 dp2iwA1/yTmOOebouhfP5sctnWCWeM4FQhatJEiV7R80M6INYJanQjxxYVEv1rjJ5Z0wvaNLyZtY ZmCdTNBho7SCrCjkhE0rSCmCnJeX9l/jAQMIdnAZs90rHwglx6Q4kUKIp61UbdBQ9s53E6EFip9f ov2yt5RGLZifXk5yvMOZI2Eegx7zQDxGuwrZrdY8K4UhLmgrl7zVPp5lpZYA4ZYBhpj8qlTZ8PLJ kxDwSUCVjpObkQ5ulbnk9LtqILcm5t+Z6mTam4xFqOfu2xRfugF2xDZDMHUJ/qNkwO+cSNCRslWN k1l3fM5Bddbz+6Nw2Zi099L6jwHBGF1T1dOY5jszgJ51s8mVF3vom8+tvCNjyplgUGHhaFye9hWd GSr2mgh0yMKXaEaBzKnndnVK6lR85+w2+3z1Z+SRxjbChivCcyB+B9sSVYr/HiH5eEFmxCYcfpfD mKacRMxe0aOpRtAWDb90YUmtlVHB+KwrIoEqg/GDhM45piG/4XXWRV4dd0xnAmYao0iOYJjvZfCF VTZakWLUvshLoN2LSchDY9xz1kRfrb6TAYvUIG+u+KfayNVjlkfZfJviUJcmxRTnfcMB5zcVIBfN uXBmNy1dSbHbuMzOL/dJQ4hTxYd5qesOchE52keZ03G/fd4A+9HSUxn8SFaTHOYbvZCjrCH8NCOg J6r9H1r3YzRSrbKs7MSVKE6t8Y2UT5olZGeDF3/iWTf9pekZAC1IgpMKhrbXt7wQv6x3QzjN5kuF fbdLFuhUuIJSHCEOvMLHJkZmugXMVRlCKFSoWz3pij5kN2VSTkVTbUrPxTu1JrWOMaRtqeH9zNrz lVGphZHLhmRsPGdadUki6WswzJVsfddDGEP1OmSJocInQz5vLyb67AUqUQpYew6cOYD9IKuo29cN OEN+TK+R/FRN/Jb5IjwRJprG9AAwyEIT3HQSMTDG1p8IXcm6h0okFvLS9G3ju2Ov9lH1iNatcTOn tLsjNwqnJun5vOui6XdWc8eSh7CFnCrGBjnE5DyP4wH/sNjzH9297vX6SYoeFZgr+Yvv9cgsO4Yj j57hniMKHZhn7ZJyN4693gR0ICsyMtTbj8qSlOs1E5dfkK1avFnBoEOwlln4faw3wmGbLgbznB9n f7QbtPsQLl5MmyW1QXJjo8GFQYTsmGJ8Aag8jjjbH8MWlLUx/nqLVtvn0dNM1VvvimvibysKng7v lzY6gfDl4r/024igk13AHpL0Pr4/CHzgJzSlgTJXIUyQipsch2TsYSCWTZDw4yBav175z2O5coki BxsfrUAsWSo0qnSCv6RyB0+mj8U2EtsuIPPa2XSyyv+/qxan74jAZs6Ovlu+wjgQZV6jN9naQGLk /D0wHDHWw212RMCchIm/SMAaSQ3xbhGeY8P7Gvw+isKFrTEMnwHXouuZFCyftleSzUb3sCZMp57m LvjvCbjA0lksZL95cmlsX1uF5dJe0vPKLGWRXSCKoRJGglWGDCbDDH36ZQgHCMm8FImWd19WwF// yTUHd8xBo1rdXY6Vlsrj6OhqS42Rbynplg7yowI6M7oJlFrmqIq3FhZw4NboHC0lBjz7/x5pNHWH kj0cnYYSTsW0RtzAF+WAyqANRdAGNHZ+BBdVqQ/i1m/doU6/8/g2g5sAkN8hRWH2eBqDq7ZPtO72 3zOUef9P3wBOYUygunYpN8BNyLVg7iYPqs3FBQcHP8Rsh4d5ZkrRQOQMW+SPWXVDCWAYZPrWf2wF Yzik16buD4IHc6v7kmwM73J/4ZBlJNKfDDM37knKMkDGyVRow40GGSOCOHdn4gea7z6nfvJNaD6q cSDyoyecH6KYX0Ry893HnlGdv3r8wic/vex1OpBpfw4a69I1HDozgkw0lbrkjO9j8ugK++cv+8QP TRK1h52Xt5qPty4Gsu4s1oGhe5Nci1C58SQFirbQOtTGNs8Nu+uGIYezivzjDPL9dhMCTsPg/tHM ULtO3/FFIxSL9Nw2pBN/rqeK/HvEsMHiiuGW8uavbYpCyXrsQJ7T8pRlxWShdkIwY3c7p6+i1EuX AS2lNAX8ghvuHEtd2oXXcxI6KiBhU40PlQzky2FxDv4pHtTU9l0OlX3hU8gsjBFlg9UcfZTp5pxn tOq5qvU7UX2WUD+qXoHYpamWzKkQgQKFrAC7n4iGGnD2qLn9Ui+hGaHMXzSBVWQnBiRaxFUiJeEB dr/EnVlk6do8iPD8vZC+UZKkWnk38Hswgr5GuoCWSv8ecRNOqQF5DZveRSutC/W5PQP7JoFNY+D4 tQxH/q+JFKqBxzM0w0C1AXHl9YaLxyZS6AwYC9m4fvMBLw88Agz8Ywg5U8XlrrxOP2R62lni4vuF YE1eUAQyu6FUNGBNgbO7bl1gz9MD9gXMEwE7eQkNeZq5WweebCGBgnAla5DwsSois9j/dh8Vm4/n 0sPrvBKnQxhOW+MVAaP06R+pAemNaahHg/FTLYQgRly/SSBSQY1QVU+yLgroJKrL+6GgVMjryZhh NhMKOghQNSNNakdlUVagNodZA0kwOOWC2g/QkxUPV/f3l8AE52I94vL5lLvXOg2o/woq+EVJqMcv FopFHoAFRRa8/UlnVZuzQGIliSml+TnLaT7AfuaP02byUWw22sCnjjWbG+CvGCtlb7GDcUgfE5n3 L0weVW9NVQUQAQfOmZck1iUNUjMWZLETElbi86MrRY1FUqn//UAt0EIuKfOwHSWFJq2pGVV35/Lz zRDKKD5Yl3eHsSFfsErhJZKPd0EKiNRwT2A5JooJJGd0Nh8iU1pQSbyjhBD+6Uo9WvTayHftctxV fnJBdtbd9HEnvMrpzq2d9sE0JgtzzbPbg5h/5TMTsfF9Q8F6jj8FNgBGQR6VlJ0BT8TMjEN7yube TQ2f8+5iqPyy6UClKrKc576uFd/KfTfvMArlCPCws3263AiaxHRdHMJg+UgAOsx8G/yidfV583oq 49qrpjjewTkRcNb09mxYGZgtf+wjt9Pzi65FvCdTM3arAnBUsBrClhypjfB3k0WE4a69dutv+NBW AvhyUAOh7OvNdLRqCyKZS+dsw0ElpvRJekWlwKTH2men0mR4kUCTdw/LWX1xj9yeyjM1sASmzvun knsRJ8Uwp6Vm3o2xqRGYLozNqH2gpziEAR8IVYnnOjNTwZSln9bJlIM0TegwbJiqaZCsPWRVeURR xknKMxx9M9xkyFYJcy82i5fear2TfJumqV3mghMawG5jgvtBtKxPnteNWWRz9TbTYQGmt7T5Imb4 bXa8T2ybgvpokZKm6yISXFUIHzmNkiCtKkrPlp45C4Hl0FvgHUK1c51F/Tyg++uUwwKAqzYNqBnP Yl0zchSvHSWGydIrmV3NVR5+D5OfdKxQWvzYrTRxCRjn1t61ufL+b42ESGs7qtbRE5NCQ+1CG/ZH Vo9k0pChNXGh7qtjlrQFj6p/VDOfnDV7W4PXW0UgMIMqQadKRPPYVmfWZ69zi0p3jSIkdJug7stY 5VYFj6nG5JVZK/Aa3GjfBhQ8ihq8ZGnR4Oj4U2VN9FATlkqdGXw3EVd9/1luKGEEefyXLxsXp+0g +Jr4xQu/VT5L1UNtzZnCU7G4GbI/61Dhb4Gfe2vcuhLoeYirveEQ1xejGx9g7ZVIH4TlfbjzBjE+ nzStxHLdfrc+xkm0Hd3bdq6Bcp8XpHmnGTqDhy+uooqUGjuEoZ8XqufICU5FAMYe2msLgwlZXNEv 9kB4Npj8EsZnowR8HYHdcSxZfIcgTOD/c0GH8XNn++vMW0jx1TMrB7ymeHBtvutKiQOyRdCRk33B DwB686OoKSRNZ6sSfs1ine6WrhmM2DxNUQ9fMoCOf2QhpMeaXR/YQnZEXxctAUM2GJM/sctnlhv/ yWR6LOByJ2D8SnXXgXErHua4cGqYcbgzG5cs5ezfjqY1hr27dC9zzVRtp4xJ/Tr0VlRoAEwceB7Z +X1tjccTKoSqbCGext16J9MVQ4u22xGp7udvfEidM5DxcehJGfvGksu7xqgQErs1Rs4fJ3XwfWuE /XJtFl4D4CWlKNip102da1tDq1h/Ds8/3jZpFqRIltXieq9JCP1UL98zmMgiyZlxH5nVAtZZxNHb zKvqehU3JKckWdGxVoR0sahHSURDKXGMj74119FECWg9jEmqbLCXQ6SSt6lKrqwFqH1dEUcVck0/ KxKN33DCD1kBxKbKONPuFrv1ZCj+LZ6kcqw0/z8hY6VLyzBfzNqPRJelvfX1tDuiO+9aIEo6Rufk tM5bkj8PgHwoOzKVrKFT34Di/kwiKmqiGpqTD7tL45xTeMyEx2c5ySWv1hqlPpZf9vmcShBTkgWh VCq6G1hlGgNqkYXT5fb54w9TGgy0OPwvI23vtGrdFg3UegwuIdHIaCiNhCsxWjn1DnlXqllvANP3 rYMxh3OcRWRIE2mPH20ZPiKzpgKUIH58vQoIRxfg0rf9GHVGJxlbBMzH1VAB4wbvM9tzU6E50WyL 0Wt9LqyW05A/tr8hsApkzcr+QSkV5AnsNhAIRX0vjQlzb2QvNUE3b+n7wSqDpN2+Nrl0/RyNvizZ xj7iFhORotGBACegT48fwVzeznhAKyjp9KtYZprrJrrVYGlHtqu92jrUw0CeJHVxvktNCibVeeUm C6j1ArpoRRJbLLhTjula55C9dE8C/usqMynWzIzN2kk5pWXofoMXkq1k/MUxBgj9l+uHnq7QHiUq CZx+Zv8To2mZY3mqwr4ZIq71rgFxJ9yYSareg6cplwPo8scIQxJc05N0kwVdkfvlXpKc+fDSu9A9 weBEnXVatbz8G+jdcxrg74oIvKqC/6OoGytkL5PH7ADEruknLUcu9Yf3Vu/ajeblP+Qn6D85+LiT 2YyhvDdEUu7/0Yd1o2GsMDIQBPDHjR5NrCm47xcn4l/COT4HDcoyvrPThC6f9Ts6BlmssvRwYLo7 fTF7I6wkCJTTLdxl+M4hXDKsXGQ6kz8E5WnflPaStMXcQCwf2DcmXeNiiIzRMI8+fmW7BTMWT6Ch 4SQGUhW48cF6UhWu3B5hCZiAxXMWrkzwCTKz88NGHux2b9K9aaAD4d+UzP2j9BxpnYvvpj4m1q4K dOLLYl1nZt2G42IeEpoUQJTw4hCj3RquMi6XmtwCqzPwpAk6WVdlKXD0oy1+qSs35kEBxzanyOq1 RRNK/OElvYVds23G5jT0HwH53k0bsNFbqN6bKJHjXGaMwgeh/SwISWkY0KncJwHuVpIx9mfe/VCO SPVsphOpslirv9D1NgdzZZ1gWNTbd2PHDe00Wd90ReBTkPYFPTk9vK6XtlGK43UenWSPw+qbLM7u ckWhRJZ82wwDWyKfEsj7Y2aLkEimQ2fdzr5mcznCm9wz/YCWseL0RdMGbKTPEAN07Xzcu4jXdGwO 3QfIbTKYQySH8BdO5Bay/gT+mF8NMe/WWJ8xuNQZ5dGGUU64MxsJzQPee0P7TSIvj5UHvVZYNzGC +bQ3QFxjP4SY5RijgWO40qpgtUZ0LH9snc+IW58rbOCuEuUT9orwdCYkoguvG3bSw7bBseGYL6+V 9glbDpWRtrYxIDWQQGKJcwyldJqbcqRXZHgnL4sh6Byn6AfT1U10feFPoYM+XJs0iIhueaX3cWcB /hH4WTCt0ZFB/hFx4HCTs9iJrmgRTvzHFCLJupRth219bl8v2l1mxHdYqISxjDKcshSPEgpeccUS wWY+WKkm4e+X/Pn40+WEO8a0q+wIT0lkrojdeKdycN1Oz79WCphf/KdrVKH66FlA4fHJS39P9E/8 lMLPPHa+94KHSXuR51obQu59cOA5+7nj4jDi1br3ofS3uPhnJF4GMmt+VF0OlGKvUCxJppRn/aWQ dajS5Pn7SMsNUFMfTdqpDhx8uFKsGNcOzsDL1mbUg8L5Ct9kDMjM5jl8eCu0Hgrd0wsaK4oD257d kehb1m+LmNxJx9KpNbUY27PFgTYCrpTbb4swxHAgAMFZU9EQpzP95XNMtVwe0ajE2rHoYMFOpoRP AtD8JkHDjWaJJh0lZHfNyynG+W8hfIauQ7xXoNUsC1tOi9N0kWolwKnaiZP0fPclBjr3Uf4Cm6LK 3lGaylozIhuaEw1wJ3gw7rDxjd2tsi87/Y/IZ/ys2lVfEcY+GdS+0871+CunEfwmrTVpBq2nok7Q Kvq/aUDTdClwmVnqcKRF3/gHQ90pnMZkRxOKrqDPsTih7kB96mOywu6lm9sIbtxXV2y8u4HDGuWP 6hJcyrsN34DhWJFkLcgNcjMbTKiQjeqyjovNjdTGvRJOQKDlnC8FYQ/nYfy0MCLWeUz6eW/gXkhF BGesf4CsXF/zeXwoP7gO97X/9N1i6JCSsi4fwWDDWCMNvc0fAvVKaGBY+SFvCNZZsMAafQWVs70t EDXLN5JENyZWP8sVCwV9Zo+dip8LtkaqWqabcQXN2i1Q/Ch7DqTG+/4iFBcNcmP41zgSY02F+ZDS UqzMKv+iIoCaqP2chrfZKyeBQEF9YbUQqkqSaqP8lOdFtWohP12QaKHVuQit96NnaGsSmA7tjomq vdycPpH+9tEM8W3qoGdtx+pal27KsY0eSvhPgg1LGCUo6pTKvIWqfSPpIxcBld8zej7+bCPr/Lht MpQtMV9f/XnbtgxAk8aRlxETd/WKXDcS9H7+bjaaXp/qZuVGfjCUV7REdot0cfp410B0433Eb2y5 n+ueT7NWdQ0xfCUDIX0J8qywGNkiDYaW1gIEDyEvo3zkP9Za3JYV806376FoJANl1fXCZ8QIUhtL XoGLb3EJxRmSlTFRanRi/ATHyiNGOCcXfEdPj5T30MhHT9sMRwwOsnuePTvYxI5Bb5dZkg4G/Gb6 XC4/lWgvxWh1I2Ct1GSPkaGambK7gs7P+Ub+EuhjuYXBvv8K9oAhTXX04oFItzK26qTEkUVWeG6r aI5qQfJQ29s2QdAYf6Z08AsQdUFsIVcrHHg0VgnrYKf81TadrwueCx4qBic9w6lGBEB3bYBOeSO7 Q1ltn8K7xzsiy532dxSYLj9SreCqqZgW3aCZUfdRgzX09lrNrnSj5tB0JFb05Hp7X9nG3WBOsd2A UakQTga7JqbplVSslxIowAvP5397VU02CVeQ5t6NTIRoxeMQp5UbjwGgBLcTlnaYTjOArBFdT2nc Cw6CZpK0+RqF4T/6KI0OC6LAd1xoRgqISSf2jEFWsblJalKQ2wOyZHRGb4z/r6I8t485IImVNlKt +ylTH+IF1/QlBEmRRxhlcgM04BVCq0W6QRwyIPtklfWDyuXcWNErfiALVNGvNy7psnBxRrv2iCLd 87C+F8Qft3ZkYdto/M+aV8SCuoijo65NsYCoDZmLWFb5yHJCz6r297BzLWsrQ5rbNSbAbwyJSY0X Y+F9uHzQnQEiOV/9G5YMko3ZeYN4oiu8jGaf0ZyoeyFcpHAwK3zbNMl5YVIyLidJMPmTnwNvIWui kbSCq5PBm6WlNWfwKhCHO83fwqOSTZCM1UoHl33L/dXH0m/gEr3MW7dWN/TymK2Ps92brgcwaQl8 mD8kSuQETZZ7JdacQKCwoX1NLMdn93uTmje/nLCu1LDfAPTHsmgl+2CT5RhidJkUl9kO1hlgktaz L3KKJTXKD+Q3BL7E3HfC3d6rdYVyB+0/qEz/WZZt6NLwSWSJYM6RHKQaZ3ecwVtkGkcFeHVOkFLz f2RnjIqfi930IcqpzoItQHjqBzcVPt4r6cFmu+pq06vwN2UfR1hcYHDz8Qomkcm3rbwGYoCLNeuA Q9ASdMGkxRHa0TumZ+vi2bBU8O1fXME2A3AsnLO6JPEQdGyDWRSck6sUJcuZc7wD5LYx4ZhO3p7O fNu9LHg9dl+qxgRa69QxSu+f7MwbuxL6uG7I/cxh8Fpt+LeHbgraETVgpJZXv+Oax5PRF5coN3ns 5faJ+Ei8ZYr5hwPHpygNGin9hiJX6sAU3+yEr4If1MwHh8GuGPckC/5YSmqiVljBXGJuSTNKqL8G 6gpqbNIzfyFwIVPtY/Pn3jLPlnL3kiJXrUmCuvIVM7vt01RY6tGNm9dMIbTuZsoVdZTHWJgHC1D8 gh5h119h07pVIdT5VZLz+ypzIcx57lM26WdM7DuwSV4s6KCFR5TM7hnB9qKkq+6rijDzaAnUVk5n c1wXQW75EGQnLf4cy4yKJMm3nRY1EFpg01ql9SPrtO2sIwcceQFJ4uDTcF8FnUQvYE/5TyIyIKuC T6sY3qvPVVV01IH9aSrsxqnp0gLgbmPT/lMxauSyYOs7hu4IBhJ7AVBHcR8ICqY8UxP7urAcTKyZ DlCjgHUVO4ectNzF2PR8AJr3J8kw03V+UVnI4kge3ukfjxUgrr5ONKPKonfCyHM+8T79ASIvBQru s1kjTsRIryO1CEQiLNvCe3HYgp262GQD1LESLyzGmDL9Ej8qVacuzglAUWVJ78bwUzrnPJLxffca ed6MPtgNLZ8c+DFpGjrcgqoqaYF3nrE0UoMmJ686zhd92F4EluBaWL94+9YJ6Ho1XK8MjOiZf9EG MOy8zPYKGCFXcEV8AoBACzL0hES0QJEb5hCRPCtWTVEqPgm4eAnGZW09zhbF1C3DbKzBUYpOdnCt P3Q2lMwomiF9T2i1xBTi1vPfmca/5CPdNe7jrsbLZutrINz4VMniJQuT6Cngs0Px1cISmdWsrHSz jIimTZKMZYUbd4z4F+bJdSL386N4zRuc14WmJVA+pcbqDsCVMqdRrNw7H1b2EowpnjNIzrgcwJPk tqC4y1GlkZMbTLRW9iMeDH/2nH2Ba7kb/iykXdZ7uTAz/gYa5KEUqlA2nzekF2+PD1ZiTefvO6Ts OAgcErBM+sn3Cg+80cbORRT2Vlt9lWWPTmQ9fmJ2ekRJBCF7XJPjtCdUM8UDLEDQpAuHzz/wi5gv oju7pPvrRdKuDdfl6ht77ARBsYyiPzjr6wjgX9gCRZ1XSFEX8cILrHbJqfRrvbuG67lP1HQ/uSBm guyzn+bt9zZ/7XbRIa3UYoz6iTbHeuwo8j6QVB8jdScpajE8CJxlb6w15wxOYcxaKSVoNinXy7ma Zh/IC7x4LorgH1Fyh28BPpCUOx+DWsk9CKmMtZrFKRnuv/SazE+b8Fd8fCPWKfyUuLLvKRLb6+m8 SNGxcYrWgaj7ue/a3GvsNlnqQRky++hT6d9do63w/tvuDrByU6LAWz3LOHYTQFpe2W/ebYpUvH/1 0WHq9HGhh4brtnSZ1PQ+K7d9g+HB5/freEmvpOqOxBYThUeJ53GY1cStRd2V9ZUsWXlqKYvKS4Rs OA1nqZ3PFCvgWdnvHWp04eMnx4eKTyX4ubA2yAMBYYSe1Bl+4YpiT5jhfO1U/xzhz6K/ZJF3JdQe JUDy8+8AejiMzB7Nhg98loBOVlIt80WpbXNQxsxBzDp3Qds1Rv191b+0M6zgbDYd2A/IVZkZ7+Uz ty8v8EiP1jSz1Zd8ACkyxgarAq0OrCS7dk+AVDlrbM6pEN1PSrs+TQls6a+vSUzW0wHVUuoS/i3/ TSwOfYiZ0yPnUZGqkku5RT4ODscVBi89bwxvq8Yi0S61fz62WFFUlGRBw3XRLr7JlV5Vrej5ayhy 6trLJDcEMLXM/DebVfoC8fdFycxJhTcUe0s+MNqzgFwsHmZmDyw6UsknOxPctO5AwWG7gzAlyyzP x2kYu46VcUeDUmw8m4ZIzbKKkTqtMN1lt7P0NuDtOBjoiCEB1Uy8McdL7P0HOLzOg8tv8WKkW+9y sqrV6EVE3NK4G0r+kWGnG0kPpXBwMKCKP4VIMRX/Ul9jF+fVrDo3iUM05USMSJlZg9I4Iod/iaYc dJyVov708h/nSjKQDHgL1MTwOIMqgvITyZXJJ7bN+YprpXD1WLPTyhD+ZvFsx+E14g2TIj05huBT 9jFLCqF5SSFBjrtpw64t2BdxUVob4krh6pl/8r+BS1WxHr1So/afYsr6Bm3YRoZGCY9RUDKVBzUx rrt5gSJ9befJfKb/XUXpz9GF/gp/A7uA1tznKsZ2NY9j5iBcl4zD8TJ2aOKdDC+VpYAuQisGvDkK N1z7pvTA68QYbYH/jLkywuJK68fRCxpZWi5R0ILIi9bt94yVVsNVK01FPJjA6YXdg4B7Uo3VHgXZ j3l7zZNB40gg/7o71QLgq77d3Ndf31G0OECAgLya1mWmnK6NGCFqLwPokkNnmi2Im3CyQTnjs2yw JPu/ZT9xeaMjkw4Mp6xLWQ3OZPmh5o1zsw8lCf23BIFIeBBjAA8OnjqdnfUIjvcuDYINvd66440f J9l9hiBzoGO3Rj9P3BXQO3LJjJk0jZcZpVVH9LzLWmxutNvwYUVIjNougjnsp8RB2aamIV73ZOXc VJAPr/sPH/wWkl39hHzWfLe28a9b2kWjvKjc2omx3vPlbXblZDBgeeKaSTcVC6Ue+9k8Gm2JuHES f3NBItDBgQ8pDUlFuVXUO3IxFsTKoI3Y4gxLW1TzyjMWSThW7ZFpzz9/nJDix34LRmFGz+ty9r00 gvnkNaCjH35DEgDty6va+77TpWY2uUvEL/34toVWiABKZu7Mb+/yiEeEAXwMkPxSwyQVe/3sQaCg elUpV6ZW11NCmPy7ZsHsNVKgXnLEP6JXZE0V7snq94iabWSdiNB9pFuyqgPpk/VfEd3ImpLLMYGI GAP5fr7n0cRtwi9MMwyhWdgt7ZVHoN05yyO9sOy2IyAK0Q4qgVt6T/yUTrVdBSqFCUkXyU4J3sSa xdMpLSXIH/1CwbpIYrEM9kCovu1/ASEiVNaCHNNtOvfa5zrdILyGqdkyLLrn3VoSosPDJ8EKJ4j2 VsuufqHNsU1zP/F5FLOQfKhmwjU5+VTZg3fGTqHyf+c69WD88kP0+ZNliOVJ2jQX8qVrmw5ORED7 AHpqsmbCpxRP+AfymSu60HkoNwVykd4f4zDe5t3XesuQmjMOMIP62zHMZ2DvYfyLcTpt6ttxq4SV wfmm3Yg4apuMAivdj0TAv/liwstwkEnk/iHt4NGWjwaSPKNJsLGMR3C2MH+PqmWQFFzjv03nZzsF Vkbhsld03Dfgd+EjHQEP1tOTAg+oiWgOY/1NMP+uqF4YHAsuCVYUXhxxLIuZ/yHQ/XU9JXAEM6KO Pv9qb5ta6uIAu0vgYyHa `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block I9MdyPZOXqeUIdO7ZlIIhG+OewyiOI+g+if/MqLQnR9z2BrWLshrB4PGe8iQTRmhhMK2AEx73nQE 7aN2+MC6tQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZdNWmZFAqcPY3+l0PvILykSrz4uBB82iroKw7JlVNk34D5JFcbk+/WzGdtr/fIokbEmSCNsjbM5m ZDLTBA075c9NSLEVKov4NK6etMs6UAexA259ne0l4H7CtrXL2mpflEJfoQXwVtCQGglp4nSpAFeo 6EwIaTkvDWaxnANgBuU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pHfz8rcgad5lQ33ZLcS8wC9hyUnRdP1F/Z/UvbxEMaRItbE6OB9DDDwdWUoT2udr/9x5Y6aas4ER P4Zs2X6wH91E68YTGxdv0llKNatthxXUXTWrFvjD2Pal7gcIEASn7GQ3PVU4udk5ifrFAMma71HP qpsv8+3pJhgIRoQuX4w9Rr+anRPywomKV2ty7A7sLUrUJpY7YHJ9xAPymGfmNYvodvg+O7rc//0p oMU7kDCTUGiuvEHsFBBgIbzqeEDRTiPEaUNh+SRuaW/LnH7qAhLKPASKEPoBz7bJks8Ow+oSkDGw csB0vkJwes+5GaS9rWe2eeXUkYBqziQN6nKYEQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RAngXcaD9XBZ2u7vnGzMm16g0PO01mfbvNu9/0WPuDswhe0NRvSnKISweFNTbYEHNf2lM0hYNv2h 98LFxLwSK/qS8ZvGHjiW+RxMMhOZBIfYfPusVXJ4WR5DfbI82hKV37zQ/opKqgRl+XnSq0SSLVq7 HmJH1UfVo49rbFnXriQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block htmGxvxRrKc7LuQtA4MLeO2xrHMOO4EsJmE13ZGM3YHCf/6Cdo+W1K5QNJKXHOVyKtgtsLVOIoZI u7MWbAXloPyU0s7DIiVs/3jDyBXx4+8rdMBwLzI6sakumCo/C8jkc/rzZKZU4QaVQWovXGtmwVZ3 fTs6TrmqrdanAyl8NoSLhIeWEZsOBM/XSDt0ww6//hT/CzANCVSd2WPlVbnPhsfb/81ugZbiNpZ1 ufeSij24qPHw9u00Zto8CQkugtjrIj6ZCvFYV5l8Yn9sv+XcS/IX/xNB+Wllj3wgs8tstNfs3vrM 7mCSAJZ8vKhDi7tPP+xeGye9lh74n1l99pJjRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 446496) `protect data_block PRtmhOjcmkQPHqGKRn5QNq/JwY8JnwDhLvz4zIM/fX3cMNR+O4COOmFHKnCOGGFC0SVnE7zpsrcE 26DkxcQyPreZ4DioqygteOTOv2NrYe4RJ5+E7Vv+3qBJ2MM70LQZeupiguQ5f0nVTGQRuIiP75Vx U3/Hhq+pP72qnjc88h+Bo9742Azq3SvR4wf7TsDZtTi773aVdFj6e9VaGSmdd5o9JMZdF1tb/xz9 lxy3SsP0yzV554wEauh9jsrigK0+y0IaUSp6+h01ubhvaXCkdHREexFl9BzFkHb+sqxyH2nwfcsM ArOkEx6ceTNRbWWO4f0rccJD1lYpz2E3SYvjbkUrjTIBUabVTG/tYgfsXo4iHServkLBo4kz21du uLODVOy5bk+57a0CCnKWB7TLnSOMvagKFOZjBjP8y5qk3Ul3p3JMCk6AA9cGBxnl7tHcTTFvVRIw KRsPK/M4xiAPJvRJbb9CkX4WKFwS8+1Z5bAWeCSTNWbiNVMiFmPuonOg56z6e47MH8eEX7BbECYA 2IZJCbfHdI0QmBe0oP6xBzMZi4Rzx/T49CUBF0/q9F8K+c5zYI3QpUYprdfQ81LLp5N2pdmrtP6n jDY83X/d/OL16e4IxwpqPP55nYcyHL44RVLsdnlbRouS56yAM8w+P9EGHKCESBbEjX9PvFFBI1tz hGROuPSdkM4/7A9BFTxi30YZETBC+rRq7kku+rjtOIEteGqg42bbtbZ6qsc6EV85Z6+PJXJluZ0C AjMRGzrE0b6aiF3VpAwFkCzcoFpJk03VZtpGpddB6ECx4P1hs8Y9aztaEPdUKiYifFfRB+GAkTfe XJ/wY3EmPtsY7OOybinHtFtVAjZN4U5VLo+uDIz+BmUgnvxJvotZEHB6HvO9em3cABd9v6ipuqZS VXFkZlLFxn1EgUDjcmOm0Zeyn8i8ToT3bX6NyBV7OVvMgeJj5zDllE0gPSuE4rgnZ+KvS2rEeDJZ TlLm1zIc2Lf0rresHrxoyOPlcvEHIeMvEu5K2CRQH0wVHRdgKQt01/7yXfiJhb5RV+OjPO1JTv/L MMnUqApnJs7bkNxRcYnkc5MHe4f3I8iOGG4OWCIywhOpl36SD13mEL8gUyFGb2n+CSTotVENS85u 2umG3hBzPjSCPYMGT6DvhIhu7M6fF7muaslyfWVqY0mQ0ONkQioX+vwyS7AuaibcX8EU7dzGL3b+ lzcjJIoMJLpMKqGZqJ9CKsjHODcPgn5+1cGUvhT7Bi6vtGnRCLWnm2WM5zzM4DW7x7CsUKR5Pfd/ bMJlpF4U2ZNOwMiZbDEuas3lJdhl/5pvVc+vdbmPPN4ZSv92clUbZK/1tvl7Ntx9SOoHHjcDCXSl PgPU/al5D1rZNN4nhU9abJ1TwdSj3DS9bttYoi4YXExtvBtF+ta/Rp2/aUodN0KMRf4GtDNQhOUJ QUhz/fwvOdXZPSvcnxzBOtVFmdeSa2cQnWNyvMGbCUVJGvXvBgnMYcQ3Q1wDdzFLi9WhOEV4Zl9U ToN1js7ob2blj6XxrZaYQCdWq+W9MScA9dcJzThhkOruCbIc1jvOEANJbSuBxSE8GZuQhu3ikjpo Gp4cgTzles/3FUDkM1/nq8J+6RxtuH7EBIRM8ogVbL/az62pvtglprlTyg+5eyX718tMS1ZdjPxL f1Jss2neKyikSzSaUBRfWBZ9hlUR8mCJ0LHu3eG3iXaNPWQ+whBFBNYw2As2yustZEjwDbnLRosG HxI8qZnNKs0E077HgB9hSSZ3PjsvrCm1eJHIaPAYh99kCOTOQC5BeJOiLJeR0HC9OOxGHzBtmcoD jU7V0SnGMzJgpvyVxvGz+96eOjtU0IrPpnk0tlpMl3UXno1YAQmKbjfl/w6kth9zmLfJg8AcpZBS B53iM7OuCD8OVxiS5gl8trMo4xPS78KVfwSN9+qt3amxdm513VzjjUyY7u7O2Qran1jlv4S19xEb ycxb7s85Lxy5JOtlIRph7Zv/CGGB38atkVN52WHoTjnJqr97iM869z24s0e61qFCHnROi3VlOvOy T7PiiktoGggoHBjqLU4AWxY5Knof8R91C4EM+Yjgv97PkHUGO33WYGxqsvzWqeRW71gowAj7Y4Nr 5Wqz1bWxF2Nkv8QfLYNcdLC9ekjfLYcsQ5zDHNYIsxseQgrOz4Z1tLQ9awLyYbLCUNX7OVacrQQp hNN+IO/M8oW5EM+0M6MqXkwZdjlIYiV36bPjRcxeD7ArEsLbdFYHv6Tbtk+PNu1eCq8foIsA+9Gr As1/X8iGhykZgXyGNcAlRDf/ym1rPyauXnBPIeB07sxJKOFNECPDfAXFoxb469RhXW2me0o8wl/8 GuaJFeEIkfP3HELFC+Uu0kgpPs1R7mcxUnQKy4TLvnmBj2uSq63IYzqdycG3XPy7BWq8ezntl576 pxCLcO2XhSVcLx4fz7YqNR3IG9pn64WvisKSf73XhYUVAGJVHPz4DqEqzBv6Z2FmpnPgbgBsk7sn cxSAVeowW1wRT42LKzNqoz76cZIS/BynRR+XYbV3CTLQ+6vMnxY7zcHVCUOsWMd799AGrLSvXnVk XbPk6x/ACQiydUum4aJb+xMcbcmVThgQiLS/m13G8yjAg4N5bkJk1An4lfS8nCtAnS1viwS743+k bJ1BzioDpq4V7BpdU9YT8Ii5nWHyJS0zalxl6bVzP4+sgb/2HStCiXGZpeS3HsnkPP/DMwB6fH+6 472ENYSA6iYgMleY0SKsaa8CwKJ6vZxAPEozkEfe4lsn5TBE6efs2dy2zYWBA1FPJEsljWY0MAGl QIU0x8sc2hcX6wk85XRkbHgpD0DIJ6Q6g0xFJgw1xHV6/Q/oEWioVyYTHVXjmykctAGnhy9BXhvi akRGLMplymL58/zUk2lYmsWGz/eVlPQ5k8tv0ijnmQ8LjkNKcZQEhkKWOJKCNeqYy5NCaVDhoTeo iNRTBnxhJVfmlNcjDVjEKDsYXy04webShIwS+MUOrs+xNiW4KlgEoMKZ2rbjqmxxVSFSvvxk3p+n T9tbcUsoU9FW0EKITECD0xiokEs9Z0g7CO4CndpUXNDwvbAkwIr23HnQOHKmwinlt8Bc055Jnvyn x3yaUtOX6UKfAHXbxpr5zBAaRW4WiYG9tTH3byiNDCf7nAm1ml5DnT2AhAMwOF2gW3ZOVv3uEPyp S184pJ7eVkFGiVVwKVzcQvk9iNvxB4MPeAJpKx/oJIwa1xMZjwB3e8jgZxdAl3qTa5t8qoX4vuUE RyiPASYa9TA6KGgLiztXe3ZoFzzVe2UFTi3Tdm+yU05yPtFI9Z3w+h0zz1VY6Ld37sUdATE6TkLH RBcbS/fupjoqZveURbItTwYQg1AKS1wXdwduk51A8bFVouzq5wwGrr+Hvos4Mw98r2/veym95LCI 8Fjr2Y2ge9vkBL7qm/xzi5/+M6w3ozfhY3C9/JkWya5dS16k1hRSd2mTphe83+bde6MgXPNWtvr+ OzmDRi7LQo7F5uzGmdHsxXr4a+VLcjcEIDPiFKmQNdGSxFEmCAtSJJrS16Yh7y66IJncehpZBNea LARPOQOzEMXb75pGBNom4fRwlRNyPxQ6IPqtyw4StfFqktXbDRhJPWOHV6I9xvyp3jm3Zg/j8FG0 io2ML28Fn6wWWvxYYFaxz17d9IgYcZKrJry7IWcxs6Rq7qElv2naf3Q8I3fp21AZ7I5ajNeAuIWM y94O073FxeJl6Qw+LdO2kcvAQUbvC6dITqk9J0lcmLJG02M0Sdt8H6J8njZxPyeyAwL/qQrHhTZu bhmg12rL1VtUK4uqHgBZlwzkdkVRUhZZ96mTq4R964exm2ZtdctNOfv6Stx//kBe68F6ihzdSIv7 kjJlq/tN1MFN8HgDV+6soADzgfOSr/P8wKAGjTMZ/Fzcka8YBEZVL82+bzOKTDrbA7yg9LzMqxY0 vBW8fndRn4aC6aIoiInzyKyOjJFXVgYBNneSvARYeUxk7n1pI4gChXAPjeu6Ks+8ao9DwyFMJf+7 tU19Ylf4Rxp7reCjY5Z03exnp/nolzfW1HrXHlizgNRt4Q+WpZYYeY4S9lQnBTgZ3eIEczEbo9pF Hz825mha4ypIVYgdZe4m8K7U6qJEfq85xj7mnC97z79xErxWAj9f0q8CIjkuKD2mtnZNrTpGxyf2 W/75xJVp9D4NHiAALMeg19bfppfkE0X3ayin2EqaYLJQkMNL6EDMsCVEAxYnGMUYLpEEz68MEOiX wFWFcs1oRiqSvVx0F9eBZ+x1RKneOThkxBNNuv0OI5DN236O7AFJP2kyTOE6ReCPjxHB/Co8rtoT suDzzrnBWSNiz9zgYoO++ConUc72sfJjCrVMwRUCkHJsUbX55aMjmnmKC05SSQJGEhacQ1tUIY4y Vne9DwQhfYTR4U7kF1YjZdtL2Y71iDBOcBQBGuCHsaDP/QhMQs0oKW510l4/wZwbqafko4/YF3zm m8soX/Xu8NTIkWZxUrBF4EJUa0tlu/84an7VkOR6YV/wNkjNoIcxuvWMUWTsgEfFiU5vrcLsNEGj q3mEMBvmruMV5hh4gXmsAjayDShQCCAzqOg+5feRfknq2oLrM3PYXpj8b+lJPVvrR4BnUjwXAkcC WHbTIJGS+smAD0COHvm7N77FNH9KpTzLpo0clHbei+VjfsM1zK7nhN3IYnufkHJdkLbfJ8UyYOW2 tJlWktOe3BDY2NJZIU0Hbt5lTt4rJQhHnoJAP6cZ05EqdbKf08iKzrPsusu9kAiBJf9UJbLI61hX Kffd80KFctsDuTFkqlcHd7mTAjai0lkHbxT/ijYBt85wO7Yojibrv2wS53bnB9bC/u80mH3LRvwv G3dlMhmvzeCnE3TQkDwgzFaVKgdmjAc756Gf344lLiH0IUqyncnRyLnenDuS3M5AQW3XSSVaxs+m Jg/YJULIDG68+0hIpgA8PBL3W4aC1765QeUYwCadU1vYEcGaflT/Vh9uRKUL2WDprwBv/oRvTF2v ARok7Oo/j9/qzNrCgZsqb0AY6kETKg9Zho/YjBQ7RDdCNF+tSKet8s9BUvc2gkSMQY96w4iRAkNt 42YgL8C2koHMmoX1/mfyo/StL36CefBtoMnP1/oNHJ0+sLyujMgZxeFTxD0K/yEuZCtf7ojXEDyp oVZRovRrlwsCd6d2QfQpJy+P7MDUV4xzDJyprLpHHxShOw0no6p7NZt7gCUQ5Rpe2DsyBDfP0ADS RRTiMV8ZhvGY3mXZZhKKRKQiF2hF4xbyj4g52sstwLCMloOLubHa8zFzlstKALgy/mwm+pLyGjJg 16q0RAi04sEEHVxdIRLe7nWWkPplHYhrlSxso30NP723p6Dj2HqWC8QcXfsYnHgCObEjJjEBc8sd arBYku7K7SDoXrYQv4Wgi6eh5Uu+gRWQl+b5PfGh7tJzNS+Nw0I3IaqM92o7Uwuqar1R3FJfgV0f rgk2jWzSX10FOgj8deD01oM7HGaHYZzEK0zGD7SCf6wXAkeSYyO+ggr3xvKpg73H2LC6+IC7GXcE D4ufW7BAqaQjBY9LiCw78z7yJd6clfCPf7f2O+jRaEggv7KKamcjjkK4U2odKf0DeTKsqzSBcftM ikzfu/wQn9gwY/19vGhBxu6zs5f0OkKr3IFd1OeDt8RtacP0Q3uhPCFt7OWMsWR8bzbrJ4siropT EVgmRl746iYmC1h67FwrkQfXo37unprAoNrBoZldNV7rd0yc5amrkEn9Q3oQVWTifAH2ZYQiJ8FE LYCZUelNuqzV583Nqg6AdzlGM2aMqYyfRxkS6WD2wWynILbT3O3aCMWJJeI2yPYTY8mGVA6qTPfP QAAzpE1x2sr7vCn/PcuixFq2dGH0Igu4mJTldDHRMy+fy6jXTTZgb4+Ma/yXiE+d1qv4KLE6YIXU 1encQOfgx7Y2ITacJhX/87BgdkUdrVAyTjdDvY+jNdhOI/AsWCPYaXAUazltwhjYG1D2VHJHckPI Oj4Orx2O3wPc8ZanD8DVzPATXw02XJGluDqQNFIA17fypZSGPDLvgJ44JYElp2vJqBr1jMA2Pgc8 8uhFsT/QSds+wjh3OCwtCd1cflvVEOuzptPGvoygH5XSJjwDoJv05R74fEms2gdgq0zn9ZsmrPJy WHb2gH0w5JVg+eDZwKgBmw9+X7lDeZ1/DcbLJa7VJCulj7pE6pzUQEzdCr9MdG+/BOVxfSOO9nYT QS3XZjeS8kbWLoYXnNSnMx61xcwqIN7v4G9f9DYYNBJaHRzlinD49EbuVEeB4pEqLUqmBxKpvyWt r7OgybSE+tnZzBOG3/x8UeeBI5sEIUqXJOHDP+pzi3ij7W6mb/uXHUpzihMw6OtryB1vouQOZrz9 PFnWp0MJBbYFXQbH9h9HAFgVjC6pgDxIye7jvLGpaeA7pIbam5ppudKTuQGOucTG4WDyJROWSa0V ClvJ8OTxGhfw1XWCYyYWM+IqQbWUGFdN5bdg8WqfDoHB/vHVyjgQEAMYcE1XlH6ZVnNVDzX6nAeI CckSs/ng8uyCP1jD/sKTpNgPExRwuDkBrOKRamOzmGJjbuB+eU/zYc7oAJxbS1M7vFIjRsStyqWx 3QaiPZLlLiBkcOY/SeVqilxfxQbh/nIdv2fm6XDvPhCrRT83r7vd3FLaKeVmMFbz/G3oGkXtKOds nrvRQuJ7Uu7ssAIB5DvNlwXnrCwafbrdFPbwr79hCn2ZQTombFYD0KLAkxhvSdgCMcFMR7O7o4Pz S2clh2Yph+Tps6tXQTXQb/hENQjlFJOKsP5/EdydTlJpPzgv0RCGaU/MxzHI76HMiBOZ/saabDSK +Y7o5kDQ7VyoyfR9M8TgYCBwkmMMls5dP4xoZ6dEEbZavDCsOhg33GDZGec+kp791p0cPBQEtCWW hqEzgJLiFoJCAM4EZReluSVQaqtGs+E7vVgIRUjJb4joYhQsq8k9vrh8aGvIyFGrFhovEdwFAwaJ IdhtkwA3X0uUQ+onZtIDH0mSflQfD1g1zo906+spVXScBPvuCI66i770eQU1fyyuR0l8N/FdtvDB Uz1C2HH7Mk9ZR24KHrYNFIzc7RBRFKkH6Mf+uNkVplnrTk4r7shXw6GIGWOpby/8XcXitvb6CjC/ GcNQVWj7rcwwWqD6C76Mml5hjaCFhf6tvHhy429uS0M0CgG8PKZILqsLD8OAcLP3ksTTVWoNi/Gy WstJdolpmuhBIq+j1RXd+9f41YX8Oy4w61oqIsrGY5/Ck/XGN/5FRNaADrJtd6B4cE2RXCej0Cc4 0tQYHIpj90a4y2zSZ3veQOW6jBM/smt2vrNTOoXVJQ9K1ZFiQe0sG3RRL9M1GYDNeF4KCz4cppd7 FFmaqd5AKp9iXL+tNSNi6eURSTTD3XEYKwIVPQU7B160uBGHLMyxvAfGamwwIr46284vxo1VAhjz RCcFWJmtibgVHF8O7YeguOGa10Fe8uDZYpVhllYyxKMgcTzKitJQRalg9yTe9W8OckG4lK3XDSRR y/DFDBLNj08gxJxfyeBQd2brOus+XhDxK+GubSiTjXHw5XwhDQP6kaJAJe9BzGuk9cwAdP9MD3Xd yfYFZwvYltixJyJ3KIU20U4Fo123F9zl21DSAE4M8hv9rg2LV5IHwdIXnMIEzOYS4xsuYLb7BYtB 8H3CuZFwNlg3wLtJADn7hAWPnV2nVLJv8u0JxcoAxKjtu8/Kmhg9LL/y1O9UtdNOLkESt4RaFdA4 zMAyHJx0N9UtqkFOk5GueqUCzLGvsab0nUYqD4P9CAZDYx80yNXjIKpmDMYxGNbaV7WkNZJKZ5i4 vfjj3eqTmI81pOhH2GOCmUuBFYVCTp92WugWF0h1BwkbXaWHgY8qmc7pGMZPudlA9pLNVK0z/Y0d 8H2X6i5HwG9BQaBx9XW8PjGK9fsyeqvW7nO4Fbg+RtN4WgwSc9tOrhYLh2jxB3ERdSA7UqZxZiQu ukpTIKHffc03NsYtY9/fhJfNIDL9y63nSSM3G9G82kj4CS/42OwbZ4ytF/gB5IyhhgaTo38Hfp0B IbgMtWvE8CEbU887KSNEEnVmXUGz5gWzYiBvmCqgWj+iHc00DywjWf4C7SzQJZaZzsRDfYHeVN1w S5k6qKP6ZSHv77YeSHjPh1ltWDW4Oy0ekfDyq9fcunr0wl0cA4LLpfeivYB6Pkxpov1g9I/p95YJ f26afglYz7I/dRF5nab3fqoD2yiQ22SmKTN+kcTs0iVghA7pAQTByiiVdlbx+jmHiPRFS0uPBCwg bwry+O/I2zVkd9G47gllkstK4Fyize2hT3ebB8dbiQt7jz3jK1qSJX5vfb+7PyJTf6qwVPNpMH+t b4g1oi2WRCvkxBdzSnW2N8KcMof7U+bkq9gqAJ/gD8uFHi6blR4wJw2Rq6rL3i17IhqXOwa+MjBh xOtiyzQY09n1H3EqgJTtwTdWuBB1QSkEcfq9wdXv21w5rMdqzJ9ML6t8Z8Hx9A3nLrVAMHZwSYD+ OfbLIF02cuHPBdG7a28WlFCBbtgTIzwzfh8pIMgPmY4yw62nxsmXtCiyudUBa01s+yvO0TIF5Ud2 JPLvs3BRk6T5YAiwgREEMDbFZ9MTpCSRCfxEJj2kC8ydUm7n1+yS3P6opQNuTxudsmGKlqq1aV36 Z4iFq47orF+mmWEUueZZXheP7w8O+Z8fUTF4R8HFsuB+rkCbgQpzzt1aPJs1E7u0AUsy959lVWl/ nm9HzjiD38BFQgoxLD5kMolS8K1JpElzaYmUK8qD/MSSqI7Bym4hGkm75tENTi5bg4AxcpnkQGJf /c2VIYhR26mDg8yW0d6eo14LddJXyT5W+r6QYdSYP1dRedtIaIXltRLw8nvbYca54AMqogVqQmt/ Oa2Tecupn5Oxkfjr5cJ5R1yx7l/ATP5GprwrKqORkNqdPLI4lzUcg2JUKRIk2M0syblo7thwrM8Q E/F5RcZFqYTxd75SaQDCS3lw1c19UJya7+6cwHVNDvGIgAEzQ2fEzsyU/EJvPBp2EFjtmUVstLTO 4my9rl/0JbnHjRp0qDqng+1PXv4SzLdU3jWkeePVv0ruUca9nqDeGLh05lbiU/uIueuMQTKnitHl INmIbLNDmjBIKUas6TU5CQkpgUzAuW7peQpZ+OxK2ZEEhJxsvhUcKfF3GqMwbw1p8Hm2EOQHxZeS gUhNH19ZbXHQUv/HSWlXaav722yvD689AQVqGBe4tWfBxUhPH+VDC9da4lSyfV8YGI8pG4c/nW9o nMuDB4h7BiRGCbJXZ6U7gCTyHcw9ITYcVC4bpNL3zoJWKIdGwG3SaEp+PBYbpNtLiBvCJRoG/QiT ojJUGCwlu2Da7GIrH2n2ywCcPbsXV5THkVwbY8RmgiHxDkB6a/QtsTJF+xfwpsQ6zjSscMBzlDfZ qW6NSMF/RH9G6bJ8l47v+Vpskey9VdIINJqFURdriK2jtYJVqInKzP0rYDNN4eIy3JVh6RlY+GnK REFqrk8v/sqHsPka94iubMt02/dtRzo2v1PlZOSCun7iA7cIqUz9iEvYsTVp7+vtYnEv1R2TRIE7 2FDMCStHjIOZSZL4OspQykXNScz5bzqxqfg/DKy9G1V/r7IxDH1KyAc3/37f8r+3LDtyNCUMBTEd XOYmGTEWtDczEVA9PjZ2RIbMYJSDPpUoF/1un43Q6vlGevdps8PvHTx1Tas7Mm16kLzBD+WNl0dl SzesnjnP52nVDoYbiU7jrLKIdus0c5iQ+Mp5OLPW5cLE4YIip1ajBtPNW9VRiWhryEsRs14kMwpB n1SNVSUzNSfkdaxEthybISgkFttWGJYiGJtuZT6uIQQCkgM8b5W1qrqrDb7a3bTlov3XZsNyNuG6 C9s2RfT6mzZmLEejcop5iSN1bY7FqZYrNx7uCRnGuuzlQAlX6W7wqsf2IGsBVKW6Z2cwISFKNVOx t3shWmSp7zfyh0r3Mkn4VfXodOthTSAv7ZTI4QlgX0pY9TzZHf1R39sJP5XJXZlqzmjXszE65weU 4rtyL3lsdS4Z+xMtlQmmPGwcrdMQLAtabaQe87qVTckmXugyt5InKQxr3nkBFwKiz1fnYTboGU6L 3HCRCLeSS1gWwX8/iURJoT1XNfRWtKUk289t/eONY5AHcyhoVJTkgFp4ECXE8WSQHdr3AupruloP GKJhSrrT9NxxcaEM9eIgjA1UopI1mv8WoA1YxeErrv15cW/nvIAg/crio7G6Q5twJ9BsQ0yVhiOr ri75TJoaVJLalwMteyH9qfyUJ4bDdiP66b2dLq+Oc0z6mPWBkb+0vVwE69sFcDRNtC69tqffQ6AH LBeccu50yjN894oK3SKFesuETt63tvG3uJzpdnfy9YPAxf+JUVnjc1fwe3Gk6jayg89EZEndQhvF JkxkKm2rYpPiYnPGovmM0O/W8BS+x+6NtyK4pW+csIQdFRmDBR4mjZK53cTM0Pw6rjmPM6ChLee2 AYL11PgnHR2rPrBxhYcmTjLKvuvxcw1ruSe0k2q9YKhjSZO2P2Kejpq4PenEPmWelLh5Augcqqf3 +yhJzAHvs7Th/MV1lG0ZqnwfEkYQXxTwb3SRxHsT9c2pwZ3bVhXUs5dJ9N+nI33nW0qIFQfrEVc2 FphxCTXs/FDCSPesrSHpoVvgUw3HQhAgKSOKge58AucUepZmu4Qdo6wo7tZxlQIEHGqUwE2u2fVE nhbmqya8Y5QwO/V7PYW2C/9nJ5AyqEDRoZB+KnUAot0QPe7vrdSSzyNtE451QzXWQE82nF9V6wup Zcw/+xZbyQivdG/BrjhYMJtKiKsfgVLz5rPBmuQIKDxl4tlHZDbcNuiC3SnXRejIZyOxuBMXMDS7 oNKdMvG1IHjFtJpMasEaRMV0WKyljwgFkL/Rwl54b2nMnLj20ZTaxwFquw2husIGYQRxFhtpqsKc u1ChlkuPnZnOUpo2Qg8Jrhpq+qNnkPAMnAc2H4/Mr7w+7S7mVguI6hvGQV6IkvW+b/LFPQknKIJa 8ldQMQE60uRtigLmP1p+c8BbJ1FY2pTKuyeXgipfzFgLvpWSp9tHZTAnCZE4U5LzyURhrXSXfktF ulJL+1R+yeAxCW7+lyuhADarV2biNDi3JMQEIUHrXnl7ca+qvm69sJz7ID8DlhYYKCUcvLMVdJpL +drbvRtoGCTVtkNomEjAs1Vp1u/MUrv1QcUZTc/6M5LHdS2JyANv12fYN8KOh8lildhWLaliIOmm hyewDxaV/FCLtOXiSA/+60wlEsm2N5W7UoXVkM16lP8QSR31Lbx6Lgo+qlXMUTAUkoh+lY9EO8DI yf69GMVjCM9UP/MJHpaSatGhC1LZTXpgNpidptZN0ttZD7KhDMPd1vEs2gSLu4zYk2OZvuZR2KRd m18VJqZgLr6MQfMSZgt8JzC0pOdWBUkdqhA6hHXtDGyNRVkUBYR26vlIAooPkT+EitWbx/uQQxLB 4HMNLlmr3IhK7GDmoVsXuElbm1ALEHi7ufgnu1llnTiKPEy59geHaU+TXVXLx8TVeuItxmidOhQn 3yVDvOOyI0uRLhv+LIJpRewxhxVs2wFWb0NXVBGfCFHtnpQI9RHRRJ84mboYP6jtXsmp/MDzrb2h aCBQVKHmVzixP0HtBy+s9Oph3fKJP+TFatsevNKkY47hsNSEtR/GvP+79GddD6sn4t4IeEyMCcrp rhtRIIXv0PQ/Ky91q6Ot9hLBDf4mP08SLndH05Yei9Z+TP++kwrHIovU+b24LYIqA4NV9X+CDI8o mVhFW2MX4OT+BUPOFw/rMOg59rBiqyLQqft01cM+kTvwQ/xKHXbi2lccNpPoSvtUyjfkOXx3sqJM 3AiGsM4yGjc1KSLneMBt5jFL9bTrQ+riPA/eL2IpLzMfNCgFn6yglNVJ5nsN/pNbytO/Y+ocmGv/ 6wYG8//AtCXwpN8HnHv2LC4lGZGf32sjl0UCelaW6WcWXlPX+7eGnlrJZCyT9boqhFBa8YRPfcpT 3qGeGH5RxUVrUovOVXaQ8pT5XNNLpJNOESm35KExd2X4KgESkGKeehZPCtBHBFBF4kPhcJekWi48 ML5QqfG86pyrqJERGZCkWMhwyzizUPevKUb+YBcLIxEalnzWNdL4Hx0irx1iUmQii7WRibyX2F/f S1qxZZVdZWld7tuOwQmVM3qC0DUtrDYZo4CNF1kYZQK0ZLVRgKlEBLaJEOxBCE0SXVKr2s5EsC9g 3F9ti4vdsQv2dfi49TMYfIljSp6peHLF7dEPANRkrKbGEilSGfbFOQP+qgYisP+DcPUDaLICRJSV tN4kxEbs1fJjK1Fzxf1cE8pb/Z+C6l5alCnfTDMcCcMbF4+fdac3x4KqBqhZApGP3cRaBNNQGQkx QcaEBECjf1PzPBqInPekcbkNoGuF6idbHHkVTux55EwJc+UqR6MHxragY2b2q1RWGLfxOy+m4IM5 tl+mqpd40IOrSkbnp+9iLRz/NdPogtfmuBt3ek49CZmeQ2WBM7TzkO+8/N+qop1le03OfoOS9OgG Iph47hqZzyo9R9bSgVyFvSAbFcUhtb9UpnAU6HqHxSB+ufGlR/HXjRE77+2joMJIjlX70a0d8SaB m9aYuDhZwnqEN03DL1gsvyAFv+kRNzFPoSWYT2JMolWZdJIkvFmmIP8f5X0eWqJA0m96uiBO0uhQ Oxcmk6guYsZ7WD+GZEQN6S898IMIZt2G37B/5Z0o4FQ7MPh0yXHzQw4j7kZEv0CH8ak25tvgZsH4 bOv917GAT1NXq4BeOHkRTBxQLZgjVJJTXJf1Vv7huujhEaRZUp9e12blDJ4xKIW8D+c4efBvrBhV D9qdH42z+wYy+0uGe88cKufjo2vbHodAYsAOwQuY6p1fCTx5Q/pWE3VD6EeLUP6oJ2FcMB9k93tO 4QMkmuLl0yoibzPoZGXI0OjQDHq83icKoiUWPTTLWBimiRM35CG3sbeguZAlvdwcU8BUDnpzhbBR kTo4hHzWY60bIIo9ksPlsr2pzjnPSkVFAcE9LU/jagWuwHb75pRSIKq5e/VDBufZkuYPLE99/Tgl SYT8hTdWkXe5SKEOwIEvU8o47z9E0Unaq3lWEo+gO/gWVPGlG3v7fC3YxNVTjoENp2Jvi1kJI9aV pB8MsdbSGPahHiNP29wNQI+Ry1lBPvzfIDiUlXGpc11thxdLNdATCeJ3dHMH1yd8EDi7DbHiD1s3 foJ91NYrGy4QlgdzepYuyVB9MOKZxHKurWKQ5JDSSdPyMQToc1WQHZDp38yHIuuDguA05dat+6AT Ewr59PC61CglRESPVXsNEiYmzQ/kwtXJFx6b65OhPX6HZ6JKq1I2SxoR/9lPPGU8wWbnmA/DG9rc RxCXjYa5w7sFiYMZW78mx06wl9uMguQE9LeprDQHvnf1jIBYWQWl7NxABSUsOW/vK5aIVU24top8 qIDLyjeQ9ZesregaSehdl/kRej0YCFlGPng65lnMLvmxo2yM6erSz1cuQ67QCdHfdn/s9Hp6sKtn +34/7K1cMdsP6TUtB1sqUKC1KcMybzXpAESqxJmblQpkdNmYOHphWzeMn4chKlJ6x89tlTEqiFpS 1sh+eKe75E9c0LsePlHcyojJ4/9R9KnSbxVsy4DBlEVI9KEHeVU/pjHP9ngSBxtHDBcNCnxUptpP vj5VILTFtDpDm4OUNWOgQat/Vcg10mQyvDe5gc4xQIaAdaazjCgs8pXNtrf0X4f0nb2HC/98WUiF afGuCVTW7v+Kc25eh7SH2Fk9nyvXfenhqFJyWOc1Nor1UmwcdOhXh8xYS9xRY+/1QZBqZGHg1XWY PuDlmFUqIPocTwVisX1s2C8nGoGt8mgrDvub+dK1GfIj68xAl9/i3h9oTnR2Y2hQK5fJqQyPvZC4 une96DpCWEgT7ZEo9flJomFjvIV4X07jH1CKdxafyC1d5tz7RpDRTMgV5wXwlJuO/qPRMCJeE5R1 34JTN1H4ZwqMKcfbAt5hd20fP85eSoBTP7w2eK1EQc60q3qTRE+hSWnV0zUaOojOxvkIBuMKPAeu XAMoTfUAa+3tS/kchhAivJZD5CW9NP2EHxTRtcQV+Jk06M7t0zF04lbO58sz1zQqARswck14CLZy qkFrjmJtUra6g72Gn+0V9Q2ypLY+7Qcs0xgeF2uP4SbuPeNc31Aeux3qRW+kgyFkGRg4eJCY9eRk Q5/sY5E31ozVqVaooYBZkObxkZN3EY4E8Cdjqp7CKPAFlONM8wSQnjAGa984zbDmO+lhJGKQMsVw uE55usVyJXBfXY9qDIZLU9QLG8YZLGL2Oja28kbOCA3oIsp6y1ICxIwXtZ4xjAu4+8PNP2DLSneY V02lTB77EkwD5/6epiqgrDFxBthBCkQu3koDlnr0lVnEvncQkHPN34tikDhyiVUOtxW6xSj4zCAO L3sCrrsFqsNp7kay77pDPUPF4Yh5U6OSyfvvsOeHk2jM4bHX9q0Je9tsCaZj95A8mZrL/qyoTPgK TgsiqjJ3zwzL9MIEvtjEpXMwZfdmuqURTKeZkrIYM2MvU8wwRg11bW/3ikiqA6D0ByG6+RyKQDtv c8N0nYZgV/esMSkaIH6xQyRVR5Jb8oaRAJA/5tz8a+iu5cdbB8bu4TdmZV4NdMfCnaDtHkg6IYXt mW608UcTRG6javvgySCcE7GPtccoShf0hpt5fgDkPak0iiP7hOuqff3NEL+0O9uFSLM4EVmBYEeR dpPcBNNS9Dr7XskIkW8FHqg3mn/z5ibFb80k9GFMQlnDlRVA9FKbc9G6iIWJ0x6hXrRzq5bh+AOP BtCtE+gbshrA3F5lfg1V7TXbtnjVBAWeg4doDlNdcDUc1K8FpAm8XtZ0aUSLBSzHgqzPVYjuvz7R IiJZIs12UnUNebMTRUfGkooFAK8vKqzYM3+hbxqUmK75aPTQpj2yr/2h/tXOeny+n1D7mwuwuxaD CalZQGNfdr/h9L4KtPnLeEwE+49OfgpOHgrpdTNPSS70vSKAf4gS9bIUzDYaVZIEaAOAGzffHJJu EYKUFXM2RQldWRDRBA37bINn1APnRyqMZdqcbxlC7lNt1/kkU/m6AMfFfmiiwbazNDxpU8o05KHK 1G8VQRuP/mMAMWRG5yAXacGzFGPDTlWxtWb3x6bcTNIgHsxUGcV2EQa+brCEFP/I02rKZLZQiq98 rHAH/mpDiyzcnXuKodshAiVBhwpsm8KQl/WEAjcGHy2gJIE6W78QI45+lhKgYIS9PxD/W9dM5DY4 ge9FBuXFs6Xo2FmqKDmWypurg8wi1nUxUjOUQlxPTI3PZySrK9J5PAV3B5DrV52YpUWcvfx/qmjO kttMcfVr7Ktixwp3U+ZARoCcK/eNnrDTmIYoovUYhSge7S3Nc956+LfxG3kWnJ5jQWDk5mIN1Dnl hYGlnF4yB069RUpPQMv3bYwcFlFfiTniKowK2TAjumWxH8YjMpT5c7axsDIYdiQPeVFkkGDGLlWM d5Qlai+37doLqPA+/xCzLBkLQ10mNWq7RYkX3g3czlBJ1YZzKtqIMfVNyo2OlNOgtrSJS1MQV4PR V9uKFG34ZxaL7qL72VIR4BEvGJ3pdbiSlbpQc6n/wKVRVB9DQXjycdIm0UlVnKOtf2p7kNVFV17P i08bOIQI0k2N0nzIgc8H3f1OGsKw/fhyRq7Q4LcymRue1O+cRHvSHEljQFfAYgLCMTrfXfgxUqhN 7jkUqutRHo0Bjbbzd7iWChw8Sao1ce7WPnhXOBeJNgQZ5uxu8r5ELJ3b0ISPIIs2y19BqxRPZz5w VqVzeZg0RS2vy537AAVfFk7huKyBnhtGyxytEcEPaurADHESKYYos4ft1GX8ph/nuINygBbqxJjq S+p07omVelYpZIt3B2pH34JamusgiOxHRmYINHICoqvWYKITKbwJ25hbAc7+Ylvw06zN6qhNkiby SVncNReLiixhqbZnS1x6cDBEoyEB4FXX8O9Z+zKS7Pie+S8MUu/ilaqO8QOgihK4nA4l+Gbqinnk DRdxT6U5QMY0gAOXfPZoAadb4xbJ2nsO6QEVnMfg2EeQR5io55DKmh/Z5oiOw9c8mRms3rS9eqKA IaSbINWpPzHD+mBnH+SLOPBxUIhYIZAY69HW65oakbTnlwlakxbmDoMzgrMLZOwVuK2HXW9ZM2z6 aDE/cTONcE6v6tPFHXuL5iVOH1NvSsi26iMKExXvw8o/unZJLhKTE+vxI3EYVV3Gb98mILyuIweC TkWCcb1wZRq6DK9sr5EtKXcWK9+wCg9XlL2/Q/Lz1HTepgZf5TmQ/PLY99MQ6MEZ8YsRN23qJ6b4 ZUP/CMdfeoDiUnkf4CBu6H+bbMgc1ItFltcZAeIyLXxnTFf388ZC5Kn4g6u126EKcoFu3atQyqw6 jcunQunravitoTZLJNE0BFCLCbQOz5CN0SfAwWt0F/UBvD682rY22GOvEuQUK6jADQrbd3mmoV+P EVy4GTTd7FTA4jOsSW0w0r8BVXsEcdWmLmiZmZMeGc1+uJpHa60thU+PWgdjjql30lKJQKDC/3mP wlyyHSsBjTwtA5uyR2gF9sHNw2TGOUgM5SGCqptqpu+wYy6b234zk9PvnPFBkq9hYyr5kB+0dN+P ocpIEIukJ5HAN5XzszsqPripG1B5PniSsZ3+zSAGW/QsxG9jCtZA/T9S9ra0rontSwgr9ft1Dj4w 2Nmd10bVrjPMKGCdecghr/3Hr4QuyAooLjHZqQ9czozc1U9bmzMlsKLE/2MXI1R3+NSJ7bPz5u2g ACcqYTpzpp3qcweSPCbw+WOr8JrVgLSWktSZ6QYkTz47YGtlAHt5XwMQlW78jgMgbQa4gTzfItqM UILLFtXo1SZnrUSW3+wWE7TDtaL3DOhvcoClXKiZLob7cGtiZ5j5EhJbUPALAY6nKjT80QzHxy0p aHag2lyZeGFP9donDniymP4n9opi68KpJIJMwL4qekIyppFMdpb8LcanxlX2vm6/GmLoJHJpDGyg XBDacpK7h+V+5DN5Eu037l/OUSn60UlJ7C8+4EOeGJ3igiJHkfH1GEuWkYFkXwjQp29ff074Rvs6 JwZ08c2UyHf0jFQKEy6OCKq3DqGUweu1FjXRMtumJN2xaOk36aH8H2/E2RErf7F4N4kwymURCAQg T9a9K0rtbKxGyW5cjMz0C5JrIOQxsp5k1AjaqG+mM7ZT+2epjvYTdw2gFmCJk+UUXuY5ZOaQbbJN STeGG94ngzfjzPJC3RcTFEwnNjrt4lkXPuBiEe8InEGyCd9Em51yQYur/RSyQ9mrmguuF8YvwbsF aaOf7YiOmAe3J4AKnmmtBImAfkOknJ8b4woCldemRwGAb1L1rOAJr3Uaq5orUFFrvN6m8GaQw4KR Zlr/oaXifDI+I2frZAmbbEWWwLgn2aMB39r/wWz0ypJQwZpXWTAJh+0IaGcnTyb+SSdcuMfGFT9T 4xptHrKnBmvmjuzLzzizBkYB8S+tUIOUzZL5PJWaWg+Zs5pKKEw7sejXpEOr6gYrm0crsoruu+au pgMmTJd/ucM1NspQAWXX4w0AZ8frUeGoN61pQzF4YHDUTCl2rcDKN7oixjfZf/n06a6v2IqQdc0A c+Ao8rsGz1ApxzWdJMrRew4nHKmNVUFXv1hoXa3RDqABKV2+3UQnjfJe+11yb+b1yTliuAkdbnCO ZuQmBtsL8R+U2T+NF8U1eVBu7dp2LB7FHRyGcFp1FF/DUGYBJpaH1ehRNBJaNkeQ3vcA0lcEgoJh fEm0erA9xgAQ4e2xQOsWrKIEuwoHb2Vv8ka2XOlqo/MwKw2xREKi0j4z69V3f5OPuNyteTMclzFt jz/49cLLEIvJYmKZdd1uzNA/0CWPqz7HAXMLrJBRigtbq8q6UCD+8NJGTb+97svV6qKg18pSvBxl w1+k5j4iZyAD4tC2x6EF9HAfrJNMsNDTGDugkOWsExJbK0q5IOgpR4dFY+Wpa+Sl01FnqBJTh59W 99wyw+1HwXVUznFHcFSMXY8HXECgDRIYZMWmaSwR/hv8JsgkuaLarc3wKjPa3yJJ6sqYaXOkE2xa rVug2rgecbfGjl8D4mNBrmk4o3HW826bS1qtOWaMSjSvodX43cZpO/VBFSLcf8a3xu0SmHYAnxI9 4bVDwkZ7YHQ+mM4nCXFywCVAl0n8oiarWwH3Q9lIREqDLrWmmVPuwKJTO1y9SosmtK/oSgN4elHS xb+y8/rD9LaLV5OFarSoA7OhN0THNADVMuSSwSLkmRxP8s7kJhyoETDKrqpqTvcnCHv3RYz0jzQs tBU1NS8I2UHQkxcOvn057Oua4IZiPAWEghca4QeFwRvhS3Ro/wzfAwxMh4h5tfcothUHK8hOpBMD QicbTagqDwi/zeH6hpjYJdOpzGlVNrAIHN1KkjHL+AmdHP+EWVJzjb4HI7FIiYcZwsnYM5LLcHL3 U+gIRz2CDYvCvo5j79Hwu0GZmkrhO4TyHSGH9MsgXOPTDGIy7526/3+rLbDmlj6B3z60yfgPvaXu 4+qf7lwqB7aIINsyAkJ/JuCg4mTJuoUi8fSy8ADGHSIfpTIJpIT9evdgf+032wf8P8Mezwq22DH0 ur09ry/ETm6c0BIX6SeMCJe6J1qGSeJA+N2SfBtw0IdzWO1swsR9XfKbyPMuGr+wcklo+xVSEp5A 5emXgUW8D2YwYEQpDOTQC/MubdIsLoUHOpwB4d1Kxyyh9SE3UJcd/HS86xPszsIcY6FTC34gBMAx JrcCXUOjU43qbr2D5EKj+ftsp3+Y2aR1MtdHsfL4LdgbzwYaGbJkWx41n/5suoZgMFL+SYqjGG9U wwEFms9XW5bXC8Bh1iPJjhUm4iLQurk68kJjoYJvNgDZQhYQIHc3lQUVQXvPRUmxn70vocKEJ1eu kAP7tqn2vZhSQ1CpktcrA3k6FJmGrN8o1AgmKmwsI+LsLb+y1LAgtt2sQx7OfMu40S52wrdaaQqf BqlK+4opy1jPfzj8SOBQHabyx+h24oA33x3WOvcLTj4RUtLMDs967df0pIo0f0iNWQ60QqiK1MQ3 bDz72ZosQ+pQuOlPmLggkAjCRKubVTywk5HRRg1nu+MHkVcMit1ViYjRPjzfkd1991etcmZ62ms9 U+QcxH4ynffB5dQ8oxn7aTkhsa942bONQsui9sj9Yfz75jfKgTJ0eEhVCZA6Uj9zVxfOXXz/As/P GQC8Y61367MoQuuZh7DmesuU75uNEe3UnmT67UZLgbwqeRqYeXlDYFHZIRX2zjnpfmcB4pvlkKvz /pAG0K+dnQi7ZnfnHtnt8hW3Vl9nFinkkA/RV0cm2TSVaNo2bksMgtxpPNNPMd+XCLYpQPPPMdbW FruylmPc8RGr7yhevsGtPO9g7LUIAlpUD6AthV2YVSIJFIKbyWlLnW3VtfucFmH4+/SziTp2m3No lV/bsX1BE8w/nnKUmlwzASnLb3omltYX3TfPg3pj3MxTVkEdho7C3hSOpVZbEOGkskt2f+gzHOW0 aBdE+z8c+AlgUjnLRIiELP/XvTpyXhUR5m05HrlWg/bQSF1ZpKN4ZKkROr17wQF8dsQhF6F1gJ0t K/16SYP/liW1OvkEvHAGlMsK05TTmqutaQsN1O9/Jk1CGmF4IjDX/vwFAAPhuJKe2F422rtjBiHZ m32O6cXyjR02O61WB/y23tdbfxsalStJUi5EsSFi0UGG9N+/HRGKRg5J3ZZ1WBzRNmtC6FgZcbji 9lbHiIOUNkhGyrjHmD26oL7NpiMQ6vmM03nSo07gBmriGWOqDr5BlpfjxLcJ23WeeL3pQuZzfGbE TTpgLLs0RRduAy8MAwmS/MMu8G4XpfAN0jM5/pp4+jl9h+qJZ8Jg8VAaKnhpi+dy5w1X0gfQdIu3 Qd4+aJaoQbMpoynDWMkt/0wJsBfjVpRa/3UC0vYqeKYAkFyBDhq04kAp1IKX74aEjtDE6Oaq5iFN VXmmPduAlG7GwsmSqKJm3vDDhWVbCah2dfCBTo758Cb8EfmDmzCZWE1bcxFx9Qb0D1DaldS1jPNv kpOKlCfj9bTLwWdcWih2i1Asu/8uBL5j7TQoG9Atfmg8oE9xqBYNxoYYM50igfUqIPhF56REaTsL X6BFXKHhQhn3sg46LBuuxd+9kUvG/p4FGWR+YlhE32+e3DNTJ2qpxpJULBL9j4AzCrC6Qmz5DPab tt+tjETgGYu+32FFIE7bYf2+JzYx2BD8r6BQmMtQ6jL0Z3ilyajGHBf1SeChrVtg8EESFNT/i8cF qDIanIEh4luF7Z9WUJy8irUMz7gSfXzA+jvhCafcyrgpVoTlLi93y3UvrPm9JHR4/0ZUkkCGQVxF 0TeODWhHwdjI11Hr1+QIOPtu55W3IjmerBUgi94MUOxQI/xeSFbDkdON0kwu8DjFmniRPio9zSsR N0Av0oAyB9yjpf1JmeRZKBOnAFCzKYpxcfVtFBWAJKT5Z6sRrCVINx7sQwK4Z5VqIQzpPOtWq/h6 thmvC9TA4RJfz3AJladV2XA7IYIQbDcBM4uUm0vmTHEivrtdM0pv0xSW+1s2SzHlgnRklqVfTEVi Dm+IKUpaf0oXJZ6W0r0WEGpPo2KN0iZnXc/EzXbqnUhGXs7xr7dvQRy4m7ees/ij+uB9oC2iFimH QravagyTIkL8OnvjWhSTdMfEpi7Kkfbj1mE9m948Tw34Owow779AK080lBXS4kEXBLlh3JVCZ7zd JdbbudOIQ0Wbc1lTnB3BJV0DlAqBKapj7w6EjSuxK04VVNpc8X6ZRR7sdNpRqIc/zIGC+uhbIV2i p34MscV96ibEJ6KJ0tqdLgbzXvU3irrEbfxXGX98naBKDk1rDwJyyhjXWqIuybWgoDT2iMvQhX01 5B8iw8+MUMH/cXPrBaNNJb2eLJkiJH/TymDfEVsTPMQb807TC6Uv5NFE+GCaoF0+EfYfFS7XPeg5 lK95ImMIfO/uYTBNvYHAXc0g4Wrp0N/zGARZ2k0qkSm3uSCzo/HM/rkhjuHbt+SsG0oljoRsYTqZ 75b1myWljhHRRV8Xd8+OLMAkUJYfh4ze/WqVkdFgyUOfAj0LAQPXhoDdv5lHy61YGeGntey9hzbr /RH3MraKqu0V97rB6jHo2ctOZZV3Li3T49lTC1eORZjaanyFtpbd5YbLq+HaXrE50OHYRWKyyOd0 25G2ABAyXZrZsaeVBsAb5HoEyLS7CT+0f2Z6kvGJd+yddqpn+TyTKoi8LPrRISmk5uDv+kDCv0Sd RwfBqMh2Db+J0UmM1X9u8R71a0ufCQxTnQdSo78jAfHQZh/3xza5y1fJtYBE/74WxOz5WdMX9OkZ YGUqnwpXqfRsUBPrNV1Cj+jkCbEKWWxbmKopcLj1LOMS4i3Jj3/slHx5dZ7hybZ/FIjv25ykhZJL 4VlxtuEfCnjMcuIL+6T5UnXdxdYu4jtiG7s4VZl7/KJUSeyqhMc27ldHi++U+w8iOSgz8B9/cAp5 zUNDdEzj4mnCUYfiAixtz9nNOjJqHGiSy6wqnYBB6cB6ExuE7kTZ9WPVGHnDXb8wxXjV4NpOEu77 6YOLbduOPD7qlgkBgYZOsZm6ET6NlIvzMdzYWd7JwTcF4RkoZEwYJvJHw5KJLtcIltXENn2W0ZLJ M2XhaC6QTJlfHXS8yY1VT7ZhRDvvyDUftVqGcuJNqVaW6mkJX9Zw2hxDNIqTtxAZ2ZlqADnF5k6f LnAKdPoJ6RJqr8xrhP1GGZHx7XqChMEyLgcnIQ1AFx6PX3j8LdVyAFCNxkeQ/qorqHYpBObEVPk7 ohiNvkoQ285mnfhhG0gKkCad3JHuXXK5oB8VE/SQ/PX8AZ7Gp5LN7ITXV0JOn/nIqL75YHlQsCcf h3GPgisAupVJ6L7YNjPRioepPw3zyCjOyEdUigf/IamFoGwkNAVgUrgEfVkpgdDb1k+AqbJSsZ0K ScCpdjbE0lIRDs5zcPTwdy+snta/iXA+nm/izmx6KcFh9ip8341m1mQv1Hp7hSF7prQVcqhn2k7p KXZytGQeRPkT1QovQEPg2nkkDpujel9l6KMudYFDgRLvjMt3GfoasZKnKsS48lFuVX3piEP+k7Uw SFYqxX66/kZdoeCKZhR7i48t+6q5dPY86efbI2xFynE547Yf9rN1Vk7cK58eAb+yWamI5aoa8N3E vvueJFh1Qi4+i74PVGMsEGrxA9c0If0v9fgiyWGHXgNdkQM9cHmakKHlzWkMDtRJPbx2YzFB9weh lxtt2/poqXLtXcioaar3XVw7sHgsKCS8GRTBqm+CTalsiCKWgSaQ6q8ejfq3VDUeg435fd+csSnV Yl2JX4NT162dDKUxogtF7BKN4GHT+DbD4NfibGWe2cQje3WtVWXPwv0h4kEuMwqfenzv47dyGR3W Mukf91Xi2b0KaY2r8K4I1GteHSADTZMx4+aV8q4fcQ/0jdhoj7Zg6UbK0VUy9nOqoyUfNihxAAay HDG5TCYEvpqDKxRu9bHqq4FFKkXWTjtuf+ve5nosvYCZE3jo1sfnJR0v8kf9vtVXaNfSqHmtetak iS3mysX1veWFe88/xLcO2aqDBfuzV0PT47apn/h/8DBznpSzXgxHRyY1PmxqjT5jO8Qtn5OMX0ao z/FOIv1zdaaOrgC4oWAv6HAFjjLWFewJUSRDK//wNuL0TLXjerVZIF7YEVW0eAC6Ajwhx+H0ODA3 GQjzSSMl1P/+ZJfgAN+3RTB1vFkNNNr6D8FWraOrdnKVFRnGkKxFQAwvUcJ3Xdq0jkPERpeQIymS OA6LpABzU0kAnffvH3wWa3qFSw0nON+4mKVtUlfy3gGVDcltf6g2fXu6r2y8ssfetXQICBbWuisF 2mhSa3AKZyVzD4vi00OZGdVZLB4BLXyYMA5MJiJIMnzEGIpMXHl59Ap3VOo/gn+z0hr8vtOkpDPy lxPI8hS9EOU+hoUeavWo4/kRa487WZRe716sWpsWAhDd8kmI4+apk7KrMFBP9HlOfsUV7d7GO5e6 0ws+JOT12Ek1bt9QSnj32HrnODzr/kyjhYmWfeCWnt1T3cQ+Wgwzr2TX2NPxL9MeqyLANtR2w9B6 rNi516CRmT33BGLEIWKbtAG+PcDd5jVAFodtIMirpmTKM9WObxgC148cllNbckTZZZpUVYn0aT3r zOo45uLotEFgYggszD5CF2iAJeK/mBoPn4tF420H2JBIOJ67QdsI1GE8h8+r2BCuFIQ7EkghD/Op ZXUOK+DP0qd77QueTnLmN6Dwbh6LrBXCkrpjpa8487jJcQp+H9THVATNXX/Dm9lBL6rTeqFgtZQq CqtxgT95LeKK6LgXchcoH553AtyRF/RXG1QMrLXsQ++kZVMU/i1p9Rj4/FlmdnlEf+DMEQjjfdLl 8UNAHj5lVnpP/QGe4wgnB4r/Dj4P5+hKfzB5b+9LUTR/KbVDqYukC7IUko8eU6uNKqdwAJ/Or9G2 aOyDMBhbrLWi1QLoJwzgMLMf+0zSMg3WCf+UFHa2UdNvDud0d/olwgNUYIm9WNo3k0syVLilEqZ6 qzDG2aTXMjENEob8EwZzEuS9CE1xBFGNBM+uMBWrs4J4fgupdnzLWd2qF0gsLKZE6Im2lQJs5LTq OKDRZcS2q9CdhPc1imUSiD2T+Ze9l18ZFaA6HLFWQ56ZVJou3PlLh6I7cm4R3l0pah+cq/SHGc0N 4gMHmaDkxczwFRR8XJz01c0iIyfCgsFVPu4BuUn+AylFqlU46dxvboCdR49gAVfpxg9aaigHSsBO b38su+LqT5zhxenu/S/o2Jqybi0aOkH4U5AdSYsw7SsXRNrUPvlU3SiUEAyznmPjpjFm4l79M9+v sOjz5K9eTpyjLG+oXhWNL84wUF79P5kFf1/l+Z/uiFxVj4E03ROBFZDWH/FGT4oNRx39Tt8uYsi1 oXioS0k9anpzdKJtI28/CaFYw+9BRKxCBTzJB37mxIjdXvRdPX4K9bLcK6vaPjKcPgVTP6nOhYJG wP5OeGvszG0jpemQl1adjZ9BFfH3zJ6J72zBMr/QLolUreZ457MCykwXKAPeluuelc1aZmbReRyy rLd0Wf8B5Fqq2/PmzC16Pplea9fATlvt5dSiuGjlPkgc4lwtekLbRQVHcIWVxUshN+Ze5ww8QaKY kMHgVNrvma0Bv4Zbbn+E9jssdUCgRxe8QpLhmXsJuyFj2cVtYIv5TLfZ87YXHPM9+TSpTJpve8Zf thdZklznZxzsPkRVoC2tt6K5FJvOnKsQL2wP7tMU/DpBlaJbTc9kfuRmFM1mGBV0MOzqd6d74y2j Lb0hvh46ypXKdtPzdgAlV3Hk0epwrI4LNV4weYQ9c8fgpZ0/UfeMMfSNBm5cAmKEjz+qcvkzuhx0 ZMpvXh18SGaX2ELTlFPhvsRWZVWKms4Zc1KxPVi79GVkeD3KeY9pIJK0flqli2P9Frc5lh/rLaPZ 7PBslbgzqAalyAToP1HSukGL/wCI0cLMN1KBlkn2A0rfxYezvBxW1mAdcKqZCO6kZMzSua0dChUV oqa1YGhZ4AeQ6uudkGYUqzQ71GulAbwFeuLS+031G3Aia4n7LbukiOhlSVrmJIj7AbM/TBboarjK MSn8iTp3tGxIlgWa2mnjk9DwB+R8OM+Lkmk+0DzF+LdRfkUaczg0XwbMFdeKDGw2NF4fkb/hwIXK hVhDQ3m1YxL8KCxwIBb2xogJN0jJ5rBwpuyyzIjbXPs3DXHLPQUfqNlMTeVquP5/jWwYx16ddP/l S999WnleeT/RXzoIK/8kKv0kQ4M5IZtf7VqVSEWlfN66LfsuUlisNw/CxxyarbdWF9BAyaJL0A2T 3k5jzOs1y38RaO50ua6E9IAb+AdRXmZkYrIj+JVEvSap0JuXLPTwS2T0E9JREOypyO2rB0cnwuIP VbwE3o01aXKXw9PW+wZPrLE9HTIfkomou2g0TcqFv6qdVh5X7CORVnvt2JgY21VVPx2yy/QqMpWg b4wWuY80wc8uCeEh78lrCyiPjHJG5+W6mIax2G97f7LNly3vuvMXDhDgNSwsXsf7w9XKKY7WzA5l o93CZv1yF9Ppqh4aRhmQ0JxqZ+Sd+yDPO8U+w2c7ljkL05q4wzNJlazQf5tw29cBEhdiEukdMjj+ hEnKMpfVcK9oARAoabMYdBQzphS3Mt0aDdhZHKQ8+JbmcAY83LPEtFYrlxdDyXHxx7O42QvSi7TR IuhItwWAvlNIBN7XLEGvhoAcCZxkOuer6gcC99XgI0J2MNa7pLEXhozTr2hyiyFvB+g15wlOQe3W cFLNKc3IHEamL7rkIvL3gGCrfu7OmC8/twvD3y6p4F7rNcN454QR2eTK4SWfmwu8YinQGEo5tvfH xnRO0wen7U/6lIYegLs8cQsihZpPnxqCcrD0mwoo1Yh7zSM8ogHv0TtNXoHJ5fehpPyIWzZwwr1/ 3dIljCTYXBcLyVtmpHYmVoMrsBfECU+m6zzthwpbdpLx2b6LJ8plnDBHiaSaMb6Juk/PnFdNvMW3 2xCwjvXGSA3skIvyJOG8a/kEkDoOJuEgp7NBdvwOTSXRTksRFHHKid+lW44WgOOegudXesziqH5G 3j7GvGbtFEkFUImjzqumHaQkpIFjH9oyXotsWej+i2rX+bRRcFE3Ie+C8qsoSGNaU5Fqwbf+E/Lz MHHb65ci3IJ40whuAii9tN/4A/3NHAcCWEWw2bH5L0LkKy6iib0hjitbtFlv+A0dwXBKD1yho2hd oFuuaNRI0PWHsCSrgUnlLnFLJRTG1GyQIC1fKdw1us+rIDthkDSIzrbn6jzxm1fERJ1sKpUcP6HX R6MtUn2qh/BsWfWgzVLFVrGHkvJ4L+A3Kr974UU52Fm4wgXbW8bX8bR9i+doIZyPs7braXzqo9A5 EhpBFBWV/K6I/l4LdnFfULm8tswx8yAswk3W6WFc/DIs2+H8McxmXkFGXLi7jsnOJuqbodHrDCpW /W1DKFdFkFzqfYXnNFp/KEyxh9xqnpviCTJtNOdDO7iK6Vfm0b8KnW+kAPkG52lv1Opdwm0LTUIa +n+PdDkS+8z1y9zI+0rr+TwnKNuuvFPjzNg71wkW/hnSEOJiPlFW2/JWuydK/qo7NZxABoDCrTTz A5sLUzNjZ2HrDofgtcLeZufTsVFHHVz4rv9EIYleTjuEH0gSWsKyOGN67p/eyx1g5JefDpyiz8n8 Xspm1hzaDooh0/aVhGeISdSYqz6d77cuD8MjYfbBAZtLFbIF9uizi5YSh78wxjgoBI4H8wg81DtZ KtCFGc1ZWW/OOaSSb4j2M4Yn7RH8G4/+RW8U/xKtzMkV0lOarmQ+3/PzcG+X2kPSnrAFLNljQx1A 3qOWARR/ZL5kWoeGLYr+4ng0AZBvrkeXzVBrOGTXq8Iy7hXjJaOPnSil0EfFQqDRLrq3zljmLziH dX1cZled/gWP2nIOlkQoanOJSChdaAr3vuSKf2BC+1EW6BnXwd7Guf3fUlPyHB91BMuXR7/vsPkd Cs3h6dFatLSflDVtwevZLahhWU/I/2BHiJuklDP7Cd1Ygaq31GpBltnY2UoTCMprU1Bkxv0/WMcS UEy7RDKHl1ADotQuwfQOutJvEshOC9bjzJoUzQsYUcwgIDci38xW4pt8Kh7GR6Mj/zythdyfluNk o8+lyejmFw4SbApGOgb0qlwtmjxu1lTpu/ocU+fC9a7rrgIk6LYhe9rV2u+DoFNYYHfVk0WZWJUf aQcOI4aVI9B65LhsUYxXiwrllS9mLPnaRgIXExK8EMKBbGcThWSbEDwqDIrGb+mUoTMV3KMOtHTW EZdOTgxXRo4nqv7MU2RK5dJ95NIuYcyWY1Xq/0CwT7OMXz319zPVn9Hqm7qC4ODnc1nOCAF+bG1Z UI6wrbisSgXDjkRHaBrMhbcnjmHxdvaqfmACIhZwJu1m5B26johwkIt0l3UTNK5E6MOu/v735Auc o65LzfvFQe88iKQ1QuSCoNMB+HCnFy/Lz8ozU3Ju7LfIIpa8Wo1xJsdvwrVFzBdciaHdo6dRjA0h uMd+mpAGMYv6I1syYd7yeCuDJ9Qwbhj+iAdPqzRkbfI0vjDqB4H+03rdPhV5i/G5xKLOA2UOgJQP RdC9di75ag2REJlZb8xL9RrQSRAWb3ckM+ZFwdYvF4PuVR0DasrKud3w//PwoZJ8I1VvbYOMIlBf o5lrcjRx8fQcqw+DKjHgQn9842kx5wF8d5forCFqBQibLYzeMBkIkCtGscZ5gXU1MfrWjpKndawu 8lo9JRoR66mYSQfMc4WUbbpAYEKAMzLQuxc76DpbL5EU6WjyqXeq/ax7Le3ichJ1FmfHHBaKv9x2 R3t2DLb2posPrUBnG7drb8FSK48SyOP6C0NiJD6fqtcOJOlhaSGHo02GnA9SFVu96v8gnxyDs61U LlnpvOQS3ic13eexZ/Jud81UtYWlL4EJZ11rcs4zY1T1avZ/2EdKIwY7GZBjq7KgScrDP/J21cBF qfD+ehc8utTKJSATXxhJz/fd5/QhvTt2f8ZJTdBFnotM3nbI/vJnXMi4Px9pddUWUV7raeHcMaAj Ox6c+oWlvs6y6P4REh4KmZj1mGOLGttyH2723gAegIeRu5Jci3Uk/mUPzk+FGm6Ff+wh6+j08DMP /OgFwt3QMjUkQnXBszNUCjYCryF9lMG9eHx/XQBAYZCkVtZvA2wu+Ytj0u7egX8FKs+D8heFdnk3 aTfk6v2cIQwBE1bAP+x6Po1KoJon8BGmqRxTv5Yj9/Ef1twslvMxWHcl1MCFEqmg+Xd2Arzqj2Kg MToJeSGS+QAcC3RimoDs92ka2cfEpUF4BhZLuKX2IAdHuSqEINQglzyYIO3urjMZCBZL8O0Ka2Lq NO4fR2jH7JYiUG7NM098eLzKFCgDRlBA1U5OwaKfZ08qKUJmSOPOFbbT8s3NNcNPKMlOyA4i6fOd wHn9vfydOCGCllGpORBnnCtbl+LHhqIYzrFB8Hzc3rjAbNp29qaVoE1xWwAsHoYuvGZzfCE/8DJe 2PF663EiEcm87DE6No5LB207EcM5P/ZJsrMy4i7rcTIxGjvyaeqB2CnB0x3DXyfC4Zgn5VYcccxE f9i2WnETlvM7pabRwL4JUivJi2ik0571WAhv/6Sf0fcRZLDcXHtyXdCoRzenifc+L2NBfZvtFgVx 6VCbKb9z/aOviVJOJcH6YzKQB581aAvP5wrB4PlZRag0ku1Nv1zCsUpQ3bg5eTx08Ga0EsdJVEJ6 GtSZ0AsMlxKdQWpho4Pk9MQuJtGDQWp43RrXP5oPeU4meahaRC7cpAyG7hm/EVyRvaDTSuntKPw6 CgyOCy5QP/PQPKspM4onjmIapENh+Hqu9exlayvvvR4qIS4fN8Xo0jPg2tH7DlVJYtz54UktjTHl AKuyndP5Ju9zvQ5qDlfmLCfyVT0hquyiW8AUz0nkoeFV3fT2/G/tB9AdEaJQu8PnVaT4+ZGl7RE1 APvpY77W0sMqVofuz66wVEjnMGpJjQIMqE3vNH3K2qhZXoFkXxvYL/dJDnoF26IwfMS7ATXYg5sM zbvEbSSbbBFASZt/bJ3vqkp+2Z3sE2dHzWPkcqglsXRiNEYXXQ9cif1q7D/9A+zXnzpAYY83mjAB ZycmET/5THxCFhSb7iTa347NhZlLKBHJ4utEmXW6OQP84aSgDU2pP7aXL0ZuRzChST8UgoY9oCER /WdAnz6u5DVwCQIugYhyln0xtRglDaPDuiDQggVWXuUpDu0Qgk3P1eYUeVWzYwpnC3BBaEkYq7kS 6QQIBNNGFtuXydjjRWgwhC9B3nfCgmIYo5Q+qSuxPQleP4Sww6/elL7hKyXsB4wX8MnvGoOs0ZuN vIXfq9nijpOYr1L+K54Ajgr4xvL4OeEPlHBCjtgzGz1lOC1LFP80uFW7gow4lr897NhukAmTHne1 jFbonI6GZrFmdO5xbDUsfImjGcGdEcel8PIjF+I1qCR+pHDwGCGZ+Gl+pQ8vKiyfV4TcWtfSc3Wv vy7wkC9QygVx/M0vJL8HmV1xCCj9KIXMLB3lUAbwyazNLRFwTzMGKxd8pyub93Y15rIWZF+j5VdL u53CZZKwfy5T1u3cTwQpyYe6AlcMLDc0EdaRvyD2FNIe22bmNtnSc7Py10Dq68rFdcuUa9Tivi+J v8k+rte3kHIYAdHh02l2qn+9i5WCr6aOD2Movg8bO6t9ZcjaL5XKck5kyNMHYBBTryyaSODP8QHE 5kHpL126Ooo9FYU692gfWx7TPkeKoQxM6Lb5604HwOz01CNMFumSwTUkWUgrr37z+DwlFXeZWX2I vHdXeHwrsCVDBLdGbcL41wlqB+9rjC7PbkGeYrTDDu/bsQu7RyKpFev/A+uhp/BAGziPwQKXqg41 hsoR5Bo3Z0aNYJIXExj/S6Y+pdc58NWcs6qfTDiAj4ujqO/yBFCJZP71YpFKi7xdB+JMVTu3YuvW A2OmLoD1sOpQp/q7m40SooxR+hzP8gS9Mgiomo5DKyINEuE1giPCzawrG4GLK5bnulsuMtrFykqf B83z9PvkdWAMHZR7nhqeEtfyBwAWwQQ/GDDVVPvdP+51WLL3FOR1beujOL7uSIcY8lF0LUs3cflX sTeNXPco0REXAhn45NQwLaNZfDuVK2OlyZ4vv9OWHs6xNQRQnrQWBFadX2oYTaDplx6k3JyINtSq tZeMDaE9a35eWSEp9DLsqTU0powxOgOFSR0QY3Oo+/Eu2gsn9Tgw0q2JW8g0B4v36c7GZ7Q1sutl dpFiOEP/xgQAlx4ntykGq2UUrXtSwSt2k1i7EuaPUvC9XHa9QcS3yzaRwh2riAUh84GhVkQPK6Pc BY+P0mmMCWZlkTIcz9RE7AXXx+vfzhJO0VO3flCxWP6q1afaReeDZYz0e5Lw+nA6Cfvs27zV6RyJ TgBPQiF8b4h9uPTaCU+Y61GegmZbwumbZcFVykzypIlcWXsHRQ4KfdZA2pO2xj/sFqGqHgDD+ehB rY+nZ5iIxI4DWnR3MKD98GBiT19pZzNyWOr3oCO4QAZLQUkV4hxEensQ6hxggAM7O9cX7Jo7UtJ2 QEBEf+nLW0B7VHHHduYf5sPgWEpDtOuK2WF2Q3jNtWwl4LFYrIdafNHDALVzXc30QW9vmWj0LoDA H3OICNCKN3j/Se3INHuxHMXs7pbS3cgyvFjaYi4K9l5s1X3nSkFttU/mFTqdLZYvFDSv4D80VWLW EXpGbC48Kr6UMqTSjbxSSfev7WUny7gJmX2D/FwME4RxzixgWYeE+tIBGYYOhvNQ0HcZgF91xRs8 MV/em+YLuQvkzzVnzzn7AQ4ZJWrkcjGoW125grNJTixQknPjER9JHpqflqkdRjcIQX1tCDfo33DQ GEMfoPe4hdlDNiZthcA+GnG82zcolnz3j+bBtu81QR1xPeUj2/YWKlTo3YGx+/4B1fZg8q0MwLWr KPn/neK+/p+sM2TQeVaMSF8WMF1a11D6JLgDb7H5mFG8MjzvthhxRpex8mR+k0MwaRwjym7oLHlx 82O0vblmA3qkZfOf/KfSsLVAQ/TnZA1aXF5M/xWUTA4poYEyP5uYuLho0wnMKOne1nLZhAVOdnWk mnFGJ7Lw/Y6ex/pr8w6fZD+0j2nW9rNkkY0EZHBjSYgoDeP/gjNmzT1FVlQjPNfFqJ4WX25MmnJG OxfFKB9VNxoirnxResT1tkgAU4+PpwrQxbG2cTnzCd7kelLIW+SPLW3xjA7GpFbvxYXQvRvA8BQe S4UovZVjztc+vdVl/Yi5eKTpIfCCpRIzm40revHsy+5Bktb2zfFVp225gp+lljG0SzzSLpAYtBzp aJx7IfbTWEqNEdYVW/XerArGdDzODq8iIhtj3vUcer+NhFg0j5LMeI1avTYcxXJd9XPnvqAsrknK TlfzdDNM1eRClWjezQ30Wdgk/v9LniXsKS94qacN8QxHGBNF9V8VzKtBEnf5UX80nQRqriUQ3d8x ymP0kCLUk//r81+tjL5hBCuwi1mjqpyq1EpbayIUWqCUlgHhdBLFPOG9xlftksf4AbXsTTjPH3+O VtnduO36Vj41A2b9zuPpFOAwBXyyYv8ESO1VLaB9PBNQswH7i4BoQ2CIjC8A4d5eO0brTD/rnCJm 9Hcz7XjCcyZ5TBMXVpjtDALoGmmZvcmMCsWrNIH8dZtzoIyO1cjz/kZelcrWDFzeA4euIGR76S89 kVoGGg/sd+0Q6tNQonS7rLz67C5uzEDd0YZsF+GgvIKQsy0oeW61WQGm2cUiQV8jA3SYu1BjDZZI v6Vt354oxi2Rum/BD0QgSNxtzDKHJc/GdN1zu4sgzp+2+aMQ79tliXjOwNkQtWFJ3/gYFWuePCTU +nQffqeI0Z228a5yAoSE3CN6FrcjrfMLd9yKCfiFNvsgYLlhjfp7WunfWXLG/VItiSfRiEN+Terz czXGZojOsdYVMeyh5C84oFyX4r/F8MJ/0TszoLIYYXLvCiUXCMNk4FI68C8dSi48V8Lu++OI6ouD YUdWJv0BkJrI784hhvUowWbpqvBhxRButAjtug1iBH/dog2tnElmDrezku2gP5xYq3Knh7eCkDux TCvhWsBTI1lv+RkFeFccMwoolTC1NTdY4RWj4k0gQrAd10rt4poZlBXClBoxaMk6FmA9KQwjriJN ACM7qNAKG5M/HydNM+L9qwmkHj52Q2wQCwr7pQPvx/jr44qA1O/3xkwTDjtxdqAg907lbO/Qvbwv jXnQE9mcZqV06KbSNiiuYGtBlOERfQxyXUjyU6tGOiAP2Vif5RJCBMNgaI06K0NNUW/TnozOS2Bs 7NvaWTWG7p08aIFxvOBfiOE0ThMalcHDtI7MDvOk6SJb2vYsW2W41YcChjcoqE6NZcrThin+Q+Oz UTBYmfaV+XHaP97McTRhzoLtqyZ1DZp7LehLDUk4xATunA9oYDsb0hVIGVKDX3hxqxDuZ8SJxlGx 4Q2GSM+mZ0oadrNGvEdtj5+v/Amqftt4HlX7SWfzBMVtTT62NCX3t19EwBH0uvrOvzdhtBayzA5d X+cyIhTA+SeIOdmrWwTDYO7jZth7mZApT7mSg0SB+NHrwcZaJYaXntKCSz2eUjjD5cQ6T1wPVnB9 sN4ELcdDfQ9q84f9+5qD1tOQgSzn5Llbcn+klgEVhhSx7qXLTvNPFOmAeO6WuqKMR+U3ZwKzBy+X 8oN+xhTssaajFHvo4dPIjQcMjserwz3Ym5nt7fyW536OA+eCRp31EgDLXuyfwm5LCNkNqfAk7KJx HnjTTDOkj3LzpSZwXzIfhVT5flTsYOcsGP1udL+HnXIjbQzXtrevms25Dy9PcMKaZrn9awJHMGLa leeeB9k34PNjTmV5wYEHt7RtuzUoP79pwWhoqwdMOGEQD2JF6MBFZLX9q/Ubh3qA4D8Ke1QJL2q9 63SdMlCMQzgbENJjw4DjN8c76MpmV0IFa6PX99GLNRchCEYrHIdQaWmkDWoN3dNptLc3rMeKiQC3 ymECEyQZhzpHYIb0S3VW6IOOJ1eCZH1SKhyDgsaNWqKCP/Q3Wy3FrEGDUqo0DppOGV/fL8XQJY90 zYvyeAj8plntXDA9tMh2D0wCaqBKp55ZegIhzYT/pZIN8P60Xi6cTsgBKJPrGA/+NNobp9iGdr41 TU+5uoivvOcxZSslSGGHb0XlL6sXdzwNKjYlPkKFy57TLCFt6dHPHA1tfmYlsaBuMmYVyb7GAmBx fx8dw2xVkDkf6dbNcZB+uxtc41ZfMQGW7D8QVfbMVpr/W1xZ0Z9PFLZYnueGror4hCQNLWeSVNkj ewwiSi2cJHL1R9rrIFIy75ba8UaRRShQaU6iYFMb8R5UFSnC7GaQ2r5XkkCPBEM+fhtAJgrXhIHU ODsqIqhgRh5TALi6P3ln8kOXpcSgPfij3TkB6ArBx/PPTVtLnK2tlatDx1huHBlz7tHlVnlBjFRy z6d/r1+EgA1RvNwviXvsFHKlIHsH5yqng0d6z/kKKLj+pUSiRqtbdruowp6NeB8P/AZCi2sw0Itp vAhCKK7yCJxbeRcnYe6fTnDEtZZa6pc/uEqudZnBx2ru/67YWCW7i5+Sej/IkcRuuqYOyBIt0vM1 BMpjfGQLo0zSnA6ybJvA1Dh/a9qhRe0v8ODH2cKAQH4+Zn1rlDAjCTgNuGhUioxsG5ULv2qG7Khv u1b6m+Ia7NKW+aOB0e9mSBpr0aNL+sb+8CLHwevGU8+N922eA9TNouAEtzCOahPp6RJeyL+86rf9 HDgAF7GXqKmPaOc1Is3wukg1BPU6fQ/Egwlm3yuygqVHjQUvMOh4FoPLXbb7SsdjWABI+0rRYopV xL1qBWVHqDj8xdfuvINULRiTq1VKQ5FEFp2wOSxjDP7ymbqmyIrW3twaaTcoK+6qtvUGmEfse6Wk nQfKgrHznDe+F+SC+Etfw7TEACt3OwplimmhZSpPrqI+JpRoLjYQse580epw1VuxNCMc2hyZ3vkm U3NmJXw6XfoH9mIuv1GQFQuvQUkBeL0WCg5vGkt0vFAd7Kw7QHUTjD+D5/1t5rdYmHXjWUMjEmkv GYVJnkkcnCmkgPrGL+mCpRQJY6jt67oWU7qhbmKqvaizeT5UG4YpjZx5kG+qQVwUDqzUmpdW/L+h EhClRoRPw0ayI/ycxmNxQxUCw8IHEYsik9kTh7jHMnI/pfxLeTGmmb/EnlWRb5FF9LSul7Ns4Es9 2+hBkoO9dUjkR62A8bpBt4n31fVIsMNyYvqk8bIGjEFfhbWpD4kkm8+8fS+BZpZerN5O4QnJMn0a OL9bONDCv08rLasEE+Sv2YtB/qux1MsohoeOOFLctw6UgH8LiwxL6/oqK36kJwuu4OIpSN6lIXRT eZVW3mOlXtCF/rN0AgD4lqDFQpAcdITzGIEkCrEJDwrYRXGWl0ssWTC0Zdf/jHhqGLfsrg0WSPg7 eMYbSdlaMmzvQ8GUYfVLJPHoy8iNVElxu+JFrBab/0fDpCyi+pv+0Xm+fttdICzQgYMR2rGronGi YMdH6bFajlI/zEqtOc0ozvaQ8xMfTbWn4XQqzHcqz7Zkey2vj2goQPBoLtYdeveDLnmmz9narXi/ bKgTZcbN+yA4rl2LVyDnk7pyRcuoqj+6Xb+yrVhuxBPV8PSBAQxjUQ2XR1C6leCICb/HnnsPMYGL WC8aPcUOKIq+OpbCbjJJkukcAJzvctRF9FdjqguzeCbQQHaZJP+/H9FVREypORPK7nVZG1W+1prC +3sRQicGmmyZpeafGlfqXriCU0ZitWviyV1S4e+Kk9xCY468Rl85+O+/YQqqBroQ+fVSYEaWHuB8 Ji3vDt0Pv6ksxmqhsf/qUR8CMKdlPxHB7yEeit+ik2rvP5b/41B0BwuMZYYDlZ24w2vzLZhPycys BH04PDkf5zwHukZ0l57doeuRHHB3RQiYnULnFjWjWm4S4kh5Vc8Ra2jVLsFDkaAsOPx8ysaEocMz oPCetp4PGh4qH8rYmPx8mPNBn66xA8mohHM6J+Z+URPlmkAZHKMsca+WXD2IRtuiQj/0oXuUaqIn trnGWT1zBLyHK7uRBLGedPH6yzvYY1/eE0247UT9stS18xZo34I2oa0MgTTzUSzTNRgKF6Fl6RPE dceeQG37MU+BaTL3Ot8w2MZOLO0BLXzA6C7C4I3Uw5ObuDES01EunLgkrHMQhJ9OkwWlhwN7p0sh L5JULYYoR3ayRqKlZubOg1PYAQIatuUK87V27CjG/3CB2RB7279fuYMOCRMMPK2rkJjz/oZvBeKB w20H7MZPdVlUqtj/tnWZzgYFaHxZXHmtJy7BitHOy4Mw4oAzFFOqbVGSG5cacHv2osp0WBNeC4mu YsY0mZpEcuPOg1ZrJ0LyS9ngkxqQ1vftl8p/nczUlJWTWKbVLU/kNsHn36EzZqFultAWGHYtZxDR rHDwdTYnqr30u2mQ4T2JHOLxDrrllhippQKy6poXj7OY6OGtaWPITlJcZQKBfeHvgG7z3EHYTxtM bA8DA6bKFy+wiIqwYGEf/QO4oDjwCIV9Z0kaXSY443N+3yzm3k1rhYMCm5ZbFWTgHVLxhaA1bMfS 2UjRjbg3v+aWNu0wGW1XmSXAtw5AwOQjQq0g42wexCcaXyMGymCTa/6qEwhG+5KHdcpTWpzR+SLO CNnn9obU53iv7v6mxHoIL5QPm20AEtwF7TUcGgWGjoOubKVoXTweMhsEfCCmrCWiXgZZdNC4ZJlO uEpsOKiOzRZbAOgyEnZGBeItrhxm1k0JiQnsXXG67t2iNyjpdvHRyu0hPAtS1j+Q0B53LhRr2wE/ CBqiFK6SQ+zQEoNXOJE62piD2sbwA+4nJqHoOxrsyX9gOLrdfnMR8zdU8iWieYrE8BEhZSZpYKWX wSB3U0PrkQoSKn8KtTriyWX2CFnt56btOon1GHx6YxGmkrP9fXBGrta6G+LLWA04IyBqy9oRQM0t UILLePtNcj9ZenZI3K5n9H533SL7yhmEROGid0/rzJpcUY/Jj6sNIEOV2ebhcGu8WMkJAQAkPTHy zLsBNSaqRGGqTSsBBOwkWHzBZZxkXuShssyQQd4cbp6RwQ8LH27vbdqR7howiLJarnw1lDPcgGa9 LL8TSwg0ZJWF6rgem4Jmb36bBbCHWDVWohbvs1uWvKT5bO463w1RFHNNz1018hOwoyGjQ2np30H4 8KLN/uKy8GlioRBl+6vski1k75uJS0NcS9KXVB83j5ZcPGwFuWa/gFy/nO03SiV2TwOL5tr+QcjR Z/fZsM3MFh4yCk/esL7sG8avyHma+QDCti9941N5tquE9lFbZczwj0kbnSQ1ggbNRZyRJudGeDRd ys6ilociZE6DYpc/AQYVYaHmIwRouOJ1xzz/v6UQTJg+X3rspFptMy//98fO2YpQE6i4XVkqAuHW JyeICU3VCcs97n2lzuLkT8IPeWFOKyBxiNzaU10RTPSYBv2mVupmNPp30XK1h3H9as9q4eDM+idx dKzd9OxulCzQl76GELWim7Soqg+HLGGDbdU6x+SZ/a9/NABYgitGkXo6Op8rcGhoeBbz8HPpIBje koU2Pku4r8HYzQOlSjBzcOffeH1F5Qt5WS06q5MPh7ByiQOuh3xdtrgPV8srdQJY3Y7fr7c4NGjC BG+laYIoXveuHzmAM7rj1vuBDHpGQQ0ipLi+HWfHXMcBcKDvIDR1d9GX6Eaxg98sdilRe0RaLK9c LFwA1cb4bSNCdE1dZIJcdjJADBo+ZMmPtNZZk+vfuxLSIpQa4jku+2j0EgXW2nyHI/lbKVyORc2N O7D7+Uy2JgZAc+DZUHYc45TDijhg12Ppnf4zCPtG8t9qjyjC4uuT8I6FM+iLp5UrU/d2eOVclXsS xOT/YO+t+VRzdvCjUvAZtpdW1ZPZSaT7Erzzy9GEo4qOFk/Bz1U/s8H2zOiGPV32W8eJChkqg6/b rLPDeZPZYOmVs8XzPfvTMP1yH0SWyYVepI8mOuUFw6PcZg7q+fF9Zr8hVaFBkSzWaGryPFcREwSN 4Iha+/l6eGpDhqtgUS/+J/feZwdFT79VaQqadFq7KSS0EW9l+D12dfjGKc21m/0INVFhGzhRhS96 TSie3//aGyHRYaoYWXEX5/C2mq8cNZUQwKYgNoGdUvCLvECPAJUEksz6oylg97B4U/hEyWdm9jLj YyyXQdp+pygynRkxBSn8mAyw+gQlzbkdyEsnzkXLfCjUZlCwsJlK6WfeI1QRzA7cLkpiKUsZn9qn 9lyQsfbbxp3SQZzPyJUArYH6bkA2xWL79imrOdJUwbo9VVHBUoRO4bQ8B3gKSTOrLIZ/1iBUHopq oSRYJyC1gGDxC6CER4B6v1x/+2kGa5pJMSIAGrGzXHHyRsInXYDpWs86neXj/jnKC+eXAdEGYCR8 V9r7VkU+h32MQnG6u7Hg1i+bDRtg6ueHXqzu1Zm8AjDFAAd3uHTc8JJxDdVZSOirkE+f9y5L3qCe AkVDZUmgQb2pkGt9k6DgKmxzmoKcuAvQle0gWXlke30Aq0bGbw24dFzLjMaxt14w2jcWSLmGRKZp odwEM6QGM1BLk8rApjxb2gvAgGwxJYKM2dVH1edt+Rt/1FsGHeSx1wwU9eZna0qbKUvei6dmFmIz 7fCNAJD4dNffCfryB4HIDkIOBV7ew8xc+JthkY2CKGxtSoNbDA/nHTInN8TTpdSJ0AGywukm9zwe OkquG83s0g23qVV4c2d9dEGVSylvD3g6bXpcBYJMx78MEbyI0aMxFq2XhTvlO7mgQU/SrWcPtTVc eW0DifUhHthzaTQporqIS4JkHU99d5tkP6yHDrHmmPfNVC9eIsRNmPdUidsVgQ2OVa4Rv/o//gln XkEvysJKyV3Bb3IUiKwZsOF+ndQOY13AWy8IyKqiDBQIBVfudbJW3G7Rap2DxfVZ9DKN1kXWopd2 DePRd3R1LEtjXaaq1gyR6jLdBXKkCOXkUUpEuvu3IBOGK1+aBfkQNGV+vTNkHalf3LXExaNz1Rc9 064mLjUv6iYVeva9RTZKaGlorJ4epqOxaO1HCla++iKpNALFvPJeYK8n9rhebbjmf7vySIplVzi6 wLHkMf3ctAhlnzrobNHM1B2GoliD84lAPhad4S7ntaR0wrXICDa3/6RVdmvBqPw5HjZSgly2mPGB juLY5QBJcQT7M52B3NtOfoxF1wOMs5WCOmwS87XVED/M7BTMRqE/gQNm6FubqiLBYAOIe7AoUN5E IrOz1DOA1Nz3rSVHxqYetDXF7sMTEMWL4GWlunnCX8TPs1PFrfAblZBxwaXP6LX9c3Y08VWzLKYK pFW0Wa+rquFwVRsmSckaPSHxSiQI0zU9YwZ8gDeDXJsAdt3HCJXgUXswbRgaEpsRq44dHzFSVIsS cJlqOPLcliSNdD0t6crrx6X1V/0EisMbEeeDjfZWsvtpniWzV4s12eeAwI8S5ofxJbSaW2y3XaOh +JfHMQ7k/Jap8l5n/T1AiK5M7e99DOxbGvvr9gEmubFOrQmaphDb0wHFAQWDv7KLoErSaEQvXFnM OHRpO/1Lts8FYfEoRgkF3Ypob7VNgkn1NbhNDJ2B6lOOSI1S3i4AP/Bhls5jeq0EUSaH0YuHfhHZ Q3hfVHE3rcvviMBIgAQwhq7ADbVtq9BZmNKGtiP2/y4ON9PcupvWgxAEBMt7BaCwTYIvdtd+1NEw InUOGP31QxXSYp/Z9WsaVGKqSx/kyZdJl3HLXiwkInSy/8fdsfIGw9uNqGJpSjTIVlNlb5dVjFiX 7jg+tjzAlhyViKHpXS+BjPd9E/p1Gpl/ucvzzP5Zt+NznRUl7LDTBeKqY+6tVXTpgsM97E7f6ERY SCmebjWcD7rZT1o9KMw9pgS8X0uyLgUarrp6Ty8FdLeySZrDN7+a0DUO0plNKZgBhk1x8OCdBW9h V24ROT+YHuwGRZcvey+sUxiG31GRTgjVy4SFYSzFKqsNXDdtHWblVjWypbDTg4EJ0rfnFgK9hw+p RHAudLPHuE/1Vxz9DcoHuuFvVGca8+qcjHt1lPO27+9bIJhj+bjmPwTUFmnwoafJL98Ag/MjOoWG A8l6n5kHLbdm5UepzGtOMck6VtO1MvY9WU/S5hUU9pE8lHIfpsBxK7iPvPBs8uC9EJelfsbrUoSU ZoHQR8un7S4C/t/367+KNjmpKB5fkXFGMBjrfYlwpu1gOX/30+0N0QOvrULTv/ngDB2NAo0MweEs uoLBeBtthyDSROtfsMhjd02K9be7yaa9SeL8cV1jFNDl3g+GMxGseNgnuwidEqCYeNpFzDoqK6a0 1SPbe+vIg02QvMTUlL2bYjDawouwVExS7zSe4nMCIjsUWZMuRnmgVrEZORqwehBX0hAsSqh/3L8X w8Si7nxN3/pJ+0uZGY20X2HE99qbYbElKbvs97fOUgpvrw9ohbgBsQ5NenyjZUfAq7HVS4TVFYpK 6f26viHDYtwoabGOUh1gGYGLfSod+8n1gVxnudTcoTLM3/nD9G+V1XrGMaf7VJhTTUsDSAq+z9Ph BYoSUhImrc5hdaUF4HGjErmGSVoRdGWtXPeSQKODnMbXWedkgOoO0bQgn+T4IGCUzzTXrFehCvHB mCkI9Dw8PI4GE9YDaSPWiTqxvhx6UNc3B0zbXyEc8clKyhBowwkGLPfrDz0OmNA6JWJ3sivDWF6C M/aUIB3oMnxgEiDhhD/husyE0nDp2/WO3CQgJRdI3yzpnjMvidGMcHjtv+GRKRa4Xu7KBiv2Nu7J ZIk02pjHrLcg0q5bsdpyAM3WuVD/084c0LDLz1CQulxyRvYiqaLTTHskfKXHbImewPB08Se3vZz/ mfpKpXroLWdAOehA9bl7bwkGuejihVUQZ/sXbr0MVhM0DF/1SLOnB34coz24Tb71lcW+m6i7Nkd/ 8mXHbxnNovIVEmoK4MGRIW0X47GExUIUwkGYN0FhwB7nliPkoKj5vxdjsU6/kvD6LeWJ0XXBBmqd eqGHzKoz/hDFqrjaCpH5YoB0lwrrqbwuIhNCIZyFQgYQDRLhE8b1oXIo7WJu9ev/6UPBLP52SLzL 2wIqOTYJ2uOPJqcu3aAmh5cz7P8PTGGBHQG9FMjRNQ2CKtY/IkjlHcS304Fv3QckAXMGtlRShnhk Gmdb3gi+eEFIxUYgWLkeNopSLfpec97MY16aF/qpGETGS3RsKm8FbX/PsSlvt1+4v6rI4RL2Og+Z KPUv8FBizDrERlbbzYNa3wapJSHMSvxFpj8RwjBUMh2cZIa0RRH5kx1xhRV2OKdMVAEQ3BUPz//F 1T2+Y4+fqgEbBN1Ruab84XdG9up/7bmRU2Af45rcqGT/iVPc0EJb05Y6/PJcnNcOuKcNGl2G+Asp xIQz0v7KRtUXdlEd/Zc12CFMmXbhfAy64EkzYCZbP8U4BcaZYbgxDsTZiqMJ4Irbiq4gvJcU/jLz nw+fFkpqLfDsfIUXlEAFOXESy8NNEdPY9CUiL2BF9936eSjYKN9QQYLz/N1AcplUxv8Q7+hnE7QA ArOVKgBSTtaNJWoiW+CRTYdjsTPaOBqvjeK4uRoRRaaRllI+FIKgdjEqEBDEf1RFudYGzgPL3Mgx EAfTU4m8htlR1sx9kLdHzEGYObPFrRaIq6q05Hq7T8cFurFKJzMuADyqHS0Ru5elbjg8OyVAXHmL /HK4Pw8xQqIMvEW1YN4stXEgNOoO7fjdfhr0xa9mQvvV9KAW/W/w4hX4tNOQaMp46ygvGF8o4II8 gcW2Sq6euDGE6eNlUknF9llTDwLzUThunaPAuVgq4Ng6G4Qfrol5n2cuV7mbD58Wl9jBmP9861Hc mezEPlWNzJs6n8tg5UXpGbOlmJJTwKlR8u2YZMS6xxcbTjLLfMdP1UTQR95FRYbz8p+ZmUUGG9y0 ugdniXJtk8GIduO5tUgtmzmkK1ln/vGGWAgcSv0+S34e4DLLCULgDFODDTvPTbDR+B0ip/udPxU+ wPTnJnLgWaADa/ZPWUZGF8iaKZBPbe8xrY/8+pjdIfcgJFojgo/64v6M2cpNPS882SiaCtDHjAX/ 1mtwG5kxPkJG7UcvqfNXolsiSvtG5R0uyt3vqIjtkWoUaK42MW3ZrcD3L7LKcZwkQlxHmCA3bRGY 8lD2Dgl55W4RVQoQKW+WziGyK9MPU0umrop/pvhSUB6WIPS2NVTiJHojKZCle0zrJqcwYoLTH4DI ngeNlnq0Dn5QR4XL2DmPapI0msPVSVKO93EbxxBVEaJARmgQZ0mU/8TPPBJrkVdpxq3CbWOb8/LV cyNIMpkRv+wuI2dFZcKCWf2s2gKMgtxmE8mjxInUAlzyp9uOn3pZpn7CWv3pWfR6qMwo3p4HB8AR xa599iLNrQczS6AWvNMFw3YXxtLeR7PWfJFVBo3UKvKWzy60Y1zYPLooFZYa8d3P792Hc43oG1kE Q7k7x5Sf0jgtyUSeZFffGiJ1yUWpZYxdOldaWQ70mRJpjLxA57eyeN996zMS9VZ3BNBawl/QiXX6 MJa/kv2KRv4xzumYbQOJ7l2bBXlqUfqPpdDIvtvTUprNP72fLpJBRwZjo+ywbqzBga+YteT9FAgk gN7WE1rZmKJ3N0z82QA8RW9QyGt2BV2sP1fLllskJC/v6J2mXOPbLUOhdjMBVTo/4xeHsh3tEWvO oBfeb5K0Ir+Lgo+MXJQX/HjukSPTxSUslL7xAoGjg7e9XJzCVp6fDjVetPrislsBxSqtleEpYbWJ PFusLIXyUXD7sqTCV7zEXwWdhYv6ICDfj/fx3x1PeCyVb8DHj4WxjZCI8Ej52NoZ8GbthEALymhC 9vovqjBFGKnmmri8THDfOKdjEi6U/AbVXiUO+G/YpdmzTdjRJJpwIfV2HB5Qsvj3aRQvAiIhVmub ghowaDs5r9Lek4vgDLiqGtfgrRo9g7nsKUx7uhNTFOBtb4zZVknFwgm3Vn4WGiibUF3sl4bsDtBD kb63ywKMJSD/dzYVAsOgJwladlWjEopkw95nLM9LnpDT8VzugjpiWYb5gwB6h6udSM9JlirOtT7r FYmnvb8Hqx4w+pbA7hucJMfL2S3siRIMBX9wk9qKe3l/i8GOwZ8+4mihMIkZ2BySEZIkBKTGjDG4 zVE1b6MkzmTUqxGevHyml15j9gjIVprtbIL18Ow/lBAfNcMsJSRRxWJOKMBY2TkTa2ZGYfOEVBkm LwWc1g8IqdYCYkqe+cpw83T+xtnz2XvPAg8FOhsl7j2rB1COL32t9QimGgYLQzjNtQ//08WpFyHE n3H9a4mqk58ekBK3AKmNGKfKh6bUbfCK4ysDK9/K0dtk0yARwL5BDF0mbAKRoSOSb6qr/NDrLHZ3 Ikz4CCPgRpl5UXS3bFeFe5RFYXcAUpr/BWPBoDarwPS07efWiweYe137MCzNSuIJzeDPoqH9bPJv i6ndJgrSO5005sN8PguSpB7tAFyNyoZjpa6OBT0DzXWZE2/LhSFLEPClzUE5aPLx0ga5rtDurECU aWf2PWR/yYEgS7OiS91Z1j6og041uBQFT57FnvvjvB8Tk2xA1t49sH+rw0P08YviD/xnKZBNEssx JMwNB12RR0ZU0q3mBraLYdltairtVjcwcgsJVG7oQtDZYG3lUeZFM1AAovSkjfJuFlpWd3AT3g9Y bBSqzriQwRikXbj1uTp/mVbC/KZNXyfWWWC4N/byFHF+Ly58HS/wnrxXL8bHDl/w4aJ/QgkbgjxJ zMdm9+FM2l1iX6egjPesqe7auSNyVIzb+xOvuIxm8G8jOeMBAQqTJ0oNf8iSHgQ+lTyuw9UZsT5w FP5erF1gZH8V0KzltjIN/MyIoBw9+ouik9Q/bpP9N1M1HdFcsr1A6cTefSgnja+9yktyvjhSqIIv vJAaAGa9rMNK07GlNV/jvTIneHofepazofNhEhZYfPkz7/O9FAazjH5i8KqCAlxHHZpWwj85FtjU 8je7w6YKe9y3e18KTIlW0zmob9jj6Nn1sTVqwWKQu9hVOKTw8NnLoBcQHoq5SyzsK/FYhqDTokX5 Am4MONIP85PH8LPV/TOxYC8p3nus2CUDTsmIxhTfd4p57Z0YVemnNT9SO4MQH9CWxvEDieOAt8HZ z9SVfU9nLF6p4sY3TpIHlBzIcsRULmI0Q4569WPT24ebofemEzV08dVmRcA5vKMv3wbjMePSFcBv 6JPoVklRypP006SZBYL+cfNhcpHRPlMbxhttwcROL25y+QLb4Bcj7vJQj+U6Fyn8AiqvAPzaDVL9 MKnEzLg7gsc5arjjoBNFrafM8LLwjypX+Zl2bQhgK7tTveBCVJSoCfITKCbe4xzX8iGIqYTlzy9g nHPlS4OsohElkDs2lhUL6uoZdorjmfe24l3IlP7EMCYf0eIdKwt7Y6FFwtT+zBbvD2t9tLS+bAoz 64DDEtcAh50mkRV4DYQgk2emcfX02EUdbvgmhCNE/dpBVxE5UJB8hj6798l3wGQUFtCz4UQn5Bqr edKrsaYqpWGIe7xvLM5kZcBb7QR1rYDAersVS+A92KpD5Vc+4QfjzR4t707hPQho4TyZZTlEHNFI e2i7UlENtqMibXHugghCn5x/rjfaxRa0pf4xiIFZQGFC3vzMc2OeG+7zhrYSsBQDSEx5D++WT9Ik C1tK6gWLPblvwzTj3at3GoObaeU3a6jEiSfMjvtMLzneNIcFv+aYP1iuGJSxLJklnI72vhMGcOoI jzODv5ZgkriZsUs3MrehT304lMe9Yg6SdT6+4qVCUskMKy5gCoSshG7F6uG2InL83bjSVxCR1gN8 QEv1ZlbZ5Fo5efmJOVIiPPUXLD70H/BaCq9YuS4sfjeeGryg0ocziLlNE9JnI9IPd+XfO63vTjXm Wg1OifTi84teqxf8unLwF8a1XrFKQaDplDbo3ccwTnfv4HuQtvSv3Orxr4XUt71UVqUzjazZboT+ ANyBIh69sEWz2x72hXOmZJSRkXo2Vd/b69nNYUnH1/xJohzkLmC5zFdlrWCnGNoIoJxhXchJSj+4 UapZFBdDtKxPpYneeR1v7LgEOsFLc1/n6vfV9uDVqd1q9F13VAjCD0MkdI+ZW7Gvks5e1csT5skD B4f7mcPps/Szoo6iYPorul8F21IjuJ6qRz6eFJX1O6bermf9nlfuGrAeOOtxcVhJssymL0qdpIPc UvBjqtzziR74/wF7M7eUkT9JbjBqD30hEh9qSsw89ZvNFx17oNk+HH5aZcMYit7o7w0cTSpVP86r /UaW9+pndVNdxfpx3pRnH1Me6SwXZvIXeNnE0oWjsBRtnRY00t0lEvZRZcx4hcOLjZP31vz8XXHQ MtA3wXInlcGQiv7CKPjIBYwsVK0xNHfJ/Ms4oZFonGvsgLKgRDLmAl8aiq2hFmUun1d8NxUP1y9a x6w7TFtxuP9LnLvdaIZ7J8T/eYCavG3bkvo0tZ+Df6Ff2eDaZ2LIMsVFey/GVWPhsk7l3KLPjurf p2IAK7r5X+1ChI9CYf3r59BTgFqDJi3dS1bSe5t290ASe911W3WzMdUOM/D9QcEwwD7p9xsf1Qnx FSfsWtU/zN+/0V+Rtfdzxs01VdIUxVUTe7nRjaS6vfpn2qD7K3GNDHd0bkd3Gri/AGyiQuHcIpZx EwXCinOh+sD1kklhGd2xUh+Qe/YbON90pPo9YxEKpM57NSV7YrvrK0m26puXzvAlQHK4rn9NrKVJ gQvZ9oMHhXbBbtyvoioHeQjXQjLrLiXsJMCKyhcXyoqRVnIL81LyDpvf+zdNpvyrDEortupE933U zbx0rv/6bWkY/cLSzP0po3mc19HCU4znqhGwhvtjoByJhizPBq4knG3NeZymnk9XFPG2kJlefE2S EUGoAW2KTfUnoj/Thbr4Calg/FTB3oExVkCxyJIj/1oU4XGfoxIz3++Zib4V0NcZ3gGRYsYgkZVB M7uwaJUI1p8JSEkf8HjFeN1TbXUTIe0exHFzsmAjU7Mj4iMkR/SAoHpytahjEYBNZ36F//LKwlL6 Ls+CF5vfVj2SanP9LLK8MVI7jZtLE/XwkXpcmHkdGpbTpPoAOwmTfdW0J930oo92/wzCAZeTuaYd iaEnDFNfv21eYYS9iQ33QyhtENy0/jE1G20XwJLRSFI+5zfS4upaXOxZ+51AuWnEVeB2TuOtFQyD iaDSIqKBNydVEC20PlTvOUkMfwgLiAx7VZnxkk7H4loSpLw7anD8FTTFhw3yk+MIuCn57xpqhoz6 nppc36bDLjDkHX1qOijcC4KfHE87LWOwauh5/t75pB6x051cRUGdcZ0UqVlldrHZdkCpbaR7Mi2V E1L7i8tUzN+spjO5RFtxC6AZcrlisJrDM7dHhhWLsEoNx8/t8mqYkkepD9pmyTqNjdKx+37RUJ3o OOUlgvO8fuctr+//grw9quruh24wzsuPRdaniheNXTnFnvWG70v1ZVvaqCs8fVRq8V4CKylNorXQ nhMgoGjj0Ce8MbHvoghSzhvZ4r8JDaE4MK0CiG0op46P0PfuarSsvGjvwRFohCPPHrZKjoa1LYes 4m/VIgoF2c8MQFNNbQ7q0pDyuklT47b+YyL/PEKNRkENcz0M0AP1MbT6fNYbQk4RiboFrX46de2u I5ZGKNENem7y13NXdpc1ZW2ME32oAVc5IJabD97axHTbFVD5H50Cfy0zUE1sKL7oRugOXLfKQQbW ONZADFuvlRMagSZYePJk+2+Hc7IaX2VP5tSEqf7yXdx9e/ugLHjS3AaouRl4PrdtV91euu/yZkq4 Zd/Uuks00lDDxqYLuMcCYCSV/x1PeuSskZUN2NKLi111KOmAlHvgd3bkMKR4d0gIIwzf7T9D7FaL V80fx1SZ4JHJ7jnbUBPQSSgx3VWZO8UgXUFlXuXozUEqHDpSJzxHPiGdeIEGWLNnRP27RdaBbeAH 6OHnULtZd5w9Y4/aVUl9cwYdFVod/AoFsdGadjcrtGLqjst38s8jtadlevsspTt8S7CziwFe48iw r7qwA8jDswsGkmlnLt9VqXoKsbSiRwlbY9J/E1LHXEqUiD5tFyjGM07fjuR5Ok9b3/jij0/bCAtV wZGqX00AFc8/+nty9XNojDRfSkZTpuXoBFFb1/0ou+Op1ql6ABU8fQhv4ZmPAFQSmJqVT5wg2/2x HBoX5lnWfKtmFY2P8XAqVQs3WTpqZaOYsc1YXns6fUMkrWoPUPrdsRA8Fzy+JycHZebZBhOy3u+E x9FlJdMrW71gcxgOyagTkdmqrsGBwAtIGc6E7pRPifsaivDKo/Kcq+1imGRBrM5CDSsiV+Z+snsX UFd8bNNxpytazV5FqkcSZaSkX0Db27z3aLZ+JhUSC7RrsExzFdyj+4Cittr5VpjsXBAfOxQNqUot XrZAkProIZByq1Kye0wC7woO3aJbDemC7yWKilEhZZjSNGRAposijHmwWMjIY1pqU3l/gv6S7UQd dDLTNvvqq/Zw9zqegRgO+zpglH4gFAis0DMj7BU9YZpJY3gNNskTlpshC97s0GG8Hs1Rjge9YaVC iyo9Xrhfr3UfNqo/y5aUOZmCNh9+OAq5BwhNR1oGNoiGLkaT9DTLgkjnR1gtUbTWr2xd8buXrn/6 C1rsFotE8trgBsXMgc1iPxGcDiI6k7eyHOBi8ctyWfFtn5Jbv5H3dhNcg0JwcNz8j2WFNpCm63Fi 0IjbqSOFYCJtWAkv8Oc2M36JluOBVp+wpR6xTz7EPzwSOyYohT807nqeye7ZsRHdeqwGm8sbQpeu Gy29i7laAoEyqC+Nwqbp38rbDFg3oyAoPeX3TpWlNA03nqzAU3H+iu79lLtmOMSI38h01AKorjpR eq2A4oe+OI2bf+t+s7eUeFmnd18mTzB0UzOc26osTYf04Jpyg7YvlyPNoTGJRusjg/SMcw7Depnp 9q/WFQGBomqfY5uCdOGCzLsxQSxUWeF8JzHoax99AtoutHGe1mklAJstqkLnaxvP1c6VgOmi0Tu1 R+uY377O9kAa1riHiPI3uFj+2sWdNAVqSdCZdDnIyY+A+6d2ExV/RkVxT8oegImPeP3zpGRsaxwW TvmtHe1kZa7nr/Sfc7aVjOeTbZM4kqWrH5VZupmVIsAFc3uW/j9pHc6yxR0qNjpGFVgMK3yhjda6 rfVY5GnytkvdDfx9ro1Yrk+P83YddxaXqPIXDSTM0JtsPvnOEHqGB4jxOyTrWSRWvSBNp99kz8sy 9x/dhGBBRMgMvpI+LIGLxfp7V+uniG0Ur157nC4+qyZVGZPSruUamsHRMqBfFBu51jNvTSE6yQaV L4sRnhHJ7SOvs8HY3nR6uGmO2T0/gVAv3C/XpV+tW5DYCOs1ZaNYUYKx9mgIrZA5pbDcO/BxfsXk YTHibvmKmTltd2+0Or1b0Tu7tlbaZothF6RkfvQDefeKMWMjRjECtOZ8O3YndAZe/V4XZxwtshMY izPNvYjK/CfnOQ9zC+i/aVWh8s+tj2k/GcDwFPUUGkXzRUJSVtI62LhiQcsHY9o1TEvjxY1BfWhb qQwSJaPSZ1Kx0BgcUCZhu503V1QcAYSZA4XOTU36t/Wwz7WOZLIaDsyS83ju4CDS6tnr5mFR8Vjf n6DYfJZNbl8+9Bjme/YNhtHCXkPDUpdyuB20s9+X4nxA8m+Dpcu3ASxfjPGrOyQ1bPKfvKQtIKE1 ccuseQtYW/jJB8V/mgo42Q70bQksUxZbWIkhA/bhWocyIEEOK2n+6W/6qDQJVuLunI+DiLyIC5Ho YcQathraMBXkj0RNU+XCxhhY1c2B79QCTHL7xJdAz0DSjRjxQZXo8/0wbirYtza7UmQ461RFreq1 nROg0v7QMnik1jANZj/102Pnjjf75r+n5JTH5u4MYH7dEt8aRHBHBqr9PE2adH4tlzcptejOwi/t jCgOe6nerp+UCc4luggJ1Yrdnar9OAWyeTzrM3X+xsTZzPcJB/dkG/9BEUEh2juHUAtq+F03HvJQ 90LpHvu/oDzkH5LEgaKqKoXtLQaI7a0EA4fVowvFVYvm1XJ4TySuVEgHS7omkIimBo4gEi1mm8X/ aS9FiuetKUwiIH1RD12zhq5BEtV7JSfFbcpkc8LB/bWsptiAEFrbP93aJJC5Mbb3wjHbTl/k+jLg 0rw1suQCe3YLcay4uO0S4veARkxUDXTkCfvurDzaqjash0Dq+9nhR8n1Pmy5GRtnGzebAKyW1/Vp PeuOR/CiLTSfeTQMcVeOuQlXO+NhKhxdbkp713PCAIWV+ccdLv/gaSqZdS4h19PKwKor+xzfm/V0 ZiWpjJ70/Z24l1gbs21yd/S6qyzF5H2p+oZtVYLYigEQ9hV0uJlZw1HR294UtU6SWJ5ffm40zPz8 6WT3HBbEDucsRX54PAB88ig5W9zxANwrLxC7vYV1467yLs1KEDwkqzvUedQGCcNPiCYcN02qxROF 6p41/Kjk4IJdJoKAlCQG4cvXTJ4/iulntZaNihxbIxXbmp9KQ35bZatN0wbWZ9AtjR6Edqs8VrWX 8NyTc7K0XKGzowONrsOmNA6uovkbmcsN8y4e2+Mm2mY92Iwnk4XH7cSz1K0H2e6k3RIB7Tvw985B 9XYdA5ssrsrDRbmPEGi7zQgIR3bQDsz92MZbI/2NPt74r/BG97umr4Bu/2g3ok8ULSiEg6SHcwlg yak93p06LL781agzwbOEKbjZyfxEHiKT8WsbdOPosxfJr3o7cpFyXUdCAcOu1VeBGjS3yf7O0ptW w6NwLzCXpAFj9Df3tkRpQaBOIWa7eg+5O8w5Rmj3rD7BTrTnx3Ij2KYNrGWKtMQMX0UsEwYAmuI4 fc7dnamQeiq8wNnMimRKniobZdQqnz6KacUReSMFv7/6kx6lDXHkhAWiAlDJvp/noNPvUSFCpWFF 1I/1vJLLa9YRUS2KRN0x9gWJFwuM+M/o/FCaQTaYbRrUTs7cX8Yw9HwZFzsV7tznhRdUFyIs3xEM 3m8Jj/5ucRrkvdssksd3U0dfRrLAqNslMC4pS4U8WuJk2OU7uD+KMu6S137e/vKEgsGHJuZ7p60z c3cbnc4PLMZl4FJa+ktR5ub4jWEKc29dHzirYTjlfDy5WK9wEVzQ2oGfPMJd0ZZgmRZDXqNKwagf xTkfqvlmdYsdTKS466Y3DGUeIL6STvnoLGbjP0ayDTQW6PsWLH/96Mo0Z/3HF8zK7hmCTocgTwbe WR1brT3Tl/E45ftm1NeQ2S1xO0C83F/etdXNG+f6Nxqqr+eNKo995YPlV0n0J4VRecEJ0gL9M0mm W8jkpDDK9aMyobfmGrm4byifVxOREJCWhCiBsqRTs6TWbQtAizLM21Ffw8LZZ1Vk8i08bteFmx90 nt3ow9fcQVfy4Q9ouRbfCvtGqTlE4o4a5X7eCPXHNMFFfNmRCIEv96Csm5u/iJqJBT9J50uVO/4a Xs5fkWK/vJUoIkzQNa91Rq9ONx24MYUQjfb0t4Y36anCeFBLaKybyVdBLviXMdLqyDsUTP0P+p/D CDfKlaTC5z/M2Wm7PArCbbvqWH2lJ6K/XW0k3GA5782up+/sMuz348BvX3pkZItGoT+AmXz3kn6G 64Mj3ocfhw4oliVlClUA15WHirVURLUqG1pAk1ahKtB6MPx1clqsbzpnMiww6jDLheL9fSktgSbL sfJItn/oyQzUMEtYzMR3mxLtny2ZBxJMEQ1R1jmtrKanvBwRaEfeyeqpsWtLGIM2m7HX6Lr0nkbp AsJSKLAESRS9awZHrYbgthwavo9xeVpA5dUnLmoa9LkyNatnZ4Usu1QXK9s91VXxnwdQnFmv7D4B FVCdPGc7IpQGqz3KvnZFm2A8gtJJsiwEc8Udqd+u0/Ib+bDmb8Y5XUGthYYkEzV6Clr5m/1ReHyf Du5oEn/DR4gDco84Be0vrWLV4E2lEyoaQZj0R8Fa0DjlZPJSi8dBaI5SmfFO7OyJdySOXQIHs4cT pMoiI80r8dZd2/uMnOpQnyB9Crq6OETelbZxXkPtfKJN7oMOgyzmr1VDKyD2TMcBsb9z2yUvmzxG AvJLyc/KDi2E8NP2a3bfDe8CRXou4jar8WdMxL1KjdTreAxEzhvrkXEWoiDJkE08OMK7oUzujNcj AT9UbLlIHanPiTxjCUiJQVBgpk8IQUOOuTeU91lUNarMqNv29Ac+R+Bs+yGb0ejb1g5WIVMrAOsZ JFxcYTvdUvG9CLcNpcNUvStkXUvyi+aYBy8zDVPSY2wVPmIjJ3YmKTZyRneec1le7P13IgUmZlJJ kG7VtUsjDLBodhG5Yu6SwkBBK5yBCd3uZKyq/YsKZIWQYyyz7yj9sZtpj2hANrBf/UzkzcpjOLEJ y+nKoNo8T2pgivcf5+nouhWNWfV+kBWhQIOqjp9Y+MC3Oop4QiJOWEBId8P1yurCQ/5L6UGG6keX uskAQcaInjTKyG6yXeRBphZDc5I662aVYlxkjMvAc1u0OejuCgkouHL1AvjncNMo962qGNxJvD27 gs8kj5g4SPtItpXqyfdezt7AFLHC63Z7cdpGyGgRAwXRZXQ/ybMc38UbICd/hMqGReQQolVEnaEB ufyOUxT7cjwrwvnVti7sUx6YidOneAVWumTeSBou1ctM5vC+bHm1wnztMkKbVaZq6dEQF/R4L3Ol SYDEMRyj5H54jB0jugB3x8LD6O+tIDWnFluu3Ujc0uobbB1daYNFH2mawpEPPX4u1mQH+4SDDgut Udj0+0lKJO1jvD3w+l0X3WsqB6hUM7ukMWjAdipDYD2gO8bCDhx2+/k4EBIAiR02WcAM8mrHp6vE CFAjOTQHHkcWaLf6E+DzYKkPUL8FYm+uVFdeB7K7nIbz8Qee9DJ05MsYTgAle2FSmf0Ys58Ufd3X bF/0f4IqsRKMioXTwlD83TD9+y+bIxK6YoqZ70VVX+dmTB2tOBG+rjd9ko1czrgEFhhHl+PNQt8+ 0FLTE/85ni3JHRj/5xjJHg5Lp4TXI4O/TY5ChqArwVAZECymO5E4APPCjrOoFP+VL/6ozTcTpxCg ATscAixuA+BBJ3irx3JWebS5g2rpPQQTX7xnxfVJJV9PtJDuUB9R0o3Td/bL5LtVdgKFutbNa/Hu hArY2FE53Ex4VzqGnSWKJDmJG8WBXxYUvm1ebeShPbUfDHzw/gWmWmin4GZ8vdgWGo5SCMoTKf1P 3Nsn7yZ1Lq4rPQDvZmrs5+Fh/lTkUG91yVd8KxCth56J4vOfHWiVIKow1b9d/yLw3tuwyZT1wMIP XSo2LhP0hg6Qi4ysewn6C07UJUDxdZ2QVpJXnBH98+5+Tni+D9ttpop9irNxVME8NAvEBpqvvBK2 ROoUgTfVmGGQS+b52tRYpnu6FXpPTnKM0DNwLyKak4sBEmTW0bNwmRYtRI6v2NkoV8YLD55yD90b EOvo+2P1p6LHYOUWDImTOZtHtIwkSD7fhQ2uxl7tRDf1HXon9Nf2H4u9jWPBTpiK0Q5JiaKj0sJW shF6CGBSBg1zND4IShYAAP5g+fd8zh60p6JTjtq8hguhqA6xqNSPyBwjpHhbZgM6jddO1PvKIcIO BvKDPCJuhcj8JCweinETgtjDXeW7ceLMma2Se9iZJpZvGOn1WdglPNbdrjTH/RpAne/1kfYa7SH7 sGN2wforF818plrNy7Gg863Qr/jGzuQ5DiaUcnO+jCTmVH1otBkyD0gAGrgt9rrI5gUfEKqJEgS+ jTI+nP2X5Rez7X6s5TX3cMuM0pGFwyUMn84FhNUY07tUuUwu8R3Y7Nq+19JGfFC2MbaTZ+6Wr7Q8 Jxq48ip8XNwE7BuazgtM1wRP08j4mqgpAbOSBhp/aIqvhFB8J1U8dtT0ZZRX0mRRXg3CaEdnVIQF tF1NZlqhyM0up4XPoLWt1lN+Nt/mI6EWtRZkJnfFJlRSvK2J5xtpdITeMjhN5LRukiYYuWscKNGg ajY9JSRjtRXrcVfoKQts9eHNzYs0NX/Aqd+KM0eCRR9hhtO1iGKU/NXDUCd5SvZNZFcbGqcTkMZD cQe+nHiio1auBrmgpkSTgeSTYzMQGBN5DjA/IU2GrNs+Ew0MBZ+DPyzemHH1hhA3O42+o9okFiEa Rwh2EEjzcAIMqb8VCQ7xgYhFCQPzH35zUmQseiT9zUIyRxkRS4/yztCUKZ9Atj6BnGvPM0Ef+7uQ t/iEUboN9Lfu3sFoB04WUP6Bm7+S7IdoMraDdP2AID5oLjVeoa5OezodmMnWnPFyi7wY6TxiGwo3 qEGkO/QEefmCaT1W5hCFMUvhW/vKDtPunVOpUnRx+BIHOuodR7gX77AvFmAeds6xi4hR+a8k+Xdq qgG9Mcc7N54vRRBpRBqQ4+7fROm+tGvlBsKMwP7V8vyiG78K7skXRHiZkIYl7zixsMh3BybYpSxW ud58bOllxYffHYN7FgifIKvpugvl/5fUjnJFgXE3KWT0Giu0uyW8uHblx4Ty/GVT8o3ABQS5Q452 t6RonGXWnsjeNO+lQbBzWr8G7E/c4sC/o7ZvkQTqJoRd2CcvCEvgyv16Oul0bsPLysTvQcsC2wRa p1DEu1hE8l6t2au+iHOZzmFgMz9UODqu5mR2hbLjRVgCKINsoC38Xev/TCUGJPOgpl4gwuQt3uk+ 3g0QwNSu6f2X2FoHpV45ioKgwfT0bnujL9HyWGTZpQsUDrsGW8h1enVgik2LGbNVVT8P7TUM0dvm 9JtDY1LQhsWiiYBuqnncAj4I31QrWvV70+KdsMMyKoJGuB+Ljfl13E1l8+QUgKAvZnvhhU95uFUV G+/kAVBFpd+o7ZE6SUdlC/bYAufhxEEfXk8ujjYnoB21EFtnmrT4SX+EhybvPXy1WFeEeHrslVBE CQj07L7k6Qnp8LLauoMh58U6AmJnEyzeA+pFAK/unHmrIpFLviBRFbQGiJpwFNTxOJTMeahNixSQ mvki8OaXHc+KG+DPy5pxzFJAuYUOumZbHy0KF70DlF8rfS2bJ9kR0v4H82ZwhZgnkIN9UiI8DDgG a1QMbmtK/FuaJqiA+hO5iAkfOGrYr3XSNgVRC4pxBjhzFmJwcQmfzeSc/JB0B/9FvTFoB+9yPzfz WiKRX97d7QeJtPF+15ajBtE0Ebity58IdVVOQIEpy/WxPTqH4atlf7QV+NIGWdbzjjFBAjoavviV 02mypn2yKrxQ0hVjNV2ukUehvIciX/ieTKu22G8Fa2JbuR8y4yoG7OjMkyys13gG8nErRIh+1SOA PPcderDMx7Jc23v2vEQpmCOT98er0luSUdCZcNvHYLENB/PaOAu1zYJxAX2qPQhSAQhvnrputppy 0Bu9zyXrCZPIAn4XkmWmT+Y4xVoDkVgYjLCwf52te4Kl+4mACyqtjnHhykiespL7vvw0Y4f2VRA2 OoybW+r5F1uVhDDqcqdivgD5yhRrmzLTbhPDvPcVJkz2t2JNchHFzcWJEabtZGfmsL6CdkXCHQaZ fX/yk3kv0N5MFKGx8pr9EJNSBdbtNLMGqGcxEBZKz4KiYWCe4aP8lk3tllTtqzuDJObugIZsnLMq Qafa0jZfN1RVLyltjsiQbhQZ4FYmGHzgHAxXSwO6yXHQ38u6V/+rCKPixBL09Xm1bt/arqumaMtp rrEaCvIRLQ+JkAp1JPVqZ/uz3GuHssaS1bVLUABXTtNl+L2+ZQbGwk3k9sa30OGFKq2oXOYgKOoe yWZXB2796FEQcQkKYHrm0DylqZjMGygZjOMhLoLlj7MHc/E0d2M+z/AWXXQWjdPx/9oc/EcGLU1M 0YTZsI5sKRWkmW4tqH9nEtaSasSHVNKubErXhRO9dH0ho4CBe0NeZLctVjKcaD556yKUnERVe5Kf rn6as2/rnf8nUewzIKZRGP1b14lcmgCaFRSGnZyhz6xH7ozzjgIDFBz99zpiod0h3lXHUQ0KuQ9T tLjGHy41omamOx2WGlezK4A7sUmOjYrjD3xowpHt9MP8qq9QIvOelKOXB4JFfJ1ZBBoNhvurtRI6 im2x3HXyt/X6K2MHI15KGHZMjG03motiV1yavjulGRGVkOIPH9CylUu6/CHKwpRT5Fj6fhORzdVc aJbokKgLcMK74T5ylWM6mJclMLXV2Erof4I2B9qyIVL5YznWBAXrZPFMIwY47C6g5ILlBJqcz0rP eih7Y3soHJFAMHpY+4UukVrG+EQnind6k5kJYN7EhWkRxkEk+9hDqr0Xrqo4cQMr6Dalau73bIVM RbAZZ1WUo2rPrrYZqzd4slI8zwDUE99KlfcrTOOtB4UcSnuP7YoIFKmLo7J7YeeEcbyc/vJCJPTM B+8AaiYRqBEPKVRBq4aFDuFRbV6up0FONqjSlRoiPi91OELqHYFGb1iQOR+t/HCy1naTVbdIPLii msROhJas0LNkRRjfNuSWlcrrRumFYqEwYJb4NR1P6bHfbX0QfG84Zczkwnjpp5o0fGC7WzEbSMnT srRmdUtZqflOjA9yBvdVp9heiZ6iaj9aALgEQcR9ltpt1/XhHNM7lyjkYCaNshANDNEgM4Q0yEe2 xFqhPv5pBeOAS5Yw94cnmf30KXr2kEodLc+DdeDHVrGyWmXKuBgEWl/U0n0ExTNSowtmr8SFVH1M bD/Uuu7jU2czpXJADUjfUpecyW6BRGsvK6By5BmT1UhV+k1nufPmK3LkWTPtWQjhxd97g+2pLe3x PSThATwrgEyCdIHHiUdnK3uk9ClUS5JEvKWiG3AcGtNlUWDpX1RuTloSx8W+ghfYJ8Pnm1gmO9N2 8ip0oU+RtW5gaFEX82sb0DU1obA11kHawK+cY19ddVAVcDLbU4DkIJD1YXHHYC4Ddq/1ky7xu7sy YQwlkGH9y0C0bkc99VliKVKigSp6smKrDaa3MlbFOxrHJhGoFdMMXKNYJalWfvfASMFNrP4hbzS1 UMMMEnrdcLKCrZkiSsc7K5AKBWuH02W9U4r2fz0EzUuY0QjxNQ9ibHBD/a30J5RZ8fAxPqjk84zM wjzX5j4Bpqfq2iIL7dzNf/mgiOeq8UbHtAhJVSOp+lZcudmVTaGQlUB1ycnu1OpCFF5A4PBMvNtW P630tzz4CDT72I2VHgklZRNmZnCHNfaJmCtwSlELT7bdkrqMdw8pwvOP0Tc9ddR1+Qf7tyQVgMyP e5/GDMMk+EAa6tBBZHr5kH/VtEh2ri5zHsEmrXl+evR3lI99IsLyCwip6FwxY3jyySAFiJq2iQ9X IubINSjFCsTrceeY70XbGPVDyFlflm8Ob4R4DRs0hWWUXgN0BHxu9ExgFDPwUx8cJHf3g/qpRTOK eEZ1/VIB3iKwxqnyIG4eStZmMzRpiv2zJ1nkmI4h0ZkXTLscWT3+2Cm1mrxvrScz9okd5cnySaRh 6SN5/US4gT34B6bxDpOk/1zePfoYRkaFrH9UQc2c08K0iUaBWwm+tytI+SzbT7yOZM+nssg98NyK Kzi2sc5p9A72tlH0fA0V7RGHrka3d5QEvAFO0yHbMKsxgsgO+kw+sR6M5BnSd1g88eTD7lhf6j/d 1unQmPG7rwMlKV6neNdsKf70CBnOyDTITPJzckVQGh1ctTkVHJG9yCX00s8SHJ/TfMo0NLy8t93r hfuJeXrySXcfskbpRMUhHJTN1KAByexnXOYkyggB7MwCz6EhK+CYJwHpTKknkSzvRfDsq6rMmPwd 4JaqFUoMvJ/XrZ/r/6NSBDVhnFqA1kiF7FMfWFE+3iDofl04MuvSUXs3q4wW4rfBA3VxQcLWlap4 nVIoc39UCw8IaGimJhJckSaSCt5Aq8KYsMMr4KuouORh83HRkuoBVrTUkvVBFHs61rHl27pkVncv j558KDRFc41rvq3IRDO0m7hRnOSKMvLOcCCyK8AdlSKgqlVdFtgh9icc0jgb4Sz0w+yJwsTV0Q2o 6Wi0Eisw8iDGny9iIjvbEi07m39L0ZBEtM6vrrFoK6+bKRIz3YAVVMc/1CM1WMw+1V/1JnMYRwZS h6tbmNEQQj6UjM00DMQROAjrlMUC0D+LRNC06J5pP9JQoKW2N12kQsHkN+mMqvOJLpxUvdPgohYO kDb8cgVaHGPKtjPrlHSbHGw+3JbzGhpELma/YOgFFdvOTK9aVnpYpldSxFcaCuuxwC7GpLjKF380 JusBE74h+CarGBiONVRkOEo2d7z/Kj6kZQjUZW5Iq8dePMZtyHwmk8uY2aEjpW6iQA4i2I5jHjyc COKRiCF6Mdm5Og+b5fm54Da3HFvivHtBbUMetrYUNRSAOYnMpakxSyQCLhwXvlL5ByAOrz/2kYot CKIEBzGZV7LYRxTfrNTy0lWag2jhfxubTJ2GjWXz4xvk81IunevubS3DfN4W5kqyMFnuz89sQcHM +XZObZctaLpl3uZlKYUGineima2kuljJI9lH1LkXJceX84DWgsCjw2BWQVeyUUlCd9PzyVbgP/ZA NDOeuMqkw1A7NHXX6PDCFMbsI0PVSxkg8Ut4+8crUiKHKm4Y6z4W7Cg7HSXqCktdr8cGwinLkoFj 1aydMjn83VzbBl0q/3DSKnFKBEfiq6JD7tmYSZnupL/5CxLUEWvv8vZGI7AkXNl8i/ljFzNLHmdN 1u1NMRI1EyhphN+uoeoiByMjPI5jLmGrkxT1xeIBF2IDkVU/R7YBzny8um1RoeRd9b34vk7cUBhf UnqQNldFbkU2DXgJi0PKWdbdMBBBEOnUyS+kXc7Z03v5KhdN1DeXlwAG/t7qlu+2iCSWs18aGcX5 oSga7MZQiVYSJmaxZTxamW0U/cWkFAjowiekg41umJ0p3q1Tg5i2I5PDEekKKSpnuNffXVzMJj0Q QX8OWGKiicGVwB7m4pmK4YPDSRUwhz9BO83q8F3YC54OcgMk0+CWBVaHlE6hgVJkXGkjiw4rSrF/ /Vuc9/jDYMwBwB1aoA2RsIa4Wz1GFt/ouK3WLKeGlc8/xKEKOJpqmwfiZRIvMP0t71dKtRlgd1tC uBEN0VuD1St9xOZzxhtDQEU/iMcR/QQGvlsG9Nic1X0UeNDKPsR0+jVIYFnrADJf23U8M95cTsWV yYbCKx1NJq9pUcd0xMKQZcJC8BNelm4mfKBSjJD21v705EAqeXp4Fh7dXBO2IVkCNShjE4wIdJx1 pCaH+eLpgHMsWYOFRRJtqSamHOjnEfT9swyYIT+9yDbCbnsvCpre2zL2sSbkFBRYL2tnqFjWkpHp s/FX7UWYHmddbaxmrZB0q+F6OUCRLBI1CaJdT8ji1XU09j6CwvlE4uAOFo6WRfCNUHVa1EoyeEHY PrdC2+xKrqOBsa8/wpxos5ZZB3wNdi/uweF2n24qyla3MYHxfMMcJvFZYlpvR1ICeZbmNQEZrxly 4OJKRgQirESAeV6X7N/lOVlzEgj4krNYqgRgnjPd441WYMDf4q/BUIRfd9wCXjr8+5tphGpM6n1T KRaEM2GA3ulWcggx0qoqMjygt8yod+Scp13wbmfTdCEUgQ4/eocuQhfSeVl2OWQhELEFRJHqha/H wpQ5c+HGAEaBErOarDKStbHWcnJjUjL6EcprLRO7E+TLfy12r0IG/aVIszUV01y+F7d/P1y31dMd eU7fAnUglTpyUQfnG7DSYlO1qbM27Ne6uw8OTcgSUwcbx8Gtxs6X/gBntEAboQB0MYJMf0f67bVF BvfInadoE/l79fAqCevOhOLt+FIEYtm+mvZjLNjL0SoYUv20OWYpZ9tQOkjNK81NBl3Z5FGiysa4 A0KVGP8x8iLmUfg+UUjJCrJX6dKRRKQNNCvSmBFHm4lXMFG1tDohovmWNCejszOl4yKF6TL0FmgI Yr//NANtvh/eC4y00/r1VlsbWrP3271+RlHhtJM3IX8U4hnlr5ZQ3zgTXzFQ9NsJcGOJxx67KGzC vr2xHe7npkz3/7SuLG2bn7YxjYlaTA2hUgqmY/cs8mREcgq1dbncoQXdhPOn+h52mUal7ll51UiC 2o3A62L9Ms0oEwYnIYnH5HSRwJL/gVvG3jYtVffhjbROICTt2xZZ3787APHZBVVgD0mIwVyufrna HTA0g0nzybGIJHXQ+e2BuuP+d5bXejAo/NPtNVgJPpjEQgUGB3qz052ad/Imbh/hSR0tRKvsO9U+ i8jvvDsAQJwB43ueX48HludVkI3znsi2iAc2LHpWLSRYGYmey4t3S1ijMC65CLqNnqAIhRNDa63S KUrK72cNqyqOTRlzCv65PR7lW7FC36Z3ZqVgm2Ul8d/mIKtQGcw7XUfcYi5K1MGdHMRnMYRgu+JT bNqJruLglR6/pq+Unvgq2+nflGa/N48oEOsUgg2r9FB9yM/jxE5EVk8hTe4fJS5jQa63/euMH3lP BFpYHdMDALob8jSiNnIxnfb/tctElV/dCrGImrIIziLB/l0B0xcBK/MFq7YN0gi7N4MEA015hkb6 HNPM+XtVgWxyDs8gNOIVXwDKQqVPM6RidjRxHzPIh6ph3mBHYysMb9w2/sP1+tPm2tDW5BkETUN9 8JyiijhTo36/Udp10ZcTTBD9fugDT4ojUf2twrD6ItXMw4mRrJuW79S/vakm7Yc5iRnj+X6bFtyG h2Q3S/bgcJMNkBfIgBKtzfi5pgMlsb1v33+ICkRriwJf9JLf6Z0LvJdM9oZIJitiLItFJaheVXZK t6n6AGkDNUa0LYQmoiNDl4sKNplXWrtGcodr5TWA39HBpswi3mUPnWJS4OqBmWmLmeuo2URxQuH/ Xik4CP66za6MzuVqBhCDqzrD+uUUoY5SjAcKWLSKV2s/yKYOXtaPBx+M0s5m8vluEE7S22is3vVH qkf+r0LB+BWLegf7MwU930qVY83iTrC/UI/eNZT8idBisWK1A2atzxoKIaxhxABA0MeFj9kKcs/X Ah3Ugix3k8/92kwBMRKnT4fuZMgDFUmcD4bWOH/+e9rUZ19qa1AMfs2/gy33LcJKz1MsCI2ytznz mbuoa64JCvpG2VaypvLiqIQm+czsOd1Hw5VrfSFKbDkqVu55E1FvzcIwdmYD3z8bF77tv7tVGdwc ust49N/gDBI9VWIP56PfbC1EVY+jFZ8XznBSMVrlM8Wj0s8Gay/H+DGdbiOBw2rK2aAEKYpRCObm 12qeAd74jaeYAOReNETAdC4XLcv9IJPv5zCuI6md9MteiqeKAhb6b1r6ey/a9jezPtnie1fjKuJy xMG+HmWiKSbDJOeN79s03z7dd/QvBHRLLoSSj1aw0edYuldXJR9X2myvOi+XsDPU93IGiXiTR8V7 pzw/6OkFoGvPkDEyX631GvVuEXgkTnH8cu7PRY9eCNK6u++UJoXkInaidc/EmjbTyktPi6blps/9 hqm9Uhhal6aPblM1GhCdgvo8ZHUh1jkUHnvUOxoxVwxoNdvA/2vjAnvyJidqS8L6oViKyLQ4UQv0 akpGgKLOH4SQbjGO16uwc1fAdXDYteHXpBtDXNKrR3Ad/zHlL5lD9q7Blb+uVBfvMhUEFaQ74XAW WpN+S6wyhxJ3kiUT2UGfkLdQac2LiubVN51FONxYOkYS8h1D2jcJ+IyR69Hwa8luQHuxsdAbOIPE LTFKZloo8Myz3T87yi4pM7jTWeXDBTQ8cWG16CVtPMSZFJrqGXgJLTYop40xRiD7rZubpF99EyCV SFeikh4avkDDMhRCs7XdKggP63CcqBOGKvaEOx0Lyil78xCMSnwrjik21nXY+MyPPFsS5r1P9IHk r11zZhqX7nc1HgUHYouoe/YUP5cKOpTACVBMP8qzpbKKkodOnVQhj8rSNPf8l7yhOipeoEwBF5dK /LvoORCpIR4AlgBVnZfPBJR/kXNnfZAgdQyHI+IRkOpuV2egiuem7YJqNPqmKpiBcfysNJ7pBiUd i24GdxjGFvLX3wB2GMOgV69AnSuc0695qtc3JPntFJVpnVVjbHbkS490vQ+TymlPBxQZrPAD4tmO kID830p0125T68D/j8o8M45fwu9yoqrGghtHPa9BKyzxZfqYv6XFDGPzw2GhQZDkMxwOpfB7Dz8K OgpP1+mG2aF+/hOUdUSTEu7cCh/OhWJJza1KQkKv7OIDavRW2XP2bN7LQctSm2ElPfy/5m5nk8Qm 7oM9oKDJOwKnc9QZecLciMMfZTGgRB62KUVUz38x6AyOV61a3CS9xm7fsr3vJRux3Kwk92uWUAhf 4g7OUNSQZaC0EOA9TcrtkBblOivd3KQbClGdD4JKQmOyqb5Pv2IFA6BKv6XDBSRWDXf4ItHJ5t5l X7+jYF67AXSa3mXbnzksUNywM5S3WTKpVjmLb1BA/Z1mYw/LVqhkqIRP4YhPIzPiyfiICUtshxvk Zjl3fxRx+5EgbxqYymqk+2aX1XlA2r7RFr87sD41nDxHfcyvIEYQE9zv+uS0IRbPD3K4UyCM3p+g XWc1yE8m0Xj5howyBBQGU0wJuBp2V+3LUxHrPi8m3Zt2daR652vHmu6tUAGjw60cdddWg+jjG+kQ MLYPb6RTzAdmdESa5BETwMaORBWriVJtes3L53AcBBIIPzi9ULozY6qstY813PBk9+Kk+6di2TES hC3jr0cj52atcik39fu1Pm2vmCrN+Y1g7RjdS2y39OnM7k3qGjuz+QDG9yacue0V1X3dm4ZvmxLq U6XVFn4JhzXpAGMm2MpOxHEYUa/ud7vDTTkHLTbmTaxVab+J9I6RtWRlFf4bxSTLxU0Pg4btZ0gV TIqn9P0raggvU3C8ehxi5dMK/1xZzdRYi4po2l9sb9H51fxTHZgoRbm0rqMh/2ivFO+mVFxS4alY 07sCOE7d/+pk21+3RhYy2v8/04eZMSo+1KLKyYLiKz2cvf1YL+geD8uOx+oeYGfcGerKI3YyYAMc 9RnLf+mRKDhbGu1J3TLrhFBia4JvI5W7bRGBEzYPBqOXu0yNt6XHB4ghYcw5B+Fl+I2vgZga9P0f LSXXCaOqFZD1GD6VSU+EDM/hwvdonNHf1l3fDxUcPZzRKQKwaVlXnuLvUyJnwGW4erc4v0wKaAly Rf33H0DIdRssaZKeygIUh1qfyZV0yz79mT65PNaf6oCeiae5bejeAbRem0Tw20M9q9CLjRIOvJCl TTBTRzOjm6itmdimaHldnai5aeNoBOVdWx+nivJ8szaO7EqDzgGNNJt+lN5xdA19uRNJO2FUyZQ3 ShbE/dZpf43OXuyyBwQe3gpsDHdiECDmwzCdd1sndf/GNSxatkdrHNFoQh/NXK/f0lB8Iu3Jav8U SAo73ikwtCtxq2edFlZOeUT4jVIK3fXeyQCIFl8EBky0Qq+I2HP9e1q8/1NhEAtbBCMRIJkvRoyH 1mc2+EhbWBtC0Ok7+/p4IzYakz16kRdPrZj2YX2e+Q4EO9rLs65DF2qeyc8OTZ0oMO7s7+OSOlQe lm50NNUwj+2Sb50yjq/xDdIDy/HzBd0qjkq+mIZKtfHihUeDuM2h4jtb8qZwoBDIn5pfhC87ssaH PiB0+WsqWPc3lTox4+j9BxpTtNilN0fOlgqQCsWzaShd9SjKlcuA8Dv6BhGvErpbnuzeg8JHDce2 jEqz5nFBQc4lf+HTp7wg1wy2ZW8L1+IrIDEPis+iJBvxTIv5oZ/zSmvuuPXcm6E3fxe6udl/yvDt BK7A+AgQX7xh5DCmGs0xQPw7dGhIiRhpo5K9gMf0EioXN+1kxhGum0C0rp5ChbNYidtwI0B0DRUk mMX7t72uOXgDQhZDwFYBPGZbuBidmTI+ICveinZtJc7wQn3ETibcvAH8O10P5K8FAQucA7IouKYF EJLqtH2DGBoNBXGyk1SIoOw4CwgRQu5rCLB0/bawEMZ3bEaDjSjdgsOeHXwbv7fa41j6Ve3x7udF 6diI1ZxmNHPctfaQp/qYANbANqPHVNGbSTMJIcbyZe+X5EGjwwO/JxuHXy8+J9GSO6FPuK1em9Ii 0gNdO/lbGhYmO+NKZBCGo0S3YybOQ+1gEkRkQdtkJLBbfkd2OCIi4xUwZjBezYbiZpbNW4zGoYQz 7K/vC+gZ0BXB9AKGp5IQiarESLUZat3ySkT29ZRjRQqtj38gu5tH+AL1ZPyYNwsWE/GI8M1i9qV4 6cKlnQI4lZR0c+HURW0CX3Fg/W0cxn99irH9ywm7ry8q4zTBBhKY/hmlv6kD2m3i8tM0/uYd34Ts UioUW01aurvUfHfx8LlbUC+wm+eOmvnITWkUPvHRBzZusTPKrU5V8ISsjLlTBLmSkFMWu/KjQ6vV Ef1zrhTOS7x+XS0AOtyUTrWvi5yKPHF3Q+IFNX7okK+FaPEkDpf6gecfgslB4R8xdZe2VHNLQjAH FRGlimgkGHVvSPwX+X8/Tf/FhFfGB6go/Wdrgv5ZEzIbphH4zWoi55pPyblNQsGYzmQ44C6FaIda 6MsJ71ttobd5r8b8MqQGLu5yEEBq5EdU6xfzu3OY3wbbM2MvRZ/Y3Kv/Z/FANRh43p3LB19QXq3U hWpd7MGPb8wE/sCfQRGaP2lCj4uTibfnBLc+oRx9Lmk/l9EInhpIZ2B0mBFr1B7izqX+1wbz9qUQ 8Svql3GDrA5cwID5TfwsrgOq+06KLQKbAk+oM7o9h7ii9bVcBQ48D1A1IwIzTthIhPBRxVugKQQ8 JYko4tpxoRbSrWrxDlm5dA/iHSFMKmmklZpMYIAtLXi84FqViujw2x0XPu9YyXgM7Dk63fGHj5xr kFleY6V3BoObomdf3BRw984qKdral6bM8FuA/AdumYy6R9g+/tmMRyt1ICpPjCDc9qFmALnJw0aO JI2GZOTPqpv6kd9oLJ+uRlf/0vzeKnnGbbAseaG6TlZQDnK3ujHzrdqb4BJuJqXSUNLraX7ui5v5 f52ZG863EfICIpJ7YrRUU4wT9PUFR56ztOfyRltxddjIIKbntF0HndW5DgKdUiXr+i/fWtdtRArV tZMa9ceR18P0yQdLWYjZDCP83vDlwsJDlTB0prYswOwagFVX+PFn4ZKXAa0pCW7BJ232PAxXCEvb nwY/CL7MlBSz1WhRHFHNJrFg9zFt8IoOCb7fn5J8TboH2bQEZbEij+0nFk6Y4A+cd3V+9xmNP4mc 3DRMr7myA1EVkmx6MqJHmMAdeq+/clViJpX/dCQNpgiK7DNUw067v9aqrT16PtyTrujbJ2B0ijbk Kpc/D+w5eGyhwSMC/Wxn0kmbAChou1LSa+M9ONWWigI8nH8yniRw2UihRZA6C+0Y4vvJfIcahZTS U8s31Ijcu1YZEFZOryXLGcpVsWbo0iMGufOfa/JX/rAUtF3X6ZFIKi7gfeXEBzI1s8T/0X+Mj1qB mNKGf2vs5vn3ePbM8FqGT4Gf+Mjc9tLbRmiGwUAIklb3ggY6U28I5tBREBGdKicyh25GW54wUJe2 Fyfm77lGh1S2aYnKtYp//v4UGU5dTRM2ewC9WBpx28M300b7Hc8TuGOdVvI6yQj7ywT6R0v6ZznO 0WFFsEh1A6cAZ4cOWHAPPwrI8UcvhWoNXT/up8EQDz8FBau+Nx/QYymDSb/55+5nct4j9g6/sghY VITRuZgmMQBGiNfoGnGETV7TXckY6wOq+6h8jaUSWjFWHs/epA+fqKSAtbOO/E8B/0ZUaCn8S6Z6 bN9ibbLbZ/FftIQgfWgBWy1hEebJmMuG700gbEma6VcG2A+j4f2GQX2MGnhh/2DQBlHekGBTwmKG 3H1sFDyDaqOPfBub0/enSe7O7njFgWhDu5ITYjvBcgoCni/dbKI81y+haE2J9fWJ/8VEpBbTZVtP jfe2HS3jWZe+6ucHC5Y5++s8EDnI30UHgWp4sDooit+Cr+YDYl0OWjCfvkVTKwW3bWrVX4wDycqC 9TlM/7BHtLHYfLO/yh68VfL7blKTqrgVJx8NUTFR8uGgxopv9RWefXBnkz+SOYp4BkxV5kcqOJC+ cbmvwMWuUrccbhNXQX3nVY2T19i1c6A+Xa2Nea34e+I5/6mSE87AWzTy5JICexdWS/Q0b0P04NPT QEZyDoda+4Hez4elZcoyJhkkBBlB29fS9MSChNF/fXvhHTf8sxoco79G7/Lc1TQf5SWtik7fwtCk SyXRG7AZJ9kH/4EURA27P7kxu36E+ZppoIvoCAnqCQdhS/EtHMGO8GmJqtT8lhi2rzyQaEmcLwGn //3oKYisleMFQ1qceb9yr+WZ97RlzFWzPBI2W1p2BMwBgSruPWFCFbToNiiGLFA2OyfHXyhTGN80 +CmtCEtDuFsbLOtlG32F+5OlS9i4lXd84BsZ21yLs9LzftsHsKJ+qyTrbi6hGnflqkR9H58pKoUA UcBnUeNTB10QNKO3d3etqQPkuSJplBMkn9/Vns9llobyHHaSTzepn7tPlmoS3xKwDWQEg21SOkhs GgyerjSkGaAR98h//qzMLM9SpAuADhGsjLt6JtiD73FCfrINBRa+seWldURM7wY4reH0MpzUIr+9 VZzl62ldymNwF9GIpzTb2bXLRtTxS1zE3ofThhjlwNK750y8BHr4E7HQM8GPc6rB8vnof375XRL/ nExkzzvBytAnWgyRl+oPeT6BKjwyFqf/vcitTvR4oWD7mqcfrDPLKduATWKppHkbleG9nIJVz+GA IGpQqQqCBPVUouzzTlNhZd0U6+xmcd5vHrLxyUSaap78QdoCecgYUVjDGQgtDl88pwIVX/8eE831 pxiEiFwHIvhQtwjd8upBqiM4PEorKn3gvvRwxRcxLa1qiQkJXi/rcoZlx48f/Y5OnjeuXDfZoaFt w67NFEuhhUkL88w/yo89puD1wHZkfmWkXxrQdFLfAM3jrQbetyobfFjAjIHXOP3QEtSssTfNDUsl 88OB67f50LC7ZMSsZfehJSR+bFYGGQ5vUGUAxEouP8qtxOiG71NgxsiO9C5l6zLXxiKNUjhqyB3H 7/63vqUsJ3eTfTTsmXeoT0gqFXKbbnnxrFBe4q4vgfSKryTugUq/I8yj4lBE81ZBgVoz3TcQa+ac CGDUK0p8Qty5/rk2dT9KHd6c1DSxhrVzQJlzFjyXj1jBuUo9LAJfrlVk5PkWsE4cuee6YYh+Ha9D nrXlZWK+zIKz4uOiQjO1xl8osZWClgF9x4PUKwn4cvenugPxawYbJ1QqOg7Z/gNvptSWupaqA8lX eUk6A7Z+QxQhNB60KpKit/cFTEn7xYWGAvMqwB8mh0H/7B4jUaVufJuMU0MltptVPLSmKPj529q+ 6pELQoLarVukg20BKzUAcgz4zO66Z07FHTjs2Cati8BKEk4dwloreuPcHIP2Qs+C9CTg13EdFLGm M/6JQ3BEoTmAc32qYOnNmF8vCwfgwr2MsjE+IJJz3EYwW2rL5l75C+I4HfRCikaA+mRjH1fLIvEy 1gcG/LGlpsbSIRES2drFy5ghqg6RXPEfXhLIrvwAxjJmwoEdAOHDqrkEB2oxpRzMlZDmN+SZxvkk XRMrCiztip2thnS0qBSNd11H54+51JQ9I7Gax1aVenRbhcJkZjRtAzz5rs+Q8B3CY7zW/UFUinLu 2/YVF3rW9p9kdVN0O8LmHuyWRoCiPww0oJPjOO5m4uNx/hKsqYYzY+mqznQceugA7RwdUC1uj+v/ zHghf1ZqYtOQyQPYdPsxdWb95DbXf8pIoCUTFBAsoXRl6bhibioSLHD7/HTmETpJWtQ2FxpR6eKO ciwh10QNwVbmxQ2KAu3bg0gf4O9nwYqz/zTM2H5FW8EEuAd4jsUH8vBM8/lZylLwLhHU2/20fcQ/ cvLnlFjUOJzZ/ihNfT/FUgnFN1UYsju4G1+MW82T0POmS9N9KFtyi2ko5jQmdhMbIzyGx8u69Rfs kVyZ3RX5U/+SRZgG18AYm9MMl45J4oLwSHKU/79GnEIWw/aWNUMS9KwrPw64IXYDfswgaD/NrtYj COCvGwVqoSWq7/SmmgP8i8S0QLO1OYjJxZLYyg5GtpydJ3ChuWl+CIFUIIzugVAiolnv/AiPsgI1 BhXV562K08k+F229AXudwszSRg5gJBRuDvvtDAe1TfAFnCy/T+U6rRXSimuV7F3mPN/JwYg2FeRk vkESySHdMYdexipcPSOsdX/ic8ZLq6Jis4QfyFa+0+v1yvk3ClcPs1r0WoqF/yp99Vg7grI9jLOy D6NWg1xFStDDHbtFaZpdWFz3C4BgKMV0eSnX/ArgW1/qgVtQqnGHGONmuHxHl46Ayw3BW20VNDHv VD+kuzeSumSiT2VaAzE+EzcF3xItQTtDGw9Vd4fs0cDuAYjcrgN0hnSz/Ov7dBnAmEiKLOIMP73O fOIC1cXUSh7YIzsM/ZJ+kgtX6sb7kQ7IW0enqMWiBtvTUuRUIEKUJ1poMY4wS0VFXtOyRIr8vF7/ n/xH65aCODr367tIULJnJLSfjKDZGolxvf0+bb/ktnJ+HyvAzxadZzdaY7C5K989Kn0V6vuPTDFD 8cd2GopUqcBgeHuSY3oe2wHSeT2+YImHVy6ByF1L2p+5lz8fNDPF3WyXkuHlNXgWdnqTfytI5pRy TWN0jUk1A6n262vFNMGEDkE7Nab2K/S/9GGxV3wQk+3CE8KBea4eLJTSChhBMO3UBgwNzg+LttLr IMcHvU68BVLlLJf0OzwcGhNSQF4PA2VkMUQyQ9s/NQrJGCar4F+Icq8rgKJoYFMoqOKJGpg+g22s TaXXAv7TN23eYK3A3EZ6KF28OUJcG0zqHIxJbA1ZbXjXBWq1snd4QF6k+iyl7XMyGRRQi8iRNvqy kKkpU0kdXxbNTkFThl3GXFS3u9NjNCA4dmvj3Sg9o/hcS9G/p/IPj1BvqxgPVYJQZgtlEmO8pP46 S1ZRcHuJbpjnIxCvdxY1Nn+1rAV27Nj+NDQGOVLMPDrV4yK8S0hJuekQ8KgSNpRucIdv+o630ybh ZJPBg2m9FdyiUv0O5LR4zjhgIefPqs5KcKe0ikL7PP/E4GwBlkdgVhKp5jCFL5WH503tWOKqiWaz C9yRm4fyyYof2QOTNW/8HHn3VxsJZPoHqT4QUghYFB+pYIFqw17ZiJYHvk+bEkCg9/yf6A/x5IgM ls85OwPBMynP14CLyU2ok2gohBLpg0kMJYd52fgdtNUx+yISOac0Q5NLqTQx+j4zRtZgxWxV4hAw R2sdvKCLG8CM+sPwm8HydWds4emybc/pvorJwwXpoJn2ypKHBI4GZwhduxh84BmcaIMkfuK1srJn FlpEcGqsvAaJm23x1t2LYRcUEi8tfyd9LHYvp/Ovag7ZuY7Ivp/oJutUj8tdPr9ITuf3jJxYy7hs qFMuizzLd4YVITXjfCe/BTLf1kH7ymxTfqfiYy67DLiDE86jUztwkcYoFFs9q2hD0l+XxIZ3BzWi ZsruCDl50rMvl8ym0cG0SLEXHJqK5kGeTS9FQiIwfXTmLsInhoC4RZph+yWp1b1wb6Rf7uQQh88P kj2L2fWWQ1NxHP202OlScr/vsyv/cjGoFw874ZQN8vlnQv83usRGxD2DB6ltUHn+AmEMjuDgh/92 BqfNoWK+WGPCiwFKmCCH2mf22DYSy96Bu7fxAL5PL1Ka6rPFbfGFgI7sCugkqbOX95yURJJBHa63 tfzaaE6cjLoZNlJUR7CgkCusXZkfneZrMKxx62VvsccMwY8f1YWGsDxTEf5CP8en4M8uEPkw7NpJ YfzZ2RiUIEJIk8J7KUxKy5qvGF5Btd7GKS3InGbpw1N3y/GKfex5VUEHKQggyx+a7MdGncmcwfuf u7HL/XzI2NPxjGiG6JGot10XdnQ7/pxTo/px60Ry+mEEzvX1M+IMiDCXpWOOktGQ/7z6weHiDIuM w5AdUisg9NpqBo5PR67lxJF4HeW9tGfEUK5Cyv/O8G7s/ktZ+iQQ454ByeIaRQW53/L00O3/dpBZ RnjexuVcq5nj/9pQ7Eq3L2aIcEtG7YdxFZD/6IX3+yAfCLo7nc8izIzyjC4ixQxWfNtQPh5TD4+c Zt8X8q94Saerxu9qhAcmfsOVhLem+9EG9TD3OoUhM1H5R8PybbG1dnIsrdCEnoJQERb2SgKRYMIU wpUpOrA6401iS+sc4CkzLemGtEV/yKJq5DgVeu0XK88sATPXK4JkruKCKaWeioBTxN10jALcORru IGLMVmztE25zC3egsBK51nQIymAqS3WxnA8CfQj4BlEHFwanoaQ5nJ1oAunkwBAd8QUcVTcmYtLi F7HDePVRk0TWOvSUbRGtch53Zkp2hAAzeJvo/spSRbvpSXuZQWQ2uUOcFnk/oMFaznc6ghKL5+8S wlk7+xDZOnvLTj6nI81qPjN2yGdP+R0ISBvPxOIOd4ZarypgRYZTH1mTZsQzKpOe/TjwqZ8QgFNF B1wlXTbJc/7pR9o78RQFGjdWXHAWebrrh0JN3C4fdyD3Ij7cRE3hNUCCLJd7spuycE4v6GIkB5pj 0pRofNoHGpP0CuPHleDwtJG8LSZEzFFsoG78IHgMR3ZifTHlyT9R1eviDalh0lm2BzbZdix1Hhih kllAWhNlcJwCbbH/nxGaehu3fS1NyLLEO/Pxmqs97iapiycRKdmKqFsEx1O+RmiHgjMo7qqGb681 A+btN+LCrsMy6LsHl1pvzgMzqkMSNu5xTK/0+PpGL3xJU7rsAMtJWoMV3Hy5aWnM3FXVLG146pGz rMOVIVSeOwimnMSUu/omlX1QiHOnTsCVp+fb+C4NxOd6UNBg4Y+ZcHR7GzVzSqSufm+wmzKF/8Pf FzYZW/4JDoZmluSUYf/cFjpg6hJPXHTbxb9CMZU7u2rncAJamntNV4S/kUWAd4G6Hbb5PS4gIxJB p7ZXOrUROK8Bn46672NKRjIfke1SFREam/7eyjfQRAbDlTfy0Xuj7El5MUwuhDs2tLz9WRsefcy+ 98et+l6DboftGpojK9ILudcG4hVsn/Ar/gMnCjaV7nfR5WYn5rxsCzI4TLH226Zn2kDx0x3tTEuG KKmmVVJiYfqbnefMNjag3t5zN/Zz/Z+EhqKBpDlRsO2/mSVe1XDUOdeea6JCnEAZslo5j6e2EzIm SxMTk3C2K/48mteI2JWKis+cJAjDa6QSTNTs4PTVzicwHvWEDuXXiMKCi34G0L76wjAGvAAkOPNK 5zk6mAZvNSBzv5ZppqoOKYCwQTec72JGf+eeyRyvxMw+sAgOuVXHmXqTcEetECoDb/Csxvzz3Alz 4BaDQaW2C3VG6UVn4CqXDHsiCIgUQCGj58oqDxX6vH38G8ZkrnxwohhkgjPPiej/KAtLASktf0l3 eIpA+NNlhNtQRJEOG2l35zL+8puZhD5sSPCVTdfsoLofGUS9WKpuxAS8GDsF2oB8xI3Whdq8J6pk J2BTGoNbKPRDeqR5SklzrFB5JY7xXnncVe10++xgKBKyc7G78/CMJl4El0sZ+rwVSvKI1rDSFbae peCumTMAX0dEr4jG24ZepmUMdr7ES1ysaaI4strYs+xvn6SQKo+anpPzLg7zIW1hK4tDecnvC58R yG5s7LdeOwsKWcHzF6MT3swfwxHi3zcqaAn9BMDFUH3ty2rWb6tlMIDc2UJnO0/i6SBRvZHPKv8L vIRu5t/SkaQleL70tLNkb8m6ZxQyqAUeGTZdAKcT9sUtQabzx4cNuVXCSkPgAB6NqPJ3HRMk2Ru7 2p1c5MdNbITp+XRTzt85dqs3SHEnlJLTfB2hyQLeQlN2+YrNGqCLhX55Cm1zvZA1m970qfF6bb0v 4T4wOT/Sx5K/g7HrFeiOECgPld3XgVfprOk8rBo+LSdKNfuln/KL/Qwe/4HfkdLcMSQvUBPzqfdi wlXyVrJhi9IyxiA7097YAsZ+d1GXKxXDJL3cTeEeIArAcu7nZm2xeaN5AgIqIZIQKN+RbH00T+ck F2SEyS9KWFurDsYY5lGjmnPSaaijsXm3PZqaAQjsf62QrGP8NfufUYx+nCT45AIqXe2Gv+Kalbfq aX5s6Bg166rPpB9DsEmMRFnTQSfreDccl6zmtm1obN8WWdUgs+m5fWTgeYN+IZajHGVu/tpNqJid 5NW8cZ+E+/+nHRdAn0x6Hbg9Czy8a0o/b/qIq60Zgznzb0L9o4hbjdOICBn2P4hS+rfJn8p/w8ai swGLtq3RMsM4On3lJIVIXE0XPYj2kI9C6zMzioxON2ENEoxGOt+X8r5utpg1qH/rx1mfNLVote9q izLnQLg+v8/lxQ6rioD6D7pGUIfBq+Utetw8hYLtcDndxcD+EnFb9TRFPwFDycjJln7pNfi3zAKA i4FYRb/dDUXZP1kQwrq7sWwkZzDYMzEFvDlIli+HXZWe5C5S4ejyRAFeC/pO5Bh1Gk7ZlROGSJKu 5cPrzL8oNWvfy8Aay4e+is9smh6XpfOHrxWFxli6aC5fI/A32UHRo1i/eJ3+ZJi/S32Q5t6dZYko qAX1w6r2AH8SIX4iNE6Q3rAJkLgo+N//ob542sN253J/78fMSWCEE2dA8Q85dHvlA+ZPdWCXhh6A kAow8v60LvsL0WnCEuSdDqP2wvIQTTpPyroEb6d0JhUoCz/lXrcx6PClhQx9Tb24cozJ5WLsMuu1 6zrzRAWPnbFaFCkkKawzrWEbeAqYMhvGD+quBqjcM34aMNFGBcIovKUn6HzBeJ+NF4EIYzM3cep0 El+Ohlmr/qNIx2pBD1ox/MlgGU4FH9JirTzvKWoIDalvMQrmmTN7ffVtikgMXGCOzr4HOxKkmJfR wJTXoTSAWM6qEmyWv0pramDFlUrXHrGGsANtybV3a///6fjYMYQiN9ZC9x5JvDNkzytu1Od1Fnwm 6/g8jwHSSbei+DvbryBr70O7CljYbZafKNZvdVLxivV0NC/yMj1AuAq0IdAbSVjHtd1zSEITpHzV +n6r8A+HxTx5qcNV1ZmoLaL5+8lwVPA01UvodB9lxbSTscIZI4Howk1NBuhLYo/jh9Lx/EviWrLP ZDq/Gc2JTHhxRH/ZKamGRTs8RvEbeBr0E4zvvYHEhn6ClBTUD6VuWGdaxwcXRzqdzIQ/rrhmHo50 Wbegxw4KbPT38ICQbwn5a3U1C2UOYH2ahMyTdEt61r0lyrXCdAveWGXg6d0muVoCgz46o3+IMNvM aRw69RdW1qYKfdL4VCaRRvIddreTDwVrowZoks04nZG4zXWhSgP+nvlCcvkyyw9dIeWXW2ereOln 9BO9v51UwOrvzE7HhhX2ODTrJdy0nzfcsXb8PnexAv5V2rVYap6CIE8AlffW6lbMPCcyt+iYH9/5 Sp7wJlskKnd1GvM7U5TGiMMjApBSqJPu1UBEZ36XZAy25Z5JpiZ4dL7ye6cEvt6Es3FH/q89Bo6Q Z8NJctg4uHVz0IPBhhjKc1gRCf7Qk5u01tdQJMoCXCNjW/mwHV+LqKv9hgEHND9ZMCqyNpRbW+ZD d/F2yAodsXkFwZY9TMJlAx0JibVwpOQQ1kybMGK/i/FD5JrNw6GKjxo31rZlBalpNMyopYL+XvVb wFlh6eERLjPXIPmnCFkq1kqawhHe8ns8R3k9cgq131tbrYlpfr6u6CH566LDe6UtZEIKFhV4PsR/ 7ZbCp4QBjpMFZY04oltVdtrhi9DHw70Hht7vLjAJFuvpMjZOhwElF+zHj1gvY/8Z+vUmjPLzt4rS Axs7z2uC3LNqkqCW7PRwE58P5N0smkRGrqdRjCVgPEgGQG4HWS2VpnlU4BoSRmgsfcVbPjPh/DGi B3eL9y3SkDKx3wAkkc63qHSffudTAF3vkGjfKCkYL+KfHLwy9I5XTfvRrEFce3YR7QYxocGcVv6V zl1cALNe+VIGnvnL0H0sJ5tmtwy9Z6BAb0Mq0RBc4MSR46hx1srxUFEwcNDf6bn6zaNkZ2UrhZYg 87VcBzNFl6rawt8rdM4JrSEzO2s02mWPmksYE5pyDZDdcRSZfA5jRZLIKXLrjmstIrIZ29Tw3Cu6 hRP4xWoUErmUQxG952U6JDa8WqcbvJRWpgMt/Q7upaV4QLrRTIKNE26qoZEb+cBov188MjiKo6sL M4g/Q9LRmN8Dtbti12i8dYXa/GKxQKOvWGtZ1k9ENwnjWK3x6qU8tOFpibCLcyCwnOZpHxtYHry/ ynZZbYK/qyP1XSGjfbKPSJmNT6zNGSdkBIIqNNjRJDy+cYLpqM5mGxPwV6PTWsbxKtNowNs3YanQ qqiANjHEL07K2TkUtZB53fAjn6rZxqaLkAyfpeif9bh5MX46mcR9wL35J1XpAA05kFcDuJk6ZbYh wlZHqL3XR1ID9sWmqSnMrqq2r1TTodFJ4OeTc6W0/3tdAboV5DgI4HdS5c07/R4g9gjEVSBAzvYE JW/L4Tas3ZlkfCOksFUXf5bkxLMqCYj7IbyhilSnKkIp23LG980NBJP+hLKmo4Qa5OQcGTiMQZAS 3P0o6wuzgGrhCtb7+QrGcBx2cX55ybpOjTz6492OdwXzvXoN/5HAE+DYFJb2E7vEiQxWtB6+REqZ ANvH0PhxFGBvierJaYaa5NyMkLuovhfafgaSCOR9v7RgccsJJCQsgkDF2XL6X9RuSugX5QmAqeL+ RbQYUENhluSsUgFHJ9+KXwvj1JduNj5uje/YTPDFGnYAWAlGlJfEQVpS2xukDWJtyHmD/Dp+sACa btZvIQz0A7MNRpCdEq/yS20UwIW0qpCL/rZ0urJ/4q60wfPSqLUEuverIbAC/34CGERZyQurdwlm 2692Omn/dwVrlA3FGRND+g+UA+xbDOnAs0EARFiMGayujlciGsHYz0/Crs8fY+1bcnLduqQw8RtQ rM3P1zZSN5flSAzmLwcJMEfSU5Ullr1J2aIT6xYt4SSb0iJx6eslZLIh01qlr9KrRlCnTAVZPs86 PGaLgHOEkHlzKoW+eIRVNL0JKIqngn3lvG8id68WBtB2CdxAX+V8cioT8oIZZ24Y3dZwaimfFGcT qA8BvsCDxAFx/lfwRYI18lNPOp+KBa7AinC8k5lml7dNTw15PfU7n2HDQmJiOXcg36YR2TNjmdPd BH9BUy+aECbvXAtRq9pJxG6cUDqoC0YHwoUC35KuIskDOA0PzKrvOJOCwyhIHP3uITlQ1BU/wr1G tFBq8qKh9aqJ1T64FePxv16s6uw5cf7LlrRqxSwhYY5wPQzcK+UF6Hkqs/BrVK58m3ggynynhKvl aLkruoLLHPsuLKdhApwocfQY0cyOv4rA0DY1GOcb2jjmOB/r97oNrYq96u3jZcBvMHfa7X7lymMs edaT6szq7EMNZbmZ2BzZrhhtcrKzIOKGFljsrNjoreyLYM+c+ydOWxyBO0jW5iDawPP3INqrhJv2 tpYx8/7gNb8zaxIEBuJoJwHM3Gq4FkFRI+4JydWuNkGfQ7F/TA/RNyIOaQqvVMQrjwd0hphoSapz WonXdA9y0DKi8H6zuON7a12Nc600Ct+2fiKFOj07uanfAEcEmwerE3tVXwCrHQPZHy4/zv1vzPyh JqjKAmCsWPzmGEeho80Sot6pR2ejWcI9mfzoDBxcJ1JFBs/GT+h2DAMQlsRCUYC8f+c16rHkmSJU Gy49U0HTeY0xXoBW2DKpCdiWCvon7dL8gxbGeQa+zASrOxVA53t2kZKTW0E045F/Z5//+d8W7r8u uv5SDrYV1Yx19yapzvIHpPJAj/vAnQ4KrUqukEwbI/avojL+J3Szfcq17XxpK6TXMBd/gy0ZQUX7 0u/qd3zblkNrU+zcQWofPE+la1LnsoboNk/Z7FAzSOQiv55qOoz8PPasSO3bDk+UEmU11PE0/99T B42PQYwLZVfJti5LUw1j71qE2H8Gj1TzS/86jhy+Nb2pMaG+aj5h3IB+nOaFgmL9nhXDr4qGOpOv 787V8sY6yMjWu+I8kPE+9hyZu6tM8YkQXoKcmdmtmwwAIO+K+rzhvK+ObAzavmVGM6Z3gZftnUsT bVzw82eoGqiXQ/7uC/nueOoFdVIHoqjTSP/yI1NoeGsxc1rYirZlKjJU0OTLYDwr4vFMP6s0X2/R Ag3KueRISZOQ5RJLAJQM8Beav7ir7cem+2N155xK/CpB2tjqPMck3CuzoPmk20KbK9yFdm73gRvL 85GG3uC2i6CvddWVu2gciXNMbcEy5NDnb/t5p8p5Xk4vF9sPcSHfv/V4bmyV0rp/AFypmt/xs69o hs2/Uv09y6SbOjlMMUXDPIq2NNf61iADHrBVzifEp5ITzdOmNUNJW287vm9zlQFIAp7nYOv0xhJj bhua4za+QR+vFFtfxX46OsR6HjO9CYZpkfHinrfiOajaTHdYf/2GzjjU9rt+RaMKJr8BbF9OneDq swC/OqtxN+Bu+p8hI1Sto4itmrGW9ybBZUbMWwIN5Uf59kLjX1NFFvA3UuMwWH2m6XDAEOpy258w Qigl+tNWQA9A+q3Wl9ZwsteBrzrVoJ6gKb9kP8RvUAk9ocVOBBjYrmDeJkCaQbRy5odDbDBaDuhu X9BDqO3e7mr48uNT3EC1FzLyLdUZ3uSpZYyPMscEd9HEVpYjqvBT9ywyK/SL2aa5fc2dFK33aZs3 NWW7aFkGWqWgi4+08QmvF4gXF8FG7ogRAKdSBGryar0wRyrOC4BVxtjmXLh20OzTYi6c6F2b6w8i X0Ns1JtiWhSsHhaMs5X0wsZ8WwLa4Wl7oXwEfWaIsW/w+luQ8I3l8Nv4laHbT1nhZNpoEwuETrJs yMbt2ntm5Wod9k480ayOdt5uOXvhdEcaMaasEJGbUg+NmLUT4Z21dSPSDyCdWRBdpjWRX41sptt4 kqWGmsqv9Ehr4NnF91G2aQnU+RAPR4ttg+rAqeCftRawAK033523XDpXAZG/Wptq7KhDAxH7yKcT pFhLc1d4Q2C2oD4SZLNw/3dvM+X6Up35HnUW8i9agqE0+A96qbP2IEAnwJaLN0lVEN80aDAMuR/4 3rHnk2U0/xuzwR5s99WX6PEE3ISDsR6HY5P4xY6m2npoMKaqQQfwEz8xSBAyZie7iyiYhpvLzgun VBmeWgyyDpnGnDs2t2H5hWdIHHtV6XL1Rh2FdkRtyUhclyiBGQ0z9MTmRcIAbU7lNG14NgcpOLHI B7ajTFMvp6qN4zN6QrUUsi/haswgXW/XXHAzpbDOvPHpBUGumvTDyaINVObauKRGDzVdOe4TFSPB 1DAvmY6WQn/msGMvFQxNSnxyqxGCJUCMMIuYd51X392TrYUlZejiGQ0ynRQwx8V9ut/U0HOaBMoM 7uoSFBPt/E9APxUIbiT5pMZkpL/MENionXyLkcT4+wIIbIuywsnKi6d6dZLaZpmOF4py+9BqMB6f WuZvFlxszeakKEZXbBJ6s05U2qNer54pdGNC2ickmhRtZkFuEFeUHDeEhXNbpb7g6iFV/lysmyRR 093Xaqkm3zuXiZaIzDnGo1ZUflDpB6Y5iN6fiXXfNTrfszJK77IGBLcYbhrUyGv7jC9E1YpmXr+9 tgcBPbIJLIgahaOksf6eSOwpITIxvX2/zJi+TZWByBMOtFakkIeRtOEQ0bIVMYSludJVPBVOU8kC cC7z5BW7gps7dKTEfLwoh9EjsfXKe8Uw9JH53l3s018dTfqi/v6cmKs/fgCroklJrsYa97HYPZ2S IrHFFCq7TSkO/nWKUGKlgeJyioD6ClpJKyYXw4ZJ3qtl7H5Ex3jru/4mbxzAlTcpk8dlPA+c4zlc g3/tg9EOkfHBvGNe9P+ETNsx4LKWl+w0dOk35TBTVZ79xf0pb9MUFRy53f424wL4Hf/g26c+ATt+ 2qG4DXrWzDSPGv0EfpQmfo+HBUL0p5I2DH4AhWle0Mbp7yS7d7APyLpvvt8LCrUN/py2Z8Yy8gaK CbJ/pEDsKlwhpSFlUnYNiSJGMhBosFha9bGXfa+77K5kCC34lYq3fUW7KFB7xJmO+m5Qk7Feck8B 9iN17B5RTGwNtZJl3pL3B60N/eY78B8PgXKZhJvdF9fs6vUdWXSC0vVAFQI1bhn8vgq9icN9AsCY KIPhqp8nyy6WDJcdF4BF/ZhyHZ36sbM/CXlAbnOTxKvXqkRxAbF3VZXZ6ulH939VaNd5etGVK7a9 BkhBlbNjhtD5sqmI2l5eE4ceaeffl19mi50WZALe26jxxwGqxf5CWJgfkrycTiMNG917X4shb7oG aXXa8prpTMFT/zVfMBxnHMPVboGt1I/caXUbG7KxpvO+wwshi2j0SVtrJXr7w5wuwbrd0MeGHwC9 BakrdpC0p59tW5/quRJqJzPwczxfjHQHRa5tL37p0a8IjJjmnGhCk+ecPXe3Qjs1p5qvf8ZYYb7U +yH+Wn6RHyKeVBzPjVcdpTEq2hPyRh4chfhoS82OKv8gdk4yGtYO53NPBkVTyb5saev1apQOPy1W W+nHTVg3dyKOU3DZ/Wwe9nwWigmeaBK4knC3PzuzCSzw/m/ufKg6INuiCrOvcs27rfe31PTZpjeU pv1e4PS8HCVKbGo5in210PTset36IjfbHKTvJKLKEkURrYQW9DJqOdwI2ilbZdCoNxDenHKvs7rE Sf4xTdVHnjWZN4iCCts8avg3vyOzqKdFOYsYmtwE+4KMMU3I4BepKLopw69YJCkuez+AAggp0aXl pw6l6I/0SU/oDDXkzjjqMaJddnTXC5ZEv7YiHkmuaAfXxzuAEcE4VVu1WTZRdkHf/gpqVeAQpTtu FHl83End/KvMcRYuX1kzgLtcjlMKzno0bl59kzS/bxWNiwSugSqiKQ2WLkK/VUs88t6wZgebV9vY Vb8uULTzFbdmetYDbH2V/KfJY65yUmgGqdB8OPbCVxyMt3WxiPQKdX48ABTKtloGaGz4tp81sPSx iz9G41wNV/BZ5UB8efyUoaXVaakVyHDfwlFZq8UXgmqDOH6wcCEjhgf738peH2xmMZ3jfTbp/Smo fKx0NfJH0tEfdBM0Y+WOdzOqR/aJ6A4sgKWNBuGBeT/YZy6TzEZjBbOyR1ALoRbEw8p30EfDEM9x W2r+QLXJgUqPi7MMBVRxRGFrqIJLsOm0mC8f42BVQXy2hzm/De5L7+wUht8UB2WlX6iSnfZadh6v 4RwwGnr7ow8IgyNR8Ks6WDYLmGMau73M07oPo6Q2W/BsgW5+Z/HP9hnSylGuE+MqWRhMQVrdGePf qdW5lDGO9t57DL+qu6XJml6S9skOGHbqZElsMnCoJO9eOeMRP436PEFz7x4hmEwzOvTbHvIkLTzk TbZiG+fiGIrjeNfPg5uRCNI/Z83mjRUvrknpvVu14fG99yc9TuxExQxekf/MmPh1R5eYg3v8GJXs bNh/TQ4t5BJoWn2DsaCSxE7f7tNZwOe6AdJSMfZTBh04A7NDMhV0rbGeYS018dKAhYcLtQ8zlMWh C8Sq5z3JcYsb97hHfHxPx2fEzH7JxQOjn6f+wG2/gjVxpVifDOECx7eon4NAc5HP4lMCZQ6E6i3u eerMbkUrk7hK43YEZlvMG6l60Hfh6kEfxAffOBaapydI44llDdgUTiTKfDvjzu+aWM50rI8ffs5n kEU2HViP4t/uyidWHWX/0lIR655Xu2xfL+xpcXqVyYa9JKGQhFVqWVrVogjpuT4pmpNvq+ynmRyZ 0+tHZSPQ6PHjQSIbROzcGDnqfLTEbE0qhVHjcc8msIF9gZtSbArwOE2HsCzzNQflu0BxEi9if/Ef /KK/GDcID0Y1T6sCdOsrQL+qBMQpF3i7N6d8Ckn7a5BKJfr91YkCLlplJZXGsRx4AwVs0Dj1YOKn d/+sQx412t4uIdWnoU31GEZUKwFpjHSnzFKcMe7VIfYCmCg1VEE9SiKach6dFPU6nvLxyJUqleda RKFQ3y/HYGyH4f9GlTouTIac8fGIo6pg4SsDNI4nRw6Rj7a3YlWSsrjv/9aUhob34QIWHBzi9I3S uSKvcENMleYSrtaOWX7U9eCwAFFyhz3+hZzT9Jq4GvEJ4fxX1WcumpU0neuCqq9afbT5k9nOxVml KkrAPHDoGf3qo8npmPJQSSWxu8Wb+OklndfwELhNDBPelE3wGjyxQsh0nKaTeSdj8/Z1IZ1uwR8+ kCj/E4KjR4s+klMbnSoyYJgyt8Ap+grJ9dn3Z4v32z6Pga1tSrK+qhHBFLGGqVnZ89/3QXSns4mD lE1+rzU9GXqiaaQGPLOF4LQcM8rO/+J4doMMCM2htXHz0NDvF3YQqgfg4sT28l+FcqUUL0znkZXT zlbrCB2QBNYVpeao+Dp6qXLJrlCJ1EnHx02ArLwFOYbCQsxiDOv14KDDdptuhTDMUC0LfRBhtu6I v3BxKgciYTatq4448Wckp86wSCtgnO0vklsMXrzTBOpgmcRxvS1MHweql0UriBfsNsZpEvBLlbsy dvlPTwG5klo65fJWT3AJxUEJ8UhgM6vBKT452oeI4dd1ePrQDlT8+Gj0CRBxhP1ba1aS5cjIiVBx puLh1Frrf2/eoJyH9kvWNrKBbMcv1OPreonAMFI5Gr6VjUV1ya391toJt4HdZ095ewmJ34jjGOCN T1GrLTkxTa65nmK2HXeqiy4QT8UBkTrrRNtbXyIe3cNQ5xUduBMz9zfqz5hQxRdx24vNi6K2ZAOl GveuxE8/1i6OPg0mTAy57gk3rutEUEOFq2vm+nmR4TDsm9lAk1apKib3wKc4ML7y5nj99cips6vl 3KheJj6USepNdKKi9py7H/sqO8MgW95/RCc9e5ADmHq6ISibjWPE9w82jrHbXQAGsO9rVDzMYErv RLihN7yiwmWIgjug2bV/qUNPsPjoafZhj0rZ8OGVKcE4RKDg4uh6hIg2kCLo+TTFgegPzpkEaPxp rmY2FmYzAG3Eo/k3gcmyF39MfrTgzI2toQwkSUFr0nuPSJXqhG1o8PRX8aoNvdo7moxe7d5GjV/3 UF0ZVUyCigZ4QiTHEQ2LnA/VCW+UGb0nvLfduvrxeooJ+biqur7FdIQ4AAowJs2ZKtxCHjFfJFZ7 GPgC4JtMvS4myziFP3+me+HzJJ4KwZQ5D3m2xR6EhOL4YeqdA3XjA57GorfdB2bZNj0GzyqV6HZa 3w09qDXmGd26c/HTeJPW4JhuOrcPqBFmCgs3H7x3S/8X1PiGoahrV0SMuRRequO98eAZKUoq/zdx w/K1FujDvFWF10arjFmf91tgbPu6PEDR6atBjGStbVHejvkLXUsDsC0Hcwc7cD2gQMYoaICDrhi2 QtdGm6rfisICzNBLnXtdWhi3VPvTipCS9sPTZsTcW6hS4Dir8BgTGNYxQAL2bzFORkPWJ6qpcm/O unGhr/FFMzyeqGld22+C+/XFEZdtiNkrRwp3wOh1al/cvtBixTKufWdiuIGexjH+sCrJ4qwslOCM W2UadN1G71ryHkFq0imzIxo1y8PUON062ehEoMURGtlhLhEhb6pAkQzI6sJ5rf9R2wL5XW1L1L5U iN34yyO71Di/9qKhy4pVyorIzfs2v9MO8m8CZSbr/O0VXEP3MxuXpooYvRJ/1XIDiEkwMSf3FlRk RkarzZODUa85ZCRSG9vOZsglZzVbMsOika879JTr1XkFiJ3VbBUxylYYierDwu8h/l5MOA4wnIlT hQfe+YohBMuXcQYh6Pyw+WG7IDSO+KCcaHSrZ1bkz6INcblWPcIxuLdFrOk8Cu/9s5Jvt9nk9jeq z1NVe3eyESkZD+ICiobn/h8sBVxUL4ahvv7bLcgh7b2FAlKzCJfn4yVuuOw1mo7hpn6uDv0DajMF OYzc5LZhrbwuia1K4iOFavn3ezSp0eswDq1GEN4My75M6qWyuIE8oS7g4gZw7xOmYMs8kblXXah2 F1C/gU3fDSbMLPQ4yF1JK9r/3EzGo9dYmgZh0PxJIMIw1xIXENivo402PEeUkEinzvl+zmdp8vFL DGoDHvPvLcOVtFy6hxPxLhf0exyKJz3CekufXs5B1UTaavp462uz6TvL1pNto/QJNnI3VulTmKlb qugb/TRXXnRQg6GyWe0tKW10ROb/BbPHMdubB5DJ+cUDDoJHLr3HsDRiBGdKWaOY9OrveHhev5eN ZQDsoKFzlr7l5I4v5RYY5LQ6LtYKnEKTiF2k3r87kkn/edKqhGy1RlyfpCyBRfIWxUW6jGgtx8rT En7QhMW1HU7qJuKR+J2XWY6njKpJ6oFqS3YDzW12s6EkZ7ujWQFFZfWcp/a38w6p9mEh35ZthJxQ EXEDcv7Himz5JGmGpjs5a5ZstUnrYd8Kkpyw4ogjcVBYaaeyV9/Q98a3VN10YdyQNhJ1sIiBPsZd vJee5K2IOurMK19LTP5OXOvEFhyiPl0iwHskcE7ZdM6JLGwtSsilZAXaTeFQp/+aJJJfyAPV/otB RXTIJfWzjESvuNpU7S1j1qOO3bDiNeNDIAvejZSq0RhJ9uJBqXp5S086kHkUbjEDugQErQJ7YlHK pEVsocfl6MrzPvF9Q4h2b3KvPAhXXvSyvtxio0Uzr7r6o/q9FUvfOzAPo3mMi8oiUw1w+j2hIbw+ tlyzTj3oe1x62FgQK4VRYsLgOXu517Vh1t8NpQ+WnTVlDpGewYS+6nglvnwkQnyLMcEQ20PjIixR FaxP40NjT5ioyQy7bx6gAP749pNu4Ri9RnwfAIcfMJ3Esgp5Uf3fnrstI+8wg50D3vooxHzWMDN3 1RbGrth/uOGQppFFJccRySoLp/DC5wAaUUaeTwxCh2IYYsio0zE1kbs4xSf/kXPxb64N4yWRKIf7 jyCCVRnBcX1QRdlJmz3pJOjRK+b3Ytej8bxetTO6tAFvD8WrfMYLdQ9M5Td2iAnKCMtpa5kBwIWw 2JV9zZigIEj34p6xUWLoM4umDfhJxgg+dDsT1f5KoEYPZJBRRPdOSj+Xmjvhc3oGm+/tb2UmsC7J EhrFksFhto4eXXQo7nZL4M+xXOOScCFkxff4Fp0631BtLi5/R4JqT1ujDVhKE7bQTyGhwEL6ORCW 1hBdJRjAfrkfZi+v3Trt5wV6/yske9XJy6Ew3wVqPJE1ygdTnfjQ/QnX816O3UJf4CI1t05ACC3M LV90Gew1HbePj70db5citjv58IymDXuUENtdbMONOrztQXb7Ua+lUQpwQd80ok2iYlNGzEfCDs5a kMRuFwC++ukKSWMeonKgNVS5xkR5k089ZaKby+Wv7FygTY2m6XI7YfKqEjsxpYXSTwER83RV0LBr EuCDSEGZxCDS501tEo1WhCjBnIu3vu3aJD9+shB+Y2kh0+3CV5ndC3fJvd9TKXpGX5x5w4IqS843 ZB8HG1Hgn8GYVnWPtLJXAiWoVcpqFTBxYXRFqASCUTY4Z5mkjMOQf4lU7zeva+kr6lZrrm19AfO3 DpCgQ84wPGdRqwKomkm+T+Y3UGk8nk9LFUn+QNZ4bcW97wKrFZ9vE/dGP5HAHjcSiIffJxj/AUTI hv4ENLoYicaeWBiw+hXjJNY1Brqk56OOWvM2GxtkjsRqm9GpcFY0N6ohGf3cp2dcZKlUFpQ94Rfn gq7yet6QyVwLd3enSVzOFFmrfnVOttzS9Nv3NykDjp6JhIajlsufv/ZkvgZMXBP132Lu5zES16Y7 HI4YUvS8PwWsVRcGDL2H1W3/4aPFNR1KcyhLE2o0vS89nAUGw7zyyZHMP4VfRN/3gZQeeKm7N5DB XFqe+n9IqE9btxCUzCNmGH6eIDgCLuYSa9jW2E9hXFRsrUHzxR3DRz5X8v+ztdURhjEhwQMxqUHB Stqz8mH1VJkKBmXZwCkfVy8pbunYsKketyyNZJ/rSH6gnfqtqfYLpdTDBrobr0xs6VA92vOK/Lj/ 6tgYiyqsABnHXPVqKw4gD4oefqsAjLErnFTvDMZ+JZ1PmoJRCfBnHOGQxCVowUT8OaxJ3dDOaJLF 8VMEd9WzQ9N0fmUyMba+HJQJbMXoPDYrLgvveWpbe1v3VQ5pDr4pNOKWj3I+GZ04/BHAWnYKZ0b/ GGB/1JnWbpwuzY7aAV7H20Vqsw0GnRlTyUnzRAs+tuxVJw/lk/2FPUI73Ru0jivEAFwkmoDHPecd IUyu4WtVQZH46RHlPZ5pOhg5i364vhF6jZgC71u32bLIdqsPSTspIt8bCJo2eLdbsczjSBBje9fI 59vrYYPv3vyYkpkShYzsqDlersGuQy+0bT8Gn3gj5hFUsshf67KtxviXYoDSPQYneFvyxV33Z49G TkfFVKN6IrhFktp13/3DhsH0yxyQJ/V63WysIPu41I4pDtRZDuCnUk91tavNLiD9MhcZMlQwDp4u klSgHr85Yuye6yLgTIEpJjqieDBZNDdP4lhH4QaANQghZkkmb8EvKoOZNv6wSsgcvrOtrwvAP6ek spGSKhMbUWE2TxLHMFd3CQUcu2wzEqYLGXCLPis1zyzRx1Dzag3GGXVMolbd9JhdhuBsOWF6aw6y GL2fkQDShFcRg0yPMYJRSfQFz+CQCTEqnOPG1S2FHvyAEBi3rjnz1RjGXff2JN+PKUAHhShFecxj aeDMfKk2A6+6iSKZk1BRKL6GMoJ7XhVVmScU/W4HUGtoBWZUWHV27FmBdxt5Ix2C5R1t22H2LbAz hMOywpgeJ2YFzZn28hvs1k/g5TKpEfd2MImC9j9UK/XAEWARotfiF/JKW3ADu7zYqVPeclyaPttK 2yXbqkr2N2o64y7Si9XssV5n2CIfi2uiPkPSmY9V8vBwAXjFEoUBJQJtjfdDgyy/wOnDxAWMNI3H eUE6leEQ9rKhRKvn8vaoRyvnxvMdqLJNsEvIitNkwNrDX9hE+kJYUjfBO4I/I3WEIRk8qmwqKOn9 e+OY8WAsra1U4U3dU95nRWxoLfPhnkA67nlm5O91yTuAsI8ZnRr1Jqioet2F6UAy8azIJGEiNViU +sPM/MUSNsdVr3BAM4bJAbknYFZ3IVi+gujg0AomKUaMSZx70mWayNWTjqijWW3JoxCRO2dB7WBp dNBSX8WGMnG3U/wVQ4hbkHsgmWOshvbt8nWaMOZpGaBTDG9LDkLPw+6B1BtYZ+8ekONhXfY/u09/ +OrPacoZnvaHzXZcLio/77cUE4gGDrFw123g6fuvSZsX7PklW+aQHeJcvLYyEpYFhcWc/QlR0+MZ XHLv80LRbS/4NRJI+YmbKsgaKBAbPYELGQVMca2uxnczd02kmWVGLuD1KtgVf1dKHBIPabUYEetx GWMpj0k6FvxzD5edmbchCvD5uzUBDOxTQEfzQIgOiZDGXy12cIGG/XLUEHkXAKco2Z/6SVi93Bjw i658gaztGUmqF2WDMLvEUj6vk+6Gz6d8P/RDvXZgYXoj0zlU88cdTnMBKbGOgeUHxvMfBo9zJzap FKrxAKcfL6xC0NAkTYiBCs6Vydq5r8NeQ3e1j+zVxBM7HnA8TwPWevy0fJY0xWYvfhtApyRG4RzQ v6CWPWHVQtxKUOlPJ8cTK0yHAZMfiakCzRka8bXD3HX9zwVU7NguPT5jQvzpDPuYdzLyUMtIMoYV Rl0JM/lY8V4L1z9AXdQwsSDe1agToQhGjrJ6FQy3V0mDmmiKt/4dHUHBTXXbGtuQVI5qu2KxemvO yfE9ZMXIFRoKRC+Eftg733k7TBDsj6LHZEbBNr12TK7exUU0ThkPp5BATT0nD/5w0QZUYlDaCHN8 Lr/2b1KVEyAYtOohVOrJrix+kH9QRvNUKfqGXGZikwZ+PF/cPKAPFyZagMUjj5B/8o03lCN5IZy2 718+KQIj8BNB8sqd7tqRWCua2+/Htx1LvZpu42J6/HvoJ6CMHCzSoG3ZSNIuPREraSf0q74rsSKc l+0u5aclJc6PSSsaDQ1fuBH+P8PMXf37CxA+R2YF4we1XP1xq6ig86rUt/rRECzpMCAqZ7WG5ueo lJvOsC23oGvrK/8gQbQqXcetiO4Jm8QmnTvE8hxKfZAm3v5xkWou60543GywZ6aKN2RabdW5t4V2 nFuisOV6lZwVKAdDAsq2/d13qU2TtldZQnqNAciGTb0h50rxesBRbFSBx62+Qd8VPiNZzTFn0bTj H4r5kBYYxw4SbCZE0kL7qIs3jHIyRICFU/B5OPyRvx7iaiGmh/G0+ABIgT7y80zcQp1gsYEPPJ8u 9upR/zCCMqLbg1nj5DgTmRTCQkR+Rser2L2Hes6IjmRYd1PWe77D14pGuBqhauFk/rFY15Rq43HJ 39CwEAYlAjqAyQhdD9tvedE0eonfQI9SRtz4i8rmEsh0MjhECgB8iTKHV2/4zIkuXTiNpW8FU3ub 5IzpS9E3x3xzmJabLWeHNkBW6zRECcRSg/1x4+WWBChlD3IlzGiQiDeLpSN5cVIVnZDZCJPHwVlO tdDnVIJ61fCQ4C//CMZG/+QjKIJ8LCFdrjx9cwa5Zwz2e5dsomnh8vCEjEpS0vpgZq0tzXGMTjV4 G6N7o0U4SqiQUQkxTvqTVY5MRr5l5TvPss+BzUoSmeXEcnzzYJSJjg23a6KVDDm4GhWKHzk3IJlM zHDosS5C5CmKt9/bdcHVNyIgVHXYEIdeTleM+Qodnr0HqNxsc1OU/RqkcE5sXytXZGVgv7QNqqAc 8ULtabucVXuN84NTbqaUUKD5LYuGTvJ7krlP8wtwVriAhbKqLKihiJHyzDgHhp3U5EoTQK3CE0A/ vFmwj9QHXXOWtxz9emS/odZdCypx3L/1bHVFHLM067ONFDyZrkC/ismvo/Ga3Bh8chVQKCbtDRws kQxsTayj7Jq+NVhntBkBxZ/OyJmjedNaqPO4U8eb0a6UkX77PYYwqE0FTr/CSoTZ5ZoAwnyB+jhK BjB5F2DPb89CzxqscDZW4CCfgO3rBd6lZtxvictvZgqrfhV1mS8o+a088V9rn3TWgAcrOc4F+1E8 8kOgwv9rxs6kWfWM7i9AxP5Q34XUALx67G2xecOCuoBDRk6Ef+arOBMHslfrfQEwRIwA1/fLCmat ettgTNZGo7TajjOzV437UA4BfmeqTcri6f5wjg9vjhxurVHZ03AsxJpZkOxZ7OOmxxmgQHfbB8Bh rEKzxTJSIZVlSjbSs4gkQfMDnXDvsNacnMi5jmotW9aTa91j9SGSZAcuP+TUx13GLPr3N6DhCknS vuvfaIc/aRf/fQr/R3L/oOOqlGaX/yWlxDbKE8cJ/M/gT2otWCZytYaURe7pTasG/zl3p8pGkbE3 BYj9vG/0PzXg8YmG58meFaSd50FgyUaoPMiYJjmr6ZKtNbuHKjl1p0BkxhvLEzrR7wH1LSl2JVpi +Ueal9h26pDJSweO7F3l5pLD36NdrVKC0xGmV/8n+dBu8OqzvuaDmRBwcucSZ6OvGjnS8psi8BAW E8Muzv3hejC2xActP8uZP3rBPhFK/oi73Jzw9Y5kZ7vsGVLKzR6jyiz01a9PxDQ1TlayQmzC8HQ7 XgOhxFvMW0PLTR/3Q/fyVgm+Bsh42YcllSHa/SBNIbjtN9k5RxcijCeWAmQZlcX80b7bKl8WWmX6 ikyeeRCBFeldB3FiWu+aagnuwoD7QEKULAPcPmM2dx66EDy+Ax0AMLjnu0M8qQaF6aI6UdhDdF3S pbGbTy4379U67YIy4DqFbYrmQfq5UREso4CcP1vt0s4Rjtxf7vPPXXQinl8j84ardxAUK46G7zMD i9ekkhQrVk3s7lkHl69qjpnF9hZquSr2lMeByGtVPhCofwTsr2XDkKqTzfowME/it0YO2VgCANjn 7PX4Qzgfl490BPIXkJNgJwH/4VWZS54/Dg1T4RWif+K+TIjjRiU+T+4w4IiX117RE6zair/k1use EaGmvbM4ehWoiZB16mrozHrIUOuGl0NUT/8jPC0ZgaARKs97V0EoHCeldxhZtezWqYJFnmdrA68T Zb8EGhx+IGxfeId6/Nuwvnr05BumoOxGr0cPmKy3CQR0Q82Nzol0aO3EbyfQAU1qnw0cK7sWQ8tf BJmAA2ZoukbJeZ2a9nu3KWYw1+GkVzrEW5j4NnLOUzs6yoDNgSfMJS+S87UsNsSgarOcGxmkPH30 UQ3SiuKS5L1W3XHYwGjxdGYGpUZUxS/BezVjOUknXMBxqemAg5pDTy30yAc8I4i2iUl+BADSXRrg DaKHLaIAk+j5iMiGYmvKkYlXRS8sWsaf2dT7BKvacSoyZXTOn9AKhIniXUoatxYQQQD0u0EQibGH KitZb02TVDt/9KV0rl2t4nsXYpDXb92tIgOOESFCwMbeyfymz4DAbzcx+cAYdq5rVwgQk/w0GukC /ebioqFtl1MYLxMBZdoyIJVY2z4emKiWiCfKBCihV55nMY3DcM/np/Vn0R7zlRfrB7vZpx+FZMWv z3PLHt2NRdLzQ5EvHssYpB4TzgzeUTS+TnzHf+K6K/wGSJxcjGmq36xa820FK0PLfGssjRnmMB+S vnDnwSn9luwH5/DiRCoyHWnvgAL6QMNo4tyaXpgk2IcGqi/BLHYgdkVxrkpCTcLKFMxXhUodqMnd JlIn19biZHQnrqmiN7sBc5e+UF9kShAl2uEpGsw+lYoSHduoCSWqEy5km3PchVftzog1SGUKWfbH QDNZWROKIxsYC6RPwv2t14/aPIEorCB3etjbt2vxK6a7Nt9W84t7anB1HKNrToGRr4WHiJfImnjC 3OdMSCPcgSLCyZJBAZbJih+D6Qxd33SmfATVv60Ne9p6vGs0NoBL8/mn6csH9tsC8+hWizrdnq3s 49BPbhFCrk9Pz0K+NSsErCF7+j/Gy3sQZqLOiqgZybYYd32FVohcwMnZ8pOM4ZPhKosJE13W+NYp gAaQMhq24ijup8oDx4oZwlwQ6DdH96rXwH/SZaL4U8w3gXzuG6hI7JLToHkMLMSHvwpDy+kKfINZ FdX03bMDxZQtzSmVkVKDrvpmDgvWUOtFB45cG57wYYLocOjQFhMDbGzunt6gwKAWqTgwn/946WWe HKlz/SMNLy9VoknAesIbcHZBMbc6bKMkzO5rmxuBjomFQbxwjX61K2Xb81/F5EBosH2DYsK/5H2U Ami7VizSpQViTLMASBtPih0/KPe53FiaU1IzsRACG9h/LlsZNlH8LBtL3UTkTscKu6ybeCNPdySR 7Drzzr+hKijyJcLa3Y8U3XQ/uI6SxWhVAo56hV3H4Lz4bV93cDt2d8nwalsOJKIxucyn3JS/fsve kakvkQmSzWQUVGtau7cUBqelCEG8ccwfYaxmIRP9PoLN9LN4Y5ba5fegZ/JyjR2rbAI3/hPCP23w /S9IHBYpEo9mKRg2zi97k+pTLMGIQZZhFlTW2d4BVXdRf0J/ZZZHNK1OUlnIwAnktS4wGa6EQsll RRIeU8e/vsbfaiiw3wYThshybGOqPy6rUu3KgvNBW1eJnO50s+5kFQn/uVnrmi0bdNSlN1GXdUMz uMTCvjNFg3n5NLT+ZJ1UBdUeT+ZGjHMOOW9N8S6Vo8lJiprLSXjA9odGwX2VRtZ61SuGBMT4kZt7 +1rcerssxk/VwRSErnEMKxI1uGn38Cy6aJz3mGO95bOZuHjn7YFXxMgHf0QtHwyxLLdY/rVxgWyt B2bfxVHxopPjlUiQEZ9IyxowDfsQg05dFTzWXCs2IGS1WHxa2tMxE7SLg+I430YaexXwyfM+cjBN P6A7kCRnyb9gHC7jVJ2QpgZQ0ROUCeayURmucPhW3uqyrWxRGt4AvY6na5HHf8wx6MVMX2c2vDIn HlzVJIkJfYUfMuOqOOvMbrLwosGu6Ganpd2MbqYjW/+DA1IO6HNoB2/bY0TiFIe7Hh9fYRcDP8E3 cknvnEAH5aCKff4mMDxBjQHrHRWDBCLBN0/C7vgYc3PlqhT3fyxWbYBsoK/KMK6k6+JjRxgi3buG iKrXuizOuOkg+5gCm1AMjxRREobu/LOL3Yy7z8h4vx7kEd7S4ryPVesJYC3uRV+FOm6QTUnmFylo UhvaYLziy4QZDwHQtuSpsH4I/DQ7PH+/PIBI9zYlhyRXJ/UY+ICE2BLWJNyaquDrsomkSCzSl9tY 9oNlSYWXx4xKrQcLQf8k1JgzjDz9KCuLjgPtq/CxilSWaqK9bJffHH5o8zH2EcE++9vUeBg06b46 X3EA254aHy0+qeit525ihM3HCqkclF3SCsYUny278C/d1Ku4nYC9tNeC4BrJo9AiQq8eVfE1N/b5 Ux58cdAF/GUZSLh4Cqom2L+twfAlx0u81A0LHi7vJu0yqZvwI+QQFzKzkx92fEr4/BT70dKHyVct ctVxZtfHwRYBdKV1xGdOjDAY0yGnvb/zSUlzrFQ+mmyCIm9kpZgtGBVkuPGCrFMJ1eNo/eob1fCP Uc6bxnZ250xotBZIuo9zMArGGKkMOY7YcRy1u6QOYOnbrZg/fNA+IuWBrd/XE9D0e/9lX3SWGij6 BmEE3IAzcrNFHP6rphOJSv3wXwTuAlTyaACTVWwBX8TQauY7OSMRqFqnY4iQT4kMydhdKKVKrPki NWQjFdDRCnrTNivc53QNQqeM3UCGBIKEC4Vn/LY7RgtyVBkptzGogCr9E/u+NNoJhD5U9QhkxszR IPMF+jYQ0hAmvk57yqBdxKQtK/b6KN+y6eCGv+iXC0kFtmrCi5W2OUF/yWCZ8Hb4hvr4tqpt3w0W C1isetka+eBPqkHzYSXCSHOHWpsvKybE4jVDSPUQHoLRm4pRP1Jz9G+ZcBaeqnIAmNaRj46U6r17 tmQx3/+WlYQDIX8nY5yAEv5+/mzqVIpEjiBN0DqrBiQdLoJ++p47fiGMwOGv5opaE84bT1bmP7C9 gLVXmoyzqauVyy0SMaCtksnbT6odk5qyZCAQ2/LJhZvkMFHmIn1lVtgj7bRYN7DcOfwQnFomJ8R8 toT8IrHR7Ca2O+ErU+p5RR2u2/6qE4zl40EoYn9o+U5qbzmSMzmTc96kPiEZzOGLJREQol9Guzaz P4K6WHDsFUg/xAzGK2Mrm6ZCGbknHqvle2sh12CSB3+oiJMKjYI0d+5Pu2pRHmTz3DYxkfwTQLTa DcKw+XdP0oFVGOYp3NZ/lp6gpwrZwHUc6slEArUTJA6lU9nN5hLZ2gy1acVB3Vf+2Ey8AB9WH5k7 955dnPM3m2GCS27RxVb5i2ZkqV9rY+vHrqACKrG5R9usxpK/x8+9ZmYooDG3TepqWPo8S6U6LYqv pGP2Z1wjxQX55BsI1rMVuy9E96MMcM+CAe1zYU6hGuf4MylTISMhf5sq+D71DvvuyEnDrmWGrvwq lt1tG9wSCUZ4qiAp1J16AvBi6GMLjOU6i5/HMNcyLyVxq95ScgqYFYdlP8dMGDn7WipNEFBOTrY9 qvcrViYkc1+g65MVNl9skkTIBqMCVxc7dy9OFRj4Zb+Xu2ASgYpzhrlHiGh2X4VV+Gu3/k5xEuB6 fZrWRQ2mYTU3+41Zu+IlGYIhjH8NQD1/e0lEg29zB/OlQgnXSynmge3GghBHvMPcK+/6yz2ARHDi cvYqSH3+R6KlR7CPYUZ80GSBgJZRVS/UszCuEbY8ozISZLEKsF7QhskAF9Y0x08dtbbfftVbh4E7 gWbSk6+LvCLlRI4//BPfjAGselxQqKDfwR/goJ39/H+D1Tsyrhf8TFS/VeZgiwkK4uVAQvPp9akF EvIeB7smSL88RcqEY8118kL+tgpCzMWhp1hQgKyXPIyMXBPg2dDZJ+OnQuIaUVl6SrouxeY1u9dl LXtbYMq3oaETwVGD4UvlBdOyBrMGUoGRqzjrerbAVPlzaMULw/w0wGtP5j73Pch+AiWkvt/lZ2bv g8XiOc67oBbC4MDNg/1Jp3MVGoxadstmJxWn4w2yLovceMQ+PZ/6FXhl6NBHXFo8dDe60vFh9yM+ 9oErXgWqQwelQyNd1pmfVulvfJFhLdfnNJaWKO2CJJBHIVphPoROGhdSrOM0U0WUzZdlBF81hBjR 1B8cx7s/dmikbMnBFpCGlo546DyvH7eKFlxDMk+odIPa/3asyalwealLDr66O4NOLkndYYWyKCcj +MieJCciVNSmHmkqJiSL6kDpFb7bPW3h/lrLx+lx8vPgcuhV/8lJeHe0T3LzpIuv59Qf0/QLZpPF EQZP1E6rwdxd88pYFYsXZs6T/jKJWNnYj0ycixuhGB4YsJ9rLEQ1GIod2BBvn4GS72qev6s5NpgN yRhQxYnnMRCkarfbsDKLQXJb5ybmrOho9GdTXDpVy4oxeBqZ1Lng2Bx1Z+fyHYSB37b6hZlyLcGn 7rng/QWzIrpwqV2+ER6Efu4vv0q1/VmUXnNX1idxifN11P2NJslgOa6aqcUBnJERY6w+F2FY0odA VlfRofTSIDuy4y9mM9p4EcCT/6yLQRiDyAIUgYRJiMTvPeX+PVRtuemL4Q5kzRdzBXLtAL38jWMO opVEjUxRB7WbLl9sk7F1M/zcSVP+v6pJW06lsgzz/yAKoK2SaEqGvHWWiLTsJ9zqlv1Vts+YSFjg aYMO1BAqphl4VjjGgjfwsxnkfmNE59UvtPWeYKZ9QgioxLWFznP4aKwKTXoHcJ6cDTLcomgAEXiD KhHADkcWS3xHHXFEArt7IDyFU2RrFdDxTs+JNSVYcG86ISe6JPgv4usn318LfGi5GAQpleNq42DB qHvHQWFJLtrfxxSkij1twLBSKjPdOI1fbON0ZfVpupShNYc4xU/xcswckZpfAV6rewHehetzXwhJ 3RVEjLeNgd6XhZgVKkSA0aVXgwpIrWQOwW9+5QXRjSVJXgN6R55xOb6Ve1Xp2zHN937qboWFg8tF tv05z3WwZ9LW+Frk/bJ+lqsk2bpy4okT6lue8SCFayKlFoiQCoF19tui5TapzyJVrAXJSBdz6I0s 9n5ZJ5n4qW5F38jHVkg5ceoofqHPKk8oZWSwRtYsVz+Gi9JbUvmgmoVdtMUk1psxNJyHJTcwxSCC rbNFfVCP7dmVHKD4Ab95HPLGZNNQ9YkoOSZY7Zhb6Xph/lOiQe88x9kcQNbqq7vocB/vvQIYhmXW 02yqywn0iIUxiEGbfrHOKwgkv4Bvdm6hx0TNdafk+K9aL9j7S+lEi2FfJJ50DemvXfzQ9YWli7eC jdtPmeePZ2K1jUxvmc9qmYo+H/G3HNt/YQXtZrtjapfOrUcBpMRZl4VyLQ90OGTeUBaM8ZPLo2Gu 6MXAsRtdtXH0t6cBBhdW73mkmCDrm2FF/BDE+fJ+KXv63x5PXmkXUrQoysoOKNhAbrd5aF6TgJbx VqpUvpRGWnq/H1YFgD+Xlv0ackcKASpMBAumSYFOw0CoF9g9UcrmhlVdYUKcM5qwrSlp6F86wV2A NTzFkYfkrIsYWaU54qDAuCttm4nifb0LIYN4kcHZpYDK4jeutWitGAGeixYj58hcBTesIp2ocMJk SZ+wOxJbKZWel8ssG+NAmIYt/kxBIz/1ejRPdDrGi8RVpEtViGoAhOUtrUxwK8lNzpYpggMCPRah kmWPPLtHOP9ydQQRbSxZPnE3N/7DQuaF4Hl8gIdtAkjtHjUVdrFQuHHn435dDNNS6g86gl644z/z e0xnB6F720J6phtjiyIz7P6CbckCn8fEB9H6XogY3KvkNdguSnfn08cHDtO0rUMEh1bXZUMPUUAV tC2UeD3IUZSBFCIfI0aSPislm2uLWoFTcPgiIsxU5pnnGBAg9YCM3Jr50eysHGEqWRGCvRceR6c4 J3X0NuFHSyTbBPqayYXl/y1Ah8sdDOwIy9aEhs7ONfHilDeW7Oa54uqcEPMlwskxNtBXkfkrykyH J0M+MVjcgAfKyySbhkBOKOLozW+OMbL2ImaXFp/0Fr9iMT/f23AI0uAN6RHTCrTy9Sc9Rx0Gw5O4 UPUd4QBy2aOTq3e+RH2MTSHxfqlcy9MgWnjqVoOUyBT+FSHp2HkXAY/0xrSRnewHOP2o3gaWZm5h 1YP3AcCoDNz/8gfiMG7/1fMMcuZfPigtBKwVytUP8T0VyepnOdcOoxAjEcD0urpZ3Dv54ehYJMv4 lD21cYh9Mn2glwtvjQDdp2Y1RRJJCnYKUpRCVIx4uNo4vvk9noht7nASAEz85j0Qx29BPXK8iVj8 IaMaOaoQWAl6aAG0Ui93ulAc5IPpsN0PkQ4ms4HuITVjEGDB2XmUbnHV/KyvozNt3HaMFHHYi46h ppUdq48/M21eQAR+Uz4rv/+RbHCx2M7M38sBwpqDxcjCzobZOIfAZoI5vAbXAS85hxzu011uLogf rcCudXT1K8SQdG+9yyV9HnPc2EgiczRo/rKiMScBafAb2EeK8/67/d3FvgarcG6Uh30ArSqs29TJ IpCpjzWKYhKhm8Cv0R4QBOz9shXUAKKX3htW8mRtlksTEBaaZSioA2hUrLkgO1R6M9ZlpiubVWnl C8Gl3eFz66kecAbT4nk5Re6hPWFmsLo/oKlq72yNL044mKwl94fQKJfdHQVCio4ao2eYAqsL18uV tr8IKUvY7s5o+i4Xe7Umu1fOiKzmWFF5Pi7Hj8S/nQNpjh3caxo6x76UuonJrHvoaPbTmEPQCUxR Fxmhqf8wrocKoGkY0URSdowHeSNCKl0kSEK8qeu0yarVV+Mkyl9L9mX7esb1uILWY2m4ovYk9KKp WkwZjyVohkpuw7yBJc0x/ttPxVqZHaf7jUlnzyDPsoBPEPN52bgohaV3hN9r62pwMTkxHG6dtSzY Dg1Eo8//hU7tBMEcE3RRtFsuAiY+pk0RXa0HpTkuKGiIHs7O336zB2LDPERk2XlpjXzreaHM9ozx 3jwcTr6jADsJHq7xjlelZtupZ1kpIIuG83/+ZPhEo+4WgMKySqfAEvplQK80tnO3HIlj/kUcE8IK DpDeXY3rmcMOIZ3GkkYIQCuFvD+jWSUWUU1QBB+znziRxBbbk5SNjd3w87cuNR3fJoQVtGnuPbdy lbRZZ9pqF9RRAhvVFQwhUDDTObQbge1GUvK0PVJl3TQif+eMLPEpKQSj8PUfE/4K8v1XjxsdxQRR YuwuzC3hYrfZEdbWGXqcPPF6aGWpXqCPh8bdJEFCkFlSWxdlueZj64g3OFJ5pmHBExN7DOI07/+n m07ckagAJlVFLVrNCPxPUpuFbU36ddoA6hpLFOZD19TsjAsRaZM5o9RpGh9YP6cJEPn040k9qYp2 2MfGbpEWKZh3nvzcHr98EeiiVW2Lwaab6/sTr1cKE+6t5GYHrNRYCu/ludYXhoeut5wgIz2xwkEK YUUmcstKVNGPlgAAWAWwi9lCWxC64pkYTrBgTYypwhJEgJ6jyLToMBVmNWidWJ2R3WBZlAjx61Zl jyQAHORu7s5Jm5JLsKJugKHiunRhMDrVv1T+rsF3s1sibn9AO1GdWILiYyXDU1aB1CUGW3vQvrtV vArRMw1KWZdbBebhYT2+Kt9YtbvUyAFa7BoSvJU+aYItTuSW2CbrfPTvEz8ivvAO3m62fHvUrvUO KagrsizUCnltrl7sJFKapuaeU6qZfpKxWtxJcjUD7mrOSw6cA7QsGTUBrJY8WzrBasjihPLix04q dCNRlBEX8VuXQp8IJRr4E6v2M5/FLhybktNaHhu7M33k4itBxjsnXDyeheekhOri1nQS3YWc9VVv zddxmxVc2l7XtCRtCsGRtmrU93Qk60GRpBrEp4L7c648CZXvXLap8YsnTE1w/50JvWUA1DeVVKmE IRJ744ZSqeGUy52LEAEaGwrAwE1Be5CUeX7TK9Wsb6TiXLd4IYuqY6fLw/fiNq1kw5+GH44R0xRT 62vgPg3twqQmUFV/Fr+tWihOfK8TpNDKHI2az6hjvNgEXyefa0MlpQrOwc1Wt2WsoDQtE6QmUGTL ysmgADivOVPPqfKxM7BFDnP6Usk5RzfmQTHWRBKbppUzRJ1bPxYjJ7xgEpYacbKxS2mU6TSnUsMO M4iucwwiMcaNsrveJu6N/wbMSvJul9WI2AVlu+PLEHaQh7nbJ78KsuABtRtD/gEO6Zdsq5O7Ubi7 belskDjbtCT4LXN6NdAbqyEnPaxX01JxvIBgRIDSceCHbRae9ZCrrveky/BwQlDTs/kmXY4XdVlk g9Q9FF38jmr2iLtLfQ3qYHhTPQx6NqJwz8T1x8VVXRu6fPQrZnH6bWnPptPW06xjZV7KoiHZrDBH iyjpDgzaorhb7u2i3UjOvefYQ3X/liWbmwF5UFTAqOsIm/LqqBTQlQcDUcunNIkKjtahgww8WIJ9 R2+S+pNWKpy5A/nBih0uUb4JYTJHK8KMijaRtPCEdmFxlirNNXQNB+AP1BVYVf1uK9IjvZqXMTu5 51GVxtGE7vD/qO5rHgW+pFTMhnSN98RVn8ANOlowLeT/lIJspjpd2PMSVfjfRsVhVs+vSn2ByGmj JKob48Aw8H3lOGiuLr90kZNc4pjHShhlgYagvXEDXxM2GJUN+L+DQEzpGJAMe1JBFAVIVhO4xxQz IZczmpp6pyVtUspSl4HkjqzxD1oQyhbTwzQetH9tHtS/U5+CyB5wTRD/QmjmkElGAdN2XRhnY1A8 AQryaAelGaVwH88YZHggSoGqegZdcXp1iZvkLNSVfqonp6bNQFPHjwVvMwUxVqndgWE3dBxrZpBO K1aow23VpJoAzTRk9Tem6GVbl2yJJIHsJeS63VprPCqshh8r/dh7CPFz6K/UkobH1Qoq0fewDsRk 3DVhaVrHuZ3CddxcgfNwTsjbp8ugRfPuwQ05cvSUEjpq4RAEEXDRIY499gGIlucH4Sl66ZjeA+lS FSxqKZrQJgNQz0vbscICfukPFh05Bytr3Q+8TqyyADVZGV6jpYqchspQJNen22fPxcBXxBIc1aJ+ /9D/F20HsIOjis1IwbMZ9gZhIHt9gbl6wE7M0wuG2DydFh1DaUxVIuUqAkNswZOHB9zVzrn51raG d2ZVq1fhCPnL5Yl2mAzMACAxBZm3t+3qOqfZHzoZB8QbJKbgAt+jCyLGsm418LweEXvLe5YbBKUG uRIZFQRuwcTu52qmDoThuqHUKrnM9E5afOqvJodiH5hrMHMR05Npvc/wVlVZsGZBFANE+tdXoMIZ sMoK6f1FWD2M+mR0GYBntAXKhgI7A/zhv45YeymFKYhXxl5VXzKNfYRyXOs1hai5X12ccr+UlvKM 1ekGbv1jj8xs6AMQhIcVEP2X4xhbgnAfmpk8SJqndBMYt33PJzD9ym0RL4Mm2QI25dZckTDcuu0z yJJ5OWbr2CIuBhnUCMJL65amg4jflB4ncoxO+TmtekPEEqJg7s9Z2wHGWVkLUatqOzGLt9sYtp2/ AuvFb90SbjrJlZWCckkw3oAqgqFoFHjwwH3u3yxpvGlSH6/bxfsscTOxOKG4RbAebegDCmJx74ko 4pqpg9jG0/UqQTpUYRXNYyKTpzwLSqAoFH7i0kKdaVeXYHBNO4enX7S51/XHI2dulHwtNYQDtcST UmqRG7ZaF0FWQ5OSX1PjGIOEB36R+7Ofxo6Sj7Zj0w4+h1egC8+05Eq2sk9t3JBLhG0fqvxl/2tn 2htihCwodaDNyVd9oYS1iNHjbYZu9D5m9y+vqkYKjRm/IL5VgRBswezsAOKvYgbyF0jtHJ4pg31V AsKytJD0axjNBsHsC4HP3nKZWNod/+ScBQhVKgp1bkIQqMrSp5yeS+JWfyDrZsEALqtnrXO8Rl6s yrea60JEt/mt0rnu8460FrY6GJlIDHyT4DRVsRJsv4hr/KKbpKoT40BK99QbF3Wi6voBoiySTA1o phgp7n7gu4/Kx648LWOQOub3zA9rcYXo2dpaJ4lGfu4JsIq3MrYdVWUYDsG/1dvXnT24LyZaXrPo Q92AJ6C8BQwJ7PRiViniOHT5qitLT6KMo4P4SOYGSkKeJ/2lW71t+qadd7fj7qNP7qCrxDbgFpqy QBIOnjSvtibRVHzNDPvUNGUuStHUg/JG8vZnWs8apdb7JEKwkIqVzkYgEj/n9Dxi+fj1/G39V2oz RcLbR5vLf3xtOXHiGFYfEjPIEMsT9TH87HbUr0N0GmtpLLrVkKApwIpwEDdYAHNwRiuTyokr0n7O P70WNBOJ6lO4lZMqaaqK41xl5K3CZrGG8ZQptktymsqonKv6ZeXK5HvpGV5q+rQ+Rt93peO4gS1D X45DRWDtYN/ZYvPL1Ho3hHX7ZU4av6IXx3+ZDjTIaeNL81xnHzmXXmF4sfcRQOt8sscVFCPhGxFm ic4ZTr7F4pqbrwK370gosOUgZ8Ji7AwJCFAuRLl/XcF/fvrAzSbh0ZymdHE3EQWLP2ryeumxjPe0 ZRle9UEVAokHGOOcFGX4QlEoeZotT+X4TZYGDEKWRRhmYE0gtxUgr7XReeT+eN3iarme4xI9vc2Y lJFESzC50+lNIaE0ZQUqIuJDGoqd5lvSzegCn41MPQF6eVhlopSY/2kpy11pm0EakuaPBzvMDgYS LetDutabwZKgghEJt3Othob9wZQ7UdzG5Jtj7w7AEWriGBdEDNuShP+1JoD3kG1CCybJNTHmAzFo 7A1c2z9hx7Cw0P5NphNTwnJvc5RrOTusxN+07yjaxEv+BEk5jwRQBdu6doQUChvsT4QeOtZl+/eP JpvPqQJgh9okhOwT3fPf2mpvgB+ixWts5AZ9UE3mVQKlHwoTM+CzvTEihjv1bb5KMPP7di5ka0Tp M6xJua7cnuAUMudvBFBqAwDCU4q1DP4pykqcbi77kDAVJhJuN3EIjKhVbX70Bv0n/OueBxPCAmk8 K7lKrdxgMwnQ2qu2tr2yWxXzb5ah+uzbDn3Mc/i1n9/B2MwsA7dGPKUFONLdqbJGYTcEr3jVZgdD 3ZCfi0FMJyJJorH4CDioR2AnREbAjv02b1RkVut2LLyxPwC9BiG6GTTfEjMRD4e6okgNsB4wGb0j SgwGyhy4i6zrutgJWSUBaC/fYj9fNNmuhW7p8wMGAn5Wmgy67sGrTtWFwTtjwJve8t1MlldxA/a/ D2xqASZuhnPOK6VZY/HehF9rEIf/sV20tdhwq0Eu70wnWoJZzTL994YmqZAbG9naQmDkf1yB66I1 nWbPSzkO7U1JzeSpzGDUksnMQ/PlwD2PsgyGqnx0Hx8vQF8y7kQmWfrMYzcJCWm8hugF9kWA2sDp fqhSK3AofuMj4JwPTYr0g914TdZxpHKfRW1Hx5HLGvOYXGq0NIDvoGbR/8q3hvvXKI1g0Mk0u2yk l5g3OqHXPsfAoBe2Ha/ShqmpsR9yYjDWGE4bHKeubyU3OCpiX2vtutehTLMUCMiAIwLhvSE1gZ6T a+68OaGS5ZSbkIYg7dH0Btfg/NqSbYeoVF77HONpo9iIZtAQZ4czcm+rKCkn8twDwLQjudJ9tbbj nl9jdHyO7peIxbumQZ+Sck/UUAZtbuOdD9soaMP3Y9mDkt00sqTGgYrnY0jRldLk/q9+WXnnTq1g 1vC9Su12DeHVIwHxqnWkGCsN4pX9n2KfD5/7r754kxhchOJ+eXpmTcEjgeiSuRIFy0C8E5lbeyfJ JxZr113vyZg7ci/BsYxoo3AzaqTyZqLj4xS2yhT8dFr22j0ljGPrVk8su2QupFHremiiLDB0tyny 4OLb9vAkqTP9FmwZQorWMEV/g90a6j86CvF+gjrbq88PyjK+1jxkQPrVm/4iW7+cN4JBgcLnbZN4 X2ewHRW5aLktZWTojLnsqSdgd+ET6lCSGdL98MbyJG/eK3fZ+UTPhTocsyECX6n6DOwY9SOPT2h4 qPO9VNCIvvaAce2KQsrG1gHsZoaKVlRdfQX+spzPkTGDzejZuZtjqVpq1abj9K6jY3eiJRVk0bLt 3wBd36goCBtBW95DXdbXSVRyYhDCYkAyL4+Twd9ERbfAU4TORCsBsOyvmYC9bPOJQvY+6K2gtmHs PLEDZRzzkmamvEpJmL10VHKVCExXp0hFBdHeJLmQeYqEty2FdMo5k1qWoWvaTcgmF9aHICATCs12 CA/S/RvMKY4l9Ymby31yQLm/1lvkeNv5FqoCVliCwoLfWnYlRT6rffYpG0k8Iv1RxMs+UYH14Rg5 /rbunFFEQ40paPtXjBkCaq4w/m1hmHsV6coBIItPoQWFAMKF8343RlKXSsKhbprdeiy2rRSXhzl3 0tCZFzxfEqfjbF5/IVL7nqzpI3ZyKlxigzojwRYhlsvUNQtGVlgPJjajIDCnM61PZHDcuacKomzq +x3aAH0MhcPZZ9KxHsNLVojchskk/CRsvZeigvofYXuVCN5zrkSbOXNx7zInOcWHfGplRanGm0Xv U1ttN8l/pbDgCrB8exwtaeEWbEJocRiI2J4GbGIXTDfEJ3Cg3tyR28+YYq+Syuj4Y8f7s3mCEjb5 M3Bwl7jrOcQtccZuKYzhMyHp0INSiIZztQZ8fZm82OStp2mP7a+Q9K8SsMkElUgtkBnxvwICcVd4 kXzluZgedI/JAhe8BkRoGXhorQeVpkKmef+hrOce/tMUCV2N5NgZr8f2MJdatp1Xy5ZmMnLs5zhj k6/a/AgprMtV2xvrDCViKQg+Q8GHHvn1C9yvZsua4laPsI6vyXWAcp3ZM3wReh+p8fOEzurnjSd1 E/SHz0P9Q70jDNYlCS36iDIUGfGdpp8cbEFGiXbRF8QKNlhDbb3umMbVOOT8wtKGwG6cg8YqGlo4 BZCWlypcRy4KPptwrvaEKunTxG8AJdmF3/gp1esaiphmqmthX6mdse1DwWFfrKOjwbSZIXBAGAE/ GU1JpARKykRA2DiFhEn7HGw21mVKpKlrqhX85OCUzBuyYNxy1/o2wW1Za0AR6BAB+uP3lWiMARi+ eNZTTVPgHJZYU+Yv+EXx9Jkl980X3ccemIlikQ1r3NZDnCLM2l2AXzVMBA6oHdLXcnQJ9XKqY2dY uCeUDgAwPZ5BvWowXnMwhHd80ycPQLG5dIN++Mi0LLX1Lx3GZs1dffhjq4DfXDIiOM0W4nFzwQuK Ps9Cg+3991d5kxqvklO5WqsF41+FXW75Qv9rWjklzbOOZeBBW+vieK41TQRcscrciritcZ+MYqBY IWkqjKaaVGdSTbsmKtUPy1utwRsDzGhFt/HbiSYj2KN0b0c3wPGFGX1AHginw4/Kl+FXvlCt8n7e vila+au97Ctz4zamgmQHEUEBR4+YM4IoiSk0iMtq18IEjJI/elb4zEt22xZrlNavTEc6Mz5T79YL fyAQJXNXE/Je5UIqXr8F77ID5oQ8/t+EDC5SUm7hW7N6w0xIc27Ru/lWEJQGgNP6TPVd5bw0We6D 5h3ovJYSaQLx4rIo7Nz8tX+Lknlib47uLfdfj2wJn7dXfMP6EkZa5GtCsPwx91Lej0i59uP+sNrq 1emIJqqY02rpVgYCfD7CGT3o5Klc/ICXWr9coOX1xQ//AzrXm0eySDRM1ZefjXLH4CclBW1GDrUy Rbus20sOW4obv865yWbDSSPMDP9oBeDgKNShouhaLduY3TZ97PwLjoiGmcwS7uf7NsbdNKQ5zav3 hbjQn9/FLU4ojbaLQwlyNhRm6iezo+S0Ti8S1vTbhNZ+lP7oVsW8XHtbPAL4x7/Bo8DSYKHLVSGG +DiO4O9N3gst0r93tOhqB6W2VuuqGHuPe18d9KQVJ7wG52xvxdvS1gTSyfeRuYGqIuRF/aJYYw4f io+agcuIaPs5TgTMNnazJaByVOUkXj9R821+voP7hDgb88Q1Dw3h922zmNY/SiN20z+tjmanQ7Yc 7NcDAiYxSbBBR+B3XAihS/JUiugOx09h5j7MS6mj/Q5d6kLnZFLzYHhE86MJJzMJi6r2Zorsua5G sHrSiu1b98MltwAgHs/s5r4xp8/HelUxDQ5+m57NYtLNsJ8x6jnjt9POAvZe8TkbR2XvO45Jo5oO i6izPbonKBL38leQYAmOP4bHcz+Z0tNuu5j593utYXsDI03foPHShpN7EjR4/Dpr0YuBWruNIDuq 61VCuKGY4k2Z55oXnfk7kSPqsDjrtUebE7eeWVsLZYG5Sa/P69TEIQdqqCYpLM18Rxwwq/rL1X0o NJZXmWW4Z5JDxtcihveo2LDF2BV7l1+bCinaAv/TmTFwn7mpSe8hodgtDERI6f8doKXBlR9OtnLL NXYFFhbV6VEa66PJiNwJVAs5BjtSQ8BPnEjfizNyiigq9nI8hEYDhTskExBrfMiFUks7qOtVqayf 6B9Ytt8LNSmUHYQUu6WtRjGpYgQn5j4jI/Qiuqs/iAICdm99mEO3kHQb2fu79mANwrjMlCzYBoV4 zmXMTMRGFldU01cu4ox4FvxqvBncogm6NNCZcUkGMLVRV9GhGuErSmYHp8Oh+84R9KfsKFL9hHBG dazUEGoEYNhsoHwpIhrZT4M4/+uU8z8oWx62H1SGJnjQoFutfUeQSe23Zn1fHsf5fUOiiXREMcYw pLvInI0LPaVN/Mjgdbjw0jON5uRr8AmxSvBPDXL9kbxCqIXMg8bS9wXeDn1ZJK1ThTXSAB52nbsh /AlGmCKVAi3hyu4cvMxqiDfPPy2ngdWnirSE3a8wn6xed9yLO8U2co3Jg221Sg+8KWrHSkjv4mWU ej85j/xNfpSNKRueSNN6Cu4EqXlwWo8mxFfkotKCIH7c0nKM9OuIYjZxNA3KFmmovI7hJnuqES4X Q7aLIMP+tpzMlOz2sJfT+tptUFqr74KXW3VD6M7bzwIeLV8Aj0dAtMMDQnaR6iaYLgZle8gIVekS oUuZ/koHC8JNiSmNO8uYZMwMiaS2SgIwIotHoINyyvfnwSD1QO7gAhZG0ASDh8vK/IPJ3P6ld8ya JwxNoasbsqbqmrMRZ8gNp5kuKQzUK+TEDtaed82pDavNubzw6N3KwduqBmidwgv3XlAbXf1lt990 9tybvcb1s1TMeAMxXLjsdHQBlTEnH3Z/6HyaLU+Tm/QLMff+MaM3BPboH+rsZuhegOOz5nrZBFXp pPTFUN3gP1JtJZembdCuGdgZ+66QF6CXodT9lDt/U15+j5eSo4GBCBr8eZ2fySgFqs8fqYYx2tAf K6sv1SHquJ4UGDOjDUbslOSsogxZwhabcvuapnw4LLz3RpsDPAnJJcrzdJeIdEsaHE7CF78I6ZTn kxDK4rZZ13zdvMM3Ehe8N530p/nf/++6AUv9clZ1SIeiCpWlwxwtdQtdSVJv+Qs/cyzt82Q4AoCc CmPJxbnHTgaLbVd+2KlAUeI4tvHA2w9DEGe6fYnAzsYJcxL9sVw5cyLNv6/zfAyHxgwxYLhS0CSc x+R7bW4AtAmEP6DiDT+V1cNrs9dW7My9rJo0EG9ADln2owWAq/3ej2uA4PjbtM0M/CxRPVbQqTDs qtFV2pskXOdWNbfSJP02fdSsztFF2TW4Eprlt8vzi6vArKZy5jKW8JMqRelNN0ezZhP8YyaGGpYy TSbpMliOlbmAxVOsUvz+XQhhM9m2CfXcW0ci7JtIf7kWqs5Sm2ZfCRmgCnzwyz11VrHCjlfQ130f klymjMZXrJLG8J1sCEc6esNjtqHukRqfkPvPWalQN31XqS0zCmdxDIS7e+0bniKcwm/eOSKjmH7P bTJfVJZa6PCYnWL+xd+ZGvUWDadRXp7C7k/3/MgbOI27Q/Powpy4uNfBl2NjlPlpfe5vAWfq32wp CsoCGYV4BYI/hoIQ5Uoa8qAcUC3E7m99Ss/IBLu7PS7rT9CoSVn/fv9Fzrcn8lZsQDKR/YS3sOtk LvS04BrHR4BUNQE/A4RPjZCg9h9lRJkEtBc+dkdLc9VTFtCDsUkVSyL3j7RE0WhA+8NXE+szFGkU MlSNG69ImbwaLhCoCtckI+6HnzRavorOdEGhGZjFIrYbElRSi6KyEfJMzSBsrlDNH1ATC7H1J0Af W9/QzKQZq92R40tVzcC9hqxPfb0jK1OWywsBKhywlRda2zrI2PD4YS1mZKzBj7b5+iyRtnyORd+b 6muAQPJfBukrwEy809ldohM8WSPXOWl2KfMF13UuwsdvpGOAF3hLCQt9LWUms9x8umypkWWiZH4d XJ0qfE2M4iywvwz4MAYgI9LIitYRufUOgYRzMn82Lk3vezvyOZaVs2qJLfOYGzh4/gN40MBYcIev ERCc8o3aqD5PaiDi2xy5yNykONVy0SwaBgfe+DJEEtG+6+Am330v7kn4ep1SsyrMqJHOwk8U5hS8 7RTnJInzQd2DYWQIPK8S/bQCdM37Q+oMlC1XmC17T3/JIaUYfh4IMOHIVY4GyA97dnirqsRu0hOx tsP7I45Ka7GlpTeHQmKX1mCoUinj6aOPYoDNk51IUFuJAc0HoPc4RY1oHOx8WjqGS/b5QiGvQeDs 1doH+Rah53r/C1VLo7yeYVihZfJ4Mr5rKApGhh+DG8/hDyq69MfIUYbfDUfM4EIY8lqqdb+N6L+e jj0zGDXmMH5o8wBO3eQwZjGHg4di7L5wNYgkIQ2WRr8VbbJHw7uZ+P5n91V8GC+xOX1ikudXECDR F4pX/WHgVUtJvXZoi3esDlOVCOTzzgfvTz+K01OU6uV4FMCh9OQZCblRhDR181Z3/biDVDXZlZXA RDCpcj87iDJGt9tDokg+0trkpb83932mikBozNZpvgt2xFCbOFLA4YjyJKZFTz9uCrv+WSTZZ7ye ZwnhUm7WYMdtBEYXz5cnmc7x+9XUvNLeGXTW9SEMg7z7T0e3ESdwjAozjQv4/wOLM8asYG62bUDl ryRBC590Ca+53OES8bHa395m8sHr+OY7hG8JDpybDaKhuOU2XdWdG+qpOMjjyS11R1Ketu6h0K2N msMT18B4OIKt/9NkST4/P8+7SWcRoLmivzimihL27dG584DY7WuG9OOH+exs9kYv6fk1NuuvQ9Vp ph2d8gO6FZDH1mlzLtE8TwIkNdL8MOdgnoHxizBBaq3BXEgocl1jTwhAGPJKR83m/eeHgyG+MfOn rlnYJ+6b6y/JHd7GIBXSsNwh30QoGw2sd1TgXxw9vZOykzCCxSb1P7cD/80o2TUZVs3WF4A0H/Jy L4pqjrEDzweyEZGn3yyUQKvhgx3Hcpmxyrj9H/MASKFOoFhPhSB4X9oGYktdWigYkQTSdvfyY1Aa tbxTHuHGG9QVN+s1ftRd7V/RFNbx2EL/WxqLKJLr+0yyXAnyAYMMA0hH6FzVwsdWbV8DUMxulLfX EblxCxrThjCsKk+m3ht6Umj5ASJ6VFIlL/Fj/lG3VoIdQsjGh4WyitOX2qHSb+o0BtXnDGZobzBp v17ls91mkdbFAm4lDIyqkXNdU27TcG74vqOPH3sTJhTplSV5ReiZRFMaWItie+d7BRbmAE9alL5W mFmW3+LUn7aYqZyrGBSXL+27XHelZRjLGTTQTpsQ9+5atwMQhQHTyUrTMUkaKL5g/l7YZmebrUlm JhzptuDJTLELEa9Sp0QBofOQH4UdQ06F/Zy+02R48kW4RwcH+2bFQzTFlhT5DDXJCNfEUGWraIVr 6+XhOSJleAMJFAS5T1xKyI2vgj3MSjaH/O8EHked9pY9brwxZniYEwKt9WGP0STsLUoENba3T9In PcPiKuPA0XWZfZzBdZf6awgPp8CpnijB54RN7Fzqzyg1fXPOFhxLYFGT8/SAZpu9oc86zsgzfj2F pBI2sZjtprEbQCVypxAeR9+HPxfYmn8D4aGPGIb61F/CbTxaVLvIm1AoaFRth/A0aFd7lddDfnEZ 9kjfAk8xAvOyflk1cie8fdp+DUY7O1Ep/BI/8uF6xPR9OarHBCH2QAXcQnVDPRkO4pQRuXJABE4N Ddmt9oBPoMzPVhwOiieVtSE09JoSLg0/+tXQ7hpj8YAwhcLH+hXn4aiD4ioFIhd28FbVJ9birY7G JaXEMyp5HpzvIdKXNGdtRiDxkfyOfJMy5m8YLNFJ5P63C2TE12Ka8NB5BB0V/ZXNu9xvraK78tKs MZ0xzocP//m17yCs9MnF7TXqic2BzHjinZJ5nk0Nid7dnLryjgQxe0x1mNm1sx6tCuRObqvWu3qE m2yM3mfR/w9uYfKifsCZBdukfAJbnjRVvIY6GT6NSreejaZva0X4nGCKo++jvnT6DjoQsvDyduNu WmgbTWQwcnC+M6qUJcGx6ZRinP1AfDLv8U9Ol64AnnjGI3gzSj255vmYG3V9dUl8It+IDvrITvNW 4Z7TXhMwz/CGuy9AsZHiSmdmdi0W3z9lUyQzX7Gbf6VO7m1wzaXAx4UVY9ZF7T6xrQYFgHJwr/Ht wh6Ik0IbMKXaaoXhaOzGXYpSp0EiSK2+sxL7vdNt0jB9tUyUWoqVpHiDC1rfp6CObBGXPZcE/zJC PZGtLf9DvUzRruFcd+IjhuGOufbyV2ipA2tzbDdbmp/lNcD9LThoXJro0jh0RtCRlb8d3TQBuMmI vzQhHu2WjrK9wuVnNMX3cAsmd6fNfVuI7kqZCk62AeB3s05Xv1jPzlrQALgifzY4yK/8jv7jHa31 cjtIfQxD95hZ4sZK8dNBTojGniJZNm57SVur3UFnhwz5k0DaVT8tHUwkhzp1CFrP8/I37LDeZzkE pB+FcYuGfAAJ9sgYVKNWz9fBwcX2K3JYd97BWTxf4SXn67ZWRKmB6ARWfWBUvtaL3fsvRwRUnBrq u8ui0+Bw+qm3EZGRgF8yptoO9VWAzJ/SKq3K/XuI/ketPHWVACu9gwlMU/hxvNCGIeE/w0gNgJI1 OTSb9zwMtjzNuVCWp6hiKjCkYvifg7nj2m8hei6kHB/C7LO9w78GHu9wxk7BQV8YT4aNI81ubKIL 1CDAeswaRcwyFSfd0IWFRUkDkAVz0bZ+G4VFl2yNgTmEcDsr9O/R1TyLNBC+EWxLujxatrdbSNLX 8Xx4Ga1hoVT1y8au0TOF53V/xVNDU1PWcWBc8qEMd9Bo54RyWoHTGGI7+7P/QpaUvxDinoTyBSx2 xvpV3my3721PMxNc+V8bxmyhs5hLvc7JFGuUe1HcfkLe+m/JjbImBXq+lGsIOcFA05Cw3t83L4eU WmKiQ8yc4wbVGNBnYji1+70TMHZOCjOOM5FCnZbn8zOo85dcAA3iYnIMBJeTVhWzsdTsPQC2uM0b r3R4JK8sEeK5kkgvthZdwfX045Wurf+7WvhHUVSD0HX6J5AGojkUzOZ0W8YkqtiAiR32muRdhU8f lmT4Mr1JES5xUnScWnwUCBK/4JBZjCmBID1Ly3RrgbmM3p59L79GaPSup3c8ZoQIWeFY8MZ49AoI k/fTFwuqb4LXx/IGIr6seQJ/Q+SbvmAC7m/hbsX6xE0iOyUU5DKZok62Ej1UgwGueaYp1XQXyjze igio4ZZ+9tjnBdEWQ4OUZFE8FJCo6uHrbu+lF6RK9uxXgFowPdlH/wWN9wsfwLzkfkC0vftXzxY9 XXEs/FtBIproO2X+8S3vmvWlaqJPKtPXxL6+m73d2UE22pu764XqzIRJzyp2nJpg56CKZRD7Z9KI x/N6g1G3Ahf/fjy1O9DpTc3EwUHIuHtWxX76lJ5DrbiepRB86SUXhvnBI1Q8W41MeLLDKJ3AOt6p RTBxGbpdCA3ujoyf/SL9GkWMP+EP1FkS6h4+9fO7HQCSAxB1ZD+hjbfZfCVm79S8QlZoSx3TxX9q xfB1TlVSvwcMtnCiH0jIsJ5MluTMgu4MdLvOrnxzOjs7sfunJe1dPHSh3RMN1Uuc9E2YWdVuLQy+ CEQtPeGwErBZEuze+6a1vLZM1eaPvVJY2P2GzFv/6IOiv59wJgkvt1q7UupxwZP8evBihH4pHC7A wQX8qWm//KTHQGVmsuTONWyB4adQwhIgeodyrEAhubeZ0t8r01ZibB4z0owubqQYow7SlaceYI4E AFYVRiXryr8dSHuE0eSqNB8FutJxS5vZO0sIcxdJsxA4yxSmaI8Q0wziBIrbf71vwtS3engNe8B0 jrTUmWpUiqSFnzhghV7f3AsOpbc+hJipGsN40tC3Q7y3E1y8V0MzPirtD3bKj9XzRUnAjXCqQktv 0BZ5ooTVIqetIMq47HMpv5NChpaYOEILgbm4xXismTDXZrWb6A4WPk1zJA/+xuzxUAc19iwMJZkD lPCL+pq7YCWDcj1pPVsrg5Y1j2HtnFZoyq7eSCvWm/txpyKZJKRZ2moJ1zNKl1Y02QRFum9EzC62 JHbzlRbI6sCrtOBu7LmpbzktO/3mFWN0kmwl2Op5M+QbijvqmvobeAxmdeC6mh+DDavdtkHSd8+s WE3L1r+qt3Yxmx2xl0pMo2n3KFGtI60HwyLjwxsYxD1FgS+3mVDCsMpUi/vepq2rlnw4/nJTGLgQ br2lkWkhvUYah+gjNjpqPBuAkeEseUH9G+No2hgxCd2G36b/00UTaVPRFcq2N/BDCwyI0hZmt31S xgL1yewVRvRPPvLU0GpAyzO6qks8J8wXoXN/+n3QnAhh05YsiWLKYaZ7Pn+yxn+RZFtsjAbI6mVL Vr74EZ96q9z0g8RkbbUkgxiNC//Dd37B7h+OXFdc08sf1ePq1LY4Vn1q4kVL3TL5x0QiIM8kKWuZ iBlNWBaY/LmRWIins2rxQM00RUY5y1k99WAlHAIAsZKeV6vGaWmbM50oXhyiHtCYNGTY4PgeDj77 xKWZKyJOX/ubzZMh7+rmLXaLKnPCl983/PC5eoN3YJk+QVvG0+a0amvN/R8+SbhkCBjzgtdBynFq 52EnL1PinafgaaYIXT97ND1e7hAvJoiXFnRlQ3hH+QJyHycsPX9kU2jbnAIA7+mmMf8mYTfutldP P5S2S/FQOVRXBg1Z0Dvu44NZirJ0VIXCQ9FyLej8ODi6HvUZwaAZ3VXCA9YpYncltqdfE51WE3al h/OEkhUYj2bHWT3L5NpwbWduBp2uEJ7SbB+Pkm9bREixyOMazDZk9I5bsE5KLEnhzKfVGY4Up9Xd haoNTwbxGJ64EuAGEggisQwNPD3nsfCN+tq+JI3Lg0KecpqSgbYLDN5JEvHGw2o8jkfeiR7m005z c0k/VKDEAQmRJK3xTNpGnAZSvvMyXqwfA7r/gxzabMaLVBtsMuPURRllnk6novL4mGTLzqc/pbST ZFDEPJmbe8ozgQUU7RUklurkbHbp1J1VGV18W/YTmRBtBJXI+jCLyGcIL8sVr2+pE823s/e6r/Z/ VZxE2s8Udh0VuNFKc08Md3qy/Wrjbnct7Fu1/wcdmJnsNK1HEa3tmAxw3pEJGN7Emo7Fe5YWb8Z7 2y8qZls50X5ucOfPmnjWe+CTEWmS1aiqB8yw15g/lqnG5+5jSrEyS4hgoDg8ob1RShYQqcc5oIb3 2M020yqR9mdo1Q+BMp1aDPTELWosMPo33zQSHteG/Q5YN4m+8bL0Nq6fB6e4NT2d1iRuwUbvPopr mxeZSCsrZmEQ6ulwq0DGQ/Q8I93ME1zndTITQwiOxcgu1h8L2NJLGxcAwOgMUCZ5PnP1qC7do9wt em82Q1JL6HTM30hvB8L/jgjomRH1zrjOCoUQOXNBdyw3hCs/ZqGDHsZ/yC0gizAaYAvYCzKYKb6W vRRHMnWZgMGBKzSN7kAl/c+gsN7ZbgerxbyPWXoE3r6tczlExwQR0LNIQHcXdrUYARhybAGEfVRK EhJs9R8hS0UIQCJ04SG5/EZEL4BVnJgeUoh9VKxkUk4JHfFj4fSgbLpPOLtkwkPJwNGKZHkQC5+B /ZfWSP9Niojn0BNPhBJw9FDidrA7fcYP+xJI8CC1NhULe0a3NUmHU9WD3aDQy72jDAN9lO+SdiKs 6y0AlzpHqWv2nN3tSe3z7m66sidbEOB4DUafdA+EpkEWg4tG8yGLvvUB/lkawbXNeXSvSEo9BTqU Cc0bFl1FNjtYgqd4b0K5Nzc6CS1GnV/pEAxGghBC07bZkwCoGhZS9qI8czXAH5ya1rcpVgBL7nxd Ky/uUbEAJtlEVm3AR3zwSOoBz0bvrE/InQFExyLuB6bNfBeTjXEQYHj80TH/QjlRdkMjCGcEFJIu Me9NLisz9B9Stw1r4vaVm1FwsgQfvdMFcz+AJIU4b23gdyZtgHfsw65BOwzcIdo/tc6TPkrXu32Z IdsI4sm0PyWRQBweXDQiF+DVOoSEtfNPHzTAEZ9vn4ocfq3u2ZKclx6kvD0e7mZ5sWql9K6RIDwV cY80Hafsbyw8NIY4bzsqZ8/33IEMzzkDFKgMuuHAu+IWQ6DrB+i7trvfFWAc0YmombsobaJyZOhR wovc9kEJsabSOIMKz+BPj9fuQO/0AiHBjKdHXwCMShag0uE0yirrMEeMR28TlD+YEA3hCBhKVmrK UVQRqXRh2EQV4PMxl1a3Ei03RwgNAnxk5mT/p451CmELIUyMjIPRnT50cq7IZUlMOJbPNbp1xF6h CmvF+iEJiy7k399iqqRfZyumf0nypae4ptJ8837/xNPbjWgtd9ZvpdVXxKQiov5TXA/duwuDUFLj fKL8EhRh81Q1SEahgY1hAE6hH6jrMzahju0vmTJcyWCm8VT7gbh8vEi0DU4CdgaR8bhoDyiMJf6I EoKz1oEFgaIwT/QlGCpNx8jMeLD3i0rQnGerXFddF1XBtySukDhJnmK91GD51ERY/Ylr2CKYipNy yrZOkA1QIYckcqitdaXCtufO94IAAH/+IXGev9oXAgvGENYc8wd/JtOtzOHrg0JUkkJ8GiNOvm4y 1f4n3nkytvKa4oF8Z6iVxdMlMKpi1jQaRIW+xo+Ywyk167N7tMtfvKAitZjp0iBkkLaAohhza3W6 QTZLZcCCRoWy4LlVjmihil4QKzQ1jjUO4aWB+lznnIUDUtmEW/Htsk+DPbkIZ/DrQUiIyXQfX1h6 Tnu3YGJm7OF5xocpXwH0flFGEEZVfp+oDvdxkT1q/ZU6rVEwyS3HYNSCk4pit6xZcPss/qUwTd+v xSzZMxn7d+jSv2Zvll288rSJuPtc6ySp8z8eR+PI6GtTOPMqHDN7EvteakmKMa86kGGtBek5Gizz SHqRVScaVZpUhvQ1C4qRumzntsjOwkcGSVs9PQyM2zyJzWPb/D304acoo5Vp+oXmK4LvGjOeqtlA 7dxyyeVy+6tYTRgtKKewFxaA5yu2zux34IBjKJJf3OOPP95FcZRnuFkW+UKGjZ72SP4hCM8bxvA0 b/n8mVv3xTyToB1o05aU2c8zcgYAr/dJFYH/mSnO4xdUPkGPvyLxxNzjeV+mc85cLW/Blqq8QY+s ORdzJPDhboIQfH2vR3NilfSuofr0EhYF3fvQLrkZdeyunVNZh/wAIMCpXqoqJJ/P13Q+xGBls3L/ +5gwtgPLwrv3yG/OqTkWirQk50UnOKPL91lZMBd9SCt7gmxkSMK1f4FhOvO4ez8pc6GtmdVvQBnB jVwHr3eeFQ/LyK0SiqA0tbH/Vi63rvQOjKG8uxO1SBzdx8YV8ayg8saXFU+2fejuS+kF5Xa+jPj8 Y739j+nfVl+XupcqHbmUs904I4w0gI+bhgDu7C5mKZOf7M4aPvmDP1ZwQzJRUUmlc9wY3vjnOxz0 HxX/IkARrcmeNW/T93K3pprj0iEsfc397FlQmgMi6G5pTns6b+lJo2/8f2i6xcbGAPUV9iomJ+oQ fM155YwD8km8kpgGBdPQwkSotBz8NmJLWR8UdbnwVgme3OpoMg9PsEwOoMgMFX+2K846Ly3kimLN q7IV0ccziLp2vNSyalFApNEd2m/5o8AiJ0VmHy+74w7iXU53UPI0PspMj5WX1ToM8XMHuz6l8O9m z/Ban/92hCBArQdW1WlNX4hlqbCyeuaksbxAKM6uNxTRDGs2PTHzTYKLvFTrq6uDceWm8dvlK6Zb A6ozRgEghOAIt8iRjrQilAdMHRtEURqvGYLATvCuc08F7cMNK1HC/dpZr3VmqOGoxM5ePg2EHd1S GlAH3YIFVEvPZMuUuP9+zbXTKaixQpxUkIagoPKBXU6MKmUIxXs4wH44njhltVw7Ny0rgijdgC2R 0kK0NM920iWXHrs59QKr/YjViFFpXcptdkv5LpEXWcSKgRl0Hh4PIyW9oK5aOkM/JfDqvtvSHK27 5szJ6GIl+TpDOKHrF5/RP1eBFCeevfUcPDriUB8uxO78lwDg0SzSn9gZZwSHR+8k6l1iitQVvPG6 KMWtVQM1j9mstgIKcyRzkE3CKXow8+4VDmq3cVQx4aPnq/vCbtpw1pNkcs7plYj+UYXuG4+db8iP caOokYtaHP2M5XgPwtNjiQfEf1Ko7FBiUHzYbTaBY8Y2BmEXbs7a2M1Azc4YfXV96a8TkjTKkLYO LnKAj7muWOJzk3jKAuj42RICD9KoIUqofE1PhnFWdKAmxhwvXcWkec3OGiyd/ZKMUdX8Nlt/iRSs Z4uZR8Bqaq0nrPJpivW+kc/CUIlu/xr9fZvk/xAxkS9bN6QcxX4d9bPQiuvU9vkwD4U+3wHEgQOV tnak7ttocDfhGvaJHHsbHFgKoMEYVDvyamvWjtzWDTN+5Ygfw5NBdYCmpAnl/EoFj6p4JWtdtxno Htu3X15YcWEMIOLxSpFtabUx19bnSJE6VEvwd02WAfbXladpUpCawKdT755++oc35xH9JOr6w0IY Yq74IwAlN6Z0VXMn3ErnGmywWdfVAJ+s1ytjSW0aGVHZlCOxrVJBRPiSWIFG9DbigyaQH9x3T0/m yCWQRn+8oOqk4CM0PyuntsGKfjGGHMkzE+22549W3rvnNF50Pe6pjp2JFmbg34cMbH6mVEq8beIS Ur54NiraLII96OKJc/pAYzQ9DEgcU8wZ2VlOtR9EYM0eieZuioVtvzFRyvNXvna9cfrcs50go2km p09l5LUUvMhr32CPUH9EWYhvZ8AMnl3rZaZePKIz37FhegEHuPrZr/J7fs+i/rV8uI2XAVNlT2fc U2DlizLwVLBQ6357Y5MTTT8NS3GVQ9157OddVq1pvg0bFkSeOPhgFwgpEhewtWRUzLBgq7lN2njD nVJMG7GadbJCdifZ7WL9iXIQgEhg/tHbzB8mwpsNBeHs5c2Pl/lIFFhuZJfnM2e2x0boYjmW3a7H ox99ugwT4nLhwdDqU/TE8OMb/AwC5fyjE9KQbbJkDxCflwJ9QvAQ+toncPKp3tgT7UMtwymE8uMo ngzOIev4tpb5xVUkLI1uHeDJjLM3e0zqWvmG38Y9PA1X4ye8NDEs8fpy5hP+Rq6UmlrdqXna5wBT QbODW82QBbfltxFqTNJcnJRT+HImCdpxbfmAYsrFnKM2fY9YbXeMkNmZPoNvhWBOf5difzpK08m4 +I0x9wPkQoq4v882RjI5M2VmYWVjVwXujV53za9CRBgLOb3C+wF3F1CVqs3VpH+KsGn1D69+Yigu 79SFBkTXfEatvbJE6lIg+y8gO/b9IRKyDmA1/6lZAo3yAokTh/qERQQNP4khx/vl89A0E5fGsvsR hvhRD3KD9sOGMiP5slXAVJpF9zUKQ5NDzRwEsqEgL0GDW6uPh26nWQ/DjP9zRYgs3CO6JMPUiXIq ReOlrSFWpeezfOjknm/MeJee9KpuR+u27tZNDSc7Z2hOT1drADnlX57hmgRgGkpP4vNvP+/YtYmd xrOM25BiJSNTK3NQrVOyDwkUPglPOTvCKIvIjeu9Kv2ohdM5v+Wa3zUWuaTiyZuRywpdz9/JTVNr /ct1Wh417F3JcD61BD7vAAEiJ28omto34YP9dfz5G0wwoI/fDLuh/YpA+e6NnWnmr+WqKFEu5mNK 6IHYDLFvbDiW+BxzZwQV6WlK9FD1tMX58UvuhzlIH232kZ/JH3LHLScszALATALFYBBo8DKIX7P9 Sz2179yq8auR4Gg+vSxg4ABRjurw7wdadnxdQpzw9ah04RS6is+UyOACcm8styzOHMs+90xoCI8g b8fFvEUnkQFa4DWuzp7hMGl205SLThUb3oYs6yVFLJJDtbURZ2WaV3KEvrcwaabvNqvEndgl5Sju 9EwO6gHcu82ic1aBayI99gt5IBgzzbK6KY2YhO5PM5R0n3IkuGX8VyRXBzeyaf3zyjTEo1T+ZwQA 370k2xj1sG6HD8bHWeQAo2EGwsSfVFwX8vEJfFtGOjhl4o0HtCi54XQbXO8AHyGcSx+StDmm2x5p eq/GPMDLGtNTZSzUoobjwceMQze9t9wvDHDKjn/apsvHKvlFSmaXQAgV7c2UHb3U0Ww4vkCnPI3D fC3h2s136VRFzOXlPZDfGmzaD+b8CIk/m6xipHzOxSCnAWsHIDjRYshhKyDqYRhwY2+21LaYCqcy I8AP21kbF8oM4JYeBzhE6GLFYAe8giFlxah+4dk6iHHRlL6FW7GLmWMZJuRXS5ovcZMQCXsO3k4N D1vAZOAFZPDTWmrGjkcbqHHf4rbDOrk2qJ4pHeu1vd1jZDSMDsrAqBIBdsz6fsdDi4kO0Txqh71r G2eBO7mDOLvhHiWKJADAghLsHzPsmCm787HyF/xSQ423bgCXJ0aTMt9dslxM3maEupsctq/gorkR zQsgMc1HtPEYeeHZfDCV7mDbasuLJiA6MK8SgiVXwn1wdILU0y0pKeGdU1GJhVjkEfB5k4XHGtkL kJgg9esrPiddIiL5XlV595DsZYbSEId9zsf4qPZ6eQjI82vA7qv8tu2HbxmZulBU0mc8eL5Yv2aE DD2imzc84fMGPTLwCwaBkxAJESpZ/4dGEsRnFrFIf71aRQS1GPGzoy3jL74WUUNVTYsaurXxqlnc 2cjjceflQjlEphja82gdTosmuEhVsAVtykMeufysTE8dq4uhn5ksE8VusaGPVwNluPUI7oQg5zJd WM3iimno/EZINoHft6327QeO2z8PKarqWzQ13+ItHiNgttWjM+5q1iAXvU+Dh0JQPNFWwPC8PVIC eqyjdR3t+QUYnp0yar24mjQ/pZlIAnEGeigfgjK3WxIFDz8kPwm1CCFmx/wmWx6dw4c1LdYvpLC8 mobXNyiCEsnrAknt651r9j4ckiXaxDiJzF7roBmHKHzO0S/AjCWD2djFlovNonV69fbX/fqbCyuI iFqq1/xhBQ6QpxCG8BKnxXiQlDCIxLJ73KANlHoHP+4WX6qZmDS9sLlV9pg1DYC67UP99Hcgj1ID Ns1l1ZglJqKIXLJ5V0iYDTMM0DAUYq5DFdh1DlhJA+3fx79fgq1aywfyI2ZE+/pg6p2+EgBZpZmz R+QWLm723dSUyE97aTtnDTm+jow8sgw8kTzLy662HC8yzVewGvQ3pOQaBWN3Fcxij9JgIHTYvmkQ fIowRwe+kMCBJ3dob2CKFAa3XRYf3iC6pB6b/AydbEth+y714yuDuKSpCfIFKP4ntwE+NaFVFr3g tasxI1dp9b7Zv7Cj6DGJpU6SCUwLhWo5AnUW9MSmmw1VXpdILmLKglhzdn2BVTyTemKrdizpPS0P dNrnI5yYwW0UHJA7EWulLS9zuqWpl2bJXU4JrLYBqXkRe6N7yGh7Hjm++EzyqlKDGQBH/BKQj1t4 z/pubD4r6Tm/50m4FR3DDc3onbIYx9tIG0LYxBlBt2gLVM+6Cp/d/O27h3BDGbttq4MISPlIhgXC 9r7bEOD6o18RoDC5V1r+onvaMes8M68f/vC1d90nvtoLZTPgMK0dD7ZM54lGjvPqwpHjU7sosYZy pCw8e0tWbAHFTLln7/QXDZwvBHBSy8cP676asuDqYisEsc0B7gpA2wBGI0OwYVDdOnMzk9ONA9Nv 6z83j2HDZNgzekiArij6t3FxdNQyfK49qYeHfWLYi3WHmavXCLra1zVSA5AVgqoMYyXCKZjlygSP uFHdglml2X2Ysv/aXQ5fAV7YaCiiu8uQbq2X0D4W0dalcMYnsrlOWZYr+JyHcqitkfHd28RUH6DY PImaVAVBpuwGIBHxk2zURE9DjOUMzuJvUfeLm54eMQFi6zujzwusyyMHoaBgn1Uv9guflio8PRRQ QbZlQFkXWoHm/opVadW0Tm/1KtdmQ/63Xzc/88joPaRznC0GYg7TggBV4bko+64khPzUeNvOCJvK AAUfAOtJmT4OysHyjsCp1dwOGWIuZPnZCcRZurbks9kUxiE23m2aLwRjO1fwWkTsLZrOlL2Jl42G loxrBak8G6k67VWI4ievcN4vgxJLp0TOPaMLO5E3pRBAdKuHCEYHsodS7njMyrJN4aA0y51j8340 XICvN4fVEkXH+xDfYJEGkGbre9R1L7x2bpEACSH7+ojXZYJWOC0/gl8PQ/nDz4ZMRa+Hyg3e6Hqr VkapEbgBIDqdJhuA3AcB1R8RXdkrGwSiMc7IUtjalH50rJVtHM6a/CpaXEzDfP29tWOci6a1HmT5 Xmxe5ds9rLRGFKb4jOzamuRryy0aKPRzfVxdULdftiN7jWum7hUIUC92ePoae/PSrFiOUODa/8jc NISvwT8L2hKayP4DIIOzRwktvXvTNvY87FZOzAns6xIFH0fzTT56tzn/3WAre1lQ23RHW5lqN2NA FPnhyYbkkvESvOoZhQBWcz9b6JJwRYwRdmNOsVWCtDDp8Gcv02mLcZqT5LPiQeF9TsEhmfTmB0Am Eu1Eo1hQxZbi13sgppCwqK4NA18W8LP4PikCA+CVWD+tia462q287qqBA/MHazmHoGmHTRopUYA7 z6bizFoACJGOEHG6Z088VwIM+0NDm1+noj5elRgCNpOfKZSi4oW9O8+9zpt0j4L/tj+4ixLfxzUW Nst4Pyb1hW09E88L8m0xqlCnJcvzEQnb+7azaXpTn2ygtv3nx7gXrvbJvMSsAfPBCpzJUQ6xs60r Adr2G9Qm8cs6Jnf8EHdP/7cVw7cdUh//HYV/4k0RQ99artfEq66Wse0BEgHfdsEnQMEKCJ7r3yjs Hw4XKGafuMYlkPhrZpO4QbT65AaDMaQWQ7RgOtZGFEJPSjwp4PdgWTEWspImhqlD2m32LWCiYQXX zIrlPGcLMrXKyLbj9fmtrE8QMNKQbUvDD4ncWHHbkW1kemqyvIB51PoOi6PdmPNsSaScAm08dr1T cRRzm2fBmSJy2hIcrJ5ke+rg66vxf3pnMiPfE8zVy+SA8ft1PVmqNrJ0oYgkEwhnZ5Hq5/+dg10G jmpd8s6IksPkTIAJnH63NFxvqWmUJ/BZ4IR/3THYYdBafpmJM6IyH0x5/d9lbZhRWxXtBipBq5EC d/2Y2SKXivfQjCyY3Q8wMw+J5O0WMOxm+BWv+fDYscoUpyfBTQvPR/lIhOtMdSo9V+YH/9iDcbzr JpE7BbyD5x/5Y862SHYLxCcNOGyY83z4F8WYwpAtPgoymaLCoza1WokI9clKpN7X5HRidWaVmVR3 sVFPJlU3wT1HwYH+oXm20zAHl9iMMEnLdLD8OnlZA3MMJgXxlwh/gF4f/BnnbHjuXk7gkHhgHmjY L6VT7O12VyxlUhogKAvC5d1Yw+AoOpj+1ftSAICYlYjMGWCxtVEbjATMXTTdU0oM9/b8b+LFPs92 fN0b0BeSOfdfWpfeOrXLqjFJCcPgr8sIzgdoI8q/GZ6QONsu2JLA02iHUO1LzK8OaHpbinyG973N tnVsH9JpJDEeOz9I5UQN8gfMvlprfoAe5oAWZ7NlIzprQi9S1cyRIbydnZx/6ecfdTfq3ZQmwBYa Z87li8iu0yy4p37p5aaHFwN5bn1zVDUYGFJRhvOh6Vhwd+QTLHNeO0suSFRL1H+mtoB1BwRSl5mC e8+BP4eqL7qcnU+wSEbC7eBHjFjT+zV7b50toe9VgOYV3wp+NrGrcvQGZpTpD5uNRyUpvn7AsqoM BDNFJfn78+K3t1RIm3/6ibqXN5sbv2DcOLT3XrZLpinYHU2R/cpm8yNlexZcK+irhEFWSrdwFIOq UczWC2cNkrRiaXGbhe5tOfRCzhGnp77SBVKavduyJyXCoBY5Iuur3ROcRy4132LITumaMHxXUQtT cUTrSpRqJh8fwqk01evZq1CTLtHDJTaZ0kfBp20cY6qyua4k1yhvhCO7mZsRVV7D5RKXBZZsMT5+ vU9k6WRIhmZ1uTU6oAt/jciV+ksHMDFAptRvcZSCGksNT9JSSZl3C+9i2fPxvi3WyCbw+dMxBoP9 scWbYEcLsgAM6N1YqzglJRwA5+R/BaavKpH12vDw6NpvkMhNJT9Fy3sfWLbggY6vKmnLnaGVe7P+ W7R4stfJc/CxxxXkPkBwsR+s6nSoWOOYV1Oy7jkb0Kv0dQyEwtIc6iJ+IqZdRAF6afq3HV/Dtnyk ++hfbOZAFgjzNP3EpFCTezFwMf5Hvmrj+oJyYI5mwKeZ+fjER8Xf6r30lBiy8Z85GKRJ5FIgEJ2D NNdG3sh5ulc9yri631E5EtiSG5UvJbKdUtWpHv/+BVg1509afe2MocIVYRDiB2L3U1hIduX1ySsT lMmSdn3qd1uFqjui5BKtaiFX6Q9NvaOzKdMvyHcylhGDIKmuEuV+Q1WOK682kUvpgk5XNj5hEj6B LEPMeAr44q3+py+CsnpkAxYSdKB9g+E9JH3DCIDL8GNA6ZZ5GKlXMooZGVkAh6pTnsvqChlP2wjk gIfLS9dHRtKfasNq531vfc8QP5/6s6PVscVyKlB6J2QznxJgK07Evq4kIKqseXZxy3QUo7AgOy9K q7d2X3K6CwBid94yFtpzhBz322/Q5nCv0S3VqD/Bi8ThmARUHeWos40ZDFXWYfl8s0ugTWjHMffd Erzo8ekej2eQ1NoMXJz8/LXkT7qDw5upOX8mUQXp0gKqpsA/HTUAOdba2AB8hO9XFtmskLdYqziw 4eKE4etkO/eD1nLMiTdZe6VHPmZXqnytSQiVScm2FN9fljAEnKXfCYXacApuGtKiRybPmgzbQfcS F8fhtdt/zHUNh/3pvz9paQLZVjaMCffofpcWgiQh2W6xLF5ZwHu1S75JydIFEYYLfkMhKahbO+62 U1RgwHo1CfXnnPdg+RcOawKdEaS9EU6X/JcAGn4EBAiDDZ/Gzcq6CQx72eV4cqCxzixyf92KYVNZ m3LvycPp7IJnin98qYJZ0JHUGvZfSOhTPNJRN2leuWEvkyQOVwNQTYgYjC3bxiFjPhZYkR1wcVf3 gRVhTbUvfyOi+y7vTMRGh2rQ6V2x6rMZcp8tdWAv3rOE3DtmhZOaKxSRn/pXpZCFKLIf37vaiLe+ t4kVRvqFrgy7TYEPn+fnL5RzQJfdy8cOTUKIqlXeWQyF1VZMKH0drMUXYIJVzT50dHCyMj7S9ZUp ZjEIzNs7DU02lMxWcU6MhNM4VMGiuit+eqL5qWfD+IGrhUG4ZXRGnSn1ZMCtZauMELRVuvBQQmV/ dqMSHnwoMQzd2ZvWQDrl8AFmW4BJKF7wvWYanZXj3njJuScVaqPmcK4xxDcV1Mc/gZREixiRjfPo 062qsgLS22Q0r5Qn1JYrlmLf/izOOlFxVK2B5pgoCADpazaidBgJqy397uUuHZ6EfZh6crVhct1j AWTze64/2Ps3aCCXKM6VRWitF9VTeXPSN71xeEAFgwJRheAWuuKHpsIB3ITxM3roTAH5B2YZeAoL x4XzTVA48Tv8jwnvWl2EoekJ5RiMZYQ4iGKSZqI0T+jHrz+f0SkWLq63PBhv0c00ydmVLNdX7FIy ZzmshLr+rnlYCLXLOZoRKFaGlcMDQMx/q7Lm5/Yk+izEiHaaQbgT+ob6amubKW8R6StZ3BRaPNC0 DggKBSHGJztr//vcHCYgjz3YRZxbT3txs128+CVomBWp6pIuzfXvy06ynGbhx973Mmgr9uPLvhB3 cR3y1DYvRLHrGOunTiI5LwoJDnDWsoHqO9+rO3ukFCSpWxUOXTraWwbss9+uvwbhGguXZiF8LdPM qpumX7AY9vfyxWKB2GkLHcrJ8V17QZROvurtxQ5bTub9tmq+7Z8+hqbuV/+m3tZdS8oVm+Ys6EhT OXjHs5RvYmZXEq1Fh6bB8A1gahETQSSCq8be9Oy8F3tcrLttG95gd+hNV3ShhFSVIh6iExIxf4ye nkKldaE9Zmx3i+82x4Ackglrp7bv5lA/NnGZSkVt6EbRC5S8aB3h8eyDmfdco5gk0j7j3Ma1fo8d PrELBaim3o96F7vUB9HEpG0hGPBFN8RCMLMGFB/q/Dyk1mlv1mCIxLOSBLYS1S9AD0kTgSRFEkbV uTcfARsaGdtB1Tg3Jcxy+RH2KDmmU7tdIHNSWh2Ddc3uvSpxzu3QRIdw/ODmyzssy+S4QF2GFAo3 sMngqxc2o4oWO5cT3l1XO6eMysRK7OXYWiYejVm1HD2+/Fg/g/T/BitrX6TAQ4j1ZcbnHA0Q40Tj h+yg3rW6GY0ZbidTI/dBkw2Mrbz6zLxuFEfpsHUemcPbw9VFI3bMqoqX23NwGbhHjYCTrzhmvlgW YowQwzzn7m5SKcfdVCxRemxJOIUVlaWypGyvc/jktJ1zN/Rd4C38/uceqdN39C7UjJS0pda2832G /x7QsRLdBgDgJJospFQNF7hrCfU1oTn4/MGsHcnHA9SBdQdP5ovKZisL5be52Qpow1y0HPO/Ovdp /Ve0wjWqtFLIve7pC5oyFBsLURdz23+cb/x8Mx5uXjKGYEUu5+5C1oiFIYMpg/nJPoCTMC4KM0Pn N07nJkq40ib2J2snHWoxRAmRvhgtaYHnNGgLOFmqLWslv1Yd3YDKZ68haap6o69b588jvzGf1wVE JcTzDsB3SFeW0LNtAykTcEeQUCLrpfp3UzNjzHkj5dmGp6aX2GhXb/a9X5CzTAyGLsCZ424I8ISN u5QbQamJnJYpe8YgIfj+vl3VPVDlE5coZAqhOmCU0W8WVoJ8i/JiGA/Q4v921dwiVpQ86jdRhm0Y aT6m3TF8iXJ4zuUNWAPLIxjjI8XPWFMGRP9feVL/iinSmAoAlsVGeqpXlOEHQHl0lctxDbSfpHgP LDoxJjtd60PXF7boYvjp1RtgtOrGQFj5eRp48g6pdv9jPo/iGblNDUpTNAhng0CXHIwX2w94q7Qh YVq4ZleD1JKxPqojmF1Iw2cVE3yAlyrbsdXBXQ2+2LVraNIuGZ8VGI2E2bmqNmckoGTpNOs8b8cr /OHn2pgR4JG3i1/0q7uWdqnbLnhTq+cGi58z+8aqReQMtV353K7dPZfsaiFITbCvosVD31jnQAG6 JShGwQmN3AZgD+TeXTeRBOzPV6M/dv2Hcrz9acMVbNxZe2Z6KbVfUa6kRgOaDPvt0yCpj6mvNqG7 q52v2tvnADj+vnj8Wqyt/b0AxVQ9KsR53LRmm5MrZaE7LERSmMyPZ2HXYOD/Gp5NOdFSfn3YytRF j7cHJpDIgTvNq8QCslcZGzx4kz7D39/zOIRbkAfzN7E71QtsrTc9omWkn+az+ehAQoyvOoMWOKot 8SuHEkBvZxdInFMGMInlynG8YrX9Hz3oyJcavEf2BNcx6Ll0ztuWVkpJpNmR9g5h338K9xJq7zdh YuyuUPPraQmrD0NibFVOc5f/7iO3vVDN9BFXh5kQ7YcqfYTkc/Cab2bVelF86ITY6b/fgyQf/9vJ q+oVk5b8qrqpEtUGYqjLgUjLLU3UAIu7sbi0Pn2h6DWmFq7NyLT+pdTCRIOkMYFJohqrYA/fyg0T hEZ1AtVmGAnjo0gO1DbYN8XZphNFEpUQTYTNvEUM37/NL44oylBZRqGWux8QEkoABAXKcMkf38nZ zXAZikEoPcp6tfSNmcAfjeebI/piMZVSkZ9zuOy45O6OqbwpGLDLyQFtausTS6qXMAVSo0B82vX/ ein3hNSLfD9EtD+JDP2uH9XDl7refJNf9KUfuPJUfCqg3aJzrCLPcgnmOFhqtL2iiyPWDJKUTheu +ex2yFcZ2qXMuD4fNKqrXUKDB3A+f7DICeKU+8vRKqKgRQUm9YSZhs/dLoVDmKFdSU8VljH+qS2h Xoft0UUkZCYNxEHR/1l/WnZyyhEbDnfPVaOMNxZwH7UAM2lXxqUmUbDyVnxaVxPNcghgHmgREv3d uTwLAVgNQrCz1U56DGsiBLLIFF92xGrmIi+jFF1ReH+RcT3OXu10DQcXpJST1o/SGNb3++SzXAJz DJZIEuLb4eNaYO3/b3jT6vdsb7zMIbUKD5ko/pGxW7ny5Wo3PKWD6mglEISyONegQxnse/ATGnye g4fHPDvtIUSRIRn3TSl+AJZKye4KoPz+ac7h5Yoc4S6hAF9MQp8rVS0ewFhzz4/q48ywdly8pHge Sp2n9x5Ld2mZq3/NgsmFUHqCLHT3GUceeSrsmIGc0Wc8hO8c9o1ValFY6uIakikzALtsszjO/epn 0bwhxxjk9ibGXflFb4m21587+l5Xr2YQw381ptSYkxua1ITYWI1BZJJEWnfVVtbaGdAHkia7jW8P 9hXTWR1j1TuD8LVc51NcYDW0s0BbS3McGLwHAehLW0m1ee9Al2JMDh9+f7RB5Mhx6pGYXrWZ5kA4 kBXAGf90vwYBm+I9Mw1KV3ZURoIx6vOF34OjRSEAzjwV4RSyoR0zLLJXAIdXfkDm5kQsmSTQPapf VJ4300J0ICL4nm0HipD6iUn9PS2YP3uYyZxkSFSrcwkCx/LJwyUma6um1IXeNZX14CudcuEsc7py jr6MRbWlm/Jol8+GI/SlSsrqb3eVp8gI0gOo6PK2pnTaLaRSIdNKy6jaQfhH/6aMHEahDvQkS3aq SPoUe+F+WY6T6id5tuJjNMXTCuKVgIC8TAtgw+dbYazyrPNJQUZrbh6huLTa8il9LgjrfI5JgLYF Qt6AlgH9pNOLV65rPEpIM6zS/+RChL0YFPKRyFJZ5EO6ZUtP/z7Q8y2tnNR9y1ki5cl3jxTOy519 HhL5s2XmVu0xr8iwK+I1sKCQPZ1p5suIUHNsNJScndKKF5CWzjX6pqWmES7NVsoITZ+QSnnlbQls lTR+7Gg0Lo/nePxWL/dc1DKMbAxrdqneFPVQK+YA7q5Y6zWPLxbmtdGVroCCkvIGFWl2XtPjP1+9 WODMopeTcbhj1XFRD0M0YWHM6CoWDmHNRvao7jOvXzUgnxCXPg0H5GandA3Ix8bl+3QlzUSkQpd2 WXqCkSKagL12A3cap3DDXMCrqa79TlbZIRN32iILptfxDA+0PCRiQolOdiukEna69vkzv+Reb/ww 4w4hsqiVgYicJDt0lCAsBf71Xs/UVNuXaUTBy7ZPCemsdmRxFaGlI7gm9YYuKN78U8O2ozaMLLHQ GEC9SxV09xeVJ+OKQvZXTIRZmnv0iga3uSRskTBm3qBPDX0OpNVXwAUCi3BORqra91LSIKh0u37s lUDSB8Khl/DKDoS44d5dUmoeGN3bqshnmGDAuyiIj03Be7oBNcfi/nlBNxhfV8IONXD5oWdJqO/k aHPlN2ov501h7nDci+3MkVRZra4sx1XWOIfuYI0H81/QqsWRAz0v+BlXg5jrNWJYAAY1tCGzAwF7 EyKQjqy2/Qa7+PXTFc5x4jlYf1bmmm7u3N1YRzMqcZCVrrz94dgfgkm4S2m5r+3JZ9+RzYGFW7uj ZLJ88oYUQPqJ8ZUgwpY8giX6KW9ISitziB2w/fgCWHggym/1L8jMaHjgtPOipgeR1EvaiciaY7KZ O9cVLTZKu6yIiPWIg9sv12EVsZ4tni5odxxNbhrFAwQ77zNcrqwq4ZoQ304j89s1orxhQrXqOt5R TX69pter/OthWokrDTCIACbkXnZy7nfdEAoQ5uW56P2J+vFLOeCgcTTfQO1R0O8qa1KjM65eU/JF c70DBS4/sEyWI3NOBglFuE4Xq2q/8kOz+Ol6aKeM1Bcc+aF4fr7Rr7S+0T1M9uAQuN1gcIgyEE0K ytjoxsUSftUV7dBcfb5+XU5zrhSI8IpBxebTIh2ADIpipu2Lopi5+eKTtxDj7w+RgM9PKglsxGRD 1Dq3OnoSigCg/ULYy6SB9A+dqaQjrJpiWl/cSWBqNLxST9ZG6ss0gJ442zkn/mRorHUEC3ZWw7Q6 OZnvKQEKQ8qA/vM82loAitxujvT8Uqs5kg/W3SvHlM3rj6f2w6OM6WVcz4nMEXwOK0DB71hQxBLA 0awuGwjiptn3SP3ivnkLEgaiGyzzOpJKKr5iKFqxoeci200wyoBzvkjHbtnPhUHo3JxEYQoXEE2a SCnQ0sbNSYFHu/Fq8VUV2QcniA8u4ITrcLHqIDq4LsBtrP/+OYitkTgw+SDxtYrVKW9xy7GmXjar KMBPxMMqY5QHuQ6ldfJWR2HABrd7JMnH111O9yRC6ZJO/5k+xRQOMshBbcmfemWz6OeehkQv3dDl 0HwPrZSJyVmeYmF9Av+L0Gz4C1PnN/SaW6VWIHXJgDQ6bZgaaLNjzglcq8ENctJqbEFFSWoXVYCB Pu3cPn8h6pCQQlZPT6WIrBmcldnGFLZyShj4ftLRd038Uc02k9lm5hpol7LECgEGrh3TbOaMpUKb 4gplTKNJDrq4FhrAu1H6AB73XIjI2WhEHbPHP11uqzyJFlKywDaXjSWeWWVocEbxKPEQabUC3pm2 nd+pAf5TvPqKbTiHNQzEsgB0VkjmlZH65FunJUWe99nB7ga+VnLBZ5UMRitli0eIj3oelBrCiDM8 2Qi5MlQyizY+jn10/lOkogLjzfCfml1/y4bxgF6wPFJyJ28c6qUtjavtiJXEp1mQB/c/jfORlm8q nteiykjx9TrSd+vHcSqdzt11qoNXGAMk0QBgKTQGs00wM1yDUdS/7apiUNrBdraAifOmih/hlNbs iMG4Y3uodQwjdkf1J05tfpEGZmpYTlPdwBAzR0XMdSYmZBfYVCjS5FgzPDTcCdKXywYmbYg/aNuI xiGO5hs40QuVNzT4XIVa1orTS0zKHt96Dv3z5Dvt5ihiLe0P0qf0CGWboSC8ujtLEFfZnF2Chhuv tsDvc2l+a9qktohgI/9mKXgy0kYs9shNDprfAtJTPjB5MQSvyK3LPv+TUCNr5z1iffdJ2SuFXS2V 6rvkPsz6aofXnSuFycNh1hGkXS6nAdR7L/mKzl8J46WFbVyE1x81fsnL3eCajFhpROlJ4dMucZd3 NPu4vjc8qOhJNldtCPLRiLqufr4F7kX6psJmJZTEXP/36e6s2ui6319N1GAG1M0UM/gmA/NiQDCn OIPfD2aVhhZQQBl2F1iWm1gZzrtrFy1cdGcIwhnHnPnJF5ZjpUmoJfyaXhyCqgpAICgUkoVljbLf Cr/wVsQhquVT9K1Pn3a9eelWQv+rrJxr4QQUqM3X+v3DcLog6m7gKvUqZF9sUnm4dGh8nHfw/wSk KDUZlsb4LoIAp7u5rklCMBKkE0Y4ajLKuHk6YdRzF2c+uL87g/pmKc7dXlev5qWJtS4Rlm3F6p8j MnVJ8C0iwsR9JpFdr26grbdDL9bURU75Pmybos94g4wwhexbJiAaySHsG7msZdXIljL4s9/8k4CK ojCvQF/xohsPtgxRizSrSJmK8GCpvEBU1xG81iLlPREOcStw/jpGetdDfrxYzAk28aIDujdWwWqA /F/7ijrgtcuotmk/n4bEFubkEQWxul0Xw+3q66eBSQHPNuBNsCYg/ZHUmQPnnITrnIY0pYn/IlAa S+KLTczg+mRllSsGqCEf1TtuUI1f85Crpktx0Ooa/rxw9POmc+aCuyN3EIDzft52Oo8FIa7VDHL8 oxrAZQ9IBQAPhX8zMcnNmWHuV9f63UGB2pf+SRDlTETc/+pIifNjrPEA8dvMxsNl/EEZfnzq7Ifs 4FH5SrxWMbPyaZOG7ivl39RrthUt8uTBirA0+48P6EWuy+MkEI/vM1z2vlp91ynGx+13veKKAiHv MQSstXV9sVqC4hxEA6UOWRtP3gAPBF+GhdI9XlG6sWyTfpNVhO3xXOr5sUjXWGnxf09p38HjU1S6 LAMh4M917Cs3+bKvqP4qBx+mF0vhDgVupeiz7KB1f3558AhVyE21yH+nyHt0oU2WN9h47dwBEL7Q MFm+6hm/e48bF+Sba5HUeZOjY1irEp6UgQV6MYuUVkLlYTwmS9EjECl9qdQsumxil5MSF9zwNeRv rCEyFj3SiV3sEqBbhLGb0Of3oUxgCXKEMDxpSzWYNPmIayyzQstXOcqd8u6LsYf3tOJ54CPGa2Ma OUWJY0+b5ZM1nxHbM4RqxG0T6QmnrRyA1sCvjmSmLs6+Fm6JN+Zfxw/3p5ciUE7/XmKhF84E7G3t FGZSUf6oBhIiBUTRQWecLKiz0IMMI+/qT+I1k5208tBGiXUs6PKVJSWe0PiUHDYvRMUGlLTr6Baf O/fHv1kYgB+FvMDSKVgHjre2jSJOvCLnsa4uzafQ0258qCiWUC827Dt2H4zEo6Zoi4yGf5B7gFkj bt0WJvOuMxLLpZNLW1HRZ1wkoBTdwyOPlA9Y8t2psayhUBrjs0Cj7477nLOFxgkPvdsurFVVvLmW WFsbOTtcKFGHQtobKKbHHOpR0ame6qXHUIdIF3sCTHJMKkmK1vcd8O17Awi+yFFH31a8NOH8mLkY BzdoZ9NAPjgIHEDMM4JL8AeWOACJ+Tbia+ToX18tcvHATbJyBwtjeT9oyFOl1SJc4pTVcUhhVGec 26YL9T6chzJiBM3RpaN3Dcf81zzQBbGh4ziMKOx3WroGVU4ugd025N3WvI8dm8cTqL1fVI+AUa+J DtKb2Ly9TNryUO/bJPIxL/BsGqOojUGeRGbhloN+h2+i2Y6o9CSvk+O6nefeLtvR+TI7P8CT4nnD L6tz17WtrPGqM8vqcB7AUamaaU1ozGKh9Q22br3xib6oYGrKwUvB920uyadH5P5M0+OrsIdIhm/r T8eCdvpSx+KQc2FwQAMo4j1Ve3QkwhTRxLnmYKH8MucebSgmB4J+M7mlpYzoYL/l8ySYFb/CejRR YnmPl85HzHVf+Gdfpz9eEYDNS3Q3iKzbuQf7/O78bL2z5FMO8TH3ia1Nr/3iFWTZSRKp18HGfOrR /vrh8IZ3H0OCske7T29Qcpiha+ZFCCC277Let86wpsghimLOdZpWCWVc/hBaLPNCRBYj3RGJTKL4 GhuZKidVJ/2Cmw1usNDYz/bMRvmGFehTykrEaVnijQ1/+ciov280R6Xgd6Wq/fvLtHFF15X9Ni9F eo6se6oe26Jc3CMi5U44rUZYbxyL7SAi9ZZBF770FqGU/S1bngdmZyhwPLAusvfsuQi/xRVFDPOd aa/ofcEY3BcuFCTnwZIJaeU83FfaIN+Cz1yAY8zSUTtBgOvEBbygbQYMc/EbitJxaulECR4kaATp YZ7SqCggbVizuNtbgVH8pwqXP/3rPdk9c0ATyWSuZEXX75vOY0uKcq4BblrUJvKmvTOGXpGU1tCk Ds3DEf7+0zt83syBq34xcHmLGUX8DfZGZmiRQbVsR3WQYFN0C32ydLWtZgnDpeUfd/XoZ/oZTwN0 9RGJB6bWpCud6oKcuZeCKf2WTkYhPoveTgPDpKMhq+g3QsY0priugBjwBgnjMo2F6wVdpC1G1MRx HlEYjolNrxcxnIET9XIPMiAIvXu8A8CGfb8fuJahenR0JpXuovlPyNyPYTbYuJw8oQ9wgn/ZUz97 XKObpwzPSJ1NZl+7IKRAsxs4jr1T4kUIU7kZjAJjmM55tu1Wjp9aBuinz3K6NvNvFEOGZoOAob35 vkOFw5/37jWlnnKDnAyBqnyVsz6gkBIN65H+4nqe5zzGO8Wy/I7EFt5o4BaAa+pO5WPR5D/45z/j peUgs7cQN54aVMocal0pBcZNp2AROkME/+TTkrQ4p8vrzNFZUPkVvzOp+J6lCNi6ic71SR+GwLwA UqU+5YBMy+6PDhVkSTMiYxNbU+UHRrJCPC4puFO3oUnTwXnuwYFMl4yy0ljxbWJXmK6gxLq28vfb JJjeRx7Eu72CTj7tuiz260uYTY+00OZCX5LEVNT79YWEQat8MO0DOWgZcuKDaL5UhXJqTdJ+vq2U X9wxgszhunQ44VwezpWQ8hxUSOBMmTZGvFH0RFZUnnU8sY1HTDYwRXHULg4DdrNYeUBSY271U+zp QIfrRxASzl812j2n4YVK24WjXUiQ1D/fRVgV2GE/kA89UYI8U/h9ieeJIHOMUQmucDFZKqkT05KU u7LC65Nkb/DCGWnmWKauz+vx976TnTxQzW5fzD38f6bIKQOJMTkH54Fu6zvrf0Dre9R0eqmZAkOu vYQTbdc9nHhLFEGeXWs4stdQPtljcJCrSkj8WX0FK62kKtyrKHUmvZUWZq5E4jX5G+D2v0d1KhBL UabBI+AMcMNVAYL4oH6aGs4acVDl+msUVzyug2DbjXkROEiCkSijjY1ClbxN9G+TYQ7OKEdqo9Si WCOhBswumfw5s72jCINQK2IqYMwy/RFl1PkjHVLctG/PXgv+rujhWcxkZo9A18/U6VRxYJGiVL6w H6cYFM1m08OhULdWIc40Lji+oyNt35h3QolobomicPs8Vq16eoTUYEbxGPZy5KGmOpgU7nyL0xqX EjNux0VfRs2AYxq5v5uPbqSCNNfaZEkzOsbjkzthZrPz4ZeR/3fASk6figbsgIfA0JfHfDIgB5lb O9O52rcOGTawkFd92j+6vznryzf72IgmyQAlIGBuoNKv5cBk7Vwg+wtK3Co7K3FcM9ptn2lf4AuQ UOvLbBVWj+MmvI4r4wYFGZrns6zAs6kU4TQY20EHBwJHp4pgr5ZgqXfQh6PZ66ozg8vHtGTWjNB8 JcnGhYdwpSIT9sylhd0LB5VnSYuISvmNcAt3IdhksfR/RfyJ7JkNVXoWXFcsrvepgRFg1YDOl1TR kv/pvkfHo6aZjZdalHJHCnSfgihskR3ka65dnNulvUhgAVG/zArIof0fdegtlRpxLMX/Dl8cA5Jq S65kqpp32Qxw/ePO/NDc8j6XCIvNVHNJ7qHGrK0ojwE7t/SMiXHxtywKYuTcvzSigfjsNt7Gv9mu Qe6iGJQPwhURCHvV6n9Z5S7fX0lHL0xh8F7NLXiqlO9xuw2i4LcR/Bln84O/nnrvEV3POZlfE3LR Ze8A0Qd9ihnFur8wdR8gKxbAUSw9X3r05/RQfe8rURSPdJQ4u8diPflq6hMh2JT5eqy0Ve2xE4Lo C2vtLOX5H/jb0/CkT+uX2x17yUyei1awCJTx2eXhws/06aufYvTRBxE8hSjzlKHgNuYAB9u8ZCOP oWTFOxo9RrrXQh4oYVsFt5SvdZNpXlr5kApoDUjRSf7+6zE03/7Adnfs4wHkgqeFVc7UhbanlbEz 2FVXI9g8100bgv41MP/Hyr1qR7+QgvCkUl+zlOyG598Cn1afeRqUYyOhU1o+3Mzn7jARhowIQcPl Ro8+XanITKakssCZk0As2+trcA5egAEnOB+/ifkanqjevDzSJAfJrANxy8TX2pkkOowbE42WVJU7 WE0L7b/kXOPtd7l+CqR+kcm2lfrm2DeOyUjqjZE5Y7kXUb8KIIrsOCXNnrAyafLeyCe/RQbUK2B+ n0TmdrZBJCCwwKzVRsTp/3lVF/Q43MKzwgf6mjp/htlJ0aMj2VWk6iALXEPU3OZ4oHfwaz22Uvef 8+wp7ZCudfZFTqDEdlO8/EoWAzNtGnVRut/d07qiAEJu2ZmXeirmvFn0zP97R9tRAhVSHngv4/Ep egeh39YZ0H0uK+bYCn+fAHPvzci/yzfV53PbYHLAv3fMS2WK1g1yPZckbOfVYtGdGIwLKhGDlWMK hEdLBKeCiQJZzR8xf4YzOtuXWs5kHcVCvkZ/9MDAJzCzKK9O0UBNA3Y5/cFkdg2nbwm2B4sem4jm gaMUBCyPZvLRMlH8p6u1JSrWUXGuFGmPYHbk9KEhF2svaq+NrrAgyaBnqq989qqHJRshbyUG9ouT uNNAnLzFWzzbBV/gkMNXOiORNqb5jBorrU5tOPAAyk5qsHSM7WrTK1OZ/boXgXdLSYw+Jj8etuUx O0Q73EH8zEeXXaLGcU9gIIhCuNurJsL+EQ9n88lx7hheduHD8MR/2jzZkb73jY6qeQkdG9NiZfL2 LUzk7dNYlwMewTf7/j9uq2j2NeiJ7LlO87e9XCHmtFkMo/DARN2ONSFwk1X3JPoaU3I1rSBpb1OO 7DXyoGnNheyVJL+6ofkKSckcsf1KX8Bo1RQx6nma87foCnyGcaxS2regRzQKFa0ThhJpY9IFkQbd 2FIqkIEaGZURxpodtro1vluMT97w91y6rhDyJ7URJF64ZHySbWW8aaBtsB9cN/4gCs2i7M5GpDDt qZOszfyzWYWwhUKR1bRyfs8lxrIYxhq0Wbt72Ud0sDbkaU+k2qJSFtAHET9YwLmTLIvz4JqE3+W5 39gjNFCsTJKe9EKfXExNlYBeo1RD7TAnmuhEUVvyylKTD0gDW5twf2Q//hLgJr0nqyoltCBz+7z2 N73Plm/4CkXMtBTNKRFopr1so+xiphjZMvln86dwWj6m4jyn8AfO+qqlQTAzxIIlk/t0zPwNJ+w5 E0Atr7+l+iRGpAe8fZ16ZSk8Rm9ge6tVYjqm7naNtAPYi7tFzjj3xJh1Z6FPXha3Is+UUtvioTHu m4qbHAjlWd/aE74954Vs7sntLoR/RaN9yDLbMrI+VmVwmzI04A4jFXN+Ogm+le8nDUuYqDPPo2NH ioqDbVE/4SYeoUrISriKGtjgjBTsMuWhUuiBXSAM6nXLchF7zjIidhhriBR2L7nykfBkPBKO/ybB D/Kgx47Cynt204Fa0Q+qkk9ht2zrPolzACnsSo5pDHLsn6xxK9InRyHVj5iSAebeAUVdv1+wIT0T IrO2i8EIsqjhUJPTwJqFTWcRn37s0Pwr78OCD1S+YrXlZ6Hi/LcA8ZcEXUqEpoKSf94tWJWhwrxP JOGc+hXh4Id25QCj7fBz5YrQOFWvmQuBNB7NLp5GFv9avsUuejuVEl0aaqjd//QPBAgND6vuYzQ3 29us3+adldNy/6gfC1IhJpzgIu2oBXabIn7mI7J0ihTA3kKVA/gaRkje3YT0lDPRDKJZLKsOhIzh jsMVVtYV0DTebVF6UN53/KiqicRoTq/G8LTjhqNAVY0mqdZSjWY468O9n7I+q7kBHy3KTcgYYH5a uob0tiWsCsnHHY2mOzUQCJSS51n1U7PnMeGWeocEfyoz1he8tpM2dpITy9bAEtRGGeH+uHuxP3qt QtEjQJwjLQfkZrILGJ2XJ9p/EXPbIXYCpaao0n5RfLz70OJwezD4XLQpULLintydlSkUL793Q66r K/9ApVTALHvAcjrvgUyY2bdTk3ATzKukZNDgyivJUuqYUFJWaCvhm4YYkglzJznVlGy3JI6wta40 K+Bz6JgqAa9Yjn7PFTGTPTYOuybi8KUw7QZN+4Bgw3BpCQPzT4JxxIIGXZfO/DjUtqUzvx35Wxjx ljiRsHJ+e1xA+BfqwIikYiYlKLwgNT84kxkZEjMvVo2k5wFxRP74JMSMCCCLW2otY9VjJ2w9uCfR W5q9z65zEY4lcEOHULRaJhNtRAo//SIhn99fh54VOwku37uyIa7V1jyT1m8TZDvjHRK/lfsBuZjE ULNB7OPapAXrLVL2GPS2gdDrp3p/w45fCPnsegdE4H8bUCJCrbp9Ev+0ZjRrEg6U/GiRIt3q0x8H X4m3xSsSSg/jhtRKMg8QRfwa1tguD0eF/6xa8xkEMChRPIfyAWCW6G+FiLD/CHhRxBvpJVtqHsZN 0LmBTICA9n+LBUR3RERnARYvRsEje7/UKece+wlIHTSgfMPm/YomdST+85j2b/fASHCQGEwA1oRP oQ3oZ94ZRLfFqrCp0JF15Vu9bSD+ceE9G0NGRSyGaB6NgdXE4CO5CnY1biKL3r1/Cveq6nV66Wrt FVwyWDH4yTlcHAN6mLYroHGhEnPFYgyorcGV1hxSjtBa8jmt36+ifAS3FMw5He/x/pYTDht9lYCx xJKho2CH06/IGChBuu/VPLYMuKgogo7EimRCGDZv5mt2Q7lPtT6mrvQ3blSYHU2z/73awJJmXSK1 tCbQeFKDtDP486TSb7FMmkMePODpRelNGCFYoyxCpbhUvgVmhOY/B7r5jIWRjDoW+zXeqzfjWJ8U 4apsavX603fqXYltnozRPJhDDuC6EfU08Gzs06Bw98ziByjFvoXyqai+zXfYmkq8mH2AvSSIdes+ eiqfPivr8LA5b+7Wz0ULUnQUZuqHtFilOCTC+YrWERnUlikR5Pl6x0jlKNtHHHozQ+dJpTG2Srdz 8QtClP1fccSekwfZh2RBrMXBzl8wFG+DnfHDXn6j5qpahcFns61Pbtx8WoMbYGnQvfA8lVJr2+Y2 a7Qo2/PKmz9PzO1zU/9iOlxi9LjIdg61cKnwkECPJpnybNyqfa1Rie8IW5Ml4v/ikno8WIb9V2ri o0AGc6XrsoIAxWkqYz2T4YpZV8bySK86aQTAdBIhsUqer+XuAXVWVKpGfn6F+v02qVTXXUq3AZME I4Qty4NkxH/VeVULoomCa+fkN9Z38h1j/57hdqmDXsIHKFPuMH1w1QLTj82h+KPCl8WK8Tny3bdH wypKdRZExYhdOhINn3MsIinDcWWztkUkPz+tBWSb8u+GcULnBbKTDPmJHbF8w/YNxwkdCCGT9fiv nCgcjAGLWEWYiBdwPTYR2XLPBfbpu+4obFjW7jsM+tKi2WY9qYb2E0wA5K5SgHreGVGrFhUDLINd H+CWIPSoPuW2HE3vlDjGuvtzv4+ep4wn9eKFPpG8/IoGC57Ovzv96Wjr+KIArIlU+9d2/QIXKcF+ jVwPED5MCAJ8KSOQKvQNjH3EWYnxk8tPATIULUVABnyi5lNXQvoge+qQSAXJbJPAt5uv84wuS60F 5VXb8CD8bFip7vGOiTt2C/21/v3yllQ17fGno/LQH8uTEqH7W8eA5sIKICp17beEtW/MHXmWrZjr Z+vSiX+LY41cZoLOTER/6M8aGSACRVHropOouoxR61cCPBI7al4AijB3ecBzkIJX4Gcev2CJHlBT 3eVeAQVWzlMcGo7/YZc7Mle7pTG3dswxQXvu72PkSG/b9izecq2203aZ+U4vqJUPHLCCv8+0DrA7 w/fab5qM8jH0DH4njNi1nCZDcIdFdutTIZwlxGQ4uoJm0RBjhCkAApYxYW4aK9O2lel3O/UHDGEv rlnCl6MMdWEQoYeUtb/qKk7W7ZTvcqgh3NA/XXCRQRWG5AjA1mrM6uWArSpxw6KNhJx41/ww/vj3 qnVBvpLjayPXtN8b+Jn1RyoJLDEwrjQJOuie1IDRjo+qPWx+nhsO1WS14qbk4wB7k47l8ofAI2si 4grLFwum4CchCOtU4im9C7NkPqrFDot6JnHcy2E9QMFmErGXvb6UiltMtUKgUrPDv0AAAKaRWPPE C0E0hzkge7ZZ2GvVunoRDffYDAce9IVVKjWHqvXmAFgSAUriU5PttUqrAA8/K+2xjxtna4BPTR0n //j2/obQ3f54+BHXAyuDy05jbxRUTOhJv6JywRXdsou7Psjp9+CJa1DzBwylJyc9hWFlCx4WbbwJ OlBIwn3r+yxxXGCedvCIrAr5BmQZZoRA5llkU5TKxvKzrfGkwBMSoAex0iV9xCQfIrbGHeTD2KRk p9Xuyv4uQtHZiWA+By+1gXSxMoKhE8g9LPZcIFRHXh8GNamf5Nfvr+qJSqujpM180eA6MKwtn92H UNU2iNGiYeLrP7Am/QLL4cXuyg3ro2D0kCrKV9ebzomysNLqAh6R6yuWEfsjzqLmwMI4tNjp5XZw erboGCwE/2kNaE5us2zN7lkIo7pLbeCFVyCmTJI1s7Kzu9McRKKsvUElIQWZUiu57XOajjg5aMd5 kxqxeJm0UnwjjaK+Nnjm7u4/5iBBmtCbDXrhgePT3sHP9q5+Ik9zjTeIXzc5GTzWrgxLAgrszUmY Ker1rWb65FBb9XakJEqKm9vCxw3mh68ma4pf51zSJzHa0KrQB4RDvsBWZjaZnoZUj21Cd4Ngwi5S uO+N8oDKJaG+vg+G/3ONi+dv/GmR3zhnQ+KYacsMBiAbs8dEVPgGwcCf4rAppgCIBlWNN1T2QzJy AtmqGz68zBKM6gSXDuTzBxfVbqRx8R+y6qQ0RS6NLpCpqz9ae5Utp4t2jp3e3LQLanextwK7OmxO PGOn2BJMHkpkUkD2w06yFz4vkBrI+sUktTTh9opCfzvfNCDkHLkrTOKYPUqLT4WF3rsh95x7saWG rSpuWJ5OkAa4GrdPubCbjCy7PcfqLQBvgYFwqhJYuioowLxffyIBJHIfL8QTPojizE9Jgt6ZCYWF b3z4sLCpQ4fk5CIKVrfMcyA64VpKjeQXfa8je1q1KmmI1XLf6G67c3SI+q0sV4Z/cmoha5c9cKrP 9hr3eIRhFzITxI4nHV19XedKsouwAS2WHpQ75zHl17E9nRCqi4NXhY6GWVaI1MXUXS3pRQlFTBGA 6YUZmCbyJMlh6rR9v9orITCltIo/z8CkavWdSPotTIp14bTyoYDXOWo/E+8LflkmWV+jP42Rpdvq eWNN8+WqH1lyiPiX4+87n8VQR8Cx3MJJ2nBnvx+FDrQQqlegTP0iEqdynNH1m8LewmlAOQ5y3JZW Tr6QRoNHo/HTlTC8ZDQGhlyXmlDF4gKM81de3fZne15grvMm6CMH0oD7kGGt/kc1yaTwsWP41+OJ 1PsiEQ1eNxk7ooa5FJv8OKfsjaTuVJPYc6ONv40zUrxwVPzN7En6xVF4L3hmQCIYL8yCvVV+N9/h V3PYGGQGgrWP5JB557z4N/nHrm31nzHTDEt8oxL7hf0hUhTuZf9bQyFoxOqrJQafyFUBbCX4o3Wx x8XrYwraoclL4oGwGoG+oW2JtpAJSHsmWeTLSiOdJmOMiyqlN31o9vTTMZ3DnqVPxxwi+JV7SUD2 3Eut6EU60tUXK2YlOjWtAVD5gd9zUw9n26L2uzn9kjDqGfgzgQ4OkXh748gkPWAAS61c3H8iA5wr VIFTd8I345Ss1H9g0Cfyq19iWRIK7tjvsfUIU2+PowMlYcsAnRySnQYw3fQnSoWB4Spb0kwTVw7A Uf2cejTRnRDfk4nu7T2yqYAoVQ7GsRik+3d0wqXdaC/R8WDEcxUj0fiI8CR3Nc0V6RvuIIZ+RftV zHMx8zP19365fXGCHK08CoRJu4jCTx1I1yC4fgJxVSMI5mKZqk5JROZQ4Cdfla4GSIoGRvracTUU qQXCgpevK0PDsrQepOZ7cdBNMGVHAb+kbg4d6nMVwN8i0tBgEKLLGreaQRPQOS0A8AMRAESy0jCP KM4JFv1c09RxZRaeoy3M8a+NLee/KYsTLFyDBtcGFP3AMTXR4hkJYk+73TG/7WUABN9OlLIJcQHi EhaIUO6W5VjmVR47jyTdjoz9Left5F9+mQ79HglRQGVf+K6WWzWzSfwjBZvWNnLHhH0rMm+QsCu+ /edwx0f+yVvBGWq8GmJMwt9k7Bi/1DFpZw9cUEFs7EB54TfLeulinRo1Z3h9PaE0/47FKaJSqjHe g6TgbZmKkSJQDJAT8e2Z62Ogg/s4eC9vDX+MEzgZDbuWWQYd1bn4m162CvXXI2EUsbJGrUmME2Kr OKypUR6e3Z06tpmffCmddseq33vK0aIwqv4+7G6daqYQMxo27Ws/ZcHFwGvDyc5vVUd14DBXUKH1 ROT2hOq5SlzMHHImbYsc0/m0iXUM9O7iEcHL7OUEnTbKeYncxQ2SIwZOoZFzxFiDu2o8LCxb+5XC T4lw3m4sU4BNFEWrTUCmoKVbRy1MVz7+KG4OwHr0BYbdJCq/E4M0wviruyjdOTv65ZP4sKNOaG+x jCKjdhTXp6JLPlbnF+WaU+/4ITa/OLMPLFUjzKMEOdBkNAcGY2Mo8v/4MZAQeNq7p0MeRVz78DlE 8MB8JQvZV1YxEcXWwy2LBcxafQnuywIHFaf60lHIkV7tfKPpak36LcFuKLXUmzvrwNZkyPDPitRD OmYVRBtZDvlTikvJCn/Lqy8Q2vbnbSfKZU5ywvkFBpdWQ3ue6u7MamZcpro+AgdE0aSfm3C1+B8D 7zpWP7W1bvSOzrQHQs1eOzI9qItnpUHpwZEcPc3Fs/m/OHvHL4mwMKRZ/fRNiAru1aj0VlOLJG0o opwYsDZad0UBEvuOmFZzbBhkSnOOBwqdY9RgeuF++9NC6k4dHGhxRVo7J5fnQDLP+vx+cZkQdX5V wSMmilHP14MZxyUO4o0u59gE89SVqyB+/4Z7CgAV5ZTm7jOiCL4JlJubgJXVAG9CUtnOe4RtU49f 2M+pbmGzZw1opmP9+v+uU49FrsV1LcuvfI5yyV+YbFdUKixAzz2Gd3zNIem8anfHFHgWfj9YGwf3 xxgx9VbKCeKmCqykFgwbvDYsJ9dEXB8aRjJr4QdmRgHjXSafVbsaEZ5rXtY+hd3+hbIHU8ejkNce Zt6uEtKstd7als45xTNPWdD8Dxi7zG0XxPvIFvvw6YqNvlHh3/7LVCmObAnRS/oTEZwDnf+lciXw URKHNET5nkhNK0dUdeNvRc1Ig55Bny4z2SybEtKtzfrk5e2UsBLhUNjUouz83ZLUmY4vbN0rEb7q ttPdFqASqXswjVCRfe9NADcXYoRztPRZQ3bfgecY7Vyd0TRIXFXFVr4dRbyM/JskCYLJf2CMmVGP xAFW+hCEjhvUnScQ5T6jcrbjODZr5fBNFEdneUJIV68MzcIkuARe1VDm+NfvCkayi3nvZGzOjPIv yCxmGysYlxOQRwhG7bJah6V/TQ71r/RDdH+l/W3nRJ19Ge99RelJnTChJv0vqRdnX1YExw0EsEVv gWNxWddAW7zy6pBx8rbZzdX2l+whAwyGizlPLrgQ1lhJlV4zdBxgElXNhf5HJZgb7WXqpXONQA2J +Qrj9C3mCV8Oe7w5OtmTNd+P+vxTf0SzFHMJTKEO3FhfqJwArHT3L9L+81i9bQjvXVb9a6m3xUQM iU8EkuZLL9WHjsuuTMvDCH+bP7coFSBO6/ovFeDU//fc8Si9mtQbgUI8krZz7x4i4/UyVTCNw4Fx TQHTB+mYIlUaZty98NX+qQN5d90LPpI6MuWpjnL/dSq54yfD9HTM78yeZBqO2FA6EQqltRhex2IJ /wVYiME3GDmGLURscw4S4GEr7ca4Lo36OJ8Oni6sfd+bnfK3i9jQXtcf0JcIu8uCJiWLU9ywb2KL kl6EqSe6xq8hZG8Ln777cv1oiImk9iYDCKI5ytBVYzLopnENWVWd0U2sDGXrwsiS14PQrK4d7tmr m5D4H0afRJXQpyZKNjU496g5OKVvaFHJreTQkIts5LwMKpK8Drq0p59QbYyvMNmMTGJ2u9gzJ0y2 Z4mwNEj3X/DI2gLzR9ktd6ufyNi4lbvnMIUbJhJg8MFRa5Q9fekTgK71IXBlz81umMrhL7uBqsFo ne/CUeBYiY/bDVYEz61979S8xSWbGVe0mVwwPmDdTjJZdhTXG/g1bUmi1rEzVrzTmJidlU7o1DnV 8Yqr3DdaU8mAxOymodVgk2oktdMEC9PyvHKObe7QPDJPvckuL0DdLhZ7kxOMyg5jlk8ImSVIPG82 VlVkELFr75g/TiBqSzjMAgO2QLIJRuHASlBxBucHUd8eIoXGIa0y/WiUF4DxdPbK8x+rSOrv9zbC Gqn0VuPOJ231XawmSCCX5R/cKyfH7lZobCm6Q1W3xUn6uwMAeeioAozYUTAmMzhuYK3XSbZMvTFD vwDRCaLUdUFMl9HDI2KCI0o4YdQGQqehL/DHqRpijb9aELjWJFT14vASHAc/FGCuZeQcIeHDsOQN ziT9laTsBJCV5n/xMJ5VpN/wurqOhJfnzUG8GuGgUawBCeyP3ZQvnsmGVqkweInsyKQTkiO2SKzY 4zNkEffYBCHxfJZJyz3O+00ax3i9MYoHAXgH/LL2kQQjgnCIfGqtzuFr5sspteF12nS6yHKGgT23 A9WxLI79S6wjB6S861I8cIe2gyhA8TzJFbK25SxJ2z9J2jx07SNV7luA3EK71dGi1EnzstVy+a6a D61HV+UVFedx54z/3xL03CsPMEy8bb+o8anBEhKzflspQ9h2G5enC9ciIVD6TVXlV7T/4UaXmeEH j47+YPG2gPcb0jw9xIU9yMeRI5774tDsHKt+3xg2rcKF23+Djs1HuXnfOWA0/C3mTmrveL1mvzKD xnJxuvJxPpfqhs0P3jlDWEMART2o/+Ycefzz9skRtEaeJy83CP069wqszeZVDWEW3FtxmgPRarPi DiOmVIX28Ieg2eK46FWNUOGBo8Da8DC5yHLvb6Y5noQqNc3wPdzJL+LgaWhchHvPPNyNGnT8FiVR iwFhRwtUtYZnRHUOlDs8pq30t8IfrugIEgMkkPaVGmzgoT2UpC+xO4XYu3dun/HYBYDjVv9ASg+e uI4xzV/1cLDtfLfxWLXToX79kZaLb5rcooTyGdpzsMp4WKQYshcZLnKM8T57TjlN0lGiG8Sjfsay spa0WhniNXEFh9BjcDGsNYPvV6ArLfA7rQoQJg1a1qU/WBBmDiiMSF4v7bp8bdycpuSQp0hY4oFg rnppxBTi2Sy/it/Oy9ar7E4zOJ7rruBLFnAucNYz1bXz9tzgwFnStn3aJAJm3Vtgx4G66qUykcNZ cvH3q+6gTx4sfnfMIZWR+LNbkFUdSeK1E+VAWt3DC8ZOesHNOYXnd9Stso7fJSrRQd8exutzPnKu 3C0MJ+WHu4TF58EwvNCeoixwAEPMt+vkWu8juibLkxzpT1Fs7CnhvBn1lrFhRbWT1K0DQiPoMWQL 7KdExrNMBfxGtD7GlaYCqOoriHFV4QbugrQrI4FpaPL7+bqFrg/qZFLmQiyBHqRAyygSill2PLrr xVXOilyE4uGxiqAbZp6+7k830F/nux+EEAdlNEgHkuNEzLzbgQqLl/odME3vLAHz1QWtzt37MX0X PL5Zl0AkC+3zNqaf32+COs8aj8JeL4shXwp9PXPcjLETl1ofggsa2qYQXPS2s9AgjvnVWQweBXOi IGDWppkaYVindZYtmypJPnf/2wAx2I4g0wZK45scE1JgsgVO3s+rVhKEfnxJ+wcKvOF9pjTLhYgv 0Tl6chzGM8yDMp/ic8L7dbKOfaFPsJRj1pvm9qKaEgFsrBXH3TNufA4LgsO91xDCnF6eGigHuTFv 3WQ1oRqLIFyZ9N7VsQv/GZaTj8nbVRx3VsSQN1ZIqh9aiE7Cw1se4zCp/lX265BGHHD2Bu3oWTdd S6Eqtdgrxcek+wH2UICJOgFLMw0+QaJ5vEkWKOCoMX38ojsfFdhW8qHAQZc4fHoM3qx21CwInrD7 wEc4lGTAeibIz8BqnnvLHtfgRKufRa7K4GldWOA8Hzns2aHg7L7y+zWshaqjdJtLbmYt4HbWcNah A6C/vtKmzWVHRycwjPZ6pWv5RCXFQJRZowyOJffsSxhoG0Ly6zm93+vZleP0xT94pXHTXFxuQQQ9 iSBfpgW+ae21lPCEsGZgx75FfeaAR9hJbNDPuxNmxttRbhPu5ZE1Af1m5GKSQ7gimqodthwzFQ8g iINfxiWCZM0Opr3dKoeJ+w9+clTfVCRFxnf+QXT5eqwqEDYP8Hq+VuFFOH1Sssuma7zlkiCGpn6o +kmQfCCRe0+faxfTOGP9PH6jCjrjfPcGu3UEejsnfwDXhwC9sOEmz7GPOBvZFFEpfvEIeoBG98ts WevcixB4195dsstMNvd/c10dCHkXplCqpT4kdcvfcwX28lWjWdv/qqmauiIC6w7pY3fR1gEJ4xVW s8tpXGiNi8dVD82LvzJ/8b2EqOf0BDMj8FrymyQgu9g0VuJwK/E/kYt+bqKQy5NxKMuUgbcXkJcT 8X69chZEn3PS6Fr7JMbP6tx3QW/n9Js6PlCr/f8K1VLfqdolZS2wuO9nXbIpx/s+brZBk4s63rk1 THlWYQzU2X4BKOToF6nfemDe3sftGPxUhFPLdGMOayhAzigeG9tFJs3twzjujOAUon/KrQ6VyO80 HWSMPoQCUzpm19YeoaEeFRfK65aKzOVSXItJA52JCbBQtwBoyUdQBwh9e+PATDpSfLSXHHt4+sQ0 +JqpCcVM/YxI06l4L7Q/AehpY1e1RsQLOsa3hUE4NC+5/dyK2uVr6bdkft1vuIigbMus1o05t22z 5XlnXKFLmJ/HkfgbdY8eJyys/9jr/YgGr27fmOpznARDee4oKvnWUhypr/hZVxrBTtnTvfgEJ1Ab S8QCvHSAZkqW2/b/OFWOpZUnEcDtBTkYaIrENv06xBxvGTMmAgGhu7x/gKlfONdSjy7UDI0RjZzE 6KofbCNwdHhXYGY0uk10PUPy43yxb/kdwfuzovSJy0ZAIZO42mnORWixJQDiSEHS6wjzjxkJvtFE hG5M7frymcqjnDBtnQKo08f1LDvhtMmmBFR8Xkud4XVt6G8RmK2oTy2B/G40lBsZnY9Ch+M0vLeF 48c3O5MQSgETY9OJ4vNxfE1jZjqeUfhwVGMqw3zd7cH1klufno9ZK5b5Hyhi1G96i9XVdY1Om5eb vciSbcSuNf1ISSixWLnU7XQ245mwNbuh+ED0cQi2MV+ybubEbsnfc2bxNXNRjHWcOTzcSRbXVN1d 64czdyiCquDIsyl8UoBuJXBaOqqvo3xiLKyjHEY76H5tjUA48sBTVZ0YB/9Wer5w5IhzimSIx/WR dWghQQuk2Un9JxMx4p+EkSRaNmqfwXzXI3IiByM1EYsDkAoUTj7EpkP2kijMXsqKLo5MOXJ408wc T3S4or96aZOWBPzEsRBsrfKWQNvyGsR/ACZP99H77Fn1bB6XgHsOF0apSZob/jxe2I1rH+EuRLBb l1bzR40G5l0OfyWI7Q/R+EIcz7YkmsUI2mzHfwSmMiEAcAVqb9wc+AGamAIrxKnnPAksaXVSUvvX 1MNtLFQEfwtL7QrNIvTRWnefj7EGFIQ6akij+4r3tVTc/Zmz3TONm3JZVgSztHpgdZ5R+eFdEduI ysK4fCfBuNZKa3fQe+p50C7m1cRXv1e5JeFe+V8/6SJsQ73LHLAToPC6TdnwJBVE7amDhw1XHKxG AHGQxyBOB4snWKHa5r922jSmORwcVRmpzulo1/EeesySk1LODm/VJdZBO723/5UbmBUGALhXqnvj DRSU6gDZ8pY4xi1A7ixxivq0fru0LrLZTLDpryF4sws/ENRHVIeXezsk5XlBZooQfgbESClYJjf+ IJEVbKrm63mOPQDtlKFT71V9TyyplT+xiofH0E/drh4FA47Ucj6cDIp5MlzCqjdwGnqPk0hiAAxA /LN3s7zGIXzs6XAcZj8ATh8kQQ9OKNRfjW0fAqywwbwM/H4P/x2xdlYhpTFEifnLuUsPXegLnCxz pBcfNy349g7CRvDIEBJ0YbNrp+NhhzsSoEkIDZveOC2AzHn2PihKXe1gv1trF0DibfXTYz/DWKbo SLR15FykydcfafiJ+ujQYIvOZyDcxqfd78PMvH9kHP+c9OBgbLt9vxURQLSzgPmeZv23STlhHgqD RChOlwFX0hatY771E95oSTPEhriIaUj/T4f5DbGfcMPP6we/lt3+T2Fj7bQumy+mg+gdSjSAzdZ+ wjxeVcaSeUz53lUzw4I76rncRgtUT3NrtajQMu/MGej6/jtzhuw7ChGx1QKtf3zOBKbwR19kt98h 5RHe7zYiw8fbkArzjbwPr5aIjikt/g13l1EqA8WCnNK4ZHFYi7VphtFqa/vjuzeyZDTfw+Yly2gT W21Gswe6FW2LCnWdkEdoxzJgk7ONkCuJpgM++WEXSU9fupv7gHOrPrCkCgRGRPXAnbo1/pOORVOx NyJTcCxk8vS4AcsPLt6xInl/fMtmVlekGoQHNQPDXShFBCWwSXtxIR/3BCHE6fD6L8PVN4FERMi2 S9nOk0m4txE4xxI0h6/iYPlevApvv6R07hlkfLvoJOhVpgVkOCjEyBjHP0es5kjJbtC3Ar3swFHN 7mHBkYIIvuGnKdX75LcSBUn5JGI5OYshDvJckrkey21pEPJ1lvjmY9xGSDUbjY0nrAToEfTel/Tl uharRF+hWwTDcRwgL6I9YFZ4WwMpm6x1SPME4TVpmwMkLtzlWk126kVr4mXLrVUpqIxAYkxz1jUk xWBAhZr1hnSurZAyX9jpfcoIP1TisMnLoGsOQA7ew3QlVjG5q4A+D7UqbExXUGminbFsTyorFTHv YQ2pybnbXVkJUtiqJ01P32KVVzfSk7f5A9A2fz8eEPip4MUxnzsSemqMmgjW5NOmn5vhBOBascKU fHFrpjdT9bErFkX4gMzqJvSKtbtNUf2HmHeZJssQWsCzbsVyydHHlRIvJkq/hiae2I1+vvttewYq Fzg0O5lJyFJP5B162fWkZnDwbHgFiQx5sNcsTA5N6sRTJItlRtpGgFqllYRT8QTRWPxtABAzTgY1 bWcQ8RiLqvwFC+axSK7JcgcMmZmeTdDIF/boiJLcEU43Lx7CNhUeYGaX2UmSzk4/0KvQl38sWn0k GPGzqKOn4GCxml/0wGOQ8KzKwBAi4MjuA7kVmZfVwSC4tgEPFJQ8617SKvVm8AQpbCuf6LgOfMgA 1BnRMZVH8SvCJ8L8s+GV+L99faH6Z7nsYn8VVPHG/18Z39D/wU7DKSCLIbGOAr8B8l/9m7EcdJf6 ifADOEV+aOhrCjw7nzjCy00x2WB+VtiGSMldLU9Ci4eX4VMn5RlrxRhp28NFcc1wpApGX2WNKohr gbqEWs2way/teCDwKHOFQYFrckDmai5vZnoELXB7na6uE6Sf5jTAHRxFZ3EPUj/CO77DsJR5oZeV Z8UB1C4kzMc9yWFPFS41teRja2TeyWIzS+8mYlqx7SBe5EwozQ9osvSe4XnbbmUEDULcx0qYowne n6MmkdBtmEnv031FiMKpwzTqW3tfEweVjqCO8y9WyRMM3XIWmA+lypI9Hd4itMNpeDz/jRtW3Pi3 Rs78kW2LbSB/5JSKC8ftPiDQosGjV2MZyAVpKOGnqIikqigdSdDhlYY8JdSjB+cCYvB8TGS3Dp83 pHyPueqUroj2C7jwI8suO2SCvpO8qy/ecdACIItubCk5QCfqMVRFkyoYQYjJcbY/JVtaAUbZjzDJ r+B1nsVOWGJFu0tVXJpaQpD23qBNZJxjR1Ov8rnelP5tWZC2EU2rZDah3XOFt8jbKct+tHEWO5hz DpS7U0x7P9gra0ffZJ/rnBCP70n5BlzRXW1+/p9C0kFH0aSbGhie4/sL63AcLtCsZ67TOHBQydTZ b1toSXfU7x1zyF7vxk8WOkyl7efsNxBxLs67F7KPLYOj4OO7QN5stFkvDA93kEKXYwqUqTNGHTxX G1cGO0I6n3QDEHMv8gCfeiTEgQnBXwlRc12MmemN+pzotXOYlgdeR3m3eqi6UNyrM3Vdaqp+DflH LqFq7U1tFhWfOBRvBym782gqaPD0m/SaRNLjydSqs1R11b+2ThKMxssD/GYzFjTA/pENJ7edYfDR FNNEDqx7qJtkO+M/aOdyXSjUuq5aEy4gE+73SRHgXd6HyeT+Ngq8zTWjwlaFfBXNv8eUZGr0x1wN RFpTIGFJhVtlRXe8EWwXrdyHbhQv7nP7ri1EAxl3FCQJxOnnMqJiTVgvbTdnW7YOqm67cjyUn3uV X5uFyH19BH0uCR1zoO7HbFjbp6NAj0QJp5w05UZhVTONXZZK6Nv0EyV8DBBzd2wUE9PFJyqSwHGh 9by74osaT0Ek00pnK1jBN9p7ZxST8vztN9MhIWV94zTzH9ngIqVjex5ITbffu64/6sP+MW/XANaZ Tx7wNP6Rm7DZaxYc6Hl3QpJZHcWAyRQTUWtczG5SqZSvGkgE/ntRlUcaBz0kVI2FYjPyLEdwNhoF onG1e7Iexgs9mts+hLxk/x1SvdvebqWazltAybxkDtIZqBBEMvL8Pq+DYRHYFt5A3avGpdMhpnlq ZHlVBytQv3thn/5A28w3ffR5y83PHh+OgY5OvsGUTgFoz31i4wGFNr1mnxsLzlL4xfFZsPYL7Oxv xKa2zKA9xSRy/vU/v3AsDkyTie8B+5OWPUJ8jJAtrGtCYqC7B660pOd2vtRUtRT7EIcVpEJWaIxQ yIRUkDtS7lLTTKtJ1/7tdhxdSI3w2NTbNyS+tpyDj90iMpmataJ2MoM0W5/zSLFHlbuoKzrie0zJ kxWIKpZGjEYUxHpaaFOusPgR1GNkf3n8jt4FFHOya+8yB2rjMd0u06rfFgErsSsSJGSCX42keZv0 h77V2lWK/bGnbiYE6b0pNHi7LKEWv7MonDMCfbQ+B8dgj3HcS7eElB+v3ngWul1gujrAnSV/2Q0M Y/5iXVzGAs5XABa03EWE6bJkuxsMYhfHK+m060FmPjxpxbpn6vyy5yPMdm4gLMEykh7s3YDqwmYl dHVGSsdyde4kLqWUxE5Zf4oeemKP9xPpjFmo0CU9Ic/UUI/mYl89CHcfjCpDq/toRN3GTkQer0KW P+trDKXuwuKDWAtd6/YLd9p6aDWdeFpZM9LmzJo88nB3+yXSXlpivz+oDYff2Hgs222aFk2Faqaj FLPGAOXq6NIsjGDJkd71eX6QYpjo4CmlYDqitut2+p8fHrw+7vJMJoXxRfeHb3FgErN1gJkgbzqJ QvXENxrCX6OrwtNAhwIzy8I9Sm3fvzBZCrLO8ja8P4VsJPU7JNZuJolja+xmaMFUMt0ZaplEoNbt A2RGYokzBBS891T5b/XaW7EET/QTpZ1dGrDDnXIfkZ2air8WQ2Ax2I7MlwbKnuVFDeIMTirgOye+ bZTGrfpFl27lorX0qPnTwYgianqX7vx3R2aCWTDw5atqO4NWoyuVmCBIw+Mzx05no3wR01GDGbEW 81dHC0g+3yBqduYOv6yvqhUFfi+t0fa2Qq9wmyHb3U3oGOG/7hqv31hRlCHqssWP+3HzeqWY9Iny C/N6hP7OAZe0f3/6cgtPpjz0EzWSvrkSy7g4R/332nB0G74uoTJVQPTUAR6bXdVMqQnqhBvnHR/g F9ciiUtfHJ0YUvQmqOcghJZq82uNJub0i5vI1vHchL2fuD0YGwQCFud2B7AfaCIygWYooap/PnJH NIZzT/QhS+pwPLX7Jw5TZ+drYe2fyIrW5TC7VBJKmt4ktpahVIfvz6WD3yEVLY8wQr6ndaL2ZeXV iuVIEGO+WmgQD7Uwni94vso+thU7A5KqiMAG54NidE5YyNuV/5tKTKgSxP3CwPHq2HixydLy+6+/ 7hfeGVxsPdQvi44ashstlS8GEj48kKMRsj33Dm87VbrdP7V4ACyELlkNCN0ydCPCrNkwKW2srZfb XffuR2cZDV5KOX22O7NbaTAJNwSqVbfF3t75uM7wLp3+U1IG4zyU/5I7Qd4iiq1eWKtlyGVq1tRe /ptpP9TFDuPS3aadr0PH7b/FantKOl1o0m/QVHP56LTcodp9fMqCzrf/amnqquZiM9pq/uyBsREl XVacFgCwsmsBS6CbQxll7ZwAu/3vIzdHL1JDkHHFQTiFvMuXSh4SgQZNvNUopVcUg/LsIbjbEq1q lIHNCxUIR47KrnN85xXTEu3gIR8i13ENiX/H9rDX0oHW+BVY2JzVFnIhwOciYE9LXfZxYznRA1Hi X0Bm1hJV8gATgnrZH0SN2D7V4NlbTxVBDlC/6LgsNqWLU92Dpt06HmGtU5YcEGmLhVopeWHnqUNr EOOUm7obrDm+sUYbph3L7tJkDrHn0gK7o8Xos72RrRyucO2mDDIvbdF+GhZ10W9u5tDIdmfcEb0Z oho/wvtlrzG9wtJr9yoa4NSwYogk+h2TsE7LrgJDbtADhcWIJdBMn91k3RGvHbaXNN56Itzbn2z0 NdggBh//pWwHHf/TxNlJhhPvHFczkGVabHz9vFI/nAccU9WIkXkae+OSKSH8v4XXJg3LWVYxJGSt fo/YAGBywLQr/JQec/SQk2wQRUCBrOo9+gcZHfiRUWyRwmp6NDkzOJR3+tzkjO/xMscFI6Pd2HVM VsKBuO5Os9AoHHcomJ4mMW3QnPP6Lf2QLX+kfMkCFW6jZpLSaN8L45k1iO81jPiY2C85bPq2z0qw 4G09SaYfM0wuLDVNcVjEoBsrssHTL5u7MS9czojpltZxFVbtRy1/RV8aemsDlfSLOYdPNyWhXgm4 dYI2g8ax969Ro2Q5xtn+fxzffR4Z50rM5iosseQ0r45IF7VRsEjkphCLDjEZiZEU20HBb9sLCrYn FW/vdZHWMUzSYOVGxTs71jLBY3iomcoOvfXstAPFtNy5G/tzwUfbt/p6uaIcMIadPPiEWm4AZpiG RJejvfRLQ/nZqTN7rESN7S5LwhE2dTQ6hRr4KXZKlQfMgRQLG/AzgNSXNCo/f8mXHGlH+Iix6pjm tXbOxYyvTKtpRlma8JJcAsEepn6Qt3mGDOMvMF0rRuvWqvd5pjiLJM2xhtCne1duoDMAoMaOwNWd vLLzpJhcvSY4TtE6nlpkkWK+f0D8t8rlWgShOFkv9BD7xoGenAoVPk+ZBkf+gr+45/n84Ep5hhCW Fof1C9gcyYAar5tJPo6cM+sFE42Ifj0Rpq8q4pNj/wSk/WDh4VH2+mKTq+rvhi69N8YYDZC0f7a6 IkorFJ/OHi12siffRv9j08l8mwXxR15Ba6Y3vUDthyegpMZalCKJyl4+eG7d8lYNADtD6o7wqYx+ jLCS13dUJY6FdO/0iH2ozXAOWvt9Tp4epmUldvTV0XTlfv6jVgrsBUjLFY+8mASVnaj0Y08309WZ Tv7tYMbA6BK9z6uHTKWfptWrXTzbTuH5yNTWHddkORjPejeQoqnGVwIZRY3wCu2B0mNVYPPDdvxP C9S/hphVrU0vozIALNt1RlT/RlFfN1BDOcuSlOnLkM2Y47hsMGXT6rfHPuihJrrWp18Tn6qCJv0h xoQsZeUeYS5ZWe/4zoMx9Ja6BSSovKoK9mwjICzD4RUIj0nj5j9rmhjPeLuqE8GzSC+IPqrSbp13 lr4Q6q1gfoDOpSYSNPrpVWRNHULOTmP+fVU4O1jpE7Wj0mCA4+zBybsZ5sQLBXho5jxhfHLsl6yh Isnavx0CfbM31Z6yXk7jdn8m5bZJsUfHQ3UwL6STqgiN56lL16tsj+Lj3CyPGG7CVEc8ZkMOxU0V ZbFOZsZIy/pLv4WGFB9yWdEI1XvtAwdaHeL6ShWzqr9ULoDYszkI/utXNwZWJGBN5QmNEZIexBPh jiLQxrrL1wXeqVXwrlt4dVXrRx88Ac++Now/p/JkZjDLd9O4GbQV34T4YPdTg1la+L7fFaNNlrTj bSn6DK+Dgt6hSDHQ8N/2rmnomwhDjoYhMwFRlifcRwHrnr69nTtK3o6Ox0lX2Mt9jTaeScQE1e8J 2qQOkk6SJcXC3DQepVJcBuBi7rNROypCQKBA6S1v8bjjcVBzU6RcSQJdFzeQM9LdAHYkPLQIjBoj OCrEdYHbLzXUh8U2vy1J3KhT3dGGEBFFTdTxtXxZOPPEOGsEE/nHuO2h5KPcZUdQZlMAhlJeG6F+ ac6Fl6Pt63rKwNaVLFHNKOmE8J3ZL9IBjnwZlYFZofJykHnz76Csf89WvAUx32uTPQPoSSqTuFTE A+XR4GRMM63nWR7SUYHe0kbUBZnmTg52Sz1TbrzYADaFrAsqHyJ7Woj1P2mou7zsZcI8xRtSMK8I V3cnliMkvf05/1U+WJfCuOT6lGL2wvBs9ClwsByetX+XL2TBzoZjrNFJZcVY8gSJKm/HyVTI0NI9 Gv4IzVx81M7LyHt1CpHcWy6CXWi6TpQGMbBXQAg8KNM4p49NKX/eP4EVrDvuTOyn3bClx+ZqWNyH f1agHBGMqdaoogmxbklstArhuHeF+OKiuY2SDIjRSPQoStQ8q5igW90nXtC8gvUsl3E7axPZT7iT JGR/g4UQsrXLaSVV6BOyV/VaD1uos5qZ2Xu0/p4sssXBuNn1FZ24F3dY46IrM+egz3YKeIEpuToi e0+fFdd5WTDgGdBYiL56bexYXNMx6fzTbsAu5z/YN348Ey9aS+oELKgrUh1+wibJqsMwcGkGTuPF n47Z1hXWxWJzlx8nflzyPYJUsBxHt2scmG27djTYFnzWk82dG9b106+w4BGabbnc9VdrJ3UD8b+h WC9gRRMlN+fG7NDWgEiiojuZYWth9QYltfpaj5ZPgTSvySX90MGCxKvnvdELC6YNu3PJt4AHN+0f 2Dk3O0qRExLmKi/X8sVI0HYpOm9vOuFiP1lIeAzBOQDQKruXGo+TdJ0e+v284vahn5HmwS3Fm/Dj gUIjt/8cQdNrcSG6tqr7KWcHWSOwAUBbPQQ/4cPKMJroaKI245v1Sfm0DrzefN0RV5EAkqTpWVV/ OnZ/k6btpJ/Ua8olqXtiwdlyOiqpCjc/oUqolLPe3tAM/eaWDge6SwbP0MYt9yzX5ihDhzA8V6ED Jmn+d2ILcEUeAOqc7RQp2Z4lNO9D90QxLff3iMMmGPI3kUKL7n9UK2P9Z0hIgXjKAvbwq4tny84Z dn/Yhscg+8N0a019wXFWXCTYhG+4mpPkMpMSrQQDPn1ACVX16RX+qCq8fbVjezRKrPiveHucw0YG G25I6JJCYLEKDV72UTdX6VUOiJwighjkOMO6EnDYoDH+r05ZJpjhYoQn1MJjYtNo35sKVb+e/Sct wt/IX2T2m1wnkyiU6oAno9APecczLc5J1TUIhgFKsldLF93A9N3sJN7I5rojj9xKQAu52tGd+kUp FIjb20pwGViw1Bgw7fFvT61jkGjrfwWDJ9hkr9oIYhnbKKtkrfRAuBnpvIgeMe9RFnDNtWDslU/a w5ObD9iOIqyS4oL6JaoFKxC7qAEaEfZylq+7ijQf+zKFKlR9/9HaMvpR1wUDeTjhMnOvBvSpHCP0 XKaZ85dUSU8DszWrsFSUOVOJUEH5w0MXxzCGYp7WzJOiKzz8uhh84lA5au0a9MVsjzAe2dDlxmyj CmjC0JcLUQcW662IWx/YJlxe1KfmChpV8mHKUqnnJdfXD4Wpihr2wrHm3o8yFOmmZxCK4SPawQzj eiIjqPSaQDy/NNsXskQ9V/US16+no5rjdbf0o7R9h9xu2xKf+J2xoJ6VPOC16jhkhGJBXnAbNblc VY/Xx8HYomOtwB+qicXxab0hb4jl34IOG5RkNPpYX4h+arsiyKIfD1ViuoXJeEnYdAjZuTtafvdA uzNYD8V4fFxhaYsbyBhGgkX6MXlO8pZLNKYCdMycMV6D1vO3Tvs7WtxB3XQokt6PSQELHSX4Fh7D fxo22qjEc0ifdtF6ZlehM7fxIUbkx+poEgxSRjpANH7/1rGkcU86gk5ekInqWQN2BN4/qIzfY8aM t1I9tK/2I5uQnSFwdt0VFT76QURRy5s1l9MljhU6QEueQZxBEKwrOQH5rSYfiQdcF8t6J5jaj+Aa ObU9lZBtVvslmJ0tLfFuNgxGKnU3LErcYocfg1d2ON5/eB7cmwDQe9+2yde/lXlZ36/idOtdb7n9 O9kOVp5X3mr0hQO5bX7vy0NM+HHDh+c63dedKsPaRd4ZHtOtZHZB+VXeVzqc9nltiY7Vq7BRcP+e k9Uz1g2ymzg2Imxe1FlNBUIrC8aehSnQLrgLXys94Zg5vuRrGnIzwmQcnjWqE0uYw/krmaUPS0WH EOEXU0pqi0ENOI1PYU5pL/7B56pXtat7GkbyhMwD//c0tbZ4p0cKbCK2Nd2C60fgmLJpYQddG+ah HCFJbEf5mFBTUf6M09sezXZ5cZ8C9tCRZW0TEXFgg0ASGhYug0oj9E2NpJYOZPuxHy1ce37QoVYv ltj8Kv3vd9fuf+cF6wmTR2HhqhMUTaLPXZsWEn82KuDauwBwgg5808d3Nri+tbN30PBNPZdesEKz hbHSFRhecK2L3QInvG2gnP8O0fEZ02Rtl+jRtgdgWLAWizCVQiFxBzH+ZOJ3TfGitB8MRqCRExyr CKQY7GaIiIsqngBZwptO+Dmwj7IgT7BQ4pMNN+wscCGk9M4DaNWo2e+o0yvVMDhm0MK5Tkli/70+ MVLENvAykXjNcSofCuvTfBSyRQ688oOW4Tz1HfxP9wBRzpK0oHf9mjeMqZ6ddUUUoYeDde2kF4nz CrV1TYdtwxqgYSd88xrAkSpn/gcCcNzS9EgmmjXLr9DN42VKBrbNjG1WSJkcG5EbQIWznb3u8G+9 3Hqn8fcYRgrKRhyQUzTo4DSe6WpL1N2PqeZw7DcdPNP28dcUfHIdekrFUvAqJY1XKRJSjJ2oY844 lkSeGm7wPvwIpm9FCc3Sv6uCaUdGcY8Dh4p24eQo+gGdhj2jKQ37l1uLCBkajG0iIk6yzQwLz/15 Z7iilgfPWOfqQA27b670ZZUl+NqdFMBUvVmWcNdBOLFMgHmnUuNinzeMMZoAXo1LyVYSgksWOvXw 8nZhIw2ETlxwLxhINpRwQSY1b9+kL3JGNzaE2oHQ+L1yCl9ayNB7/5rFo98fKxCHedbq3jUU15Gg guymo61mqsUCCwanhO6EdApdcT/EEHe2Q2bzeoCEHLC56LSouxEUSk5ZLK26ga/qGs7wgy1isLd9 XYjp5i5h592PJ2P36Iql+GsNuKfnvR/E6Bvp4gQ8U/jhyyIN9Q9Vpe8N1ZgeDqafo3u7iAyD97uW gF72mo57b2O7Sn1qYm/ZAsQLDiE8VgaIgc9sadHXmYul39jiO3lHeomXAkgpMmLwpQ1EjobcZ/D3 DSQdscBXhMM7cMHA3TRciCgu4hkR7ZKdXC2G2pNAeb2km6ZQ2s7cPMXM30oGADePP5DkFLvyI5Eb wgQRsZAF2nmS7U3dEYVhfStCwhKk5wsu1E/GY4iyndnR3EIJ/2bndxmzbozSLb+f0zrV2xoHM/fM R33j59N4qevdW+Q1xn3Qgitw9NerwI4pBSThLE0fEt+74RcF5hCjCnqUcGhCtVnN9sMUqqye+UNT QB6ZVcyj49LNXZEw12/rjkMBtwKabXt3c56uts0f95c7N1wnDz+dRMGNZbGpKwq8dLBf1xvBmdW3 IqYkv3wKAYh6istt3F2EbiC9e7CkM9yr1oRnyWl6qeFZl13fKc44mkcUx4V1mwiaJjCdw40w4bES Fn8s1r6i3G0rZ17MiG7jV/N9dBgulWKixgiFpGlsctRqbBn3Ka/BwuqfeMEjb+YFtyrzIyx9zsPQ 8UsNdVGyVrSGccPkLheCQDPRt+iLUKIYkF/1ML9taG4haWt76qFO/UGf7dI06uNGlkXdju+khXQ4 0PqsFqLFbZ/nw/pI0r+zDJiWN+wG58hlrEEp58e9sULFN7bqMDPG8yPAO9NpBvEWfCF6FyCa0oe0 E7xkFYM2kdz2OBVKbaiEpPzg1AljXX2ZouFq6FfMVRVrTm6CCF8eKt9H9bU5nlwjEkz9626wyrnn MH3mjwWeoxmoO3BxurMO2unVgk9GvSDOgLszjIeMoWjj7LuRA6PpG4Pta0f0b38Ki2olM2b3po4Q B9cfZzdYtFvsieCge3zrFgA1lFHWfpdpR28aLxqNfS4SFZ/3GYXJJbKL8pg2kPvDtSaNtXgAHRBr 7YpRmkY9ssAczUeviX/VD7vAMH78aSxBTITAiWak7/OBSWsZwDWpUiFCn1zM59hdJ8O2I8Bdm2z7 WzJ8yODjZJDI6oUTM05uX+m5saawo8rxkM+HhpmQDGZ6H4cFQCR4kq/+x18lJlIBLtzmmN20Nb2y MVXRztEh4osJS/B6QJvCCQVpI7tZVnpO641Sx1JEHam/LKpk1fb6H0A5HJczwjVbC7BjGUaNZJwA u96VobL3O/W8Z/Dk4pYDGqK1nF12vvQ40f/knFm2XintvCQGf5vb30nScZFYupBYlD1BlO5f2dO1 UIq6MK2y+STz8hmvSJXrrVhQCfrPtxO+N5305SqSTw974VFBF6g6T8ioz1PXm2so8ETwX2O/vKzk IIHtWhOYnhRJBnp9BW6Frmg4X4tLEGqwzDb8R7dQki2Auc95w2c0feyTng3/nxCNz6A/Eyi5LuY9 DeIDgQ2xpV0VjiUqWGESPHAgdhvFsKBSwKNYZ9mmspjFH4GBX0h8/2YHfTW9GdZHqz4FOrg4Gf1q Y1oSLHkbZUTmEG3u7XIH+fOXeDdXxfmXbX92TK6ENjmugCAFpkFxfyIVDJzqYe4ilM0BUvuAZ4tQ UR8oXO6zCs6jV8xASo6/tv/W4KmVYKRavizKMIMNuFtdk+cFd29ANDn3hYqbM9lnD+Z3yl3kAh28 06FmruCOLSVGtKESVwlCVflGDIgLFx+Knrv2sK4Zkpm7F6TMq8mxx1ekugL6BukfeTA+jTABZijd 8IucnB1AZsFwdL3SX6etj7iDSsBAX/t1+3FIhBNw24Ouo0Pf8YFO51bNA33QEyizmg1d3u2o08Tf FXecp/afj+MqClAuoZ/BF7Ea0DRNa509/XUVfz4M0pZpQlZ+tp/NEUnci51KpBMuQ5KjCtI4IVR8 oYiCLOXcmGlBKRmBH4t6vcZs3udbLMASpThGi+u6FzgBtMYFHsrS4mGrBa278OeFQxJWKOzWA/i4 X3zPmws+/JzIdtK9gJRdDVr0q0uYZfl6zoimfvVINQzvA/nUoyTWsenKGXVmvlL2+AXVGw1NsoQs zom8EIyv8sT5qLm4gpzD/NBqxG1iSiuY3r0VRQV/x9G5jK1ZMaxirOA2FIEY3JpxyfFxTimC+Oi8 9/MCzHpYcSpMaeZMpZem2K170MepaaStcLRD17yDQi7vIN4mDRv8gSqwQ7oQLZt+GwT/CSkyYla/ 5LUoURgtp3FMaWmBwQpjerwnmoO4oEDg48eRIi+EtMAUlQ5Hhr5c0QrGtff52p6d/CDQXiFQ7Xe1 HM0/7NAg2RqNZ0bZRebCahdfSzRq69qzzWesH0PdFxgwSQnFDMOOUNxQkoW6ckMIGXsmN2mrpkEQ jOFoTZnldRnWS32wYZjYBJyCRWUIrPPnQOnblfNpS1/FkMTggag6xhKrsuFPlJLNxY5YwlbD6pTn t9RcOwJ27a2ScrY+TCUq2DCdou7AvilEN+oylDCD7Lwfufprbi6jf8NqHeox7YP3Icr7/2jX/kkV FcdKUyhoNYJ17IpFFWI0s4PZJqgqHXsqcAcbeyJ+SmoH00MW1WXeDlHup6g9J/eowMDkNWpPRtdC Lu+MDqafYTry4bCUYCJL8EP7HMOZM5omSeWRHuZ2Iw2/Pmp86XL4ct/XT9RZgN+k4HDpKmkjgFbT 6n4S0UcdBfvdgcCp9Dh3ST0cND0tmHz3m21Ngr7CGpQrUAURk6LDdFclrO/Z1Tl2UdHXz7vOvCsH v1KdCb47Vby8IVHY4C5ogoAtDeC9M0sarjfwpw30/L/UxtGxg+wfquwWGtZqUTGC9IDTXrlojODH zYsz2ymK1jzZwmpYn63d59rS1nEs+3qTYQb9PwwjFw7KawZCHQNzu7vq+DilMa6R1eJQkGXOvl4E Im71Q4xK4rzoEmO8GVOS2ajUYEhqj4cljmMZunUiXUbkHLFaZURZR/nddub3uqkLCnFzXZM7KzH2 XrCTDp32LWZMs9qqfHc3whJAonntCiwU24akNlfFyJ+9yohoVsMK1E5FZRwvJc8kRaUoWm09/1kR Wo6FzMqOdaiQRCSRpgjNObzqoV1yeSpeXfWz7N+O8umU/5/5S+1fi5P4C2W8KhIuckwuPQEIzY15 xV40C5XViIy/bIMOuh8i+MXJJD0rl4tnFmgN6G7xiQawsrH5+9F4cB8OY3V/mghgQyJ0T2Q4+doK VlY8vGKFzzrqfSkh9XFNNJxMuHUMuhxmYdJfSPkZbGEIsksnZ+t0Ou2cwBHNSgAZ/6MU6STpyQz5 Ey3e2ok73pGZHm21BjrpWsnCCMrJHQ1PbsS6dxY5uGXuA6TtDgx5hl4f/WUHHrqXaN45y3IBVeHt 3J7Fv3UPOZHzHlZBOJq+32UI4pMhMKtxQMqxo3v4Tx/Mf0YkCZkUezVsSqhdZrZSNb1vOfkvysUh SL+yxQDRil+KPOF7jQNJF1/rjgGKOTzA2B/a4pzD5QuDpx4d559MIY4RySPthu02BrFlHb7W8Kg5 PyTITWqtY7B9t6w9xC/+Ns/haX+YS46JEZ28i/YSB4veZlxoOFZ9KMCSW6CiNsOV+pca2ci1616x vUzJxdFTp/qs+l+v4t+C+iBlrCooupuKyY0m/tyKF5yKL0tHhGExbJ7w3FNLc1hXl9BEY/a9NuzE SMIa7AweB8bYJuOmyynMUsFXq3k8+NC7abeLCObOH2nG0NdRgQXcwsi5HSiIKxUsL7u0W+g9y5a8 psXJcG2xrJCgygJ0Omu0ABvWpWWe7/Bpgn+lDYX8fEM/9Ugm6QSXFCb2k/ETc22Uj2ArsJgqsmyu hxqPy+oqSltyGewt1PqyFPez1hCZRS2xRqwPwyEbNcinZSIgYvZ0t0nbDtdMJ8MIAdsZhS4eslgk bv9PO6sE2Z9e+s1Ura+K3gnG4T9jgJpd0j2/lmSsdCmw4ORkl9Ow5HKZwIkw3Umx3pCCIuWyGBRC t2Ggiw1hw1Pgb+rmI3/HWZoOUVQqSbR5jljVdCdy93u/yaD08DXIyoOwftRUW2DXqAhN9hzWr1rx nZJEIkGiLbQlqDCdBanaIp2A4DLytW/Qh/1tgAO7RMnrjmFYzSfh1WZromEQTK/lErZ0M7eXLKL/ Y3ZkosmnV73VYyQ/sKfi8Tgut/RLRyZF/9jwWJfwfFEEkkxU5gPSxjf6b5laFWhUmmUJ7gM70KxR RhWrkb5gBYAHkSC4CFHxUwwQv1G7UFVLF6BT6p2fdVriLuBP05HunAhihCZZdDR4FVFavOahp4ib X9sFTiHgZDeK31aiAY+wDKJ4ZnPkmDaWj8wIGO2flQCjoR4uhgMwYA1JF1ckeAdht+lvNCQHsWAP fya1DU2jqjNbqoj/X+U/l9glY/VzcGNQejT/qRpAfEEqzGBwks9fLk2bWHp3H0s39uv21HOSQp88 qSS/GSlrVrXx6G9b3uLOzQofmbGRX+hy8tTzl/RPGDHUKLTyj5DDnWG5iRGqZzLB3bODDG5BqhHd Hd1A9lEK9uh+RAV7g9SIem9hEphQEp5LAJri5yr5NH8PDwxY2qtuuhTUzEIBmGm8z+jJt6uOCQ0h bM17pGfP+w+a7b5NGlnJqdiZNUV/K7MicYbBCQTIXAjHIbxD8tzetxP/TAYiQdVGzVWuMxuvHxjl IyAJUloCKDkFT1JuSglfFQ+in2s9Kgmgbu1KS3u0VltBtoLri/2oO8gy/7JQFlilnZ9JQWE/8WTy E5o3XK9RumJV2RwWp2GgzKzdQlZdT3lkPx0ZLo3gsMQ95E1Ht0kPOgd3zduwCT7KloQAp2Ruh182 Z+QeFurnmJH5qfwg4Vlri7iYkqBKzbkx+nlvQOlefvIFjV8hhtYO1tkuA5OUIv39u57L7tH0kqXs gISjZ9tqwsp7EzKjZUKQoakxMAIBKvNZAeTzjJ5rt3pnZRS7uNW8kuk33j+b/8Y+0C49VP7kFlgs DPxmSxdHnqjE/v1tY3YI3l13FIdhi9DcyD4jinH9ZiUt/7RBULyLecDJfaUI9lqZx2uk0ldAN1Nb cQNlqF5DV9OMdBglxSqJiLqqAkGpByDM9NXq8nXocqHqlkZwwY37hLwA5GWuch0Feaj82X7kJYSj 5GWmzHHHsnQsmdyb7+AJVpzwiKJJqYaiHXHimhe8MKoDaj/cUbZ023aSIu17/UvYHyMVlQv8/GKB UOj8rlPoV66JvcXmBc9uBgLMLHJJDOQhlxAsPR49q8gy4pttyyZKFBf4Tjo7wnKyfnTk6T0ENA6h PP5jknq5VrXBu2JNCXU/4X/0gPkSfcCpTGJm8zOsIsXKKCqHqUokd54/zDrtjfOjmSmM8HXYnfvd D19frIkA/3Kj279FMS7MUwfDrJx2FVg/3OpRVDY4F0ubGWtdAPyzXhb3Csxcnh/tct1QOiDWsJNc nO/CnomG6SayvoV/YbX/QbERlENKkc7ypRkTnl2ZmQAtsBV8JOjbpJ0w5yJkrO4wU/E8DVkG/e8b 01ueguyXIq+3fE8okNg0yiSoe7hVIPjCgk3wFT/+vZWCZaj6rS0SmuY8o8DnQGTCEJ0kXMpMxoE4 Z7stFiEvfMpbNzIiaVS6xRiK9x+4vjxctftdEguVI0WpwmZKiPbNcaWe70e7Jd7jPUH28QG5FvNd kvvnJZcg/4gexC+dfvQS53Xmq9J8pRknZu7CiHCVcWd7K4aUtkVo6lewFL64Gz/yCzOIAm/OF122 kql9NVtFT1RiWTVVyym65iN1xUjvvsRzm++DexVXrBABBH3cA4muv50w9hCd1RkVe0ZO86Q6tycJ 3VHakvh7DdRbHkbrJGBHJIacLnsCgoSwoFN1w1T5O+pT8w4DjM9hSro+GSKLvO7S//V9PuMAgX0g N+1nOQDdjZ6Est/4W+9dnUpTVpvmW2WTxnxEfw8gpTFsGVD/AmJGmHVmOdfzrJIADlkgfOKnrw03 QaTROCIDm2v62DpRd6r9TTEsDVmRTjDVAKsm5sWymoiElkT7ttJo8N/D1bdZPXexByJJ+rzda2nI uE5y/aLFaD2Msu2sdV4ebygTsmMf9tQmvkotV9v/ZdWRMF4m7G4tamEajN1sk1Me/XjlPcsp72Vk r+1jswz6XjOIOx4zgGg/bT7ERSz3UcPR9vRCFZhay3DROk68/TsG7bmTognZ0QrwTXqkF96vHK4P G/BchHbACWFr74sSwplu7mXaUichwpl92aHOf5N2NP2ON2pi1OWlHES7AOGjv8dzy/UKOAnFs1Cn kEz7f+8kGWIQfEaYEdWQL2iaoXi4HRb0HvIPa96XF7d9rXUVPjK7r1uFKkm+61aYfq8kdaOGOtlk /CjzflwybbGR8aNcpYOUnuErfwIDnqxRKljEEBFL7GeE1lCtAGetKbsZcNj4y9EAiYRG4V1scjyx Qr0oilnfNlkBn5ozLdwYPmf31xUlY0zPdEmDX5GpUKCb9RYtq2X/chrXACzD5x18FsHfcXjZ0U7X lF5ZGPH5dSuqmelScnI3M5dJAD3hRYQaI8+JZwTcpmSOs+K4z7DnUZoAJHRW3lcIIZuPRbLpjkSo jbzESkCLnzABp/KzW0Y971yXuWTAPJmsoQ/j96WGSNHPLQVEDQKmjV7xWhEBvjW4Th6gtWgFYpJs 88Ne8R5bliVhUOXyyh7PF7v7VuFR9IU9NkJoTCnkOwmG24Fr3sQSex5QR9ZMLRzIdVpbkAd9shlo dwcYroxnjnRlg13t4H65Kc/QfmB3bJzY1NanAdQvNrSoT4CCXrUMV278aMoTetL4CAT0ZAr5HaZa PNYB5+rgwM58rT8BMT/HHsW44LgOBPLHDtoNNcYpxXsrIrTohR00J4qPS5nWZYA9P/slJDaf8m0C /S5kPKdJ12Jvp9iLEU26mK+uNR6IFrSRtopdf8zh34UcVptWUcnk2N97xXwTtynPH9kJtT3etODA emFUJjdDCSL9hbw0V+18OCLbgr5X2eoIBipQIMqYoduElG2B2RNUwjviPKarW3zpEF6EGvHaSh8v +kAbsmsSDMxA70wxrc8QpMkfNStu+XwWqMC6vQ4bMvoRFToMVzFaqryUgKU52xngT5r/cIPrXhHb qCuP9sfOqW+E9sTzAhi7o+cGxNjji0qe7LLL08bXsAX7j/FhR+tC3lZjigD8kArAnJpbhAhoqnJk sQv0fHZweQPDFqKt4+4aDMlHB1/RqsMDl24LG69h1cZ9UBsPA17vvBNrItEA/6whpYyCFKvKKTrN yhANo/gmI4O9Dd2uaKGE3V+goDiL+u+vs6DwSafxbI3xxuycphJHG7AEPMfEfLZYFiZ8cWPty/Lg irp9kFTaraZ2pAeE+/6EgJEgkxps65lduqJkIehRM4C4ZWmbQppLM2rc32WLpbluCaT443nacWeR cJyqHngg5JL0QaaC6mQXckjuoA1UmtKCHP7p5AIbkHWrOQz7ai6vkbjSHNDBJStEx5rhlSCzetOq nu4L4hQ/FgDoQPhC/1bl2EMdURdAfJo7VJWw5Q7M39yZcj7TuVOWGTM5fswILF/4mmu3/M1SULOT RWX/TQeXd49Zj8zeSECr/PHnwtgI1qWRXdkoO08f991RZsDPt/4PLIr3zqoON8bGwqC3xx1lKcNL 3ItDs8v88/POM8UthseGiSucFI8jtADbXTiQhJfa/egHvuJCPGeXp9GSgB2R3yV9q42aBkSCLe0N 87WQSgg2ZE++iOYtiySlIHUBLdHNK+l1x6ieW5UmnDsYKUCB2clGMIMcGmg75ZE+GFjcJaiM85W+ vgOG13zYi83WuPMCSB29sCsWPbfNE6JKdSJPr7o71BfmJxIbAd02Rzz+h8Fza77wUqssVePO+oYb V0PWgYVpwyZ0Qm9nRt0EqN5c+ERoTE7A0a3wfrmz7bQGg3yhgOtCGaws7QD8mMGwLjglFmJ+MCKK 7y9dDLlCa3vgCpJzZUUz8zeFrCm2eoaK9mdScM8fMQo1V10/Qv54/VUmneaaWRurl76UOPFBToBs 8bpg0n5ls2TlZgSgQy6AHHsKEypIW6MmoPLR2fJ1TCs40wuCBHqBzo79U+i3+iU2FWuLzqyT5tBT ttMETlAX3ibzYDuAnPxqA7MhtFigEq26LaD2rdQ3KKhDgHmQ/g5Lo1LJyVDl8k/TJdPnD6kuDkIj EKD+8i9AEFDLYczrJQxLOGM9o7/8NPPib+EPEgn0xAOqDrVf0w1x1DVxDotS2cZoUCxaGoGmVDF2 e2D/PYWe6782tigfu//g15ap2nZbe9Jyhn2uUm7SxI1vLqdBD93rCmI6Yhw0WPfU7ikSO144CRs8 xJxdy391mwPqP3s58ALOu2gwuAncsxLkE88EMxgOBva//7F47WEsC491OMkEbqyCSSD3rdIcOJiR pFCGdd/fN/DJUUVDhBjKwNawYZPAB4hrMhZGyhrTqPz5RNsc61whBQJ6A5Ha0NnabEQAD9pV5kaI XdtZ1EMYIaxETMdg7ffdzlVrYgwaG1A7hYC7kbq07olpeHyJMDPrAtd7F2zefzaWwf6V05T3quw8 jTV+HAMqbbAazdZhg8VoSsI22HApzC2g/svMY5d6oErOBAqkaprM2CYsehiYGz8WMmuHXAakIfRw rnCK3zstnyYGti3lK2dIS+/+opZVUsPjSGn/sxhR0pAG0Qb5dimyKHNDZs0oOBdlu9Xlge4soyrD XCkmXFlDJBizA+qB8WN6LOKS+rY+3LTqpLPiuan17SXNMkNlv4wyaAZGKR/ZOAB4ZUeaQ8gZxf9/ uZ6ODBtWZiM9LpQi8e5cvbBoleZ4f3FfY9U267WULXCnUYflEc6XGpjFk2GfbE5K5TCGZAMqgHOB bltrz8PqVQRDFgug2Xdyj4KzVZfTpEd5vZKYVhqBd+lvAuL282V4B99q4+tIuuEo9twoz+NMj+Kq HKG6/1tUIYvpA7PnSqy3P4O8j+87QFU4ReLLHKI22bWxWQfR3j6ZulSqGYSWyF+ONK7FJxpP2mZr NXxOKjrzg9eYpTqS7kiJaUpoYj5N/+SS+yIuprxQr4gqD+pDHCFJJVhhWv+myr8vmaKm4RXisKU6 oyk4/R/mcHEJFFhuYevJGjkOUAuoOJZtsDVFWs67iDnKfSl+MRnnZHIR8JrWAKmeD4ntQplFe3zc kIKfdUteU5BTs5dhfedzC/+sKp0UaX7lsPWBXDUrgpiSuStjbBFXpzDIHo1ifUoYLCgCaYiF4SFE J+wsC17jNhxb/YAYt2GhE6Lcxi/0Yp4J8P5/SfKNxowxae03WqCmB1xUROMj9x6wMoyZmgWL5yfh ktfguBc0oFjw17D+9t52zqNAKICK+eNa+2Yvfur+UD0usKPmtrQfaVD9JAtq3TG+l39+4mZM12Sr raTcTdiD/IaNuhUfr+dWe17QLBWVuMDoM2OSMVJVgLf8MbzoCRmZfah44gZU5HGNpwUpsSZNINah lNx1m5gmZDbUaaNnplpWrrzrmwRBR0GafgWcNB9e6qZJJWwwaTfU84cWWtWNBR/EHEC6xvDdlTwK keZ8Y3I8T0MT3ESp0fGFrl2PRICPjvKoj2SP5G+6TGL1JyaHBlO8AnE5vUX4umvnoQAvNMcSWz+k yyjI8Os1oTtvBz3ggDEoCrmFG0kydpeu5BFPKRueinROgAdaTlQ2nagTkbU2kDkXRVP+qHTS3lmp wBYkfh1lqdjsj7XPQsyvAycp9k+HEiqb5c9GnyOOp/vnx29omrhrMzRm9hLF3mv187i+xsZkft9d UIq0p2yYa7yiYg5sY0Pxb29RuqoT5rTshhqG+h+KfkJ9XwcKj9WMRc9ZKUeRy6+GLhpmABwsmbDc PVVKujymFI0VLS4iqW9+IPF4vyYYLrn/JjaYRo2GYBBun38TzO5tyndAs867n0M2ArrVw3diENXg aiEWV/gLom8B+AsLIEqMiFRG/JXjNzwmcXuGPsay9bnakrvVI21k0ClyBQ5uTRzanyhDjhY90DJn G54txH9+OmVDjZfatB7PEP7ENOwYCZaKTIlYhmzcFyCq9iTP8ucuV89zCdZIIaVpsHy4lnSrK3Ka iBNfTrGflCwHc0VF/pTo4PfKGNmDxxfh3xYvan/qj07c+OvkB+yYvEZ/KX5Dr6qRfzGeg8LTae6C aomkyPOCLAVBzveOFBT1mBmYoFMMmeOn/0ijhxQEkQbrjIBkRJ0dumPDZ8orvTxKER3rP7hylhgr AvJNpc0CfJCfA+bYCKg2uYk1XII454lgtewptSBGgB4QZQlXdFtoM8ivxisniyJW4VFqfrAHhZs/ XOf84wyi5YvBm18MFmVVck5xSGU4bM9zd7Yiw8fHnK3ZY6b/oubj+uGK/91Y1qk9Se+8Q6Y6NQsO b1yplalSA51CRTJe549Q5kuCsJmzvPW0UN4iEdjGL+jGieiAlAmL05uyJT34JYv3sMKyvE2+4EMo XUAcGaXS9uLaLwUPIa4Wcekr5YjeWL9SMN0GdaKhqibkirZEyWBaWQ1YsViV5uPOdvwmfKOyIHj1 Gs+D4ttR3JMjANm7mnFrgXGX5UmWTXqabIXcpm93/n8hOGDQzNTlEWW/9Z8V4RJ59WfZtSWfOkEZ dEDcSYwmG8bdQSwg1IpEVKLiwpGTi0FJ9gIKk0iznsDW815CBYWEjjdH3BjXvXZqAlHWNMXoAYv1 pDMJOnPC2mMwSHGX3YfYRZAQdFbdWsyHHCpulj4e7pYAAtZpEWhNaHRLPiund9fSMc3ae7L0TD/G 7Dh/uXSWZWtw8eG7jfnxpLsq2qC5PQCZmTaymzeRA7Ihz3d0/EUJict2A7phISE7a8HeVMUA9P9g fFJShojQb//vzvKHThHmSIjNtU3L+JVcAeYwTbmczXMi4ty1DFl7pURS7iL0o6s5TdbHXiHg1Yac 16317NFXuZHywGOMR0ybHym0gjSTAD7BDxkAiBh+QxxIskKRbmmJ6ES6/wQZTIcjfZSd20Utfe6n S7GhPbuaceXSNNA9SnNMUw4OkiDFkrqef2KLri7pkfD1FIl2l97/y+NtD5KR3bALJU8u03YSYb+d QtPbvDsvRfCdWrNO46Oz2NXUm0bSDOeK7fADDqUhPxbp6cHhYpQUKNoKpbyDzjn2hx/uVzsyv+Lw h1fL4r4lf87UDieQmfk3mJSl4GsJP/dRJn2M1IRevAaqAIvAXtV4PAPX55pU+JT3JeV8JrHX5KgQ tvTprQPTx6d0ik78xp3/1+6r3kgSt32PpFH/tyIKzlMaoQelgrKRE179UQw0ler2QJXyfsdslE/D AkSk/Eg8OGZD0GtV5lkuTqHkJcPWcpxwFiN3WACxXTlVFx8/tg2Nj9QzHNlzIFdlpRGVKB39g6sS ek0WiIR8/1tW4yU2AsBgztj6CHJZ0YikWNtDe1RtaEipLRNsiWRI14MHuGRLxl1ZY057hQIYt2qq lFQEaJPSbtofmFWzri+XqML+rE/kFF7D91tPvlQ+O0mtMKOObpB1ZB/q8Nxznz0CX7NYHkXl+zpk Mj6E5TJRhR/a3xQpQAb+xtyiE8N22gLdajxNz7jIp5BI4vrCYcSSlcLda7yunto+ZPWKm3h27ZQ2 JEVw+47VjJ3fMUigwZRur5t16itoH+QGO/EdEuHJ6uuaRRoRqkAA9jPd6gZ4/gSOC38oZmerTmUj cH3EZA6bc8ILLvdRF76t1+U2XU45xHRop93+dJNVRKvDgdsOhirFL7xze8BQ84MyCPwgFluvrfyT evshKhMVvaxi6rYqEcarjo7lCJm6MckL1T/PQD0+1Kw1W7L3zFAsVTMbQzdw5u4ki2IflCpDnJat IuQKGw7k5SeZOWxBzKZzk19kxILdbApCLP00dvyFLDSHQ8xzXGMM2Qt4NHu4ZeTZ3oDSy50XaszH iLluRvHr5DH4zBq6gMX0uPUj+81k/5bUc4vioCjEW+ZE/3+Avf0EXUqNB3m6zy/+/b53PxkyPXD/ Oa43eYbrMEdzc0j9IqTipncIVvgQAjaVFvo7GWiBOpIMEAnqTWlgSKpS54uiYAYCQOJxtqRGi5IJ I9iJH8s2pjJWg0cLrvi4xPB9EZSauFeRXvtJ891EqS9aj9SWjPIvj6L021qbWxl4jy/hVunaVrHE tkQ+aFpNANRzAUugdmUFhyJMAg6UNR+o9d58/4lVctoBp+dtz+kDk57kmemLaqh98WGypvigwvom 8H901yzgaxkPTWmBiVBv4g5r9mtnuz8yNdGq/fQW62MxiDMNc4Gl0OYG7Luu32nvcnmodidx4xHd 1H0PpoJUdBwUw/nm6Nwwyvsv1Is6PK9cmbRAOnSoCXvzOmbaR5aYY8grFKKdrJmJVXEZT3/OW7Mp +hgYBY/eFvhfHJYS+02dob8WIz2q/EisM1N2jQdaIQoXO0bVSSot8IT+B4MaVHv2xRYVyoJv2SU7 yNzo+oI92h1FL6KzsB9sllfGRI1n0Oyc+FUSDeXC3cRuFIYt5IvmVgQ8aWcDRQ/mjOeCvspwZB3D Tdwu4xZQAFPxYK9uWFJ+702s7x1XraXNH9GQXuBHgjPNaDdJiQGRrZoK6iHAjkUZ2yrxry6Z62hR 0e4pMbPBc8FcF2sL1kOFi3WzzPyskhfnu1+Mft062sUMkKPwqfF+SbfQy87Rg34G5l9Fkqq4Wa/z 2zuACyxi/+DkvPafF7nlY7Z8UN6kijtJWvqJeiQWDq5pDUBHF/LErZDrjJhuzNqxssxZMVAx8j4/ hddcPaJ7EunApYoLG0SWBUhIQbKnKhCVvonP5AzCLgjNzdS6DicLKyFblbGGbUKXxkKhN6ZlIPEi q8RrTHWbWXGh4XfWhArXbrazsr9oFGG0uUsb1HGR/T/dco/M7Vf0qhcXWFGAuTCHh8+izEGRuG5U fIL4vMYXEMBYBnR87YaNhOYvC5nPuj4opoBk1TRJkH2QSId1ST9Y4gyZlXtVOYEBj8JeKLBYndaA 9uUdhtOQIJk2bujN0cKP2uLqQJC5iKQ4V86MuC3KqbAOevu87PjoSGehHfh3ShEVemX2K48C2kBo gjiDihDKyFazmDiNxK6Baw24gPxjH9AnV8nHrhEL3slnBb6JS0Q5HF0qH97UaNYSDK9x/8HM2OQ2 PGZiMRqw/vWM4aoQHf0kpn3K3Jvd/wTVQqF5Z6xznQ4CVqrmJ2CW9G+AnY7aj87dWc73DRV8Vczy gXsLfsH/oCBN5nt3QLTlX/bE1Sxg13XyradH1D8isUax8mncarykGCCoYfQf3C493u/rrrNmO//P /rZUNdqaThQ13rNe+rvlU/cfP6EBVsmprolVkRLIyX7cowCfX2EyB9ZVteGN72hRfZATQsCN7wLJ DbD8qtmKepwP3eoOOm5h8OZCfkLqkRYwLiwmobIAuqj8mBokSV65lSWBLDDVoQpEqBc5Qie4ohlX Pojr6fi4IqQ+Ge+sbufFd/X2qQgxdBmT86Id0JDvpunRHLGlk6Vh8pkwQ9E0dLgmFu3MmLewyDyv H0oBp9cokuX43GBbAcYjDtyvbHRagJLmoyABN3b0AIfGDw4y+MD07y0Pk7yzwLGP0ydrqg9Fy45q IIodCbJ/lB4fsYzhdoqEsKPkQlaYvtt2ZtqhFNLl1bXScsyLB63pY892UtdZcSylzSVT0cWgl25m jcLprLXV0Rw3k2qbVKksH0CIPk1gykfXJznFdQYTazG8iaGUMKcPKYnJxm+XBMAgCKjG7m+qXAf0 BoGqrUogZYUn9GSmJB1CyDN91Cw5QHKgnV9x2I8WLpxOBeMvl0yzXRJfh/9+CcU+lHl8lHATPB1W GI7eCvbgL3EAnbdW/vZDW44pNr6E3LeibssTYnSEViBQS1p1pmBi3U2WjxfggNhPYJ6Fnb7AIkQg QvPwc0UnsjJ04fXm/0UBm8tI0vY9ihJMRYHU4TPdCC/KplBr9xyFcLfbB5V289Z+PjwyktPLw4lY /8LTGx3lbO6x3ZjS8jbhh6v0NnstRAqz+azaNrzplSKzcBQUUQGFpfpsJSIf1039jnjVVHoSeBjd WBcvT9aBTvGrsqDW02Nibmptp7IhO71v9i6bf/5ieIEEoZJIKh7fWCEnrrJu8dPoicdGEZ8HUQsq dEXx2xxLXoml4MYIrOmyCXOFe2ZIYoeyWM4m+ZWwZFzFC0bVHLNTEDG4mlzWZIbNbarh8egaF10h WiA0QfrM/3iIyI6xH0y4T/FY+U2NhmyobRm4VhGlfXzDFziCyDysNwHDRcOB2gVd48xOeZRRkmaW 4JwLUkBQ2h83HJZKFmXp+z2OQRCx4sc0LqJdzsvioSxbnJ4vmxDObkZVyj8E78bsMBtUqpnjaVWK youbhJoo8rjDddKnO/Yt6ZvOwiSZ4jH91on5YBxOFNvwCqyJgAfR0O9g5drJzFzwMf4zd4F4lOk5 KpKcqFjMSOCXstXuTvy+iX1QAKmk7ZJC3hyHjHEN9gtKhPl/HZUERQPWRpBGJFhW6ZDLoY30zWcy cWet2FCML2XoMlMY+bXi/bn9pMLLzkJrQuxFoCASIYbdDR4UG5n6I5CM3zlUkNiMNZlDTPRJrquP 8RwQrJl9rSYwQe2c9otcJ4OiSn8gSyeiM1nATd9KXzO0Cd51vbIsJrYrIJ6CThWgM18iNfhe3NSI Lmr0A8NtHeMeT9U9omu1pc6yK0Qqr7Zdplg3YQxCzriofs3cwWqaWy3c5mP5Dx12mPXSyVCPbU/E CTs/tj6zhUZvT9fC9IaA5t9SEOr/BKtTw+6bExQ/vEge0y2x4A0WEblxzpwxZ/wAK3pueTA6hTUP o2WdjnadJTgrT9feDxcFyO31r8klWc88slfNtmDSHyWKE9/zBq7GMqqMX/cCXIPLxOrjtcWFZiYj Vw1h0BPcfQq8x6OFWJJgo1LezeRT4wR8aend5QxmI9k2l4KMi3DohZZCL9GHUdugt8IFGEM56dbl Y7JwUXytG6sLXDwqhKlg1PfRkkFcHshSV96hEBb1wG3kQ9GecM/Fn1likFZeQ8FwIFb+pfXZgSh3 I3cGBLYciddvgifw7QU6dVeHarbj3IxbinIlQeNEbrM5I9t8Lx8pFVb3n/1XG2Y80WEkOuyl/Foo OX7h8N4OFAw7xRykGUuM8Xbk0TCD+bt77niti92+dYRyQ+r3KwhHOi1E+c1cEjWDY86Xq5jJVAb3 BWT6q+XsfbRzziH6BvfSDxoovxWOpmvxDTguPpaUaRXMrzVZQVyGVrn/1NZXgUa/C4x/qL7YGfUs bnE/JKqShoTi7eWfi+T38LZUbM6iOHIUwlCk4kNYEBHpfK07vTZVaReHuY4WStx3WeNR4ehwhVs+ a99ugbur2bZM3ZROIzMWfCbU9KGjGTkxnqsxabMNpvWHbgEg9vDfXaUtdNGufMOY2vH1fgtY5nv1 s3lMIx+DcQpF42G6ytiH8+P6GqEJYbrjjn+7Z8UILfY9OkHlr80EfiFjVVhOQRkTwdw1hZS6upLW lqfO7x1YlLAjSctXPRGbL+V7Z6PJfZu8EuaJ3QpiEJFWUElao896lMK6L88pj+lp6X6JEaZEBV2U Rkwswr+lxP+LPCQMVUWXQ28mtSAdou65oJTaDb6ZECmNn7O7bAmzyY3CYMeU4hBbuSsLIPZflU6z IQ888d+MXzC541ojEr3RcHYR8iV7O253HRg4npuODyl8673IDTawLciV+qcDeN8IqfFKDbPYnBWf fzvR9yg0icizBPNJnKCZFv5Dr8xyAcp9ksYZ6wIyC2QnXBI7TwBwGhRcMpEq0fdB3yqZs4pX8bMp KndlCd3JPKoHFOo+fcrgTDNFFwwRgXk1Fwsgv/wbpUxOvoOEpZez7vu43zWsVUgsj9R9tVDEp92K NIzMuUhkenERWtv939fNpvpw3mY66M2MxfXGHA/tHYOSQFZyfn4QfynVMWjD6TooFDsdzDRBtaWB DbR7wMCQ9BIepS7t96ZMP5NlYmw8AypnoBJeGVCD3+jGgISzqHKB89RucTmsvj+nv3quhRJl7VwM ZGo8E/ktuY/A/nXyM3MJi/QmZ7GLKLMX6J+z7zKvujxwn1u7Co/EW1uqhU/e/MxmJiWEPDNyzQNU im3UK+qddm44RHpKjLUkTCW/sV9umjW9b7AEPKBU4nyJS9bUAO/5ybMqV2B6AOfF5sgSz9diAHHO 0vtyHAt60z90ZGeE9GlFo4kBJ6DgaM3crWX2mI3uetUWr9cQzjH6h50Sag41uurekoe3THRO5IcM 1bXxY1aKdz+jOBY0qtm7RDojxclyhXm6HjU8ev5o7cvcvx698L8CKfcxftzG2Tcs51261qjXvO9i x15It0BEUe96NrQYvdv/3hFSElTywpQawIgj1K67kogLg2B1Hm3t2q26GuZ3pLUN/q4y6fIeiyMe 3GhzKCwZ82/FL77FVPWVoOIXD3FgbTuatCWXyCgnUSHCbt9PwHwp7rS4vjAvm1Rnmy4is/Q+Buna lncLcbn7XAU5IR/Q/+4zira+cM2+VwnuG9sBJmT+MdzMXPE96pvpIyTx9Zsm8rWVuDeBsuro+FcJ l/IrWq2tU7oQJ5DymJ8sLCl6HBQkMWnIXIPRwK7m6XEmpgBF83YzkcyS7+v3nMadhhbwWedbcqhX JHkezLHB3Oh0U2lJZ7Rv7VqykFvCN8+1/LA6andKex2UhCBSTNR9TE9KwSx5PyFdwlXzj0jGyet6 ARevQ+FiEWp6kpDw/BT/tGim+Ioea3reMUWypHyYIyiEkhxL+QPTCKCYjM0iTKNovpe1fHee6azR QgtRDqNEZU4y4GlEQUE9DHF77O0kadajI3GEwsACm8ThvM06Uy/9dEdmZxM/NdVcaM6gqVYn1HV4 u+Cq9h/nCXn5EPlSpUhLlv4ZZHixmmKbBBz+/3cIXKvbXi9IS+B4zAcVYhDBBE65ek1hqVaVIGX7 96NlAOjIlQwqzjWuy1N0BpQKDNm4U8TM+OYnIKPzMfJbinWG2iisemkoYeSfS0kQmNFdNugNnEaR HGvPSrD2mVI2sHZfZBta431gW9iG7AYKRPg9PFb/geXS03NlX/TyNbhESQK5iBQg6m1AEU1VAvvy G/tu7TCMglAq5v5JLy2gSlV4aN0EosiqbU3hFRsbcdzxuLe5bJMF33WTeNRoUcw4r4xf/IhePFHy ROulyZRTz3MOEWjc7Nq8xGIAZcKn+2EJbPRRrDDjGyPy+uC5zyPxwipW3+12sorDICbymVgwbnhv 83AmuESHSJ0RuiZ2D24BGIQLZdMbbu+TPOhB3Bsi8hFB4/nekEy5mPRqQN1iXuWxcOgM7ZxCkUtD DcxDhNOlHpjrM8OjxeQUZHrrrRX7CbLfrrLTaKfOVLnsnIMd6LP5zA18/HjZPQMpBIlavB/YtaC8 AkOVCObqHJEKUqwUjQsksw1lXyPGH5BQf2D2wk/GL/j/24I7Y4f2tHQoL1rWLyXhZPzRv5nfUC85 7D9T92mKG+ZVm1rkOycbqqMryryC3+dcotqOPXg3aAtUlUMThxI9BiN28UuB0VH9T46DD8K/ztaw WNRkvj6LOexWVZJp9q7QcsaVeD8rsUuhrsxO5IhFF4FBxDU1MJcVLmclUQpMOMjfTP0rKvLoc0MS 44GwVszJ1h22r+i8KiH39jBrULHmpNdEn1l11R+Hx50QpoN4HSbmsXMWSi62EGkcg5s9nqIfJ7ha EDxKD2g/NoPdFFF+dfBjvpWnXtLKaewP/ClkBuBVbl4BIlLSF2hpDtppPYbgbKCB6ug77Es0GVNZ WSkqagaOWCqzQYn4eN4/nxLHmWv7SMsIeZ+COIP1t304QX4LJBJuI9vHC2iANcNRF/ItWy5YQunm sn+o+rnBd/AwoS9gI+rxGcvRwrH63EUHqQ7VI/AfVM2uI+uI/eprwoj1xsYW84O6s8tzkqlB1Agt pveswtFOx7VOzE+juWGKrP2RYlvIJYC3FYPG0SdoiQh5Xx37YCgKvRbL9utG7rHtuMo90fOoB/Dt VS3EoJHjEr7AU1VkJpVZhlegSF7oBP+ba/kfrk8z2Ubf2mXere1QsrZRUzxzA9RN7dNtFiq7kWus r510GrE7lC+EGwHBiAK56qJWaKvDfSs7h4ACSCjK4+HG2L7SFCludTv1ggDxBkMuoe7qgZzEHud8 xY5fdboHp5upeyhTMq8NVn5wXgh8PJC8kucsUerJHjmNYRzXb+G/ij+KK6zaFp1ciJdxERBQpgOZ zDZYdC16U4G5s+iwoB8rivWJQfgpYRXT045yQCB+Ze+eX18k53dtenxEJnnfVMtQ4IUF28Yr2yaH obg4PNC6nmwMWLISDTOanuuLD97meK1egjbhSya2I4MrXz3LRr/txbuVuZ0+zlMcxpGe/WaeiIZF m+swAP5uhO+WoCnh89uZ75kL+7bC08rAW+1oe6aV+T+nF7OljWTwyf5Ej9HpUrUw90Fl2ZLdmNSX ArRMPkmTLvactBFUE6c6EgANCZ7pzpvRlpAXlEL1PySR/vcOGGiwYnhSPqylj2F3WckTlNSx+ixC hKPykHajghwm7Lp6OeQcIp8WimaUDST0aJItnU+xB+SXATvywMmltYsf19Eke5vK2rvh2XJP+e4O v2GE55w2EdBweBP1zowo/ONmrowtLmTPKGi892+9YcxX2cv+8EMu+yNch8o+BrKKTe6o5c/Ef2PM J7eqI47P8njW0Hqk4IFH60rLidzbR17NNnpHbZZYwwr4FTb7tjygvyzkyrVLUFqGVjxL9Lonwhjx BtUnBC6mOxsExsaR5gEdiLKaqwtjNEBRRIoghEwOvoNBn5VPU8BLo2pAEFzI2PbXaKzjzAd31n4y CeMPBJRGmuP738RM7QDIorp9BmbKQrBQ1diA8fke5YzKFWkvb6HecLMJFBSrWBkLjnldUB0Dblv8 QC17kw6/09RrnLPwB6KJwjuQSpJzikalU1TSRA68lLuxoIbPugfJnxM3FXnhhAHCoRFcXRdx1cfd DvLz7wkU+6xAQKM+6H5VOHaaaoZj3t5elqUF7QV4CYlCR+bGc3ojJjOigoRxMx4BXa/B5xCG3Jee KGwtIdqdUvGTllqy56fhgl82sbxWS0ms4y22jJiNvmAPeuCKgZ76KhjzIK4q4LQYy6FmI3euprny 4ALw+ot5E0x0MezWWgGOPrg7GRqjFg7Vd7LAx4PsaZTK5E+xmnXfz9iq0zapz82h2566Nji/0PZu sA+zBO0JZhvYZmT1dlwS9FtxaqZo5rSBw76GLLWMAzCZcbWtzAw77hMgyjKkr3nqP93vU4qcmPkD RPqv+QmMeecois/PVr9P2uWHFFgAaCIz3GP2CF7YSaZ7ClpkVSIfYy/2n7Or+NK40l6mAAisi1hG N/f+GqbeoRFhkH2Vqvsff45bdTORSaGG7kenFS2N8U/ME7U+kncLxUlioSM4bZyEUCyt6KrO4Se2 aF/jE8G2lb0d7NbE2Pzqs7KV4sP7Sg1tYw3BDiM31haFBSLN8pWemMbj02KHpsqMWCxzqQojJdSz SB9yGNilzqdtxbBzbf5G5w7I+lKn9GZIEAKJb4JXgtpmkqUC2OOnvuN0Oy88n9Eo+IoISr263Dum DR04EJiYq/eOYNWKVdMVrRMaIeSl063R+9m/LU5h3phWdLGJtoN6R6EAKVKTYq0yAzeOLb8Sp0Xl dfXmhhej5JzNTG9tYkW7i03z25Z2X6U0cSPOA+JG3uVw4SgQQmO+/9DoGwwFf9r3Tp1T8wpCQhoB dYBgLEspvK6ac5k1KBkWDOY7mJ8+gedZD+HAMts0TMidbZuCVHjwhKGElXkhckfHQZe9Dugtcpa/ 4W2kl7hLaPy7a/ZG0jnjlLK1KDtAOe9NM8K1tdcwMlTh0iewEsdOLWjNVlvd9XdFCS8mip0ltHse 42Ldr+2jQP+8cOwlw525igspQgMQNOXFWZi7ndtw6yuz8F3l5fDeGXAkp5NLoDhqI3LT6hsujEI+ KFSbnnfZt1rL7MpX/5y5Elta4wd4dFvWssibU97srQANjapXMjXtf7sV6WcnedKAahuDolMcgyo/ jCBJIwaf9Gzz+bufWz/XqIC3g5xq3cNI13t32OpUB0ruvLcARZP+K9d/2AkzWSo6ufeZBAAErwlg NJF1qM47I3Qorg4o5IOEDf0LsCsSm6zfh8oTuvJxa1NBBcKxwBRrMVi3zAdymh0qfijVEODwHHOM fkDD7N1l9DpjWez1yVuGFzMAYQwq4tqWIy4xa2nDjeFdVbcybctNcLn9muFb1829WJvHhqFTzYcU OTTKLN/7iTss65zwYm18FgkSEDY2dLyLWdgR19kY9zAbtjL0CrOYtUk/A2hlmmyR/TWDTjZ6CEsV icr51F3Oqxu6wgVvTRVc0VU0LojizeCOLPl/9l3qLK6fDP8ERWtwC+K5BPxGTmTIb7Fnj6pbh32d BfWEIhP8qt0SCRCvUMidcZimpCjtS7h5kqGMY/dB4ifCU/TC+TMp8VGHuVWNv4EJ4+zSPX1ydKxy lrSDRH3r1Tm29yMJvXzYpi7NyDBzM8mJ0UQpIKKFk7B17wPIGUeOsmi44tZh3xH+6j/tYAEuDSQr Oipc5W8TrsS/dO22hMFulRCCZa8b0U3ZfF7WfCpscd7COtWxNJKPzZhYYcZAbPFMdrBnrDWRoPDI 092qi8gXKZQPwC/arE11VDQq1u2YX+dmjoZORClTUGp/nCaxFhKzj6PqWi0CyRj3ccHz1/BKTMda PY4q9CIU9BjDCg2PWjGhxKT/EHdLI8yGyj7xvI0AGDIZ7izEPsJzmNq5o55Ym03uub+WFFPW/fNi nnmyXBz49Z5KQIuC4VrY7vIuhVhXvzIGfmiBEFJWvumoYcbj+uItXrUvGsjY3hWb6odU1YEcufpT etgoOflOHwki7Z755xP0kqDh5AH6n0byzgS8fi0L5VQQzR/YZSVWzHFD798qC/77Thphw0I1kqVP ufsOjSEePnpKAyA7eDlij/MkQYAp0f5qPv2gOIJQpczDzJFn5iD/67TNwqrK4feqrL3H7oJEXQN1 zqFzUnWQgytA2oDDuAnfxNstlrCTCffDAQivaZz7qcr5zsGIBTPQv8Atcn+xyGyWiPtKBNmrvMR/ bl/Om4a35qeYMvv/qEIYZ65lV3RwIH/kg+swFLtEcmvDyZXhGDK1oa+obOLnCEmI6ngOjDtQCL0j mWhn9+Wgfh1FTSgpkz/nJ914uu4ayjKtFNLfCGYZA5grzFqy4+rjr+a8SZ3P8OVRa1nIwFL3fI7f RunZOZKiX8hPATmtP+7IkwLpOHpVgA9erb+sQgNpigwDlE+luxa6wD4jJug9WLg7LQ9VsSd2YvOe Zixk3y4DBgdFvp+FWwioq0UjvFoKgtYm0Lq1FYDx3J8/zcBDC3QiLIrEXVcDnFIb/MTx3Ed1cCZ6 opOjBfjcrSJ3MKcbEnac0ymqgmCR0PsXDe4AUqowS/sDO8uCw00Z8XSWU+13ZKWrhp0EVJFoJ7tA 4z41aSD3VSIMfjAjA63XRSP8ETEx4PjqvK3Cz9tRo7V21I1CThlNKJ4/2OgYIbn2zfoCXYZrBEc8 TXuRPQSFbJj9l8pAZx5g2bJCVGQ+FnUQ+S7oMxjIGXlgzhcbu+DdgW4njBEEg+0URFSUFStonPBP jW1aWZ1htNAW32UI3ekv37I1MjETgmuqvc1J6sZnY/FFVqxPD3pMPCK3pBQCoy4HwOY6C6tRJOAc +na8s8PeHI+zu4sjbaaam4UkcN9UIQjFKHEYbmz2FflTLbzSXe+P5bl8VHOYkydUSVwUEKFhpynU hVqyWM+Y8z7JajqdJFeDMZH9i84dhBLfo5TGpSk3rN3Hxdx4CdwU30MzG9hXoXG0/sd2/btZ9LC5 RxqbPxgUv2461lSBGysFbXgjvlRdFeLo63Q6LDZdA4VNO71Id88HPu+7+2MBixmFgsp7W/FQ9rGH oCqxbwenRfM+rH/2934qx+khEoQqQYt/QxSHinHUvlrKl44M8cE0DYzAhvmKx/lqKTcODTZJ6SgJ l5D3Oe7NnnTydpqJgsuMKBsK+9J2ta6b+ie7/Uu+uol+MwAUlTOC6h+G56umi1QeFQRbxxeQQ2GQ 3h9KYsw3ctlO7FE4D9PGdq2wZLhHWfKkxRHtCsFTRrQjDOWjxkGdIH0acEG7lZg+K7uwGoukz4GZ nOajqthT8cl5D26FBdBl4a3zczimF1g03oWLAg7KSA8kpYzAmrMWxKTH8gGhOnSF5HBHyvKzVEjU g74OAcYRs+RPdkHmWMUUE/BbmTEyIcYVZ4p2oUOy0zO1n3IkrgSuED+45ac+WUft4tNPQYkdzr9b e45gwJnqZwhds7UP6jyjAHka4aLAzeDI05Osd2zeMZEV1iiwL+ycVsxpt5RnxrMb1xpbzBwh5jtd zzsJJnUUoBmaxDTPsLgnmoyc16OoPaZcQKgCrGoKXGK8wnBlILbPQoMVZIL+y8itCkCHo9bfstp9 99NVT+qBWz6MDafsBH4/EjT7B0fK0/ffIN26XkNPPs2KdBTsitRJtJGiBlNlKo8yz/uliMaum0rQ BdnovrrtYjQgyvIs35eOAB77qO4v0Ud8NcJOq3n5uzH2P2Nu6SRGyfZ0oAY+rl3HJRmFeX2mg45D CUnAdbHttONhQR1dSGm329YXH+oEPkwVs+nvZUygeUkeU2YS93cJzcVBOJZhdnn+jqYrlJ0HEGCx MnO9TbRkAFcsn2SqLrplEyNX8j1A4ukRppRyhznxO2nwPSu6IWnlGvEqH7nSjTLVgD+6ytU8K/Un oLNISqPMTZ0dR5N0MV3ELaCoDww+GMkW3gi89wj88MQQlTUMVNbab1QidtuQpQVadk3bYe5NM0K+ 0mHVQTubFQzTw5o5v40iMVBLxuNkDSaETSYUmrzMDsVcLyc6Fqjxb6OEoSOfhDv17K46kuL9dQEM MJqdVZnH3Vmz/RSbwY6uwB6orskzkOZsFMZW7G2YBSvrMhIFaUHKzEA0chBb0krxxNHC4os/pUfw wv22B8MmT7TvHRH1g+KauNQzalw5wTqYEvBhcc+JQkCkaMAn54pmHlWF7BirlOT8AJY6IjXbW5PZ HqSc3wAwvNuTOgA8AjObC09clxN4un0USNpWy25S8sIGqUIG+CBMZxm+TvMPTq63vwfWFskNIdDy pwdK8Js9xzzWDeY1DWDBDx5/wYYNvMaEaNIHTladAcMALjGG9o3yV2V7JlfAyXHTSo8dal+J7U4F EDg359Wg45BNCpyWDZfOwan2iriu/3IYR3O10piVU5tKUIFm/vQ6Agir2F1chWvuRISNXaov90NK lAy1yFLwzGVOEnI+mzujx/7GU1GlZxjXP/PAnqbgNZ0C6/p2s2DuG4tb4e6hgQRVZ8pw7DfUoIYA ANeiUucLgRBN4WuRP7XmIx26Z/LTkoo4J32QFy7qLkBFrVoj6zCxrxNQ3ox2tFkmOXePd2Cs32Xv xBEunS1utWpCpsf86N7GNli+pUGzdq0RHvSFpyMS4W4yTy1FihvrCcwie3WdRELpn74okh77ST2i 5qfe8HzEmHHJD/Cx+d3N3v0ykxw0HMVMB+BwPwypKWtq6HAG+5s9/3X7+ChEbPTEQJ+gtrobfizK /674B8rs3rJS+/cRu26fwUo3JUC5LPpoc19yo/Mcr99cu3bBP+xDvIH9SVM4aI+12sWTaarsJKRd WU2fs5RwPI3AlUBkRAPB8Yrtc0dZvha1TCGTwGux4pv0DCqQ8+QYS4Uv6GxWN0KPEzcMeek72JgF lcBClusnevnR14GBOsKtXLTxlCOTodtZs9T3fR9t7JutAXmOST2gFIdiL0PwhKHZCNqkfkHCkwsO SaZAEI+GGU0dhFfCT4WYIsNtuH+fKSV0l/fVLzYwPO8yp1wItpZx6h24rlFt2nlqLdvdTnvSYBYc Tya0GNGtTsXqUyzDrOYPFRiCSJo8gij4ZoOEblAJmJTlvKV+jsJsW544HduDYJCEzFHCu/EvjogD +xxUXFfQ8jqP23wGx+r86U5Lf53GsUs0/TO+EK4T3eUOAMp5hQSc3DO3zr2X3Od5w75UUYgGoAMa nSOd7u3Sl3lVm/n4D9D1ivqX2P4wF06Y46ONtmPvqP17DNZ9q9H/6OCmcje+xQ91jfBySuhWEy5r NT3aBJ0WhUXU7sCYtHyFyFzJu/jcxhbLjGIrD6G2ZuSj8sxvF6aeqVuMDDj58uwYZt+S7b4SbAnm /+wIaDRuhW0QzUv3VWwgdCxFa5sHliyjLt+u/REjtFkfxwpH0YrBHiVAF0U/dhM9zdmNSY+Uce5S GqDCcNWxbr3tXK0gryCQ3yOcRVUPQ7WR615iJc2B5446h1TKPR+VIoxsJ8XhKDOuZugbe84s2f3L 5Z0p4vK/cW3GVV8pqJ4T51Wrba4Pjx9GaxRvk0HLQnXkM0ewwrlEkkA8PdZGy7ivz4R1y9nRB2vE 0pF5NanFTOdycaZ/PDevmnJMe52yPymKoBt7PsD4mqscQZ5yzc5YbjoV0BVzW9ekWRZPJk2r5m9C UI1x0giW38sG8jQqEbkstZHoG8/SbcUKwxp+Dea2sLr8Ida34fxmGrvLNaM+AluOYK+G4dGkNpT9 WNCL/JqtJnWWWl/5NAnherS01eYUY9RLqDpIbGtWEccY70/lPbaX6DIdJ73iutF6p701ityz2oLy LB99a+ATpjenf3imkkyIR5q8Y9FKZYub8Bl5t+uZHRqD437qBSnDLbnI1L9apNoe3NC+5sjCHm/m zAojvz8qyV4ZLvM2svGpG6pUlFgXi/OG0wcN4VPSwMBFZuzGo34b5qPXB6N73XkC1hI5L+6YTQge /ii+6kZJvrBvCPDIQCv74lDJPqDwpi/P0kvERaVeiAXWTg1l6v0jaEJc+zqDN4+Ja5BDCrUE1qV9 Z9Qk1Ot2+74O2/bA8M2Nf4ooAWRdNnvTia6bif4BPi/nMrYWkjl6nhPnRz6uwJ1R7VGptRL8amrL ftG1uahgHY8UHza86q2N21lI6uuTxCuWbXWRH1XLo3waTtbwpbMBrZu6ewA6YjEDfxQCvH57IWdo wvrVLS6EV3POFYS2+lCwzLwhNNEpco3e0ZXXzgQjrZOZbGbL7MzGJIOkhzpE1VCuEYlzm0Ozy1qg 9oZq1LkCzMBVMaKbvubiu45bq1nbH8FHCBBIVA9RQvLWDbSmY7+i+a2EGpFaX0zhBYwCd9x6uyAp o9DPT8/MrWdWFBDlsfU2Cg/sr+eMI9EV+v01tiIe4Xt27l0PNYOUGD5WazE0TM20xCQRWFKJT9gv 1PZv0EkS1EGQXuej+FTXRqBFvL5+jVos+QnjMUWI4g20DKpYJzjphyBgl4ezqMr+CHIcAzEafAJg PyElwG8vhz8zzS/2Zr55O4KEw7eUs7GhBF6MCzItubi2vV+6jrZzMDABxyDIKG3N0Ms3MdcYbTTq cqcaz+OxtKmPIRtrJ4MlfI7Z5h+/g7Ny4Hhh67UhA29cVcy767FIZgXARFTNahvY9sGepSE9j/KW lM+4b/xrDNqLxIZoZ2eI2nsYpa/Uuq5L3+lgPKNMlnN3DNq2YsDeSB0wqCcJCzUe2E6mg/X1B7nX leS5zWrjgsxB1ic5f8kGEOjZftj355Em4CqqU88zX+M1nAYo2r0R3vWM56a6XSyQSgYEVhXbd7zJ wTC0nLqlt7SKqmHr90l+KL/xmQ0h7Yy+B/UAoBVKj1JyoTAREwcVTJqGV9ovOVXfH9k5JLfNd9ID k9yMa6wtdNqUkQFsiycD/cXwDn96HIeSDIE2ZeBVQhyxr42PHbSMpg8jQu7dje1xviVjoqSSbVOr Ugfr+LZlyWDiBIQD8O9t3GnGK4FPzc2kUy4TyXD/18XZBTaGREtrJ7V4j2vEBkNbFdNLJlu4VYt4 9BZawGr7w3OGFwokydKPPSePXLkeUsIG/tbVg9MI3cmzpZoicvxqC/UCjQ4C5xT/XHGNmse/tiQh IwtCpZoGlc82TUXaNpIf0BP4tNsuv7DQ2+/QGLey9/NCSKAxTgov3tj83lvlDZ3C1cBUwR6DI2yT 44hb4hnRweepw7C1DoKwdYMlc5+iY5joWEa/sCMo8p4KiVN2vTp4eqdwWfyecOcAsg0XFrJxwqZy s33FmWXDyWY0ak3ed69S6u9PXlMaTYnHpNLAknpAelltmC4644E6qjNb1cRJI51gFGUnIcpSIjpz Y3ehHa9sNTyrewwydQAx1wIP+fSk8LtMd2PLlIAXZdSJKZ7JZkGAoObsfLMl/nhSDBuDM+jhoRBx fT0GGw9kjWfXa5tc5wm9ipX2Bf0SL/EMbFOXy8Yy+jLg0NCPA+uA2m8FVrJWc3siRwwJ9lVOSJmb rEenpPOJtaMs5aVfhgPeO09UJpGRw5mHrk/BO+0FzQvfmyqF2Bsw7ULlNKZTWGPK6zVRv1TjZt6F UySz30eKMtxUtbwsDbmy101HwLrDu1nSkVezKFrzQSgIf2CwqSyYG5Fb7VY0d9rhR0CzJ+YQGPZq ZuBoM/rxP7SOOPmAvjfMMm0v8GHmJa2VSNyNLZML2F1br77Xv9PFA2lHlOKKFG2EbSbXDjPjTFsz 8fqYg7S1zrxyMZgGBpaCG8Zhdn2h4p6YTEAYEyJNXMEZSXsORgULfm9zX2HfLALXBpzt9VQOV7kR YQlDwrLYGJLPwwjKVBcC2v1/yyLHkvEmukYSOfwXkF8XGNOCro/EGpmdFRasgBb2b30tfwK6zGNz R8seAlEd5Mtq/Hr09LqpFAl9pVWMZJYp5NF1YHwK4wCR2TF4Zlwmq0ieocmyihbp+1017zgv/IJ3 V3fLrpBA94dhdRxmEn8MO5tikm2jZeOIIsCJ5DASigtmomVnSzfWK8EtObmclyNWeqt16VdOsGgv wmreEGlQOOrpIe8bdSvyzwFJixoFIBdpEg8ZiqO2wn8fH5ID+BpZGHwHjZEeZtRKVV09y+57n7mN aA5AUO8GuHZPlcmAyrINTa/mASY/o6bF8Of9CIINo5PggKO/kmq3jAfXnDzA+3d5C4uP/1kY4k3U d9RtapedqvfFPXteHIVitixNNoNOc5mrcYR9xu4Nz+D0fxMjOYCwrj+TzyQdrPFhdFMn87VS4TNA 1+q4uwJn5R+sojsZgRNZrnmPfT6459H+XaDUSBJRAaWkeZTaYtldZPyWFWEAo+a7fdbu1sqbGXCW mg7bbwfUYne6/jLoq7owsA0EJADyZL/7Un5alKll1iP7jU9vFn6u5BDIDkpHE76350pzmd58C5aY oFYlUV0S9I4tX+oA0tFalzuuV+CCrqcwjRTr5LVdgReoDSvY5tDpKabKlW8iNlNAzIKK6SuvBvyz hu1YmXoVDpJtFky8F5ubCu9eBlGKMdoAguqnU0IR9NYLdpQUNSoSx8FQPEzNH8yuULqOQX9t/1ri TtEinAOUqdYrP2IUCvO++S6zWY7G5CEnTpAWZ7wlKbpOTeZjBDnrXbrsXwmHQ9NIT15afoEakDdQ S4SNVy5f4u/8CinarCsWHJUmCLu1PTSWQC09xDaD24lTpP5eeFO3Mu0HcqKDotiDmkKdXUA3YqgR y/KR56X7h20r769kCcRNtN7wP3k38ngToi0J/xkUzwZlmjUVuCzFhWsSQugok2ValwhlLpu+P5lg +XrMBvQ6AUo2+h6sTFRZq2NB7nP9OnB36mX43pya6H5Ml32fEAhLGDPaiwkQbRkhBgYBnm1RtrSX UPUOi2Pj3J32Fv6nhIJeO3fO5kjdZUurnZbWf9dT7QBUXxwiVBfvoKaUqZxrlvcoMXqxans3dIK6 Lmikdb26X/ooyiSsUcSsD/k4tvT862OcJIMagoywzGlDWDomHD14YQsaY+wzzSPcvRw1h6Rceei+ tzgH5WT/5JDGxx5zd2ruVwANC7WPyQ9tC00hzNNFRIqhgUZbPNA9+aZnY+S4LC1rJQpqmWXGHn49 2hMo5msWM3bGxPsXvkUmfN58q4kk20v2lk5Vjs1K+F79Tw4+kvRkyqmUVhtGn1F+m15e2J5VdkY0 4tIy/NTyBJiE5gjVHgA8v5ZRFZxIyrPvNG9ezJvO19moDIgK8J7gr5im/pI8TdMFbgSpy3xfOqUN AMkwsYh3PcUtXvcm7z90NcChWkdK1o5gUJdzeF0X7D/l6se+GLuYpqvQWk0K/D+kFRf0w8eZ21gY LYbmko5GtS4TWyOl5s9pgryhXcrdZuLvqcDpXnuo/oqTbETF99FPA8nC+uJzrutrJH6fI/uiOclF OKEAXDbtzCWttGEMIg/KHhA/DRHVJaTlnS5GnTgYvnO2aGjXiJ7Ybta+J2EHE2GoeE2iI4UqNIi1 t1jOX/ONUeEMkPoforpL6HQeKdzcPv3xE06KvbAfzksZszoSiGe89K6Me8fIrWmGk4FoJzG/nQY7 lCNZ+oFFjaPh0cqF02kb5CDTZ1zzU/s08c3hlY/Uh1eyiah2n5kta9Wxt2vwIb0C1a76qorZlowk Ei/qb4rGcZARQShdTRhEtqSpwXRZ4dIoMlc/HoNikxUMOPO/6hs0ipLEVtJqH81uQDueENHbrAEJ n5CNDb9qMVU7CbOUbQEXokd+3hYMsOS6CqV4CmysesiyiJOhee16YaEkU7ebIjxPOtURNsz0g5la iIoAGG4SMYYsu9jhCtscopu82kx/esEiS0VxfBM5tvIozyqRj1BwJmJeLqhVmtyzRtuydPB6Yt8J mrsfUaM8r3CK/jscxyshoEIXJiXU+lZbPcOPk7ffczD9yXBC01T7hJx8ZIRq83zcnr/cph4TKwrt Q2Nc0jvvHVz9x7/ffHmbpFovwvXjCzVdqdc705SXn0C7qZza332fXqDqSWyrqSxN4JyGz/ZQBXKI e1GjKwGuhnbbC7j8ApGkKfZCoqLcV4RfxDrsiwvAt/YkA47+ZV7kV1GLk6egmxJNUo6s8vWyXczQ 48/f2WhabB7vJpbjqfezwJZZknQGkhuGCapyzGYfrwse/gbhtOsAoImRfgQL6lqUYPrp1TcqltSO bFlzMEjtzsb7Ag4SSHFaIXXSvDuDkHlzu/N0UJ2wQUrJCC4iGwBL+k/R5xUnRJ48ZOyH7t1ckw+3 NKwB/0gcUkS3nIE7Buhu75sbDavTz2g5sWmVosLLWZmouVn+ZowyKFFwoSGMx+yfVCIYsYt38UdL i0jwp2hWHhiZeWDbiK9+WMPMf+1Ubobr+YWShuzqLsNa7T3C8N/6pNS+QvIFAv+N90rNWpkB1+Co 4UcGAP608j85L9GM4m4j4qEJw2bYSfpDZ0AY5XsHZs99SxIIFONcRK4GwggxQldl+NsBviYIFpp1 COnRp0ul5ABxQhzVQ+iv7TxH9G/xhUwgtNPxHIl2EzTeDvw2CgFuBzK0Z+U5BDZAeeGYiT0ecEjQ 3Cb79RDL6kgUQKeDHu4oRZlsJDujSerbj/GdHwRahbyMETK1fQRdeUTeyaOsANT3Qn5NhG2Jyn5u KLaKgmC/Aqz/J5wcspLDPQt2tdkl+foBd3WNy1JNMB30rV9010v9yJOSoPwVsJzfcCpf/LMSr7ZO +3ffPtfN9zjIS5VHMXwYQbR+CyOstsPzepnkoFzNGtFDerFI8MpoGk+4e5WEs4TgnPBP+t7NCXms 4J3kGIHPtqZQEeRWPkzgRb8N/VY+B7meL+xeyWZFkkZ8Xe+Fe8BlSfTdryC0eptd1ZO8r5w5qRTv ODqLSK3nx+5J9Otl6/BLi0aITR693T4NJ2iYoU8kUmR5S3kZX2sDAor2vvaincc0pHvw13/KE1Ek e0m949JvuNKVWV1iw8d6deoxXoJKwaVytVpjl7czWfFf9/SXZLBMTJTnFNQNQk2MvN/LWbdEK6Jh PhpjkugwW5HzpbtL/vDvyLmR4VV+ExUJ96BIxatmAIeG9DdhiYxokDwDjSjk1Ab0/LO9RPMp/7Hi Y6sUfIk+2v/8HbAA0KsPxaz5pE5oGRMcW6TQZumhXUCMOqa6e3VJz5ZlP1NTrgSj812yjyL5wkIc /wM5tL5CdBVm9qPUGW5e6luP1r1bVfpL3ao1cA341MMXFvp3wJB0XuHmbYCAK24Ea+C5rYexjd2k ZX7Okqf4C2rHtZdE/AP70n3xo4162w1RZoZps7goXWHd3gTCvi7GVHmtATrPUDKI2bmwFaTartFk kpX7wb514TL5UbgIN54rVMzlvpbnAIKGnjUqtMhmZTx50YIqTozeT3nIChl6qLu1Ez7YwcQQ80jS LhWbkAXt52MxLvkybJTv5qs0ORcR2cFBhOXc1ZoTv7cPqOb79EZ94dq3vuEipFT/cI9W/7BESrYW Zd04YvHBvPNNmlehm4mITR3wz8t3+vVPfFhq174e1jeNcQz3iDVxRTbKV+giCkQg21XRWF/wEfMX X2V4F5KGzDvpqnjZ0x7dUI3zSVx6KNhP148ZPbFOVi+VZCSDd5k9i8lNM5NrWcBX4Shv8EKjAL52 QSkHL/54HGrHiXDHD+2qdLllxMKPfGgl1U7Tx6uuW2shtxsXaq4LsGYgDaRJZZuseFvjXs+8mmbM vzgbgK9d4pzvL0M4vIbnMBnfnlYCRDGIXCSoP6t33TbyApMyRy2BuQZD80aFRaukLcHG105Y/31v Aacz28smGkL0OiM5X3F5u/fxqtAOnvQwa4hAslxktiHmw91HIvp2F/bPOzYD+ahpa67k7iswOEqF T4De9MlVet+l3dNw/ryYj58zzSt9L5AdqzSkgAMa3ZolE6cXpw0wWFTR+jsC826l5aDgKMAGd/bC sjrftSJGRCkJ/FNcgLALMeG+fEr2eGK4Uw0dZVb4+WaiJDXZRG4uHlovsAVL7FjkNfazyurKa9UN tDfDMCjznRzwWgR/uqHVmavxJ2NldVDpVFNYQWcK8Bf/FRK68/bjF44cnlO0T9CgqDIZlceKLAeo rws0ds5Alpb1J/XgysrpX/Zvp3WkMe6UY/p+IWPrUsWjyjNsMqu3vhFmpy3TlqWkNWSsq0o8Sdip fbsNaWjkPlvnIJbc8IsVTXLM4Khojh65z4nppr+N+tbML4wt9NIqaUXQBesvDD9DcN39MeZKxo3O yoeEOcc4E5/ZbzKcg4skQH3v391kUgm2BtqvOA1PC25EjoxFbVEXI2pv4h0ffL5z8mPnuyJZqwvG x6clnm+39CmyYYsK++YdkEjqKYpST34uL4aUy6CtVXewZ56jS51uKqdyq93ukvLaYxOGhGo0aUkC DqmNbxwNm0A/qDt0LtxYr9iOtB0zNgKTDUmyYlybv66OvaTQ4oagjKqMlInlC6ewHrS1dXhR2L9N wo5qHHIWl8bQBM25R5V6ze26HMNwGGeUBiB60kBOSQ+8SsVANowOK2V+yixsWFKbRvYmNyi3QpwH DyNKPMHqxVme6C0SrValM/YsQbLFGuJpzuc89uRSJo+57HjVTii598HuFSZ5NG4XFHqyyVlLy6aj JaGqR8jZgpYZhDZQPf7tpBWCriuyHHgvGSWz33tz67L+WWDfJqZ+X2GcpF9V5ZW9oqtGmORx4lMh 4+jVhTgYP1RnpOo0EuX4slCIJCVs1nKLDvrNijcJQCUm/MIUNCFxv0MGKnPaxgknRA9FM5/5D9wF sUtkNNir+dA+ggAZC9VhHW0gXYnGj6jID3iMtAmxQv88r4diug3KivL0FPD/HLoy4wqgyqxyluXG MY3j5fOPjpnF9D+RzPI8cujTZgmS3bWvsZAJrNv2gE5cyuLw7vNzq5kvW4XtomqjAtRS7zw4GjPV c/kNRL4LgcW37a32MfqI4UpbkA9mN/8o7WVl6J9pHsPLpB+DCZVMYsobK779xMi+y9OeSF7ZOJsi ODV5n9vgXWc+lkK5TomaGr87Qb9uC0PdiiLBQVMeEdVldsa2SOfagq68lUrfW2iPssb3MOycs9yS Avs9KuRuJJGA/LZXpuE5rHYdz6LtcI50LuOgxYqd0GGo7ASzKuCWmyvgz9TmpaEtN0BT3WcCzz/l CdlogKNs1ilZcv0N7CdvQu9YybgcXoJnv7RRZrLnSpbxb7Pp0WsK9QCXn/czsgFPcx3iL4jVVK9D S9fu3xBwOS0SlpFg2gFRZPSi6BcO7oBnKWA2dqgD6tZY7K9Tmk2GMDK9HpSlBQeEIf/fsgEVoEsF 0r8pszI97n3n83GXSyoXK8+LiTZUw5S6RCNlzzkBIutf3Pq1SWtwaiii8PJfx5trPdzNmh1Gq58/ ASmUXEKMgBQ6srigA+8BEGyn9p72aZiiDtShieaKx1/rSJvCMY2tMW0S34zqfwUBee30QIaYZSsR 3nBWkQYPGzz77lqxY7wl0GIawu68F7N7shmjEdJlI9pfAxtmUmAj0r5uZm6tJBk7DBTwP1dHmsrW Ug3zDgV1w5ucguIsOKSrcrAWAw4vqj7bzpAxBnG7wzZu6MsrTiucnoTsoIaL0Skdty1sB1EJTJCr kLlGZ6Uxb1gBfj3n8DmQd4FK643lwJ4nJcWXYbMcyTaETRKV4hkpTHJTV+TcePMb3tcDnHisY1Bk ecMteB3Yg4VzBmBfkmn8hENIyYSn0+WNOdQ1gMVunfPB1WPHu4cUwyFduSta+dJ4tuRAXVpPprK/ zsteecE34iMVh2NF1EJgIa/WTt+80ExXiU0B/2SNJa9EES6UDzSwYgt3b8BmmEa2WafoS7b7/i3F qE7oRRIgNfd+ql8rv+KK2uFSbK4EOwuT43AtwzitaJtZAQXfy1xrwMZqh84hGSu4NcIlk1sTD+M7 3RfyVUil1ZzBmrYmvNDigWWB++yENVm6D0gpwyEfr+Nr2jnG+7IA2p89UJvVfco/4CVmc/bW7K0f fnaY7v0gBOnyVcUod68X4s1KNdEyk/yoPf9B9od4LkgUl3kVGDmaEW3cOUgaKm6ibLbhZji8sBjq nV1zUrbiql7GBStKXZO2kySUZATsdJUMHIrCbrix6hI4GnupjoKJB82bwrpBnP2/vxfZLyyqfdbH 4Nw2ct9uNBp04DxBJlNTRoru/Lg9GzuvRdHrV3nVLpRpGme7D4zJV+mIa1QOd4DukqTMyjX8kvB2 eYcWncfFBHNJ+trNYWhp1HMJJQ4XXRzXKovhLcnNkqxQEVS8sW+1e9wbQrEvYtybx/2DivY4Aw2f HaNJLMB/LYck7j8YAET9UbUxy4EEC9oYQGibVbRbIf9cfL57repOSZDSdStRz3kgTcAArx9khtjB 3BTDRDqdf+r/UtGrfONNKw9GvvuBF4UpcwKUjUaBBnTayLm7pXOAyJeYF9OWuVHY7d1lxeUmlUyH mhQBECD3EbFSaRIP8XBBSH0WUbYnrnMy2J/K0eDvMtO5KVlZl5Ot9/tPHSbScRrcDZiM8KWOotK4 pvCcO/CWMZZ1VV/S+DeN8X2+Wd3NmAZjDES4k3N/nLW8H6FyDnj1xyoDpQCReNhfjqGB2+4fOyhW AWSTAJnSJjNqu4OCNX2EK5YJpgMB0D7rHN8SdM49h5Hv916jMfgQRSSYb7lv3xj5eqLmxTR4W3eZ Ye8c4wn/qg4y8sZXjafXXb4nBPj/IGSsbleGxigBMuiv6AUcGNjvLvOAhqJjTrMn4Mo+3MUMEI9M Mn/Uum9tEuvcQl1pCSPAvxeZpIyXDiAflbhG32Bt2KMSzrdA8urxpM/Qsq43DRQ3gqzAPTcbxE32 fqM3xAQcRKEcR3yg2nT36PHA+mYE5D+XkStexoCVQD7+1T41u0e00jHWOxj4ittdtA99H34AuY9Z AMLeKveAAq1s2sqwVr27RGe4VQEpMQomkxXWwCFsE1ksFQatXv6Z4YPjQr9n3eytRUnRtAsjg4es QFqBIolrqFBOaq6wOAxWt+kvCwF1kiiMYk9nQcFQxzE8NtrWICnhdIgK2vulBmKrO7LZJQhGX1Iw AJb/dUqdNvfHK5Vds4GYAKaSi2CEz+IHo+T2zRge6nAT3C/R/jBpMbS8JJ2RZCYQeyHmtN+G04W1 v1H8R+BHxiQwwK0sq2f93VqXNYC/4jR+pjnMPC8C6G5RasUC2j261PY4p4X1wZsQxbSxcGVh71Do UA+us6oe+R2S+63YbjePOpBO439i2BoPpaU+Bwtz5WzCQrmbTwLCyBljLiR5yn72T/aZBwt9cRFk IBx9rORLLTDoXgbXZTSvLJsdioDybvdqceERSEEPS+gD3n3qB87NLQrxABDO2+3Y8KKvgMZCB/W2 5Qs78gTqCDC8MaDMig7ltJ9LwBfI9uXBZobHf1nByiL3f1zemRCfTEvU1iBO42+jU10yD6mKXKXN 9Rmk6u3CNj6TsC5WYQIKzI2wilvnrEpvFVX1474JtYads+Y5zKU9r+2x3X8yhxPJWyVopluRy8nH sOd2O6pqxAF5o4pWegjznvVbseiniAncsC0eoB9sHyrEC5rTm06i8VZHOjiUYwHEPhqBS0sTwUPh 5z6joy6pCz6svDdcbXU0Ju0a5IMNZ/byM6iql25HysJBMFoFSnKjz414SiUuVfso+LliJ3aHKfhZ 2hXF+YoNo9krb6JLglPRsTOqRT/V7nQU6klQbNgSzEDhlhcx6VnyBOn73AZHMLgQ8NanJMfPeswg BgFbZxgsuljOZyB8DwZY5aqG0SCE7dNyexYviSdpRCQc9XyEJWwvZ6T6NSfm1XejfddY0grFiY1w FqM71RQ7AVM0GgbAAjJVJOotjR2PzRQNZfkmSi09kq8Jh3PQ9zsdqLSVZQNIoZD9WjW37yCmARzB uNAKuB56VCpa4+s91ujU9xboKXkD+qupheEgihbXgdnum9vwmVL3bYYnsRvp1IfOQG1zYWqjNdBp /8AjB/Xx+kD2dEpTLIwuz8bpdb5VzKSXkjpcQjzAORbWome8FoVUbGSQi0dKuNUB4wa5+Nto+gYO uBiWdFuG0ZUc1vLSwEaz/h8i3Jba0xmGUaroWC90k7OG8TjncfHNL5DOumIz4etAwkocFdp2JbDP Rj6tRGepRSX4stikNMAyXGi+C2zDfpYjM3KmOXdh586k9/foDHMRti9/8i1hIIUi1DbPRIDrK7+c gfrlSpaeU/yDe3rc1q/UoY7Xtt3nURLtEYJqsnZOnUXyPD2Mmu9n0VgB1h4W9EnR0DhrciGIS30O OKH64+m9Nv+DNWOMS9noeXxtvbAaVGyo82fpLcb/1ixdO+/TZ3rictOpNKFCmCgF0X9T4C4pbiAO 4wnRZw/0/BZKhh9TxWmNm9Gw+QSK4BcAz/6NzSvYmSGj1S0zUzb3rPtIZZumBT9duHybFdTie5e6 C7nwvJbEdSX6fws7saieV1fQQwvFwlilBU+VPsD63x2syFs8dUjzstYoBoQDcFJwdPiDBs+s9SV4 4bo75QV68ztvPtqP3Fy2jqPNFNLmOegIFsPNRlGF+DenPNq+gNApauQBJ5VGSGRepT639W3/r3wE b/N9BbDs74xLLlVBbUz+oBrTAIQMLdqw6PY6WYLhL3n129ochDEi8cbsj2UOuZLnNEQhtVw+m4Hn El8kEsbxyYNKMKHGdvwGwXCgmEFEpbs6z3ZR7iOLfM0d9Z0Wvfbhr6AS21sK/Cng80BkG1nLyujc f688QyI9iL6Ijl0kpzWkL6gozNj6m8BNMIePdVlQyrcq//tV4DEvpqqmAo5RwNjtxA4sY/rD1yRW o2oPaRhZNxQL7EEqDlHzsaDb9ad3Co37pN+Ol8Um6oXDiVhMtJlSsfrq7tn2klV4+kS7q+QahDmV wQXK51RSUyVL1jS6edUfGsDoOhlgkZ9vGgFCIh07v5ln6ceXcyf/lC4oGr+0qrNlcI8La51t/AEK ViuEYqeb8v5+I5mu4LM/RBmSLg0Rf/7f7ZvFOEgDDm59SMC1VB3ySv5ig7kGC+V8lKUTlQHAslz8 opoBEJoK/TQnmZobjiVnlPi1kb9YmRwX3oi4IPSXjDx0lCp8DSEEPlhzLDZBUwbGlTcuT7dixb4w 8t8JlAX8EcGviPRiVMK5DF/xODCyFeTLm3OY2YRgq6ugNw7Yk5hqlSUoOG5NGVZhIm8hRY311rxP 4JODFNHrOEP5MFqs+gLXFKI6hYVqFL4jwVNquNKz0zpEjFhfnvww9jFmVNIqc85ZSaQwKhR9DhuS wCPWuiy340vS/LbU0pk41LAq8xY7flHNxzhFR3niWojDH77JpuONUmVVjZIYjxi5kyOdVkI2isDd g7ir+SGbVQRR8aiHEdUJj1wJcbJF853qaPmtGahqzPKcK+vr1ojzQO+ZTppd5871ILn8IQn4X6yJ SgleE5Njulj5z0It+G2lf5UboGfOGRCMojRcW2lArsavmZATG4qPmpiyS1TtnvwTJMhbO0BSEwp1 N5FRd80b6GV/+GlO6MdiPvgKRSZXNzJYCm81JxvEn5zF0ek1s3z9/f+b2Z7asf8Op9xR3bi+rhCU pePEuJafcAe13AqJ/1KSRb7S79VdqTmLHGy7Tx7s6kJMzz1oSRVAKzmpPAa5FH8cRi3tVlO72wce qr/3uvGR69SeQLfzz2w7SoqcVm8iVRivN3dNtS2SzXF8je1G7B2ISFQzUyn0H+6lSSSQLBiYsYGH CmImx1RkCyaNgxFEpWZ8Unw93z5NE7Bnk6OTVnVdXpTx9unyD7I0gx+etoZNRKizSzbRTEwahshq PE0f9snsaEcOMjZYVM5qGAg1ed52hiELQ9GUo4JU0E+yLpBWY0F4Jg2ltrvBhFBXYB6mv4w2FSuk vWoPfH8wBzsDqdqIvVDTe4VcVFrU/XaT/Q+6QW08FZpFU3IAP0QaQ5fJoCaV+qs71LaAFB5IWcH2 mpJCEHaQNSAzAVJ1CqIhUjeuXLJdKMR8rX892svKKGQ+qnX4knMKfSGqEQCPqMpJCxJm2DwPM3LN DYWMJJT0gi+JPOqa2+DKsBi86Pa6gCx/AY3mbiBH3umu3TmdYi8CElF9vu5726sJUjeUnHoUJdDM 2wviCCHq5V8PN1ZJyJHZOkQ3CkuDMe5845+YoZAL1sg6KySiKu0RUyk0uEMtVfx++aOq0J/vJY8D auKy5gqunzt+8eryywVk1/LbqFDNP0bwS6wFaUd4XM+rgS1bp4cVnJTGYcT45WBJDViIEywHd/rR 5GVpTX/hLHihQxRpevHThsOoVFJMw5FLrenV9HXaj693VFypDiC2tg9iGdKeQPURPwLTywh66u3F MlNnLUhd2/XRB9IH4Kr72BmL2P2zrslaN1nze4fDV5svWA646ZuAgN0xXdeZhWSTMf8Sj03fbOow WWQLyaEIS0kMHP4DkqmHcAYqrZ22wIcFyMN6eae87ovogNtxsKZGqD4oUdeBPA1lb4X9b0ufFPvs c9Z3bm7JYHFp4IlH/XHqyttWGhkUROavimYBdIy61N3F6cE1NjNMV6IQalJoj0AgIJbxuvL/8yC2 ZuPK3RiV38NaELHckW10PAD+OKAtotj+3uF79sE79u4WIud9oT30o4txYyBNnWJd5IDAMqjyShH6 tkAljzya+gwfenF0D3bQMocnulNQSRfY9MREpqZ8JR58qNCsMbfEaN3jHQDJLIP5RFgAl+uOFsTX oir/GATE6Qr6KRzQwyJUsOt2/mG6iSkMz8r7TFt1STCI6EqiGkeFStiQWhYeAhhHK9JFqnrhF3a5 bWDsbDh7GWYWD4cmMO2F/qIyNO6AZB04ebJihWP8XD06mRfdf5aR8VAmqnqiIY+butmx7w2/Htt2 h0TAexHlS5wnoaraAHZ0I5QFVcJzlQJNR2pu6fqgrcSFZGDjT2auxAiSQxHipRLzG+RIXWgXLywr WNTos894LXnmaEmVhrN4pqxHOyIPFXeRClIUa4XK5xJgBhlyTBJCdM4fOD85qyLz0JN3L6I/Etfv qzls71T3k8rmq1wsg7/t4mk2Be2JCiHnPjmXX1ckjFC7ISUcrCWx0mO5kP8h7hgV0DqHqc0Bkeks YxeDVHGCOmYRKOGazm/HrmAGiGwTsBwUze7/pdAaJIM8Kc0lIU8RSwVZuxPynrk1GC0Utqzo09K+ PLHQ4Hgcx4JP07KyxzvALTULdL6+F2/FrG7kNtt7TetKVbU7C5MPx/xugMCZZj+JbsLlLBr7Ugqu wbDtJI+eMAWYtjai4ZhrY0EFyNpdlgLMRjKnYWqlqLKny0NuuWncXDPs911XtFRt4MYYfUd1J8dR LTqq8BQhR8ck+eGa2lhFYVhXMeNsf3iyobg4tMvynMowzxtOfKHpgR9n/5LfDioTH2JjZZkxw8XA IfFIZAjYClnfsC5tO7KReOFtnCzURCDeKUWGFBQKGOAk5A/BJ8AASU9N9pY7QKpHZZXnUMkksD3f //bWkNtvAaMleNp+8inWC+LBp8cux9NkRfOr2lfxCdYPDFjoQ1mq57pfbU6Fg2eLzfOYLmm/hn96 ++3x8xOmSUh7ZwoDVfh/MCWfBPvGC3D285ebEaHYZ9cJGzc+0wBb0mMwkjfHxWpMnFKW4pCShFi0 81hbhkLFTxrakf7B7mBslvMMJhLokFw0o8WGYxzGf39cdwWTaQpGrqXxJft7QSm/cYxyLng2kSlg waSkekdzCQ5iJNf8KKsRFnZXwR/9zalOTopG1Z+FdJ06Eg/aR8KaPEcoUwxzKFJbHr2CLAJo4N3g AAfjagvFos7wo49BO37OL+fLCxDPt+5sRj4cu6Q47nXCiBTTCYTCUTIbtIKDoZ2UZ7hg/ZWAcnzt hO1ReLrtRlMeWUcSc0tAWYmEopZuxMdik93XLjt/ggbuFQSEyVg/6doYGDHHHErixuT5x4A5tkrU xKpzz/Y2xY08v+V41I0k46oNLOa2T4FQZJyR4HFzR4nyjfxlDdSH1DJX2kBtNsTEUR5wi0Up2Ugz XjV1RMYslighMwnqxSa50rmUTbp3/nfmK0hvsWEd0ZjefWWRFC9a9aoZFDpGijPfn1A8nojbvgvP 8Q8R/ysMynHjsdawXo2T7+iWz28QvX/ZMewDOX+fTFCsd1XQKO4pRiYszBNQ8ssH6/p2dRAWZF6m XxCjzHZaQaH8wuPt+tQ/mG/NURnIR6LtdMU91GLBMbG0EWb3rRBEPEYUAajf9enYgIG5Zi2u/PA5 D/dt1j/z5yJ0yV0cxdVCAGXDmx8xJh+w3bCJss+iN2eb6VCa7ZE6hm4a9lkDZ+NoSXmVsImkkWay i0gAaNQBdhsTGRg7bAeAnIIXg04EGtg3b5Go1YgNgTvBh8OPzkPXtxrN3EiSzi+MWjeNc1oGoQ4Q lYXv0CwSZT9ayk5jGG26l97YsDrk1nRhsWQenKzBwvfR5tR5xj61gps3ORT/7Pll/RPidyuw6sdM QyzIBponVlEZ4cYY7fDmneEpXoKXymb92GtMed8ueot5/B1frr7FvLcelhypBsd+r02kDsr6SuhO c/HfnFQlAwshGHwkh4s/jsUvir1UPEz+JRZXzJf06AHBohO7/Rr26DGDxe24yOIFeQ3Db9wfkcyd 1e7nL3NFSh2AGWkmUNdiTamwlUpwTLPUbQdVymlWZLM6fiWDh7Z2B/LOGHQZpH4Z/pdnc0nYQ7ch df/rlhGbzWWiWNj3WsOYdYOMpiXFDksdXUG+O7oR+hWGduYTIhu+xVG4PG4O2BdxVLotLWDQ4Jke 7mfJulUIfVDumTUucUufp1rrgWo4rIblfM8MentMop1PWZG4aiexbFhEHqQMiu/XQHkFSbjva+Qc oUFpX1qX21B6wkrsl0a2TXCPFoib6XN1/fRNKHNRduDwIsSNDfv1S2jS2xx0Wrldxwesut5OF7eA 4hk59Pm9A8VxaWHpVi2XOmUqWmiAtrBnXqnqyEzbzAfGgNV+kTvGQeXBFl+fzjK/JiBHwScsMiVp l3xEERbxhkBsS648bgMAKXYhiaqhAUf0YGhSPmOaYl0fICix75f6hcSAMNSUqv9cb/z59povlmTg LAiucb91a3m7BrhKgwu3I1KYcCQWX94tXMwWkVETqZcTlLgafyO4/uM3fQqiQ1Htjl78ZpLlUydR /KTHamFOZ6oawhHDrWCbNc3DlyLl5gq/oNTQL9m/gXbiskJHsi6p3yu1GbO6TtQKMXvkBXw261q6 ScUO+y3/Xi9B/SAHVPxI/L5Lsbu1mn5qX1jOQ40Uc4lHsYUtlwFgAqOI9eQF6wmGeK0BYVMY5vvg 2gY8jE+U7gMEHOvCOU4yLsaCgClIuasvNgYYXp8K0IJ/CZ3jwhYUJtCAF3l1FwSGbRmttlKt1P23 E3XE/E/3lcr0JGt1spyUk9Ls+3jpGaFKyO4jOCV3YruhWefrcS9wTTqlnEMyni/teETQ1kV38OoC PYxN9c0mNgZconyhpqTX60UGKug0nWVubZ42FUtDxysROxR5ZYhiE8PBERanV37IBlMqHsy6YRnY Px5qB8BosBIigU4Ksab8wx+oWDbG3G62t5/nyPhDcBPdljbRXDk2/SHtvVOR1VN+6RaWmHt0xc4q a8rLPEZOsTBCw73CpgCc5AUoDBkFqS7xLd40yoLL8bzSU9I/Ni6qKqVf43DcohZGYVVSC+fIhy9H wMpTggdhQOc/gYahoAGf7g8p2bcExSimtBCOGNIolk2l6c+z/tVFQs8zEv8cKsgR6/I9gXAI/fgQ m+BufIns2MDYqGI3SdpSDqLzL2pf+VMa5wK3W+XXrLsSwwxW1SWqG3ENeybc10y0mo4Z8gKvjSml 5YVnro0HjREet4L5WMgrte43gvUw2rlOdqGtT1np1hxepk43YThkAZUGwBSKxy2VDXzyhVevRvc3 68HJEQIwBisxpzKg236+phzr1lY339Cr2JUmrJ9zVNI5fm9pZjHw5y86MOEC1+4dfUtsPT/vROkk 6gQCsj76MEmAzn6xQLLmDWRB8MFReTzrtY6CVurcjbkqHjdzCYaV/2gLpp/vQdTDj5awgOd/yWNN UyXblAl+OCrp8b92FyIOLzjOO2NpbaDPx9xkzopb33a+0YZsmRx0qi6hK1vb1z4gij5zGtvdqkzj Y7Qsu6PvxAoSKGzFZDLDfreipu3WEsCqKljPVk98kyulqHXOhPJG4Kw0zYzRQwrtdZU3xUeKzhtc p3/sTQ+NwKUWFblhaWr/FeycMP2qKmVQ6+xurySMduS6JvS9E9JoTC6aoAobhcQkH7AO0cXCSeiW Vye1Ce8wg2wPmYxLQuvoKgJslTyJjQXgfqbUSYpdKfyG7UP6cDMdx4xCD1uu2aqQgVueUJlqNnNd joON/23LP8j5+VaxGuf+a3cp6YZr7Z1fZfMagvUxpqyOfuHpNkochbnRXg+3UsE5BnepDstWXwEv qS+RKsBilW9lMlhTMXwsqlf4wUqsur6OhMXbzxr9VoUEaw+bp7a88qwT52jI8lu9ePMYDADbYkEJ UFWsqb9dXXDIedlRJ8f0Q8U9Lw0tJ3IFE7EoWHY1uCIIgUTSx3JwDgbXv2CMzdEQ8UtDXq8svIjS Y4ky2iMCVsyDVB8e7QDIUzwASp6UwQXJpcLAlXmJ6gwe8YQoOFY2Z2HURRvFnurNfNlgBSbHQMzg 8EJp0s8T2xwmCMe5vjEy2BdjxbOIp3Csv9zqPg17jwH54bGUy05vbIoJYy7mfoQwBo8ibhNPJKOB c+1Chv9Dv+vhoRzGrjM2M3cdNIv/01wdxsTsZolYX1jyzdZcO/aMDdMWinUCdyjL65/SmUZAOm+Y ktaSSZWZ+JB2s0nmoSjhrgItO0sh66gdolxxOtm/ePzWXMcFkz0UfGEqeNDkPkZzBy/uhch6ZSEC VIESzgEBC15Y3MiM/vLzBL80M4r60bfrmLd40igpgJ2PzGjCtKUDcFcOSAkzQEyEEZHe3rBdTOnQ 2j905mbNN+tRaZOm/jRa4PkRJSHpS07TT7Exna4KIxlvsBW4cgzKCJJi4NyX2CQ/x/pqGDBdDDgI 2KM0gf/yChoN3JRdSDv+Ey6GNzkvChobARco++gVKB4851bgRe64i91oYgEOCZ48TorrUVuHytHW 3TVjyuQnoCSGeprlUcAWLm+L5iDkXamNHZUxcoZ1jSJEyYbtZgogazE331Xs9ox5mMA225vuJEqx BLnFG7rYgaKIhbLkNbMmW3tY1QxhKGe43Z1R+WmFzQCeI9gtdOnCARt2uYdK7eqJSTsKLdbY9cVY TcFJmfizGlem5YcVEq5rhAug97VOkLtXObCpbfezHr/uNB5cKrXRyPqh0UUix0L1XHasZY0lFAmv qHhV9mc52QpkmnhaHitowS8vb4z3tkpvbzxLZdTUNnJ+M5gWkPU/m7glDV0zUzjVesLn3gKHzVbk WJnETXkzQvGr5WSMzWwvEEdtIMY53RJ0eJR7P3yn1yMznXCa5wPqrbKzMY5tVDkdpgbTZzocgUeq NCuT7PGQQqInLXxHeg+HxTq7P2rMeEged4JWWojatEyyIY9DRw/es97bOPwDszAr+fHf6w0qlzt9 2fyy1/NgGpnIvpp8cWBSA33EzRh+otLSe9d2Q5+gR9xBBZPUZ5/SFd4qknI3cphVne10h8NSGXSR Ldopmw3G64n+6V64vzqD31zl8ISqvz/ftgIGgO19wjPJUGhqyvt/LEb8CYMlwrKN14NF0BcBmhId 2lumMhjXZadVbceLra8Z2AmQrQzfJCXb17l8hbOS71wN5PgMFebawn1DeK7XXAwJXgKT43k6Qh5n 1JpSRTL18QaCMSyWQDHi4QHI/mBi9L/Tlc9rpqqfVlQ8FWXF67AecNzKPHTnEwU91kasj0H8/a2f ZIWuw9nBXXE63Rcym8PYTHPBkExgTHnbsGdD9mfbnPnkOh7p7O1b9CiE7dNVRotyw9vJxdvcbnQb euDnZ7vY5XJ7OZcJiczWPdgJpARMZkwmASfh72fuJCX7xhrhdZU2JJMbrgPy/6kcEt8Oe1bj7OgP /UjoegsfFm20UlL/Fg6a5svnVy//DLK04M9Ak2ici4iglvIrmvNlSlkJFi0NqNH3vWAwUEaDzVR8 pJIchdl3rgZCPNQb4ZL04vMi7ryztj05nFDsjbSChMDZJR1uJj2Sk37L6FNHZEX/kpks0QBdzAlg /1fuB3zZQyH4R5v0cz+HT2uGIOVs86DJ5F6trHNB0zBtS+MxFnMFp5NVwvWOONQ8AZmaS4MWBrWZ BewE2oJQpptEa7eMA/wX2iw8mn2fhFsTBkPHK+4vG0lOJHX1m2F91/Nz4HTsbCi6UZ+GH5IMRTbe YeUYYIXADw4JX/6mawqRNfnFo4m42aY8ltwF9VUSWbJk+K8Nd2Ee1pyRiKHkzEN13Mo1qCMbR8Ai 4WYOIUmIRmMtas1gRqgBBFZQFsC/vTaDvmTK2ojUeACKLtFPo1BA3eoxXgHRNG52cl8w0fe0RAgd 0OBdVDUO93inNqLtRUJOEDJKLuynd8W0d/zYzPQT52ODaiUbJG3tav/WcRyulAOliY3mFqjcQGXD /TJSkDLX32G9eBRmHa35g116JmHkYR5SVZYlLStgOTAYaCDuNVo1ClaJgkYIafoFdAcf9Ff0J5t3 RKGCAwFmOzP+6C+Bu+FnlFb7JCljnP+q3Y2UHadfh/TsKAqyoed1wXlwaUArnNMV+6KpleysgXea cW/Tpvdc9qzyWK7/MTIjoReVncZ/I9uPm7wDuJ2ilfSyYWTvGl+O4wE/+/lCpAmUOEEkQZLGgSln B82pN6ZvETXpTTRUu08JG3hBHyargAfeN2KaMMKJXAbxbKeEYKoWpQqGgtPOSNd57QEZs72JBW3T zVK5Yb+aeIUic7+7eimdDU0DVNXmU1nPUvM2O+75KaogjNLXDunsb5SyKo+1jB+ogZOtDnujcrHE WxETBx4FclPRSR3d56TurHaG8D19O4fa1enhyEhsXZ1Gx4+/Yb1Pap/OZG5MioXInzZyT0xijoXD dMKalrLingl1AZGsXI4Pi3rVBpxwCFx/hOP4cOSiEeFj5+bosAcqIsrGulcXIYJ3ba3rTm+DRI34 M6sVs7QXJh7u9WYHhldTpIJH+VM/2NDkTem+XnZeruGv39xiY7n8CqUg0TX/VzZpGpDnmiM+AKtG 4KNG0xHzJZw1+Tijd9CoFOwOHd5F9SjhlFsgatzSIJccEIADM3oNBUaT5vS9shmmAbOKh4aDW/ID OtAgWl40yoKrnrmyo0fvdbmX8ALyca8qDkIbwq6d+PwGsjb3aitqFR4ThnK3D0GngZR+CTqWD+G4 H1s025ZBE6yn40aXXIjCk6zoANevVdojGAFvUKJ+6k+GemuIZLxkT9n4SFxeYPSGKKqn0GE75iaT T98o9FTbz3/tAJ6tU4aPUCjttnYrlF84LzpAQZl1pG1OyHc40vuYNULs0TdtIN3PTYF57CHY/uB3 GNRLIqtnQTU/64j5bykfPkWTCVf3Qqll6peWkpZnlWVuYdSSVuC6Kl3vljIHySOdjX/BYqQI3PdM rxFnGN7ZzpGgcRfkcFESNu/jwOVS82l5pACKwzGc6BFCBssqH46qv2QQYTkDdU9ny3SNZCQ+v/iU cRdBHYzp/dtODyCrW6U+ex7mwumdMPGtVJWm6pbR+lhItUoYyXrbXIg3rTqR5/XDxgmv7lsqjsfg rGNcorBGMwMh5t8NQChJKM+CDXkEkPsuz24C778TRMXVPuDK6BRD9WPF5XZkSQm7/+NPZscvyMf8 B55kJVA/AfNl2FmMMiPEo9DKfZ0HYbJ9gGuzvIXLfZqtKcGOUq/VaoEjC1nuaZ7p8nCF617x4c8S b/tJQjo3OxlQtUV1ZeHKX4A1gYOVA5gShQ61xXBXbjmpjrNNZQEPmTnJn99N3GlmAr2it5U5zT6i HiMlZCEP3ue/mfT524BI5lAqtEX+P8NBQqpoxSML0GkKlX8+H05iQBHVMTGTBFctOfl6Wq5YScwl xtKcsSOSY67wAgp9z1M0jD/RT0Mcyl5wqNM5lAsSj8C6xFkPoyiCUSoE/UdZZJJCnvz1kCgPXRVI 3+mlOnC86FCNeZFulX+Rk306j7vSaSmAMuyeD+TqXFIDjwpEHNAojoRTmZ45XnxhzU0usgaT1EdZ O+gRDrsw3q5/oOFCZR5QKeBKqE3ce8XDHqOpiY13jXbKrdGDnAt2Pafs9PCRYTCdgUPx6Y2I1ZW7 suOB1jxkThZAwkH4D3BdaUYrjVH00USyGCW7l1HTUeEqxZXAlVzT38go2TA1QB19DYIrqW6+Fq8W mbTteuw+1RXgheWrg4r0BHl8ZEdH0lGBCF3aHvlouWex2yfCAX9v5M/VHd7PPBkYcDYiVepmIClS ufeLbi19c/k0p0Blf7EM1L2tw3OR5JihYA4CrY1jsBhPzrcgfRByopjc9xMT27bFHqI5FtSvYBoU dxoTzd7j/Xzx/9O5H51n5TL4O7zQpBjpzLWUoalVYAhD090PEHAZ6ytwWyIpwCggojy1vOoFLIJ+ 4KMJpE+eenbcsv2aGDfStkN+VdUQYYqTS79i8qA8sIpR9rlyaHwI3fBtJIIaXkEyA8Wznx3+EgKU 1y2l5Zpn/UZ+XCvV1c9D0lprkiNPHXjiAuYNSP7GocEl7AencOnaar7hlPkzSVVKl0K9+Z71EAv4 6Gadq+Zr3GVw4OADaTL6r1RAAQU/g2lseYnYN4TD5afUXlJ6Wb0FOHwz+d1XhQddsfUSqnqk7dV/ c6Fg8szJhXI3O/+CzYNYIfQ9GQ7XLivQv2yIwLAnjA6MtTa0LeSBCkp7p8gmM3u+plEhXpn1my0I tRUSlE6BI39Us3b6FKvL3eX5I7PZmI/lk+/hr/ZZHWlxxWvJjkGUmr/lPPcAkVSUGyO2skqV+yw+ 7tfjGtduPURBiZZ2hkUG5jpIMtWqNsxs9ZEkGOBPsKeuF/floqjcrprltr3je3Hh4DjRAokrtOVT uZxkZXle2s7CUT29pJ1hbsuzHcJmNKv9j5oQTG3A4Ez9Wlj+CL/fpd+873lw5blVXDTbASo/0/dV 9EpMPrcPBSRPuVhhRMn4LrdJ2kfAnIJBkZLOMLzc/IfaYU8CQWxrjHIy4jdEPu09x6o2D0MKvsqr DBY9a2iPF8+0raTgKye4DvsBtsKTrnexnWt1fcfC+mx7VKTCEhuyV05a9neREjI342mbZHK9KLhT GBfIqGazwKCEM9UPFHntAwnG7m858mBuE1OTmb+/LFgrK2B0/+zDdQmrHiIIgw6hDyrUwm2Gaqbi UIgTf0s9rG4M7BfqUADKqV+un6pULA9H5NnqO0vu0/OlFVsRvPJg81hso9d7kzvNBLf58QBEVgT9 Ph6BkLJbYKKEB0oQ9r16pOOrlUQ6OrfamWvY5NoTFDvMTa/eD6LImO7N+1Wt00vZdGsmxgIMJ8AA LSGZgEAySC2++th/Vgz2sA8y5vP0qq6Jb3X2Uuo4XfUHB1A7VoFAwg+1IREm/CU2LabOB+BDdetN RDHNnRltciqGJ/qRtmyd9TkRjvMYY4QqUuHmFmC8O632VRPTPXUYI4Bw5eMwxoEqgn+7UuZNtAUE dMkzTgOjfjKRW5m0+MrxkYPYj6B0yLogurEsOjmtnOdF2WXVTea9R5vQ1Ckak6u4SqFXrzgPGOGG 1KxeaJbtwcvxpnpZ+DlkwYD8QxY7+7ojoeg5dEBYXAjxOKkFw69LPVc3SsnOHK/xFVGI29bNz2j2 6qziItQdXrZd79PLpGCmPlKOKwUo0KoTmtwWZf3q8eK7DobLuSDYw0gIHneQekWJzmdcztg4kWWk RyTofGDk6MSKU2pvZfbRs4EzjZF2WIS45mqoCncv/Kg1Xp6s6O8CxyvenjZJnB1RBHpyJ4Xpy6Db u5XDiEGrWCJC9CpqcuMovo6iXie0/U/08VJk3xavDiYn4rOPxX+I2Dk4VsiWf1YuIvki5mY3T1X5 ihJCkvUAhECu4kTGVr57KpoX1cnO9gyFWKVtXrrMObS3oWqRUioyDDWtgNC70U2io5lKkdI++F/s 2BDWUvBjCHA76XemOGyB04+2fQ/b4LdxiM4aGy3GFPfGbxqRkQNzCkkEwpM+9U+C8vUyx5DZ52R6 3RDDnb/+2UoeP7Y40kCawxH/RNj92/3zffBSO55YGnFEPapwkmWRmhKgkxzwMq5PezIaUQMWUSfz lfPnXGVWtl7sRaA/3uPN62d1jDpmEgjdOAsIxjs+qX/Jc+JnrTMt97MUGeU3hue5nqAwohZZUTdU 6OZ7hzT8lb1zCXYmnAUGec1zXTl1UUQ/H78slvBsVLOBcFXWK9Z8RqjkyYZZV8T5dfcJEScUdBWv z26xjSbiHy6+jfS7J/XyjFtpK0dZmMuQrynfJxdpX6xgasNjAk8s0X0iIrYzGcMFv9u3baEZwK1g zRtTatWh7OigSPecOWT5JVgSaz6dXW/oQZysNpUXpbxbu6nIaC/clYfhNjLIRbm3jizntgwLwhZA b694Ct5mhDiaFd6Ap/ckllTvwa3UMPON5cFN35lY2V9yjXQy6oO5zyRktGb1+EAtNQnqI4x2NquO cCNEcA9YgRZpq6OzZG1d9Hxj8c6otK7ySre5f33L7sX4q2Q35T1bXV2geyzTLEVhvUnOQLlJzMMl KKJ5OYFtQ9gwZCFj9M8xUv3YPtiMVS7kJCfDG/zHmFuxDJRWa82oXMGHur4nLaWwLEsfgzgARx/t cxg4+G9AsKycR0E+36dGVXVyOpjkYODp9IoJbdaZuAuyDOnEAtxt9vFBR3lWQre4s5A266qCrYHW rEV+S6C/dpR27FCUrhtDZSAN+wUBGrT9L8VQ6xhX8fyRDIcIfncGHMEMmmHvUyU9LNdwPwlFvxlo 2NMps09OhJu0L0S1/CD1UJJ2LOxlR62VqT37sjzYMZLJbDQxE8Tzjj3JCIuNymVf2InWu5H0Jmod Tpq1r4krZ7BuNGmmZ/bLCtzSRBp71ZQdfpEC8A3BvnNgbsuNQgJpSnKXFrOZgT1nFKkQM0zb3vRX cZ1puhad+BnEIGy7+vvHF9jmW7jE33F9O9n7ozapfXpYcoNi5lVlzuqJh5jFTUT0r9H0R59R1jRI pqeCmQcB5cj2d09s6FUqt7HzJ+a8GwGDttP+bQTY0cEj8qce0zuvY9kwErvxE7mNJADpl1TzSM1Y mCQKv250+8cEoynCBO6m/LnuTOyo/vLac3ueeAvsgoYkeq9b+yctSYCebhD7PJDQGVuJNsvM17st HC6RaCG+4boQ8ZH7gI8vxO1UB2RNVd6F8dr8F0F/EAPwkFbKFYIzn6+i8YLCainuxIHfTzxp/d3K XcmIsEurAAK97lD3OUzqOUkdDSLjR+7P3Y+S5tse9Zao9H/IEfldSUaYRVGzsaTtrMc8xLCHyhxU E8Cxk3svDKLziB3iGBacHKXSnX9y1cHrf7Ty1HlKp2MeiZ0JvWcbpj85Gszn+yMooq9FGef1Exk4 Q/i04JunpRIDYaJ7w3CVsn8Doyde4ZjupiBBMun5W3azajY1JRpbvEG9cT2U6CPiPqylE2ZBB7YL lryAxjQMohl7zeyoa8pgh8GxRDngxKZa7cj3yqtggXGdtlcGrMVe5DaUHFcMDYvOdc/rLaRX11/h SDqbSya1DnxuCpNShREgeqWbw4RrhXsL/aAplY0vhTGposJBb7UbToZKjeDQF0w4c4PF0pPcPT2w B83d02++rBwulGrwBONwPxI22wUO6P/IfG07yhsqW+zMspCyqImsnFFCrF1jhzG5uswzEwiw/dpt zCLKOTXZNL4MdYR31VQ6lYNKf99UNUuQvuiuu0dkelFNv70UoWmBPZkObEfwuoc7vFExUbHGrQ2s AQJ/njSKr7gYLvjJepI05s+3MNmvffBv3iUXApvEZyq2pPe4Lm21A7pWkhqw6nh8vDCRCAibh91d MaAk2RK4tiN16hY507B1y3ZGbnuP/GwmrPDoLQb25byYrLieqfbjZnSmoS3cgjXcEVTmg6isJi8+ d1uU8eSSpcx1Y+utag+mc8TaQDNp/Yk/RbX9qjAKS/+6WzmKgCzEs/9BPIllyK+kUqPYBzk5n4Qj djFdfBDmKT8rvFjrxCF/KMpAbaRp8JXsAhXFrWUxKssQcW+15mvGuFKG0ir84OZCioJ0KyLjti/Q zF54Yr4jX7c1FDn70k0daa+BRKEAwjl4j8E1ut7zGGn8SanQv/t7mlXCsXzdgkeq689Je4VQsOeK OhdcAu6tXcPjkHLtMEQ8Gp+Vx1R9u8zdiJqiyq/REpLkmEU1Q78tlkYgnEL9V9YY2JcXxThufCTz qGjbiI34KoT9wfuFKFrtlXEjUkXrHXAosD0Kf2/nAnSqRTPm3qlBcw1H1CBRgGBZJU5qPGOGBg3b 9LzMmFRV0lDS4Tzc9BK2ppiK9jjyr1F8JEte9TqHY0FRiHpJs51Xur31zyS2Zmkiuc8ezoZA4iTt 6v8v3B34ZvP0JikBbsS0y8Y9TQb34yYb4x2N2zkOrn4JonQkMwlV+TL2cC7CsvzlIielyggxRkLt KyazOEc7tBxLAEq0/QC5WekEMluJz8IyYwmi18Q/c/AYcDPir3fJKJiZABNbKsmicCac8aKkwn5Z Ltxc/kor+Zl2MUlm6SvEf8kVDbxF8NM8il/KLQ/SKypBWvUbKXbldQYgjSFrN5E0V9btGRY1ow/F wC/UR5QeqnSSp/r43DmIn7nuQ+ndfpCsxmCojAWitmIAGQynRvFQFEkOeEF6KjyvTYDjn01T5hsv iXY5ZdCiSoKzW/p0zmTaTUwdboxMdsYv9KtwkdHGmWjOREk5biZleq4tfxJBqxbii0KnXxRNyisT +5X9L/+COAHwIXg5ErHGeAVKs+H3al8+6kyu8cLvIjpvWgcUs5h37leKKm7aDWllcpuwqvzHVhuC NUiu0N2NJP0ZZU5AEsBX6LjAj/zlTgFOm40TB8SkJVTSXPAfph1qhs6xRBBcrMDUkyfHQiFYl26G 7cC3UNyJxaxCRo/ko6U2b7sNhqvDqX5wuO1RQwyjFRnHO4KOCoIg0n+EoKFieOdPNBbw/JY55vPa ++pOCpT9WbmWu3dDAojQxrCXduxEaDXMxt8l0y9iC8S2JJA24vYJUHaxP8Od+1svpKe4wb+Ujgvp YS+wOuidlihX5RWuWQqx6rblLpVi2UWysNjJVo2frciJa4rpI+qvbzIkWihnztRMEznJgfi64Ju4 JJsc/cVBsiZerGg1VQF4Ev0AI/h1zU/m3+qbJMvPJjl/QdrNXYS+zuY80ZOKN4j3mjYgD0qqew9t VaDUDZVPJ3Ivyb/X+ERJYKLlk6b7vw0VlQ56UJE7kH0hzNqWIQKSgXgWtwnLP5+hlRxpkX5YkDXb IVl16xowIWBk2k7O2e1VVaqBHpeJBPL/0bvHhZedgk3Jy6oJt2cbtZvFrqt+cT83MWIkX1/CHZ/8 T894/3ioVyMNT7/vSZTUzP3vIz/vWrK0VCa/x9rgl3ugUbfMQ0DREWvFrhiQ4jF1y+jOXwWAmlP6 G+wzxll/cpV3m5qpgJKhYE9XDblZQ2OTUObRWJ3GaZurh8aDxgSZkaMyxgwn9hNbBop9SCr1F39g RlWqUXhn187wl1Qn1fCbATAJeRpQMxwVorgNgjvRmDwjkglKjeQCzJ0eHO9tilsy0tz1WztSpwS9 NzieiSXfBGAgAlq/wcIuk/3qnQMSXhWbspnH+OArjsIiAdSGACUyJq0qA+iVE0QbpzU5ukrqii1y 6am+HNqXXNjfmJY1wi2BecXRawjqQpVfH3EloZXz7i7bauMegJ5xhTLFbwg5mzHPOHzf0ln+y5Xz 84yss/GSHIPRmQaAIE6xUnNSDNdcdP0iPRzVIqQBqyCTLtfVlX8Jj7N+tZOINVuQeHfdVxRfH1km ZOVdOaZaTuuxnCLQ0ndQB/9925MxtnQRVvN4PdrJs+pvpQPlTnIbd3Q7+uHXoqunGUamifYsciBu uSRHvNjR4YRf1WxFepD4BI6cnNkvZx44zeCcnSF8hLWEWI7QWWGLRVVdSwF6bBgezjaxjpJkGbgM oZ4blbg2nmPfQvqncv1AyrmTVXMk6KMSex48IQteWw1K3VrIATNoIdTPOMSFIFLScvjrPfJZ9FHN l8VtuEcHwiaN7m+R9f96hRePunbQu8YfksNKfV0W44PP7Ge2lSRl29vM9JBy9qivMln+k+1AU/lA rTjDxTC/lp7XR3ODBysjiwLiKvIdfyVXrbxM2Bvl6ezwmDoBYFW6ZdPOG7fZ9DuI8gCs+8xKziTR V3ekxhr840XRDTn4+r4jNuqdOrcDOeWwn/JPZ5WTg0Xp2pM0XO3u2hXCNzYkcfau6vf29gce5H4W oPkiyYdwdhOoLlW7pofeLONe+KFzFcE9VDFiJH9KyYNBOxC26wbpT8DbetOP8dlSSeUlvBaNMTtd wYqdT16MihSAjRW3lEqOkWoLkouRdymU4TygyzcmYdcWwTxTVRM5gJsNpfFCmhEDkYT05+xVs2pM 5kpnz2jSC97OPjWH6GMYfCzdYe9WCFBWL9Y4STDtYA4gu18A3UNOiGBWw5eRd3AEXxquMSaCwhRF 4Nw3qw9kFP6kF4HCGBWutjBHyn37dfwlbS+BVY3DeNAh37nTkgpYt4P/pdbWvT+gL51LZWROsdLz YjnPk14NJOp6TF2+gycsl9Y21lq2G0TLxBdxgpHFDGdt0RVuzmfEodWtpt0jyosQ41Toy/VSbSL1 0BZdeaS/HGpUATwGIo8Z4TkQOh6j7sI55tlMdMzjVLtxAj76eZA5ZTDGVdzkqzHVuLJNuqEio0fN vw/i7ktQyIsVkQr+B4xekWURfIA1+0SFov5y0eqFUk5Jcs++e81RGUqRDscbzLr+aF47xVTFND7/ DWNZr4PK+v6EciBsVF6x0Q8l4MOLIyxDGcod3zYfw+aYHX1rGIMX8puCAsT9IJVQbkiL0GInh34S IwkBuX0QqgXOSju+J4p+oesVheDQjWZx5l+act+QH40aQGMVymCrR606hm95fQAe5Wtyu1ohxrrT euiAr8J/0cPUkwWsHnGDCQ5wR8/RzwjB16orIdVquBbOKWnrqa2HRhsEQNMyCZjahFjmHhwRJRPd j3DwY4tLhLoeBr0beWxOoX/VrEjJafMP7PLDG0tRgh8dm0ee16KaaTMttrN7+czpU3Wyp57U7+Pf KFlR2zXAOJXHRHCaWOvmxqxyWtx0nXCsu0jh7m6Cf2pC9UR4DGKrQ3Bj1PhwQslK0yzJdVVhNfBI prPz5ChPRHuRnj4/ph22VdngK8iBW/1o0R5OHF5P15bXsY8roKnRXECcK1VApQ8HzkxZ02nh1hAo 00YiaBac52nKILC1OXYQi/s52hh2KPjQRpH6riucxpybboYXVazSZeSrposr6r3NziCD55Fe2Iqv B43nTnFMy105yL3a0+e0FNn3RizrzCSPUxmir9pEcwsk1Px8f0sfjIk+TEEDeI1vi/S/fuk+2kx2 And/QYOI33A4fjLQ8NCLtkLczymBxz4NsCQYLxijM7uYQP9dJwiqGxJgv5OrGn+vdTYtg8K75O1W qaDf44v/McvyeHm10oY4y+KJIYYMWjn/dN/ScG6ljGieivxQO5oXv+NB/E8U/aqx1H4mBogA5S0w Bw8wfmYI4uwFltI8KVabBszV9enFFCr5uykbQbVs1YiZFMV9kneuXB1ISMZYs9tU2gWHiqtby8EH Rju5l+Ye0pE3RLnC+PpICTgt6rclqN0/wnmqhJharMncGdBiSePb/JH4eg4/ZIq4uybrVZ1klbAS 8FwBWb86FPPN2/NVxFBf77s5OlHwCdYQq4MP8d4YkX1yb5BMJjABgOvPsJgru9dy4DFjSF/iKrwG uauruQKzk9LBQrewY+5kDWH/TwDp18Gv1U3mEfiQYrgq861ahplCajEjt7uqjCj1i7oh6pAbH8fa E8PuruuDORGeqwV1bPeZeA6LPPb8p8whYPn9TR5N87kDEpzKJz1dAW7Ah/8+wM3YLjMXBPm6fGs9 r3Z7OM5OE1ZrmrgBZF7WD8/GZ5CWhX1F7Fji19kDAff3BjT4AMmaK/0ry8fqnZmQtMX0dzYwFMda /d+J/SLLTYZ1atPVPQ3otwos69PQEP0sp5guDAWz5uP6f9SgqWScO2F1o6JIQ5X8vlh/3zQqoOxU Bd6WFi+VQKAw7ptMq9abZksLTPj2YWQm2cwzYhgTR/emiOh5D3oBlGS3hj6FXDxU3ya1+fAIBa1z k0II+U1Ri5HV2epnxit0DDaYtOTaFOvIJdfZ58oJl8UeQB4SDl4PUJrcd48+IY+56G/tUZRiNKwp Wq/KOsnSQ8ZR4OCZVuDhyDaZC3V/5AXNucvwAeBE8kQA9hyz+qERiPXrvwS4Q8bzQmiBEFoCjGwE Xe+JxGJot3t3uz1DVXfGn3hNuPGN4XdtPAuOWWm6dMHn2IGkM0gJG6zNFNZjaa8kj+tcDbiE2zyh A62oKdstv+rcJpjGhpz6mJYzymRyEG96gWX2RvTcVgO3XCVlzVkT7wkRqVK/C8fJrzNazQB9Kure l61QpZxsffnT+atUEClJVoL8KBpXvKiFsP1v//Oe9Dfo2b5y/ocQe/uEmGkNl9JVgmYIELYSlniC mM2AY+BetbIhGJHrhoI9x0cTdB5QGBPpIfHTzItCCjgvHrIv8dqwgCooUSV3u519nIv+En1e2G9u ut5zEajiOjgKgAMfx7Ho0yp9BbKRBQn8TJ+Nuatrg/uSTNPn/K1vIRjD47jgAdqfN7BNukKKpF7C IHGCKwxpdv2pbeMErHt1LqCxA7NwdzSxkG1dayqYMNyuI3u0uyWIvraQBBurivLSkac0fsfZSK+z vb+i0AACCeP59yTAA7ucT1akp2y4n2QFirqgQoJYQobaKRPVZu6jMdWnxeTosemJW5FSSqjqyE6D PY0x3PCTCyDooj4n5LNmOXyjvaQXbwnirJEZQyLTBhi+SuXaX7zKvw886NqGl1XOZbUEpTgBv9cy Ow9TnmUgiHAFYn0Yp3zJEmfCM5ioN+THncSX6kU8v6HAFWsdom7vuMvRq8L9Fsei5sqbp8GGNhdJ /37JI9pOgNFjCfkDglhp2OC37r5Zh2MrUiHA6bVurQjFAe9kyD5uJW9ZnE1J+kLdOuZ1Icwlqtwp UiRVKQOqnGDwfdm9h5lQGTA+cPxWXyiM/oP2B16X7sR6ycGLko5GS3X5iQikYY0cIbh1bD1w1UyJ jfeuG8cF/iQLW0f07nQQvAmFu2ogM4mJeDkWV8Q7kSC+bdMHYqIU9e3nqTx0iSVxmy0X7DUFAmLH xzr5GmykUivK0mkTorNY3AVFKzCay1zhxJhPi8Wv7QSJ5h9nKT8jWfTOIcyAyrzALG8QxAaspXpU vHlRcXtS1GgleVh8FdLjFD9F6/IBWHp/NChiMF/a/PJ8NtdlPOvCHk8dqFQq4mQOL0noGjkLWwc+ POZUYvKifQKzLewKp1JudlxiFMgF6zMFqyMGrxwdiUBoPtMGaY/DU/diS/mMMknPOQkXG6qADpL4 Zy29izMryl0vm8MDcQ5U252mKsuQcus/Lwmm1bKOdLEJGv3XQjR25svxuo3byYnEzq5KifPHtMas J5S29hGJjwrxDd+jb4uURAbaOpLJxFvxkpGhE1yr5fy6+ogS39vy2/ZuWe0WndqOj4LrOCGEfvKo pTvieYVTKbbcjc5Wjr3Mt1SzObCPttkf/VCcVyIMBgY1Zd2qwLL0tJkXvgDPDcdR75mMVFmAWPyN HKF9V6Maxkmtr0OaMa9YY7x4iqTe8ZZSwlCy+V50+cj/uz8HPk41X/paGJfH4ojq9yVQKSyWxyLt CpY3LuF/GptYXI5lzcGVU0ujiU6lwoSpQUGxFO3OXGMftOb+urrkUItAeWImPLyZhaCM8Hl+cDBQ NiyfioqH5pZ1Q7jiS4r5wrARSAMCkGXc+HXhnbLhsTBxMCmC7kAZacXNl7cmhYJ9kh1dsqjNhsL3 t4GQCTkCf/oBTsgAnbkI8jO3UjY7XxjXosmMamfxu4J1BF6lgOtS7RUCZXpvCDw0ENYjU+WYTZ3B A7lfKOiN/yejzQZ+7ziyx7wqIzF0mp3eq43IA+K3wvvhviIwHx0ikmUmXDCxG5HYcaQGKK6XlSvQ UuYL6ODFyIM8P+6ZQyo6OFwR6Bf3tpnKc0p/vXc0Q/22EhP/NndyA6hlyS8YPLSZw+7D0BMvSLY5 YbT/cIr9y0a4V8E62IYCI6m1S9+3JEZFKTKzX3ACqmcYFhqypPTi01IGreTx5GJmV1iTNlXg/SIP 0B3Ms66fLhbumj6WzSOlwugCW12b/NzvQeqEWwl6+nkd399x9xenkbT4EV9hRu1E3aFqBW3x8Aog lryDTMPjF2WxNUeC/dJP6R12QtLDlxpQOv0267qigpTEzw3SAsXoiQxsV5++yan3/lK2aZ3rpBxu WVfTlFi6RK5/pPVSY0OGQS2mtMCzIx8PhgA0WQNrMvT1+BHrqSbXcveQyk+hMHpaGLyLhV6zzz// 9d3t7qr6d5+PwGA+kAvyAvNOdcz47OtdffE5Kd0WtGQ9w01tG4INHJY7h1xaXcn76A5r3QSbG3hH NJxEfswAtlNMHKymiHPoUDrK4h3995IZFD0KNEnvFK88pAQs4u4wlsXmekwl1z7UFDl869UQUR5H Y3QToDWx7AiI5IqlELsbDuBlltKLyyVpZUblyKTtiCfNgoRuZgYuJogT0Qc2WqR/AnwwwfFgL50l uAjLuc6DBkYDRdyrkQ3P15rZ1HFuXUWcgQQ1bMTlIgblApD3pemWCvMiawGZOQ9pr+hit7ibGIHw ZDqGfNyNpRRcY35E7S+aQmyd3o6S0Q+gC9bGgrRFugCu7TKv2SWw89PtAl8kxc5FM/8KwWf6XRNK eQXgqNum5+96QWuiaHfa5gv8NdiA+dvAGI0TxTxbs6TmZDPyAZ8nZfh0G64jjKGPk/Su01JAhgM6 j1hEvRnC2bnhD3L22iog3j66NGW9XesG71JFArdi9qCJNAFOcPonS2dOPXHaE8hFHzcBsGT0ZYGa AK7xIwPsUxmmQuAYECol1YyskMLv0p20EfxZYOxJsa6V82YC6RewIM233Br5WadHHGsTDr0kI7KN zc0f+41bhd9CAQfYvbr92WznK6oSoyhIES4r43wkp+o4vbUdWpCgsEGiChnuv9PWGsGJPwYM9Ufh D4v0wzFoWSrv+y5Sr5WfOQ3PA7UrDRyhjo5l/esF6/6A0fQXqAIUidB4LG1INBFmZSK95PJnQVhY pinbzA0JTEoWxhdKjzNRFi3f/+4V8fHt/giNcS0HhMAMaKaftLUnlmWGlnHlIaOJcED5qgVgNNXB rxILTQsjnsMuwLnsQ61JAGt0Vq3LLpmIU9WGCCZSelhb6fLoW88PDD1CWaWChsIzr9V3SJ8YfWNJ mWPQ3vvz/2Pl8vUWX+Ai358UOOHTj6vnIYljzhafxGjuyNObB1l9jMA8YKtQr8hLkPy+QSlCuYnk bi9eDP8ht7uWICIrnGyv3oxc8Gj7g1t/nK32POxmB5s3Rqn8b/dTALIlLue7/Z4PtwsAWAS7ynVg S/2l53gavBavliIJ6gttVik0JiCiU6qKOE/bRvl3UldgZAQG1Zt/mG4VK4g08vyfmZbAiFU7XgNd S92MLseM/AFM96KcuTg896ojNpf2BwnR9Knp+nnMcoSqMl+xRa2pS+ek87ykKJQC2LrE2KVq8A7i 8eXroZpqBjdsL1JIAHXtbBs2UROewNx5t/6Fye9ENySe8k2k4G0sMoQQpwRNJW1semx5hBzbS5oR 31zZV5dUFdNZ92w0WcIWZyMt8GcbVtXIAHZTb2L1PMWImSM5XHaK6dDAv2TleYF3Fj2oP3kgXkiS O0ofYd48kVfUhlbrx8u5qdLyli8NrGprtznu45f0Uk42++tz9ysuppDXgktL4+2Rm3xWuKQje/yT 89GOfjHFbrgor0WDXyAf2c95BlBeN7HcOIjfu6n2xZ6Lt2UOdMQozCo02ZduWcSjRqHZMiEENlmS J5YwO76dlr10I9J06VrPrjw1iinvdmvKcgnD5Zjpcp3eeZVpcUcj/3zRnRtqzkQK5TY27cpy0DXP 3v+vC+QLNlSSfWsPVRrdgTuSvbcqZs2p4NV5h+7SNYxNDZ/d0Xfz3ENP2VQMTc8KoZUp/5u49ZQq DfLHHJMmMUzLt7fAfss8dvX9b0zoY+6illRKfydk4jb/jHDgGogRzp1ozqzTNQa8RyfgBA5MDTvM eaUOcJUHMVvnPK0lsCbVyL4GsRaOMR2xLxZ8fzsocxz98HuQqLlugdQijzVXV2HuPQju3M2cuAGX G1JoFSHFYimJfYRqmwc3uQnKvBZ8RMM5QGFkZ2bwsaShm6x02ldgZ5vPeDmuF5/nBCbqF+lWsOmI sSbFR5YHULUkvEqBpFtNAMWdlanXs7Vp82xyOVxt2xFdldDLHBB+cYWHZ7A42aSJDxlyN6Mh6MFy OB1Q5d5P48rgZvGQVmFE6tqmEfb6xl3eIojAi1NvhZBa2YpvGhZOf6JTOiNbkKZLk5AcpiiVEXHz WxyFLKi9ztZ8WjVxGDyX2BoSOMEAoX5eMW3mfWq9WXKl4GJg2Gc0j+QrgYqwHoV5kdGz2ZujBT5n 48O47sDUwT6dAPr7fhE6wBA75bF1h+XNN9Ooemdrwrtwbz3R+WSDT5G9uG2oKtr8XNClit4Vwbbw HeZQ3kwmFsiBLcYiVhSI2HDdU4u4kcLdXMYOKwajwI0ALQxusbNhLyPhMvU+d3BD4oFfOxEKcLy5 JIQCdvVs+VlUbP1hb8tWUavYl7wgc+Rk+U3GfkEYRK/qkHzIwGPEHUuTI6R3lKH62+XX5JE3Tp8+ jemq/zyWwj9+lj1aqODRChWR4dGHsw7Sy5zjGUAOKorU+E8zRYaPHgNWq+OG8XwWYk72WlD2y8BP WlpV/QbIaJQMp4GWivSwmJye3TY1KLPlUquA85twqJRV2hbaAD1nQ6bMkOlbATS2LU/1two8raQN s17bYdxSY8bRGcvSt/+ZveO+2FgdUvD/Oqtn/yLu331JUw52CBxoG3CnKBL4zO1x/E85NoDbW5yd Gmoj7+NjXe+M9TbJXaxqY/Ow7CPKx7rH0speqLf1EdxLgUa2DoN23sxJhcP4E4kAuWgjDNlHwtEj RsPN6ZnHYkniRNkuo4EVEVy6UIn0S9OAZ4IXPP0WRK/TEg1FatzFVudtbYHafMiXklWaYE3adhmc 8B7eoGJLRdzPHIRY76qkU5vVCUs7FKegNWbW4oWrgC1nlc49MbudhOZQHS8WeYnAflBnlGHOYavH PaOhsDCMjGIAyZ3FCFi+Ukidb87W5QIdEG1I+++MJ7zKhyD3Hvu9zcLeVgAXB9rDqrdzP8Csx2SE FuMfaKJgKLJet/RLIeUduCoUWiVz2inbFcJj7BDgg1h2HGVjgYLDlYGcY7upn6QqXSts6XXP1194 4jcBL2LCxRi8zv6Mwjfn1GvaHEiditYog8TU9fdQVO9sQgjGDuV+N/tNvKQu4W1a9UqVqEdUggs3 8MbW68mqgBk3IFYTu05+DqQj6vDs0qh66J0AW9xsDo+FkB++BPGYYlPbTROsDXMxRKvBp/bcrOwg BCIHWhpjrs1WN+63cEKC3EFjTeqHkf/mGnumDQxUnG2ARVIecfoMwU/5b4v5GZI4sMnaJaEokP6w EZN7XdWuISzIr4rb6R8vFy0lkJeqUw1LoEwDHbApiVDiTsAvya2UhQIlH9xWeUukTjQisqagKHM7 v33XZchwhWLV/oUJWcxHia9DOvZEmYX4Gm+zS7I2yIZcnD1i14R5fqGZymJoqJdBoT9FuIm1RtOR Ag8nLU8GHuL4EppZrlskWb3BlXHQuI7HCvBlSYrABCJA4aMMOHeDWV+SdYImdGGmZL0z4mxD74Vu 4gc0+i4sLXFuQt8zMMqPjdwArgv0fVAb5YpR/zHOLIxSYzIVNdQEzXWVDE/F32i/g+ZoyHyvHYzw 1cTkeffbLNvdDeu5Dh0r0Ymd4S705yUtC5lQIG/gNee9WdXDPYSHIFRJMmtdVjD4OPJEj559aKtP IRZgQNLcNAwNso94rAes4Poa4gYgQggJTMoK/H1LOh3wXG8NEonk1m9EZY3ixRNVmBDRik+JKonM 38sjtQC9UCnPyOFv6k//H4uuodtahnzATOo20+wr5xPUb6qyF3euBXTHGTbWRs+sqxqEfZHNrA2g l8DyLojnEAT/wjY6ChqjeOa956cO2ZTxZVe4S2mc6K6TWrgeIM+lq36uqGZ7nJ09msxtgrYSeb7T XbNUYvK+EOu2/57bhHaoe11vMOXRcZHD7Zaq/8jPs9Oi6+UNH7wj/TKmMJNeLfXbMdBmC5+NCA6l lN6pnYtdgDkc9RyqBvKd8dDzveopn3FrGls0BPtvV9RxhV6zzvLDedleQafhYbUmq/bOp68k8wIh nuxWnnNuDLFmpf6qUyJNLu2dUXjfVaidOKAX8djVUcIh35zv7eNXGFsSp2v5yQFWTYcxu+vrIepO 9eoUWid9JbAdqScsrzE/Cdg4FsaISHKLhL2avvq4cnZnMATchRWJSwEdTzwqVkCpdBRWSpmUL1Xf pTqAwqc2hY19K75HS9Lhy+98Wk3MmO1G2+lUSFIz+vQfku8vCK9T8JyP8AW1QsTpbXnkea6pZ33M kwVlT6UDC9UcspbBSoqBeEl694MfRd5NY1onk+Qbfvu87kOrjHu4/YDwcd+8Q/8r9/N1+FEZkMtc GBBrZEs6MOB2su2f7Fq7s1BJ7gUYqnukKTIrPTaa+3F00mWt4eFWHjaoPZ5eK1Z2ToR12yqU+Pgt YtpqQ0p7yfmuyFPiy7czfxtMjx60ajRyF/OtQF6XC2GIT3ZYoUz6RkZ/7a4yD6mgElEj/c8JRasr SybTkJfxuJ+y1r79VjTI6YQGt8DDODvlMwreNT5coW8RpKFcxNGcj9/6Y9152v1bw/oQ/wHiQVBl K+ad4AuKHapu6SDPdNxgHHpIfQI0saiyhg2lr0KF5il1GxEoTdbDSjfgwnvAf3XbyWN1UOMb6M4P ZUoM6FxxwxUYtvU1swOaxBUmJnFqf7Uietg2HWqPVS6hfc48tegQO0I3wyKdGxDAp1JVA5PwF6jC 10AAFr9FpSqi57LkkWBUqIpgw33OwpgmP3SUU1wNcnqD8QZR2S6LHNnThwVuuTDP3UC1NJl/yy4b B0rXi0UsmJW33ismyDHMOlRTTJppd1ujPy/2bwp65jcZhaRDSazzurE9/bdzR5+12WsUlgmWUk8R hMdBWVreW2cr46by3TI0H+U+MZqFMHqNKNSKSQxiXJ3ReiqHxVori97uWbVt8G/AsFcRjq0qzpWk BPWZ0cmTfmT/IhoomwiNx7cz5oMcGHzJBtP9lXx4q3nqrREPdVTbSPq/jeBev87nqIu7c807PrXG Slzaz2JhW3Wwz/bn3H1N/l1HuOqwtqLwaC5J1VLfTc1s6bF5YPNkkhtTJdh2/y5TK+Tt8nsx86jw yNTjCbrnKMTuKa+G856ihf4exfCennGeXvMPLSABceXqEe6b1is5PZHgyMGim+SvENoUGy/zWAXq IAn4evEQqsQ97eHH1KOi+B40BUAbRgsIsghe54dc353c+ZlnsLf6Vx+FWKkD1vSjHYWDxkYZNzSb J8iYTxyLlW2RANhtbvxB6CvAiSldmo5FQZp/bRsKbyJ3o2VWSecTFYljt5uK0K0v36QYlRNowJQ7 9NrjYDU3i4E5tgHL7ijiAO7iX4I5+wcI2Ze9Ks+FvVKkEWOcfLGUdPSsYBgSRlQkyZ4qyTCqBgts QgshM9EYQAJZ5ialK9138fW/jZfbT1ewLkbBHX+aueHwe+z+m4bexQbhvNrq411e5tXCSLm+YatE mP64aJ2EXGg+c/hqZ6HQdLPaFlRvdRqZ5oNrjvVdb96UIhqSn8Brs+Jrovl2IGyNEVrjaeSnKRET n1u8YlsRw1A/8mQzywTDNBy8RFY3ZHTzVccYC/9hSwLk+/rkLoY6ZMp+ptgeM3TrAD5MeXRzxs1A 17d9wbhSNYKX7rcpop31UEXltIvbYEy/Rdrz2yz0vBJhtEiUe9bXIeFYCbY9Hh5xkBKJXnBpYP6b woPWaEGgHRJ1NeK9enq99CiYIE2qFhlaerubAxB806thI8W3Ke+TRmKfbJz4DUs8V8+BtdnHOjQF efgdDT0l1PKRXNiBeDZxjemc9eG1tJRn7Q1q3K2Q//odD4DXReDmTSAS2zMAkWA3TpYmhM7L5I8O GdFvdaUfcRmrZFop3y1tgOYD/90YN7JuOjJJe65woEWrTMRmfdMXKZay4tBhSmM9IB7Ln0oXXKNt Z8M7G8L2TYeJPLoVt64WrWu6MtULK/ruKcZB7RUzCMlCmuFIsBxWr1OqDEfDp2e60nkpHjeOCqwP oMJMSWniDD2D9UsndO5PgHMYfMtqa/nBcyjQYpRlx3r5XyzlOSPP+qJk0elUd+9OpKDoikiawIXc 4P5HhC3gmw4c7bqiMM7zsTA4fKyZZYwQabZMTax2Wk19PbwiudGG7bJvuFGIrSe86f9DOERoxLoX xq+vzfKa+qD46FlWzV+9Pp6CKwvxHQnW3Jh2lVdILaMdJP+YsKV3ikNCM8Eqrcm36mlEe/5y7goF 0iq832FOUmfkGNsnwGbwVRD3FhKEiBSA1gxx8wmlrABI21+qjjvK6YRt/YelzgXXu0KMLuKuabaG wM0ysddiSf9A458DRaadtQPd61lF+OClvm/hRN46gPcH0KPPaHJtitmC0y4qp5wuF7IRAtQ7Mo1K OIL/SQ0cES4vbd1//Xw4KwtrERIINxIzMD+jaHcN8lrdwrWp7bltWpkSUb50g4c5iCvIDAls3idr WchVfwdnO1O6riSx2gvKrOfALXr/faras8zpwO2+UAH3F5Yt6TRkcq4YqzHMh+t5HvzGtUbsXOFb 4PkP2md+W3cvxRs13qOPJ4nIdI8wvy9WPtUuAFQBNvVtSXMjUoY81rwV5znCc2K87RrOo48mhG6g uiWYg4ctI33/ZvUxQBHZlhQowFdffSoxFsN++VSfSJHFp75jbtO0Ie98jRL5z5m4Og84/gOnKMkp Wf5c7k9ax8SLr40btojoRWy0+1vFxFALvF6SPKoyPeD0YJz8f4inagWEvdxg5xiZ3dug9Aduqouf ZUiyeIjMlEUkySlXnHzbGScP1FO1Nvpvxw4DX3Gp8duen+okBzaS6ruYiKxsO7GhRgwCj9NZu7Bl /XkZA3ur86qjO+uqLhG4fGHmRdgYebZLtfqZkZYCdxzffylrROZrKENBUR2nOWFfaEsOtKIFNHBc cnZKmibRJ6Yo6hBOkkQ5G/v3CpTwTW9/jba+7e7DqPji4REcNsW148zXGMMJCt3qjqskkjm4Xuyn zNUzPzHKFy+Jc7RaSS3c5qlGtqN9j8JADjyQFuaGwSmyugndKFUO7ZrhSb5TAxw1XT4cbjOsmEBi uIVpu4EyJeQJ8YpjHotDzWaOFQgrf5D+dD1oN8VnGGuR5soSGscO3KU91A7If1C/kwf0UDcL0lWz wzhJL15pYx04FLpPYcQpVP+UNu7E8PMx8B8j4AQMJv3f2XkVcYfuzVKuPLbiqitnLeoYEaGfpWV8 fJW7BeU13VpV+cIMGSfR6QXNiOOd+Taxz+GIBkZ91d6MHgmPQK1mGqELykaOFDoMrpruAv8HNNKs 9rP/FiiYK6NugKMtuVSoMy3ebBTSdw7fMqNx5G9UBO+AB3TzG3g5pPzd/zEmMJZZLIdWefCH1lsA TgONcWKbFLojQ5hs6rBRFKXXDEg5/Vonmi0xoxv7vHKBBLoqxiiUPm/y5Pu2NH12fgPgrdkgslMx 2aYN+EhFrMaqwKcP8F9LiOs6plxmj9GWGvbpAnPJtD3ouO9gcdVuqg1lWsw7WKoFANGaF7SoEIpR V7iatOluKwQxbeeR8NBg6wA9WWcoKURN0yKApO7KHBaOKRliQ7gdYuNteXsJeG1bEM8PgFTj7pYq hlDevpwbDr0Y2nJc2+e4WcrSd+SAMr9KCnwJjQBPrPMaxfeKwZ7CDqDDGlnsRSJIDdBAND/Akf6u drkB01xe8IwuYj3ab8e1+wTUD/qZPf13NlUsvFkeq3DloytiC75NWytpCRG/aj1eiyi7WXNdRm9L v8nefreElJmauuniR8ERrpNI+8ti9f2pXdOyBBa/q5CbSCONoruyCwZFTodElc0UwdaLGW2sGm9D pjJ5UH99WGepVbXAUFgEERZY6RVabiK3AbAnWZCOnOPKWPAtARgl00Q9SiNcZcmagbAsQfWhMdNb TdGzhuMgxIAdZsMLkjGKiCV0BmkhR79w3U99HL4l3TzCCR3mccOhvSkDF1pxUekTzY3Eje3NG9BO oERBQFWuK8QegaZ2/HX8qV8WVFhpSMFMHm8zdzriMiiZOTZaeVI98vKmi9ZtWnz6e+OTA/A2oFK6 IdcaepHdTWg41ibAEbJPTxOClJ15hN6uOInkdxWuY0LzO2LLKF1Q9R/Nc0/Kf8S9IQaQcGHXYOJi 5JKVR2cJ6VT7LHp41CQdR+iGVSIcSJtdfvYFx466LMsv4aspthGR+DZ5W++L+v/TqAnR567mshai K9fZ40mnQvLzNFeaLcKZwOir7WdFW0HfpRNk31Izea7KCtrZNBRXaX5IpMzLXTT1ZNhgZuo1mq3H tvOuBnJ/eit9xVp02KkGB4su3tz7wP2MyrtxOE/J2tXqSr5orLtvfhGmOhr9Eib81pK/qGP2rY07 uCA+81alwimL9tD1lcDB/fnGnWiD2wzM2BKQbBlHnuhBDI/mLeKfNJ12BpYNEVO4DPS0/TuBJc+5 5DJgoBQHHSel6PqiC9chJTf18NDVyrMnW/Ds3GQCFyXrX8SaL3ThAmLdiruVhnkSl9ZZfW8nnGdu sTVSZIuOQ3lMqZNqP4qJM6UMLzx4o3k1UWOnOsylTZq7WOCHE198f13RK4z3eaXSzIs3PR7FIgtO PeMk6vy7ZYGjCe2DxBhp8iQH4keAhaa6pYWvpikX+G2z3UuxRu6NH/er9yQut7wBO/N2wE8cquTP WJniaxfyVD/JNMHh0LE3mz4FQA2N1Ac9k8ce24S0c+hTzFJHHva++9NWXck/CV0Ix2lcRhA0M7sI jsUEk3THGVDa4IBwiMK3O/hcWxHjbqMPzEjlKuJtiYsKmf1cPj+68zyCP512fUlBEaS/Y/peAr52 oZ1xQHW/WSTxx6kzuy2lTZjuawotZiiGhrxf4ABjF6r2AwWCTiVV0IHr0cImk89LQS+FF03KYjl4 6cAsq4VnZ7fdu7k06Qwx1Y4+BBCF8ORm1XwomXZOmLN2Uo9j8/vAIQm5Dqd3HIZ+GLPsVZdlziAF Fcou0cx/2ctvwMYAcfn53ivonl4LKce81yO+ovxzegHescdDKHbBvuQEWEwDKbD9ZSlh/TvxjA2G HIEMt5zgamBF/fNi/CYJGjD2bqm7DiKWVPM0bDYB3p0XiLAZ1FBdIBKc9thXQ18NaIy+tcJGV9wV I4tHyDqH7DhtbJuJsBrilnbVd4Nm08ZkQC/CzcTrPyXH79NaF3W9rLfllLgPjL8nLzKozW7xZD25 9J+SdWMU96ZvHLW8Ph3Vo58xqG1LeDqFZXpFPlgu6j3JFY0NcfFjIyH1gyJ+NDbi33PPtEfVjWXc wCq+S9zAeGGfsZktIMhtGFFEzr9+SOJVLnuwMrTcZ2XwrhccoVOiMW2/0DEWmiUhsIDmWvhtMM6P l+rTzCZzrqHFxtxmwo9f0swNgNYzfW4XGZG0IeCaMdd/xPjkyAVduE1QqWks04RkEAl3Sr3F152n gpA9nslOLfw/YeIgV3bnH5m20Eda2zLjMberyTU7ptpOTObJ4N5fMc/pz0K/SZC1RibkXlSN7wbc 4HZ/oFB2IGM07MI+gNl5BV9tbSEZLa19FeawefXH14r/+M5RvqDK/EMFBgweq8mOrUmoHeKahTrX 21K+bFsZGfEfUXK8M5FEuSbKSxz4zOft4hbbu4SL7RSNWtjtX1YPt9YCdYFbzh971uhitDXt3w1k LvKr3ErYTzi+OVfAFky0xchZjN8bm9cOuNVNooxQHqthqGNigfEGG+CMyiHk/G4QbIV2UV8OoCEf CkBjHlhA9c0k3TulBMGzWHmRaSyNAp7LN/rtv47roJfaIqh5H8G1VLQo1mj5sQJDOdx4/mEb3kFI NaRIUU+uUL27eFy6aH6EVHIUnju+2UxfPHn8APNLGmopKzEPJHoGpHjQu3MyPMsknmzHimOr1ane ibzV+m+YUtLDWynSTxfTe1a7o+ROnFRIqh78c0upfBdJbsUAYw0QneNMPslgXLs9JkJtM4II04bw egF/j6t163KQLoqxPrXhSV6PL1laQzWA/n8P9TmgXXbdWY5HFzEYj9FApT5b4qGRnbmKRmwIsbba aD9Xl7OqO79mfEowSyz8uD7jAs6SPnvo8u45wL5ZwtG+emXk6idDuhWCdbBODXFB1t9ONTZzgE5L LdaNevwiJnZIdapDmb9p9togFF3oqN9llfiq31d3/GTsFoWFRONiUFRSt2N/FP0S5OcM9BMduXkh xWns0sayCp4LxxKlzbgyg0jlK6Jt5zy0+OpgEZVDC0CAPqVbgR7KRl45ZVv0MVs4Ba1OvnjUvtbX wFeXoukA2H0EGzZi4AxI8J2V6+wWf2+cKWNdkdilczXgX6nY5dfP1kaIHZZLwD5pAczAWa5lXhVT KczHj5C5yeeHt2j0PPTkJW/fhVp4Ti+Bn+4DFC5lfL2bWTc2SqNK/hfYzchf8zhH8FXbE3hCFEX7 /JfzdgCLip2oJqWyHRqmZvCJ+hnlFbQrDwZ3izTAZVTNv4ITspOOl89FoO8C6mMQzqDy/rluiOUx ll4+PorwnBdJK5kD90VbbfmIWPrLbXru0dYOAu/C0OXrXbxtrYr4JgVuaQhy6/M7dhWS9/EhNvlA WygQ2J63xP+qA2PPihiuu7nxdA1V6CbO9szLt+g0dIBvJ0JEiz5VDXpOWE75VscQGcwfxkMMXy/c 5q4qrzJ4Ahhn1z79K8l4/sBDa1nONPfTHJdYtHISzJEcGERLQUpNScNwd7h4uRzWE1pSyJEdoJWe 7uKNCHc5uKl2yI/YN8q4B5W9wGQ6RotNAONeZyAI0JcO0d1YH7z/yzXNjM+u7/KI0McQt6zuiSaM kCJGMU58Up2DBGq+RWuBh3Fz3o8WCNS2fGyy9ijXMnaK4fANIu0xFiqBp6tSb0LrMex7d067RKdY yars2pk4lIdzjC9r4cAvLGy8yZCk2sXOi8pSpn51iwHCtcPA2BDv5OvbVcAlzmwjxAZfvJY4qaMu FZhSfZdzHvPsIjQQitXE+pcD9DNuqr8gfgsaM1bY6LkTXlyjzX4rNGSRFxvttj0Nh9lBQQ04pJB2 EU+tc1gR0F0BDX+JcwDuWWxCq1uNALfmXE1kuaoB+7ifBPDeF+35Q9EabKVcJNZ75MsipqteIOLH eXNHilvBLW8nwL9H0ZTqQgJNb5YGQJPoW9pQ+rI8IEDZq8HreBoPREFEhzdIEkGtgifWASsN+i89 Jc9pmJP+ih+HBiU5Tz5RHkwQMkr4yTwtHhdpUkeDHJ8AfNC4yn6rtnUjh72Lefp6FZNW7++Y00Pf 5IEmDtxx9CFkxjASpIMqrSlH4qgJWZJrZc44Y7sv0aouZ//BrPHEg5g9K2cPulL2YojZD/qHuRi3 qjGXRwlS2O04fen2gDILQTqPjfpisoxiNCyN8i2oFzWos6nANS3F+dRfZQ1SWJbnGJD3RBDZK/L/ 4QaVTM/kJDe9Zd4TypCURurBk4eAUQ6VNINS1WA5A7B/9RgchTIdTUm/psjmefKPcStU9C4kgvmP 0JPatcLKErVthdjagISfAJKA1a+M9o1LTtMko5lqPjw9phZ/7juYx0YnvagpQC6YoKriO3INiB6l djV+TF53K2z/SDHIK1pPB60eXouH5l0WhXlTcyMZ5EtzZQMGdhUq5177fRwKG1yLOHMhbccpujGl 9gqC7/ogGGc4b+wqmneQEQFVszNtV7SnqogCQhqnUMKAQj3WgjAZm+Fqwt/EiosBBHnORj9tmmko 8dP4DgmoLX+s79J6g13Elb1l8N2E1ZcYDlgttClsKeEdqhPkD0kRW8h/uGBXE0//12Ak7nxJv1W9 +NJucJFyoWJ7Ee6cFJPxdHbBrcXDODZsRE/spK1JePbRqTpFyZsBeE3qsvCGVVfC9LNE5i9hqQKY iUZjtt+QIXbcVI7/R5JoLQYmofW+9YKRPbvOWNXasdylNSGcJ/5Mi+mKFSXse5F1PBRtIrTfa5Tv jSH63+e3CLg3TShjRnR4D0Fii3+doNlxtLAz6pBNWpPwg4BSEgK009V3pGZ54c9UM1pu+m5PDQbS 3LDlTiqOofZeZ2c/7fGxTRv9heJeZhsB9MjQCsNJ2CMuHfaZoGtclKAX5rJBo1QFj+WAjy24ChwJ m2FGpP7Do/PHtLlIiyteZS9/ZRosumJ6A/Eh/EY0mzEtlMKiXhA5WpFAufhrqwwYftArtdUi2sMr T5GSQnMOFJGwltAYv7fQcBgOLucNC4OyWxjC2IUR3t2sG2oYNDeKvEjcvs/XK5rzWVqPjkdiVEdI NumwCbZGEPQDh1coeQUW2BbNZPevxG9hj/ZIfSgX5dZRACEipoq8NE4TvDK5yTYCx1v2pT4Vfwft 3qKStodhBW1XOpyn20My/gEq+CQfLYkjnP/4BtkmmbJndbFyTVBcoyxKNV7VSCxWqtr1E6wEmPKO Dmcscmi/JNaNS4HR7GiUSkJtQZ7ZYF8frUqQqjClPKyE2t2rY0mp4vEVpvp3N+LTWk6CYTeEApb8 ygz/t+bugNC+LNd7NODn5Y/w49cYGDWIATAzxfWsnAf9q+Yknz801W4unWWWVmr8qkt0ibNFAI2m xxnHrDdxaAKQlmvEnr4Yv5NIO4VYa/5VzOBl3EUsYBtEtI+aYNFTS+0ZsBPHTdlUR6UV7pcBChZl voFGlTx0Dis7VUxJ8/QUcFaYAykNSeGgW64hHvniavzhwYFfa3kwykBEzAApmqAyCLf/FfsWRLQ6 rTC/gxIJx5dpo+KVtzMKFgGsNefOqZ/DVP5y05Vt/4NUSbmAcP5C6q25Ha19soIu1J95EnEf1cPv OwMrf+Zca401rVU9A4/+qUPHB2kxAIE3pwHpEv35fC2COVki0WCUcclf1NHzdNAJ2rtUCB6IL+oz OsLc03f8QIna8pZkNFYkOAoI9OzGvlCc84ddxwwcTbQafUYGfMlGZ8zvSv7oYMsu59HaRpixfEHo xj5HDxUv/wV+2L6Abk9mZLM0LRYIxk8UvvKG77IN8ivCvRyb2P+Dar7H0ZwViT+lqR6Lhqw3UY+7 LiwDSJjW+K+uFUXBWdafZ/uFy+r5rdlq45WVmeEvcwcGmukhyjpTKu0jHqCkyYPKLYiyRkKnIS+b LyY5IJhOUp7O5k2Qb2aYsZpXN1LYfl1bzi4uZ5mgXDXe4sJcMlyqJ1VsuIPN8zG4We/abEVg50Go /jYdHYH+OcqtGor9c5OGVCwqqNhqDNgIUPlvHFAyjX6uqe4ps+kCfdNJtbmFDbYWh/hcYc5XOZMs My5Tq6HOyNQZODKktroL5X0cqWaVHVeFKpVmfJ8lwc80j/q25zq9DBm3ZGR7gp/xNVI35WpMf28a 0AMwKXbrmZkn4L5a/oU9ZfOISn2H4/W7/VLjLHZl2LkX1PxzRa339PmVgsizCfbOTaFxq2GZ2TSX n8A0s5tZTIPTgJX7dSLKjgvQ792hH1Mn3X9pMoCiioOzXTHqawjcCawa8+GyJbcfO2c4KbBrT8cH LIjDk2i0Oe1Ufx5KToJutFnZ5BsJIczomY/R/E9aOJELzaRx5NBXqHNMJ3f/C3COp6uQWAyJCzWB BaYenUdDNuOwLLO4BLaSNvDUVjaRuJj1gWbhg2P+wuFokpYgyMF+aooNZyFFikPfsEta/l9UoJMt 6+6rj9vB212PEmwUlYH9RWfQKVtVPz9ySq2Fa2eiDLUhu2qm2A8UHy7iszkbRy/IxErGqs0GFMnV ZUyrVMi8SQzgrbhYf0JyzIGAj8L3R2wxOnf+3CO71jh0VzHsCcLETvg4iNDcPdvqufyKemVgyFRk KkvYZbNUCOvPnNnw5rXv0FXFRMiUOnM7PwoK7nvRDXskX5+C3vHEBgd4+PPdIt9r4WYnHtKzHVDu 9qDF5G5SEiO0G1RTFTlCHz8cyXEZiGMAn9tGiyfmvaiz2oe4cfGU8obpqu1hpwyn61jDQi3XGpzn o90q0+c8L3YZd34XKrQauLFePvnGxcYFfHVWQNPC8UPUd77bTZqX9VRgVrmbzrOZb+7h3Y/QiFl9 FhUEi7HXuDRNz7Lc0kezkDIJfO5fkeuSWkha1ioWD3EfK5IA3dNx6D8SX1znT0KsAyM6S3zWygdo MkjXqHzxuE7N7KNJCbTbTIVJTS8e17lvFMNSqkgQaJ/+Ac1wJk4JUZJQjVIt457FDZkXo08G8wa/ QSEMxUCEl2MR9mh07S2XAdwofak3wBS4pw3kNzn6Au6lTNLgPSJVH9E7uCvLfdkE3vbSrh/QpbMd Nj7aEFwHHGvjUQTVkNN4i3sZ2ZBTUxXkSPxFBEREtJXREY6Nl+lCMrD3zTR3KdhYvstafwIpDy3V xzt23e/JizW0xG9gx8BkVwdCqPUuVAu757/jsGQEcHZuMG47d64d7BO9cvyJbclCJ4bV7RsIwNJn jlNZf94AaWcKq86k/3IjngEssm9XI5KWrKYDknfsJFEcbkOSi8e87O3VCXFjm+GaeYK1sp9WaB2R LSvaKDYINUyiuUlxjHwyRve9qE/GkzRqw5lBnuph8uh/fatX3IwVUp1No/uaT5X+mluSHzaPQoMC DOMaAlPp7VYAKjFsbRZnJbf9qoJCGVkm48V7xhO8Hd+tOZQ1GgkxyfhoAEsU80X+IgQMWZ1YRPyO AJCZTFSXvkNpeuAXb/9eSQNB7dQX4T8Q4bwDI6pNfgrOOttmxL8EpD6mGFbbXxox3dcZRp9UlH/p n2O88gjW82dM7NXQXcoTYM6UfdMFv1FxSxzG+vQnaN3QO4igwog26MSpDrx4yFt4HAIRJ0I4dCMw ptLBO3ZbpK5YCDU2jSEuacEaCdj5nuGahOS4r+5KrrgyCMKn7+hvP711JZe18kwCxnd2huAF/f3A rjlbNBvX4ykBD938iIp9YOhqcMaR3TswV/0XnDLMogb+WkiHcmgnjLuEWYYidhYgIn19KVEBG2uy a+SyugjAH575YyEeQcWcBS8/Qw+zaMushA20LZHAa1lnMYtgXJsk2dXCBnRTB59WiyTjTbvHjtB6 CPsw64YKaci9L7w8p7o7NsGzfm0OflvXy6Elac74nYFqNVDA8zi1NOxd/G0mJWZAmVFp9BB7gJhp wT9cyb0LzMm5s+576vTerLLwT7pXedRgL0P5D7M2C/irbaDfXnyml6mZs+f0Qg409El0kCZq0ZJd W3JOZ8Jm6YCnoxNceP2KwPs0oYj/ly9c9aCKKWzNXndLvIgLuLSkv5jRNdkllODD+kYBSew7vnGi R908KgbRuoEil/O7f6SWTzJ3RUCS7nO73rquWUXifxQzyiiAaYScRbEXz9JydpkhtiBeYOTzPU+D 7+2etcTKtbgoEBcYNYA5CPDcl1Klms/KsCQfzFVxCNn2sq7JGFnRI0SRnXU3/RboVYahMTgbr7O/ oMaAz0UHJlV0a3fnjz8Qeo1h9yjC8JZT2FosKfif12RZsmSZ5UA99ksDqthTr4NkZMJSpGEsj9Ko DL/+G00/uJEe2z304z8KXM392HHfuhLBYypq4fyJNuOMnfiXHV558ngReCjKOJJVz5Dr8Jc+i7J8 DK/qkfd1yvsA2tlPqsaAW5Z/uE7YsNNkdk3t+UhBuljZz8QEHJXH42rw5Hr/Gu+gMerVD4eD+OR6 V2sn0K1vPvWHDEmSgHrBYtXBbQTdl1ZkBJBQYfZx51LG5PNwx9fVAKxKThKHQstQtkWNoVqOH4A2 9oSXRl53EetK0y3ZEPI4hczWI7CHqygVKsFhiKNotz+kE4fVa/sSEVXmGMR5K/z6zyTu+lotZRVv eSypsx1PWwj6YhjFOQv0aeD7HRM0bNDIIx9LATTpclJsTOeJJjzSiGOhfRfJ6AzgeDm7wdHiOezx KlHzCFhjFADU25PcvuoIxtGKVFNWicXx6HIxXghekktCHc5v9X/F9NCCe5UX3znRsuK86dEptat+ Oa2/HQ7lrmF8mSAfd6QY0PvBhiKEBlajT+YblO8MMaVqT7Rfcch1AENEdMlNpBwhg+VBpqgI60E+ ICsIAYOFqARIj3guKLHy0FZ8qA3L4zWGMrDNsoMTw+Bnvbi98jSxts77qPSv+TK6kFGXAodkjWoa LcCKDQYgVSHPqbW47APFxOQEj+bzx9XuLtNh5T0gKBUmHw9MpZH6OAwmtLCE4E528MwptdscIOtG feMRvLhwaP/3rdQF3Z+mt0SOW2nujALpSaz+8LMWVSm8DxiOQdc/K8YlTlu/kTz+xEZOGvJ3EFar Tu/h4+LTqQ3Q03vlZdugLWTQJRkMvyH4B2th3R6UjZsFir1j4nBdmp6BEx1CmOSMWRPznfUfIdPs jiUjr6aqRWfExcwF+enVqEX7eaLHjLnUoyaq2fyd5xPoPxghVpOrK7M2jzs42Ck28RecD4KvZvsF RgjU3BzmjWn0xXsFQlGMDl/EFKTpSOKnZ5QBKo0Npp/pbVhLXckItwmSDroDqSgsBgyoiNOvqeDZ qEEU1K+tEs4ONk7o74qFAIiiTnNsGpbalacNOB4DT3ZxhorxMSUSgJfqstlWZ+pyqXvpvMyaanTt SWbDeiFOcyLxahovJ73RfgWTVFf+fD4xK0gD3fuY2ZoC6ulr2cm5I87T57R57he4Vqq8Uy6u9IhS 43JCoKmn9Vt5NLn7r8y0QaWv/74GnL5kM2OQaB6nhUpceUynal0UchoLsOBrlBh9s3CEnA+nWoWk 7yETgJi6FooveaGfXeHjEbbmzu5ddRKPYJlOqEOTBbIdqHx+WmJs6CmCSUVrC2yWoVfMyGk9jVYC BdZxGvj8ZLi0ROfdeODodYDS694wgqesrU32M16B9hBys03CNf63sDOQKOTQql/RDjs5IHEdTX05 l+n45I9L6M5zOV5Kh162BSYRfiiX2dx7GT6wcS/JejLzNZPf/ugVeKsHPK6qYAhu11TJeTswpK5z OSJoEzGn86QISkQTX7a/QbykuWKxU9h7AeTETvGyd/Tl2II3zTz8z8ebI9i1ETi8o8OpmZzAe1EV vwnJSGG7ngfaAe2dDa9Zair2ZAvxdhoz6QgCStxQ8CvnJL2HWDwz8uOXzwwRdZRpNwyPGL9KfrG6 6l/fOF500eIeu4fs8bLJiyx4BiudqBO0qn48du+TJxpJQ7YYX5L0xWolxEMhx+P0XSD38ihG5iVx +G2Q8s61YouQP5Zhja1WtqhLWu/nvsaDjVMx3L3Z1EboN17f0nc9mvaZrOhF94/A2EuvtJTD+puv JTRXmk03gGA581iXU/b5/LIyXHv7SM2/abMfdNYDCoWme/eyVQh4VXtigS3/8q17rZ2B+JHV9GS2 pvzI3gCveT7sEL/StkgHbfPbVVnZi+4Lz8A+Nx8iOF1PZAGT7u5mhL1i3SHiV8D0AuwuS0PSs6rZ zqhQVJgOLnv6mAC5Zrz4Sd2hL0DB4Aup5zHgVofQPy8ypS/hF6ktM4zGE8ASeKqC/Znk9zE4458D JLGFaidN1A/wqyIEeciyetJNmFiLSEvoxtabvDoxvnmz1uOM5CXx77UjBPmvShsgeE9bfpK7Ui8M KtwkOBxiNUDpnR10bQqCvwWJRscRMVXX+9tBbq4SsjYFu0zVZVdxFY3NuNtTmzXa0Qzq+yhIQjt7 swY074VrfknvQ08OMF80PEZ1mcYJ49d2CPJInYsjxpjhR6ZGJ+mi5kSjsWKQ95zVbLopgwpHhhlB R+IyfKQ0V7qn0kcdizAnRi1sW9kpF2Jsje6/5ShwAeedHIbmwXc/b5baBj8+1ehlK2Ax8xC7hgIu XxHsf0WGgvnA+0efSSU0+wQLWzy3QYmfIjviWSTPHvi+9uV2UcStEqnn05rFIUKuE8zNuck4LMYD g+bVIIoIP0M5P3aE0uZf+TCNnytLAkYgRo9mHONPyTZXl79o192Cb2HMGt+6vBW4IDHUg+MAxEJ7 GetaPjO6RvcOewnIuoSWdksFlMPEBBaXzaugS94cKmjtFM+LCFwnzYnU7nC/eion9Dz7WmnqQPuv 6YNjzTuFU16SRbrm+rXNffSz8xKPakesukYnOTSgrUNxJ6ARF/GtjL0TdPuzNiJmoSpG0bLnZirk v5F2SsdAwN5eUlgfLnO56etOPIs0NUvv6GL3snz2XYLnnFhGtug1jkZ7RkOl+jVdI/5Jr9f+T317 ArrpoT6NIt545XMXokbkdBKA/QMExWEJcO1p3gCr2lY5sICTvbHVB7FovsQxQysu7cu0RozTlM+v 1V4FOAuZHTmeGo3ZG1Tpn/VoprK73AhwjgO0cd/5ngXfEQsFv/D+gNloW97Le5RY3v+K9JXHCFgz yD7D1dAGpyLji+LvhFTDrxKQXs+9hfABNSwk43IyLUmk1dJXYSwViKYqzigUiOVjfFp7OgsvNAwD EDwwqMrfWXMVZw+fOiKxv7C0mV7M5TNGxBZaLEq8x2Z6p2Gia38HQJQmLHXGxYlorIPlJWzzkJ+P vByWbnauPqdt6T8VQ9gh3eLWZyI9K9ADl0cs1ZMW/sngFxMEWF93YQvukUc/USZsoKgEmeD6Z23v H+Hm5Ij/FSzVshxsKqCo2pK8Zp6dn8BiOiTuPJ7SV7vNmgOVzLgeMbP/oJpNk367DYkloldNeyyS dibospPFaSIGRFlkHtvNqGBJP+5aMzU4KhrULeupDd0vSEFf8IvGqnzXMNch+cp1ud5X9M+hu1L/ VpwJ9Gi15N5halT+usKfEuOUpc6GMFGCMTm/BpEtxX3NwxfkHCloSyhgT8O7XpJcFqpftKyFu4Te TzT49Z/C3kWT6T4cqN1rCfy4vv/sfJ911FfnBGAHeQsc0+CCYBzhEyUu0CBMVAY+vN2Oq+IrE+4Z e2k4cGCOdrTzIEPoRCfX56ZTvdS7u3J50WcHIiNFvIJR5nbIvsyK2OYOUwfGi+SsJmzQzMpSOx9a YYx1sykoVm4WV1hk4NvrdySGeHg0s1X4uFBFFJ0GmsTtKYIOA56fRcdIjvFQVfpzlgqKlDA96ifG qZNIzBdk12yHmvyWt6BYKr9pi4etkSnPZiGUluLF2rcVXXWjucYzGzwZiS2DFxW+r1CZvw/sBGns lj0z4PrgfxMXozAN6pNV2+XiiWz8gbopWvPMJbBXrcS7oWP+jPVnRtfg/fv23ZgbVe086x67RRQV +ktHrMhhss4uccrOGitiMwZybqWqmoyRds5JZ8SfcZ97WpXF1n56xPl7afgd/pz9e8eO5/zOZFqc ZSu+VQjWt5fgZAk43tAXhNkoeLOT3lBETrFqYh0TA4pSYlY8NZ3Wo2zNROfPQbpB0wGoLatT4KJ1 0Sqsh4oaQ+uYP1hKnA7j0skk7/9KRrFItoIK2R+DRxMF8ihsnLhxjY9D+r7HNgo1vVXU16sVGVaJ qO8CezBFOsF//hwIOf14nzKD3CRyI9pUBQ+x/N2YHL41cSpSoue+oMOh5naznVKqoTz1rgcGaJkD XXvpEf0qLQwIws+PalB0343UntD9rYZrPInPyyxg3MWlSBw+f8HBxIkMNN57bEZlUulXlnk1pG2p 3SNf094188FyrU8QwLf2DUQbxS5LrtTNMfQTSGnENBJ3vmFlmaBgHjn5fXoFmsr6YV8UadVkg6WC rHmJNI/JtXl1QfiTaRI/zoTHp7m47M9OrOiXSaNkpSxCdMSMVCwdW0f3iPG/jMVQ5UmpanDVJRpa WvOfx3JcOggVb81Gl94yubidzu4SGLkyJPtncUPnTpGWN2fgRQgLOqoKfrKyUbFe3hSswHM+1kaJ XTTB+bekF+oOtdSDt+aNmOFGnUv1/VBBzN+8VC2dPzzwk+np4+HwrFj/12zT50yUm+mUWG5c6/Mj H7xYtI3SP6edszdppUuuweBMUGJhO/6XeKUUSX+m0upJ6UryaRbmxiU8BJIIGIOpK/LKHHopG6j0 9qTP14aJNxMIhYnFPi+F0SB24JX2sbOwENuclXjItdTVX+OvGKvrNR30eMr5J/XE/9IqGvOXtFX/ wun3lqc8kYCnXpQJDF8n0JIlDrHdyI7zFfcc5g65lUP0IDgoX/AZ4xR7K/oJLU+n2ymG/n27CNrb XU1s0y1DuOpn+kh3MHD1BfXF0eAq9/zqu6WU8zoMT/gZeBsFuxrqyHuuAnd/444goHIf2chPPKDC UCY2NfBaLjA3Lg/AvKIVJslIdgSj4KAQ4VWNFgVbjENuJSVgdSk/9DHWLb0wMY7O9x7pSjiMnpcr 6NAYUsKNfSt/Y1h9entIuLm7zP5MRZ0vDPLQKtqsXYipP7jzzEQkWxGdlrNugaHbWc6OGXRDNgpt wyyOXT6LEhoLPWBNt0Yz0n7LYhaqx7AgFQ84PEeti0oXFgk1QaPixq7ENjaE7SMLDYb1IZ2yfitB rz7IOYr+1OPDu7IXc+bZywi2WsMo7NRY1XdpsKyd1DQ3cmthHfa7WpBBfEZT07QVMtPAdZfPqnU3 9zqGGq7leiHAkqcqECaguQG0h0Vw4Js6KjUfi/NbX8ITcQtbq1z8h3AbDHE25wVl3bVQcNDKvaC5 UerkNh6TeLKbZkxX0XOTBCiGmoBY5ibBvnU7WuwYI2K/6BBBaYCBpufzaT0YUALtXx0B1kbcyiCS idQE7ls8IVuKBdUIPPODpNKjLmWlnSzyC9J41pTiDTtItVZXkpfoa3fssDG+/vick1ON2KnWsGtm t1XEtyJ2LtnE/+L+WKqQqCNplyKgiKbOAR4dtNIcQCIOAoYFq8yXa0Ev1awhyrpEyD88TmQs2Uem I3EC4eG3kxgGS3X2NIyNU/2su++oEVhVq4/tSKy5xvxnrU3hOnT4AFMrKg/WI21GQNK3rEKLuUms dFX2ckdIkC6pKy0C4DV/FcemqQpOuCbhy7FnTrQE52PmCK36gjijTSn7y2YUfitAf7Jh389yW2fy l9rFF+7WJ7mNbZzFAWfW8b2HLk5yQWUJYBMrNXNy5Zy6+sh83q1XG3Miz54Y9X8kRR8cGZFWIFsI QOOb0Nq/cxFIZV5Vvtd2rKWpOrIEGC2Ks3LPxo/O6PT27u/ufEyOzNlxeA8fbusFhUPd+5MtPrrG fBakW1KuvW665OIydN++YCnV3c44o11SUcRdQoIG0Ara9gG6+KdcunfNUFnef368kNEkfi+ha2pE nfqFRuOmlPFXNqAH0ZD/QA8sWQH6uS9CQcq+RNZOU+sneuCGEw3YBRghrGK5oISDc+ZYA/EpBIax WhvGyMMxl5ADWjDSC/fFCsA4oSHuSHh6uFSGuN155INyisMn9AYfHCQHMvtyWTW/jaxrz3M/wZNU 0fFLxzZzscsg0EE1CWv4GP0ffsg0NA46pdf+WzNhBiqefnKMrx46gOSZ3T761iUyVt539Eg9ohiT TZi4LkjdePVzeQmkD2OsKGXAUlR/kt83Vj5CXknPe/eYg9WNYEpj6XqCyRv5z8SPb8uQoGY+ACMJ hwocmUnUgeNOK/oWWMXrVTH72l6y0LExNXYbKpRplrC8kmpJlcvWcyRQfSMqKNeUfqfK9c0eJtGD s7tVR1u9w5kzBEdoP4IZEGrYcdUQ1u9CUVXbKAzrk3Vym86KfgdDHiKBcxydGJPe63GVcluQD5gY Gh30n0p0UUhMZoTzUYOMSE0GaOsHH8eopODgBn/eeM1Pu7htFJyBNIufYlPffgA70xS9kWtePMv7 dRPz1F5/chadPI0hOr1cQfdq1LUT7bdDAJQc4i4PeRDQwyGi64WPX58hp/cGt9SdiyANw/RpeyJ5 Ji+DY1GxtzUK+xh5o1y1KlZXUdt7UPxI84sftTnoW2LY+2OGO9u32VGGP3VuFdAVnXOKBPKFCu0f vhHzw+4n6Vx0fGoQ6Znc6XjnRtfRq4i3iHWsWAjlpWvcVnus8OD1jvrNEK6xbqVsYl057aX/Mgug JOYiscLkOUtLF24TWQjub+kANAVdzkx6WAWNv51cZpslURa1m7QiiCvX/JpTgIk183oY5K4UUuAW qNjguiufTwilNtUZiwomhD5m5b91Q9JLGem7rlSG+u9RbHVVuF8jti22/oSLmXn8HIxGc98iektD 6fr/8W7X+ioGOE6PIeUyArLB8kUH6kYY1Hf1xiJ7kJpiC6hC4eu6GD5Rq+xNGWKkam6QO+hEuWop FEdntW/MJDWJJKWhzKi/MvLXpnNaflJem9BHEfxRGM5BSGfXj2sxgHVXq+40D0Gj1piPE2liyRxM GL7I8X1XIm7GGLfD2tfbROADQBsCdVFOddejuLhZA1YWoq9qFfA970K1H8L5SnGm8QMyZaexv8l6 8nb9eEOvGlk1thO+/eBlz+M7tgFz+gTWsUWeJv8f5bKMrqP4i9GKdN1IVVCA8N7fqBT3gKtz6C5l cpojaM1SQP/aDkrl0JpkVQ1Z+4jxjXyS2h+1XDLdqPRZwdsXamDpsJEOrzttRP4VJdnBc7kp70fW TtzpCrWl3ddaTHU+t0GepAyN+Xo9WZT/XXUw82yytx3ADgW6WJcyh86Pg9MyCfWnRxTWG9YdFCur RbinodQ3col9HFHQggdr6QYVVOLzaVh4VakaUL4tUfv6T+rCrcYNglA2AON6khWfSSfo9+DnaQq3 5B1swYTfwCAwGpRcfAhKG+kE5PtqH0EaPygJ1+6KyE0vBlwOGjrRpbEGojF9CXP6uK5LCV65HyZj yaePfReHokId4Oaby9oa1g56QpCB3SOrbb1Xlc6Y1EtppSgqezgPJfloM/GJNNm0315QNq+bL49A gz6erWvYS7RYte1DzNEkxzBvSnqGS1LjqRfMORMbt72HAfjL0KU6l2/slg/61/s3DTDAmjPCQlrX aZhz2XCnvbpDHQIbJ/+fQ1c30LJjKOPNYCx91KIRNVIPosDpVwvTB981WTCFgmaNV0TtpM0keGRe KfHcQ76LnYw1lpL9RE47ZYWDct2dxqX3Vc4/DOmfYHDfi+nkaDxP4GJ12/dcE1bLtW6LSUx68Z0E xBKqVUqaD9JLnu0TPVwbOCB0L+hWn6zwcxW81eHdsFUVlQNKof1UCgh7ZMFjJMjXD2joVCi8Gvac 3KBGHyoBOF818pjwK1eP6Ajdb6GTQ43rl/+/73EYwa+dlkR3r3RVOvbOtEmNbkW3rOCaNLeVnvwQ EwRAe/xpmP8+5MHJltl2WtqIB6Iub+8CAy5YJ3iOIsgPz3FpKrZhVOeZwCoAOUGg6kp28JDa9vaU S+C/8HPrNUPsBcF6Dj9lB6znK64t9PwNh4xNSwbBPsrxuCnOguIDBFBJ3zjtFv9UxH5jIe7FdiWm cU+x8FWa+brnZsSoEJWTLaneS2Lc4r0XhV8l9ZlS579ECGj4e8XwQdq0e50dCcsJMSOYf9czk216 i/yNyGbx20MwS2rsTZC981/Mnej2JyIfBWid0yblnzLlE0MTrik/4d4sf3O80ZGVFzib11u2Lnln T97CPtgk8Dx/SFa9O/lE662LrgVzEQWk3PUzMVWi3x5fQdQ0mSABXTRALQ6Ohh8tnV8YOV4aFdbb Wd3AG6eBwhfl+U06L9av7wdCSnyEMBNfYuAJklr0kByXtJpOWMtuFTCLrcSkBRaWjWQ8R//AZR+o vYdXzIGp7zi0a4ehVQOfVtYvl+6OkwlK8K9BIMf7/eLEk72XYM5bCfbqIr1AlnayFgrGpLXKxVsN d8bqdWKRgeJfjBTgJJ7L7vtU2yQzNs1yGcXH0gFKduq0WDN8eXclxBlM+6l/ZimhwLBq0BykD0r1 cFpW3pP2TQ5qlVtZFgn7GBg1F43gx8H08rVtqtAX74ZLW4azAAsip2b8OA+aCu+9jtr0qz9QLjYd RSQJCz+tgxQMKDSgX3F73fAG+Pn65+Mk9UGyzD7jLl/etsrvdcN+DGH3R2Gn7ESDAk7sc1rkPYsB ejCchD1a1/lodb2D1FRhkZHoBUzwaiZgXgyaEFd0fXa2B8jjvLs6fZybYF3+UME4dnmdWEYwFtOU Y60M/ORY8pvf+2t8DE7fx4LZUPTaa0EVtTK5RNOVDRojfRFJ0nvSPRlg0v4xxQNMIygrEo3vko7V rrZStmzDHrd27AqQJfVs71298SQKshytydNj3YHO9v2eVdB/Dxv8c3xKkdTjCM6hMG4JN8CWsVI6 sp2USxa7BpfqmBcJHGy5DxoLu7iGIf8U6gObxp4jfjilAnheeau6NqTHrgGRKOZIVgZSsBJtdZhI KxvpupdwRCVJTSCwl/LF/XquiCsOIE5L/GpE0QH/LvEUGlQ0FjX2GsYkCLcVhW/Mtz79N9eFGU1I ZpcAwhANI2PcS6+E4sqnHXS4Gg1vznmuBU3ELkB/Y8fcCe35cu/fWv0oJrPndgC1CRA0h4z0MJui Etew+hbWk45jI+7k5Uw/s7S0cmumvFgxOoPL2a9dyUjdJJ9r8x85PcZvtCBMOGp7REASFAtPOldp 6AloH9BWBIfmRyr3oksH/Sp8gLakyAfOjwXeTAYoFq79MpJwUVWeSj4t7TgsE1svuPfPHsc/i2nu 0pZqu2GPzUSKJ4i3GdCrlhnRf6KTEbpSYUBK0/s5SokF+ggSys86QjW34DHQaZTKzoikc3nZly/y c774IB0dja63xKMvEeuuqFSwUaYJbXBR0eqRWoq1aTyx+bs8zwCc4tt6Y14SphM6/eIMp7PjXrjz vx1Z9vxZhDx4ea/ruR1nshgN2OiWS0QikNuHDvlrCq2MHE/BGZH7ZbGItTNXOXyziFHzb8y93RzZ TjGxqRaiVRbYg5fp33GMVQXDJTfZpL4DgI+T2BGw/UV0RcrXKyyQLNmJRXHHYcDfSR+6Sd2++0v6 EpHGE7NU1fy7w75MeTtE8pEFQTMU8wGzA8A3OlhnADWK5sUhTa4yAA+jNurukP84lJVV2EoeEFR9 nowj+TKmM50wSoF8qx6oP75H4nhiN0poqD0rn8OG2uTI1PYTgvz8o3HtSLtxfcK6/IvUPs1x+sQk bJQEH8TRtjO/XKnDdxh6BsBXMfVvJFzUjuF2/hDhRwXTxrsPgHZ4kpAsw1OtE37Gakyf5M604xRw VT0kaOx+a4EB7+AxCmmK+Tv9bO0tGU4LPQUqPTxN22CtnJf4qfgval86hb6ydrjI22v4U5ysL6Br 2SZEIP6Vfo6XG9BguuKfxZCAoLek8Qh8TRvwdOSg/FbBe/lHyP49OSaF1giLZmOKt7G/BH9P0JIT zbxUXjCkf6HFRJOB+K5lAOVY3HqppZuCg0SqYypHuKuwA6G1UI1zrjNuV6Eo5gVqF7BbURPb6fk/ 1iVvtjqMX+V8N0HbV2aFIDvYOxg3vV2X4t8FTDls3i9EnI7Z9TlPbeSgSwnKtLQbfygNNbA8XiMI 08IAKeoIlZCbb4nSzJWHiS3BcC2VPnWHWnN/KRYU2Eib8AGsPeATNaE2FCXOTFi/8ipsaDnEwXLy cLsycYyLRwzAO29UuYrY2fn7KPBgi2uB2Gxra7GJ0phsDcf3sCRgzh0hiPao60Xzw/vCJcY/AO3u mTFuWQVabG2Lizp+1VMFdfHVR5Jx4LQxR06Vibce3o4PTK9Uq7iqmAVoTulI97M9ow0eA/mrX35n VB6eRLiNTKupkXH5zIssm7JnI2AzdCF4xUn/oQ1/CGhJFnraaxFxrH6XpRffpSYeED+LjlMgiige JXamYEUoG2DMkLK8bB+8QdKX9ub3ERVhTPc2CvvON5AFyPXUHdWzh1rKXXzEGU+pOQyPpJ9OEhzR aZHSWtRwfXhXt7SPRt6pbhOZqASglrhOGxT09GxV4Qbnl3nz3VWuiLRcnhgibIIbnnbBjalxpiVI +JoVFOCyjnKPwC2YYiexakLAm8nCyR6UK3M2btJDnWLJiEcPRZjK1xsZAUe21ctYTLqmp1gt1KGQ HjuZRvCeK7ZzXM/VXf4ehy5WlaPFY+mCoY9j9BVUs0HS/lMi3FfL1xU08k4vtaJBe9RwFPQmdUk1 /ioi0LnFcNtYrmpCzCxgRkXJd98k3G/uobR8iUAKByLNLvLqAH9oEjKiBu2cJHTR9k50r4/W17BT 41mIpcRRZDAe5qYfrBdEccdcHybw9tAU0a44lKpGg1pPPAC+2qIexTxDSESGdfNkBNOY609PUHJb VP9pK54mNNQUo+Y5lS5xGMlzFJoYwk2RB9PMXwjJEAPv9iifKtJhPtTEhhwTfHZWEkv8ZN+hKtZ9 IUF6V3YBlIeoO/kfb9rt6bxG5XyAbwuKI6vPFJP1kXwAYy0zveDkmdM2dCvrIwm+caAfCZ2hjEtU 2WH6npS/ZspVFDwEzwaJmIGKaKi0scsvWKr2y1l+cIE7ZJEwVJPEAviXaPN/SBQ01V//5KNq92B+ c14hXpvHgvtTmt7LNUvH1DnDobP/EASHoT9drbNcUdAlIewXx7o4SCPFoKHdiHWMUQlFsPVoTcH3 BxGQQKKI+rADhL5/IxpQizrJMHd+B0yWhoa5lflwkvTKsrrdADzxpWoBueNJ9xqVJN3+kWqDdHQv DHEd+GdKyiNeuzmmidtzJtfL3DrwU7A59f9fkQIvBr0Y9PyalJgPJ/LtZgg0Tb4/4qmjOnZ0YFlH V2XEK4o7z6cT4PzwfeZ2JYusl3ZwwvOHVCnhAp5QcYZm9KDcEVOAYcpra+lIrgfoUNksxkI8yDmY 4uapk3xvqil+rnmlP3/TVxGUPHBdXPJBQlqLC65Yr+8Inu/gmbbbmfR17Lqh/5Slzwy64MO2dBwd W6QSrpJPsu3LtgQFlJEuOJLmRJ7u9sYCu3uDjxpFj7KHiBg/q+C91wasYmQ9uwlhohY0GgHzDkbo c448BmgEf5J+mCfJYVIiFP9l1MUXDgtxGZVUq/ltVNIia2oTXuj8B3zrjgc60uEq/O84O0Uk6NdH pVi82UAn7TLgSUR6MK2azQsECj9lh3BEUWk3t5SRkbJPIP0mLGtQrn35/PmmHNJVUelW7lp4YXwJ I3nNEQ/8CEWEUYUWTYUHuAeY3nytg3V8cIFM6g6L/rq1cNFOBWpxvTD7qsFQwpXIOtnsyed2Howx agcjNqGE+quDWHQlah31KAX7svSulrFZ9nPPLFK49LoYYx0DLCot4utCV9QCShkyl7CJ/97xh1EJ bhO3izahGQlmn5T3XThfPR2Qu+ixLQPjS0vXhSWB8BAqV6cMZ/18MDE2/XCWpdr0Qoc3TojvOdz5 jPY6C+YP83DzjerrieTi8EERokMLjRqJPdlJADwn3vIWzi1WUfdZvjOO8b5WE5t/Jzifm5vx2+/X XB7fKou7qSmJAgGjcFBCwVB09JvOR+JwPCHfMnzhcw0WoBgh0PlBAKKjoWDKEc2PrdO9cHrvQAE6 BIIwCA0eUiqc6dHIyA1o2hoThd/OQ9tFWBgbdBkvchpMUSgTuHFW9/zQlKnjh7dzK06lHj3WepUu 3UAZHIUBDi0OLRiZFIluh1svw16iGLDhX0sOVBuat7SMsJn3F1u1AHv0TINRc6b0cvBziLrdOe+P CU51GMX96vGGm4Qf5mUVQ/+oP7fayaYx2Le8xF1+7FsYrzTvBazBQ+TkEe5XUrDJQV6cMMCmJD85 HOY2PaaQ9HN3U879o09Y4vb2sScz5VAVamg/RprjW5nML6CQSGIpxKBBt1TYTwcW4tsmIu37NDs/ 6tUMA+rqo5De/YNg0warSVVZWcIhO42hwpI01RM+0ki07fWKp6p/wasicLfS5MnPM9TGu6VgBkW1 Qgr9kl7MKOVuQUIWWCjqevAMID9y0BKMAEkyrZ/t4d4d/CwWLvUtV4bv+73EdhaPdx4sNaydCV8a oe1pBskAh5nebaAzFPulRGn1u3OEdpiAQMNgkUgix2/yCaSMHclfJMZg2YSGhxmONuYtnedZk8fM kq1jH2o5WvQgF5nEIpEI8mXab32vX7uyCcm8xUk3Aier/pjgb1UQQ3PLuXKvwSWq6inBOTBt4mcX /ahAf4SvXxBkBT8n6cOiFMerJoK4HbZZSBXQ0dwHIYIYwQEgSaJBZUdtCoYY2kzRnz1FSv7u1DFK 637/+nuwkRBuAUEl4Yj/w3jmSFP10RHDccBYphFyyxX0DgNmQuEwzrXx4INms6ciyUt6kcVPW/CT v5OQKuZHNeOZ6kVtB74H6gwFYdLfC604LA3Dc/DxvxAi58ZXrBj4hEW/Ef6YvOfOmKvpYllqszhd ZAPXbgDjrUZrS/GohuFo8rE96D90Chx+y48rbhZoiKVTU1wdTmO+7un0kszbYl1WVFdY6HfDwzLl vE1M6hVttVX1GlCZW3mYVCiherHIvP9RVYqT0wF1NWOfGDKyRrsqKe1Kk0U09dobf42b3yEndlyy z3OJcBA0R/Cs+ryrsY7FjrptrmR9d3E9JuwHJIl75dox5AOqwcj6VJy0BNMgOAIG2xQj5jX/ohdy 3bl3PAwrfrLYeEB4SkpIIaXSwoZmbV1WMdL5Is7N6NHRxn9NurBiC0t8dn9fQ2XynhLH9j7y0XEr vHMlvbMAcLx3PDatx+7kWwVjk+xIu+ay4rvuM5ENFR/pbpkSSinOHOpCe04jUOjhx5OmnVwmVmZw SBFmvH0YfgMBOvjuXqm6w7b9MHX7l4fwOcb2lxMPev85i5i9BNx0jtjY83WXKzcRbzOuTlhW/d7y ia4lKe1OEfWSrwAoyuuauu4tIccu+/arEER2btkwe9LmevRhWTCa28yocrCNkcZLWc70Qbb//DQb I55qARmcyn/nPdXxN/QDsf8MzW7983O1XGEYKnK3hR0G2pT3xveLUejiJxOTnUnyTRgH2t6DMqNs lyDZ60ZXPYE/brqtXqRQz+ceo95pcKUyJ4QirZrd2CVMHm79nMoKNntw89KsaRD1y2jkqJX4zKkL zU7Kl1LdwhhEZTMNejdGPIE0xXaddf1mk6Nzo4oy+BpsRn97Tac4W898mjSp7EhYGYCv3or5e6vm n5xy2lQJt3n9l/9I1ITUyufJOV+RJm5/VpIZSU6WLfTvneay/Dzl4+8wudYkvv85YVDX2zMBWU2h teP2nq8NXblppIOWyAbaRv/MGOioueaTHeGxa8PZPfjpbvacn1afrcWjh2FcZ9tj76I74My0wNaJ CAZAI3jQLm/g4QOQBgSYv44z+BNJKmH57u55//oDmHiURMt+ULFQot8wO0wLPOkxfAHo/U/g0NlQ 8HzD6yOxF2jqKjNvxLEQizcy9Fqr5ZMmy4Q2eFAD7zzcx3XFR468XQ+pqggTjZxT+mSBhRqEv7pi /E/Jj+Rk94tdnNbHii5gE1Gviutk7RHxwelxk4MjIpZQU9PbZCV6V3A5Sx4hdC6I/k2sqihLhaUQ Sahf0F1OLEs8UN9t70a89pDkJ2qotfZCdp1A1r0dLSpv22/i2rg1n5vH5wIvI56D+9+5k/M7ya/t AGiT6eXG6Mwv2lwpFVx+fDWfmZOj061JeUWcdiMuVQ5uGWyB3f8XAJKmSsD87YBENiWYXSxASTkh qg4igmFlO8hDrFTs3qioXTHwBoYGe6dmgYDPfLbZHw+dQni0vHgaIbbC2ACASqcBice4Uq58ZzSK Q0X/lNKf1zLh0vLASE446wjdhRc4hN1Xroip9TPpXtrXYOkrEjrmLYme6muh1PnN10mmVNK67b6l s39TNesKaWk5Av2RAejrXJRPKFfqcsUJXEFD6VeyX3uv1n2R/bSGNzONkyKtE6kLRjdzjpvEk3Fe HuDamTVXX28Rho3r8Jn2p4Ez7WwDi0qnHqZXCXZcAobjaflMkAAaKGXT7GuiaLHHtx1BgO8YBQDz 6ATfc+VzZl+7JWBFq7Sy2HNsfZQFZJ3ZL5Wa+Kf4ia7cq9Fbl26E71y5RVX9IFbuf2tMyFs+7kUZ f9+oUj56fGD6E+EproNsoVVwAyQVavzIJrrTvwPSRjZmSglcNav4B/YxNm6YrXGRlob1M7CdvIWC X8gw015iLHbH1sCIwG58kpEbytvhpNuJNUstjyvwSR6f9krc++KXitDiSaKv0VpU9SIeeWdlDvBf KMu/43pYghxwNIvgRyGIn1Zf6nnPBB72cRmgraJkMzVHDKsmQIFXN8pyiu3X7ppBdYb8FVXp3g1r CKxpC82YL5oXAJM9xMYM6swkpxuyFeFuPSsE05gX7Bo/0ycNzvv7FmyUWle3FrQc1S3YDmkyT92a S4APEshUq/aDxkJr1J6hPzNrNAVOcZ5FN0C3//fpzjSi9eiKi9xRi4lIQym6+/3trHp71kqEkgTD aiWsrm04nD6xW3m8bJfeG4I/5fX3RUfjtJUyJzsjWiuS/3b+rK1rt1igqpiX+57tkHheW524gZIH YZnHOQMdEsvtGwbq7v7EkWuRMb84eItXvcibTJMTX7nHJxSjZ7xuL4Zw5Cl0lPmy5E7immA/3pxu teLkWhPtifpnCrmM7eRCSW3I34RFXmVfgHB3jd4Tb4umIzXy1yI4rlkVGPwx8UxiY/tpB/2GjTJS BLUh1q+joYP6EMRrX6g6tPImFEWUnnmo21MJKnxcs5mOQGJECN8Drl0WtYq2LGLzGJvP29iuMo8z x8rAwyCtMKLwimc1yJnHEcHUiSICv3Kuy3txNC6dOoItZRvZX37/CAPmOoy0GUHiVl13iFHWKYot FnrjBnmxCz7ZPpvVqeBuOeTyhtkOI6hoA/72Q7jCHS/IHaYkPV7bbWD6hjirEiF6AnYsPjtzL+pJ BRAJdgPKsSKznKZSzBBT/b7xN5Kqa1LeK4vf1/c7q8OxTIckvKdNhaMiiuBAh3TYoAYLzx6/r5Wa 9osOfg4fR77S4abszsLrEaJ63cASu6VrtrHqcYsxgIG9XEEGL9qKYjwmfWSDiVswIwjvj7UgfycG FWFNxUD1ldOgtKEwV7VwtEW5VN9fLAdSvKqhTkVOMCL1WjUdguYPvsAyAhpT2agY+bFhkmupUcGf 1D/jraWDjvWpRU4ZwlhfCKFTtpBNcI3NStOzHr9UUPHYmpbSGJg6LyJN5YKTKU/tPIwO/Z3Mfy7v YL4vWgrCVH7+g/cQwqK4EdBTRtHQFQT3VhQQ0w0lSFpFwrsoNtgkMcFqO23ZycLpE9nRzmGMUKCT JKudVkI7vbf8N3xA6d8T9qFfo1F7qVFYuFId7149bRkXSmZpVWgg6m/2gSC9n1mbv509REm1Wyez I33Tlu1cC3NG3NyW1KgCsS0KgMXCYSkTw7qkwlkAAQhquezeSdZOCkZCUEXhrIbS5zmfvR9m4ViJ T5TSAvD5/+0u2r5vgkdPglHJJP0KmHeaPf48Ns3GxK634B+5gHzw23XfFq86UQL97Cf6UbytrHqa jcntj62OOpJ66mQNVQjSNaU6AYI8s+Onqrsnwy7YiDNBiTWj5ZzTk+HuVe+Jm+hrQ5xvrj3K2O2v MZda8AMscro+S15BvJDo+6FBn88nI7FxQL4dNJ6f1rMADSukBjQWez4NXZkGzhBF+GeAcDqZOOKN /V6LdeU9rbLAhss0XiBWZsZ3CsIqRF33KJQxly3PkjKvgH8UbxltrDU9eMan541n2n3BE89bUp7Q QGKBrvqj4ZZw7hctV9aTxWTPI1C337Afh6pN0pWbCWeRalR1yB1E3jsfAxks8uakModRjaa4ulyg OhDYMbpyGJRudIsyblMQrHmC10nK8kvlYB2blD6hhePpi8hcAUyW3j3bF0KdBm2DL5KjNPhRn/+L ARjFJjLBJ5X8gz/9io325EcvNGMMplvoTZ4zab7KEwZrBkZbgUMJAukClw4taBKorot6k6ibbbp2 WaxNcj3DZ/bbMNlxSg/VTeNB3L4j64MOwfdKsE0KMS2+WAKuYQFusR4NgFBn1VbpCFcb8o48IsA3 Hv6FlpE9+eFMoouCJXfXjDpVYqjcqAcmeM/bEENlc9MFfij1qZEcboRFTueuHBYbLqa2KJqycuNl A8tM7t6tsH4g4lsB0ZOvFGOyLun0tZinb/c6PbRaf/yuesnOaEftZeNNLfPepSjlFVgeF8BpVDAA 45mxRbpu7iD4uVmHm2pkw/JMKgxNB4PoAQASytIgQG/tcC83iRy7oypk8TSz0xz0EZynin2rCBsi 46fXnBV46/L9r/jjYWMNl7sBns6OYAluCJLs6Wi6gWPFBJFhDUck21wa4vths0RBpdDGO0+sLQdH /T3howbaZGVPa12JUzV/fkAPyNSg0w1sgp2awS9ckGo15RJOl1fKEK4mD82gS8ZYvF7xpiSxmca9 2M+mJaFh4lTmtA9t/nT1MvpBrBd1KwCPLnU9ggfBvia94o06wqF2Z9nXVfkGCTAbYPzGTzILxYrb 2M3F39KWGNa/lRrDYVyHnZQ/INLAIzTQjkad7C36AiMAAMUK/xxZYw81Ibou4veiUn2YxLJWGhQh dakihrVFXlp87pP861lYS0y/IxROzXr62//da6QZWP7u0G0oF+tkdPipio5nA9mrcitmmwknV/RL rS87qvzbHUZ9OCQrNYBoPN8p6aibclpMtnDPExqCBp2MLO5br7AajiNqLqINFRDcZ02tQP3fojYI W5EeXKs6dFNedlUQzyOoJAfbMIkQNQpUm33EDr+wjl1rav2TCx91zCRpx/JbZvc5VcTW//OZPmX6 piDZRC57NNhoqcWmfVbr4XNfNnfHtnbGHEx5qyAXfucvnbDKAQ8ssG81ZHkDt8AGGjVgMujPQqfw th+jz4py9DGsXnOMhxNb9icOItlBp1wTWv/mk0WDkmIEMUcKPyI3BHa8ayzEsnORl4b2u2ZvwWP6 TRNcngkpj6TUSwCkVeyKPgSR24WA2YzppvFcXy8kuW1FENEykkjXyvlyw+nnywo+u1xREyUX+7Ma HgSy3HJwrksMfE57bwPsL+3UFMqOvRTBBDikyhQ6MMsolqRnaPQOhozj2W5S2MASxJwBHrGS6jmr dk7/UElknwACNh2/6h20prwLLWKb6Wu32baDIqQVbxv61fe4/p+Sdp8C88fKnaeFi/ja5feLnhbw COxbUvlAEQ4i6JYO5fJ6P1TlEgih0UGMqAnO6o4xl//lepjU6bPZe9s2PqwjQZ9/n/sg/z3o7i7n ltRTAn6CAKj0YL0e8dEUdHw3XXGx5VkUFHQ8yKwuwRPu+w7PRJGP82CIZJVR//j9WC6UdbQmiyc5 c75AQ7rhdCAr60V0FfHMQ+UT7L9MKK1AkVltmdVLU9xE5k4bBHcp1zFgiJv1jeQH1PkorOPNrKCS iePyqpnyToOdcysm1Djxq/N34DygnGx6nY49OdstZP0mtM/SIpUJPj6smsP5M84OA5XNNcwyLUYX xwLJl23vzWIPrk/TuxMm3b31gCQzibBcYwfjctzafzPtzP36LNrvM40JvctGFFtAHhEP2DwYAglh aVCofZUSV7bhXWQGipgXfbwVr+rKiq4QI9yOn9E26kMxXANSdjYlBv93nDSuhTTzSuLja3ispCma AU92cq1r8qhXvIXb/KDt9yNjyIBT1IAaS4lmRXUqyAR+PnqiLHo4uQvfV5Itc/Z88YxzbLKkr9fW x5PYgPBRbz5jhFVpeqyCUy864c/B9xixQ7jI5e8w7fp6XIE21VH+JoXeXMeF5cIwCbDsQ6ivAya+ 3+0NNca81+YipJY2F/7/zOqgO27S0eAu0NhuSagdXiskmK9ExrMCU8QtXcuBvvTLK9OdwJtQQYow E0Jxfofj5wWGrg3/gJqGdlPUVYjv+FwAucjYng4gUVGBW80IpURrk8Rb1LbSIqvdiA+f3suOb7Hw R+lhS9S+oHwMptzQcLHlEVljAn/Y2qPfyF+bSd1Zp/3D5/5VtxEalEJuboLPg9yUmtI7jMVTv3WJ RekvsAusHxSZHyEot2nxOEIDpb+dNTAuUTbo1B2fD2ttgOrkKZdFGri5vut9hgsKjHZEvt68Av6P rFmZ36Tf1ggu6hxeK2edgkoYzBTxDBISukzYzrMvpFGOwJc9ur4tW1PciNkmUlzHuzJ+fPds5OsJ s/2FhGKHS+Q60ay2k6xDCwPHAAw+v1w1SjYPcSoKI37X7vGR6nlGMKR74Tt9VFnOpbVK5rUtPbvS yezd2OXiUi3BqZktYKQjB3lIlgq5Ktwha7kBiksvbXMmoMgKoNaQiwHWkM75LjYB5TDi1/pBiqn8 VG+3TeEoo5EWy6B+gldc38D8mcPqxQoXJ8omSGI40DrSsZXYJ3SJIZVlQ4rb+AMZ/IbmKBe/8w4n jXN4oeW+8vC1YPNst2EwRWC9u2HuaZneHuyyn55vvE7Y1LSJFob+yoYMsnV13YGjmQgiBewe6OSR L1CsWlFJlBF91Mp/s2clK0XtiJVwvCwfRaczprs55VfsAmSoqRlQdbjXIfipMFgRCnTZzrW6Je4/ G9Rnyyyucqe1iOC6bPk8+eMdnSaVAdWQpGZiDOEWS+8HC/fgvQN+TMJODHAp909pLJEH8nWW+t97 X42r3TcfrReJrRSjaJafPkEjp6OS8ox4hEfS3kkjhTqN8dyvWIFcHUt7tNQwntbh1OkKKLEMibAN xuRyIfmP0JJIG8P6B5TtBMHZkvOlwcRYgLxYbOwQjuxhWVmGFxpCuxIrAgsq6mFM6Zx7fNS10VZF 9251rdKLjl0wc4+XuEFCpP7p7QawxGJ6q4Q2XoWStrtGRM1/zGM3HsOfGe7VGnvK2QT8pa0CQEEP 27lHxTMoSBb0uLeYmEvUXYsdkPuxc0TpGL430da+ov0FkAsAjptzaW9R4XG4KNQTUcnxOjE8ulT9 Cp9CFB0HtQDsWUWA5tvbuV1Seqxd2FbkcevOwMJAJHjs3SSr5EBj43G4Mp070OPPPnchlRLGrLdg lQhjqbfZZSr4FbMtNd1gII4G259nPDQoYNk3UAKx8BbNVMUZ+jsj/HRs9tqedhExK0qeDCw45VVE r1z/Y/UtGN0SZN9bWp5kiWYHBlaizXNy0U39sHS3tBIW95feDQ+dkLzJN7+FP8ZVnDiNwrFYYVCF 4UQa+3rInO1V/Upi257b51BkIckIzrP4hWxngKRSXci/xx0nF0NeDaXZftiEd9DkZD1cQgmWrzGy 1aeXZ8EDL1UU6UueDi7AfkEK2d8h/SEArjo2xxVKOXtdu1GKkRbWuPkHV/tdHzZAUP0frQu327tF qKjJQUYOYBHjmbfGEXypgYz/WSQNdza02dGbLsFkZtDIWGmF2wPqYnBdlXPk49FSqQDvp6GI5CTN MIveNVas3Gv3d4yaeKbYYMu4J0YCMEJKLVlFV9P51Va0FL4l5ZSPodS1hpLHJafOHKAWj99zcQQ4 6tdg8l/m2Rwe84IF7ZkYy5MPCY9k9c+WGGpRzC+nz+EtsOHkH6f3t5jGt+agVOxiNxhl6MOlpp3M esps88dtrXa+dGn7qr3MouSHxrRGwCyts7g/wZdl/Z/73PeEN8ZmBLIU2nLe/xx8hwAxAuYm3+lM HQLiB0l4waXFOkbQFbjjHR3HKPcwzOa+40GsS2Ey1p4ABEpIHRN5xI2+p4p/bYY24KBTv9cnjwI3 QwgDIhUwVba+ulD0bAjyhZctkUP5r4zJ+NzPxouT7q6xlEkTxXbP/v2Yv19zuM32O/M7IDZsCUh7 BtPP04XQ1JHpPLVX9pu7Zw1k6TwNyF9JqUuhuaB6PnPuXvB5Rf1DMMRi/PtCFMcrn6iC3RPShUvM oFkRT/i2rTF8tvxax3eTPbnDPgUgBKfZisWZb/cla4KUt3OPbTdstZZ1yLGxuYJXKGbOC6X5Tygd dFyVrDPjsA9eWqKoY2Pr1BhBJty9T/4UJLIrlPCA0JcXt3Fn00nMGfS9usxbgygOnt3El9UAsTha jtoXdEdPeowp1xcoDnfg+lRgQRs1QxlJpouRAlwYM7CgbVjKUHT722kAfG4N+iOuQoGMhLT9uIdS rFsmo1TGX63LMEUp/5NNC3LkIifIv/LkIUwxRvfitPC5IYnCntwSgpmMVEOu8+hYGbMTJWakmsCK aDQ6LqrldLhVAHnTMaksNpx4ukrX1duW1xVmAXi3Zz1ScnASzxRNLok7cp1omOdKg8Rs9LfxEoYF bPXOhn94M43Mmair4BVVJag1MGM4FG0U37yI/MU6h2Nc0fddvjsjD2slZhRlfTd29NsQbQo+BWBV QHr2f2Qzi9l9KQefrgre7lECkfBOXJcFg8VLrdlJnIBI4fRRunE9caFMgJz7u9y8kCXpt9O6Wjy3 RVlCO1FvmcWGk8gfnbV3ENsPlj/XwPLzl1HZsTda/TrKGkzOIpJH37a/5HKQvekk28QfeW9XvGCf xJfNfXg227y1LcjEVWfJr0hw62iMzFFO+Q8i51bbJyzP6qG9DA+MVkIA+jGtuSkaHHfAuXubyTBg sLBK/R/MdcD137CHFyeVJ6ZVprVETMKIa1SMCa+3yHGFouzxuCCPEEkiNvAFeeio1cc6ZWIfTZne pQvXRquJXtUC0Y9E2M9h/u9ItWGgacYKRk8NLCwpufVMGC0UPiJuF8iS1llTz+aFDEwdFH4jiRpo jKAPM8l/KJuMZF0PcNLIT5b/FT+3cq5QAa/F+uEJ+8vN9NXVwB34GQo4LQHlxuuTlC5VgwK2xKVK LI8YtZfe23IsRvwAH3tCuf1rg8S5wBpEnB1/6XfWOSK/GQ/zyItcTTb0EflKghrMZZP3b6+dd9tM 4Nq+x8tLEk12Xo5npLMkenby0y3wRgMb3SPih6E5DT3U0neqOPD94v8TkTd9L8q+pH48MYdjw7HQ ndWqqkIyUzrI2IZtCI9i0AWRLqeXM956WfkI3QMgFsslS6q6IGHJBwlgmmuIacTtkKVTU5dnBnpE D1QJlikxZ3nd7CdkWfY42jPVXK5goAW97HiQkridnOEha+AEZ0Z9FmPEzshiC8ksz5uFuou3bDHe CzkSH2+2jfVYLtZjBI6jJkrWtYOT4OvUyy5KfzKMHpzzq17YAJRTOjuSruHVverQ1vRm9b07RhKO SKsPxGAe53QT8EzIstQ+Pgn4MQdJQWZ/fTOzcCvGZyjJLrMxYlmVYyiSanoLzEuiq0H7PhAmzS6I pCQVpufvOxKYYnWcnTGqspsvFgkq4ckpdZaY7hxz88GWPSFuLkk0H7VkjIE94B9RndNybAHNCHDW v+wMF3rV89Jr9IUZJA3xNppn94InwLSq8+LZpLpRQ+4WX9xG9S/K/4f6r13S7TbyoFLqNzC1aCFO M7dpL/qnGArTIODX6SLGoPOKljlYNLWnmdGGaE7ekRAI47gbyATjLCMS5hfzmcDlD7qPYxlXlX1u K20EoqU9KwARznoxMOW3GwbSTrnBYip63S5xzijIyix97bxF5KolpVXqh341k8XRg0hAnCZEf9CJ VXcYPWXa1RLyFOVBdVzCMlgNLF1ZyG+44WfT75Fqeb+YeyUN3tEPNd1oPc7R/VyxmQwqGSNMwcTs QjdA18iqlxp0LCPzwHwxjMnf+GmvXxkkx5KXlLAF2UzaRhQik1mgOlsPE133cDyA4sELenuvn7yr XLluf7fADFVg7wpSpkM3z23Si8nXNWXstxaJ109+p+JwDWVqKLoWtP771IyHw+O5P1u1jq017mtZ cF0w9FZz4ClwUZOKEtjaL6QTXIpeKqE275U6pU+/j+i3hXgFuQ6Vh3rSLrO5dqDhe1MDqodHGppu oRdCzPx1I6JQDgeiGYyStVitsXSVym4iessj5kvX1ln6LD+Zhik3/me+GtDgnUDAvLwlqeC0Rth6 9eVTc1kezXQsYKLQ+BtPbWERil1Wo+wfb0SP8Z03Zlo/t6gVclLEB9vSw2ts9pcHGMWi1JMC6/uy B+3zQxG8S+ZWLPtoj8R0eQBi1oxdOfHPnqE+QPz9VSUESb+fSZkD7RLIX0rGHUTMfY71S4VinU8H avoCcO0Ol9+qQVv3OfFx9VpuWKUwAonOIwVp59su5tM8LqgIsW1JbNtx4f2s2g69qQHL3max+bi3 ut8DyXyuAiuqTexyV+johs0Mk26U+Tr89JQqHlBMXu+rRoLptt/gUIZqXQ3eKmcgahawJeIG0FrH pgsO7ZbIfOysOVsyDZ4fCwyeRj+tEA95gdROnXQP92LwnaDICZG2Pqouimg40UHVZlWIJHv/Tr7j 4H3lRKQjV912HdisJ7eQ8cXHwRdpmvTlchKhLMY5zvQ7VFjQOHSRNqpVzZngtJTRDurrFJ5bSNGG qDiCnj3Ez8IVl2DqK1FFtKMhzB1U275QE/8KH4Rki1WVTSxUkvey3pkN1+93QWor9ZTzoew3oPsg 0XuOxlkhRPKx50a3N6dx3gYlMUCS0sP+vGEIFaXGipDjjKF9YUVjFvFalXo/jYUu1equvwzztE2P 7PmtGgnkbgE+Zpo69rdmdy6wGsob0e9ISVD7BWKaTobVcAANCEvIoG1s79I4US7dctz5OLViRNqn qXxuHTseJx1fzdmqL/jYgxUEqSxG2WaTR8T7/eCrmX/uhdvIhqQU6PesbhTSoUg0iJf3KL7afdVE mtQ8zd7iJ1gn1fcKomvB8JAAI3PmERF7asOLkG4Xe1nONPukWAouoPPEa/yAiNoiXsdlZLsSEhLV Nq1Brx34NSeU0/gyq+WqkYQ1dSMuDaHN3vJUSEpVMdKYJXT5YOoEkRCkSVAyrMpAow4NklEiv1M2 XdatxG9gI5VslNZ0NdXMRIJC9sMCe9UTHB74gza1JWr2zEG0VRt9ahPw90mQ39vLtoZcumCbAE1W gOUeZarKhiwkUePjtazyaXJC+tN1fjKcpMy+w44wqT0ofn0KdwIBeezma6O+K5ReMatW20oJcDUt WGnVBfxze2H94KrI76XuRorjGUuDNHlDtLC2vKZaZhz1c/ri/vsJoLuSOjqDdEUv8GQ76VbfCP3m gwBBn60DKpzg6jAM07MmG69cGlx2+QSoDYfH5piVBBMIWSV+Y19e8ZhiZJi2rq1CirniLPVE78ft MUq6An/GT5yowC1stGKVSWoxvzYlZWWXmNv8h0sUVYkZUslJVfKio2cJomqWCaaIS+pJ/g9+qkzB d1bQSMY7RBDXYEwXNdfcfGoBCcmUHmMWJYNjLCKP+vtiNYS/UkgT25rcTG40KAO2QCQmmpufeztX ebkTUxFsJ0lkLQ0sO9WBKbFnG6hUnfOI402CqZdnOHW/GRIFP3cXTxN6vQKJKv0WqW+Y+n9D1d43 A6JOPQjnkeNZvvxiTjItgJT+NNtFyUzphuxl7F725Se3exP3RPCCw0WdOKIbdige9K89K4pvYraY oztUgkfcwJpfayZpdCwTId69rPFfCG+oCHng5EBeTreBaWkhJX1a6w2SpwbGQ2zLU9vOUPq9YvD4 COZvZ0Y3WG3Y1U0Wo8VZLcPPIjkdfKoOPVpcQ1wO7etq20WHgCIshDb7jX6qLr53tz5VZF/ST6i9 XR0ra7UrJEwoh/6L7QCBKVWZuMbB9hCAzy/YNWxmhFxpDs3QahsoLPyVo4ds/iwcG6zwJdCdGzv+ QvNKdYbu+CPFZyRL6mh6SHDJl2If/MYpoiTBYXc/fGgqpMUSzxT1RMu/069n2+AcnrNOYf5nDvtc gspM2seHSK/qGlMvokLRZw54XpgC8PvSqjDpXXhlMB0KjP3FLypIFmp2ctBj7O87PZcW6wqpp/Sc MnoooVoXjuojc38G9yxfX+Fmae5zotiJ0XB60C8dj/mXi8DY/bP/rpoK83g+XgCkSvQloDfp+vs5 v2SOOLt7NRo78eQBLd2sK8aPKJ4d8dHI+VXMoRmTcQ6xZ/pTjekK9Jb1cCTthcM+lUfzGdEloIab 9HQ7hGFn+U48GJ4gsxHcY1zc1t+s0ZC+1bhRnjJHIoKKSILDwhxY/TFvp02oTfhDFy4E9KNqMKEg 9O6QUr6Ssk43S4ULx4rAcJ72rKDbuDVzSmQ3l1j6TgfyM2G9tfPe0dMW0iucRR+mo/lQUooZeMnN cJidPX3iifSIID7tW4zz2fnLvKfC5VJinNMFU0l2noXJlgdLYwiguH50Cxu6UbpgwGWuZJWOeLcq 8l2XHbGYXY5W+PtuZa8o6gPaOXDUd5ARTgxhEmvLxbR/9MyNq5SR/yOo/xJ8/g6EOF8M4sPrCFTz M6u2HVtaLY1jltnGjBkX1AOvrNg1nXa+Vb5FePpDMd4wU9ItHi7+81+ujZeaxp1TFV0LbQxpD16/ YdEPwKFkYXlprMetO3i5niAEHC2ut1uEbLYTTh67I989UrazKvdLVdETgJtXdZOQSWuZx2LVRQ2N 8t1IWqKtWDbLw9lv2dxg3DZmmWeIAmn2zbKoJzUOvk9JaPWK7RpIzgvZ2I7+oY3HJlfenM79YKAZ O9Pp5vSXh72/LK4ZHniicHo0ssGVOFd7vioKqKzbH2v9Y4TTekmhnxRKP2if5aLLvuykcj9qvHjI PEPVK+JyNbyfODSfolEoQFaWNgEykcWu5ymOZ3g0buJ9KtsNrrnK+GSupa0h/sk4ciBwcQ/vXxnc iomUBsPfee5j9J7oYb998ZEpz2nUey0amIvgMH6Ap5Eh0O0anlwFRvOK217P1ZGq9UtQbVSFNnVC E6vtjT1dWCLN/fX+UJPqc0sfVMu9WE4tLTFhMrNE432oNJOtWzdd9KS8x5qYai5H2ti65yTNV3Hu 7nNWLnr0l4ffgWCTR2JaU1t489pY/hLg6njynKf25u/zmqtKD8w5vAZLe7Z8oYN6zh+7ZsRz073/ 6YVSq1AcF/5WVyuus/yp1Mg6duI3fRwsVK3Nms5fIlxL1g9Zh2i0qywg6CR+3x71CmnMtKQUTP9N FoXoF5ahZL4dYdJcpCtZCGB+dpUOt6fs4oqYrwmkWJ6rfTJIZH9vUixzu3HdtzYPpYHCaEKuJGHz 4tYuW5Qsj0ydcQi8jzpjdVydOxVn3BsJ9Y/qijJyPU4k6MslY3IWW3Jp8h/oF1AlyBD3Cq8BQR6H OCTTYzhmEJVfIatgjP4me66x0uI+bRGAs0s7v1Psipg7xLbFitBtXtDSb1vdNQWmRckxqACBL1W+ CXV9LcmIsBWsJODvXPP+HdNWi1OYWyiKpT72XiMPiB+XytGBNf1NB9+9ab+X4PkQte39vredOPbT 3suWYtp3TG1i3/0gNaySlznlsgTYVvq3aVWC+xbWcGcKQOVJqXuovZtZ18Y8GK0vAq/TuD4I0V5Z WJ9ahQ87rRSfRvKeQ9ByTetrEPTUSjcROVqsTtEEWQOCjpQ7tB5Pj8VkFF2dRTmVnX04vP7utNlL FZ0J9NXdKsPHCqLJJE3hLMPbJ9zOwTlrzfyQN6J57H0wk/UxKkajrJ5JG+6kDTv8qTPc5gJTG7Ix Bf7HGt0BgX2aSP+PZD/HbxkT/r+M1ggTmZ5mP6Aq21H53IR5xQ78kDxHOcBRzFFwMYbbWteutv5d I6FkF0VI14Evl+DnrofR/eNZgjGh7mAPyGdC46EWhoYMoWOghlHKZlzy7ZFjGnhc7VZzBRSbOZRx fiiuYz/ribVxVia69cY6tA2YKE4ptjLaTmcZWon6OvD3kKSHWWFze9uaeJkgbBPL6invmm2ZBjI3 sW4ilMwidH807koHlUEpnJAHntsIUXaXjowGD/LjuothCmvPNLayGMnHlIQMnpDlSEqxWhsXgezb FmcoPfm+WU2lOl+KR/8wBAkQvwAcYaOmmhhxsUGzcNikgDlTP+XzmvaM3PUhoUjuG4zTEqUoXrRa jeg2cwlDCc+JT51YWln6O4910+McvZPmDN0hHLjm6diut8oyp3rm9MNXOKDFB+JIESMDTsNz+E+U TJp8zwRDZzVvhgObtNQ2zsqRdY+lnVA6g5gpt0FAO58sTO46XesTWrQox3A/55GZybINldtiP6lN Bb44xcqCrFgLcnHrFHYi5VByk8TWQj3YVTV63+EJTASPQ53gcCp7wPGwiArA4Z9QZYiMMIQPdYi8 MIaguWIla7wfNUvtXfVrEoIdVZxMg9tn8WgCdb+h6IwpsRboV0pZogcPr/Rvcp+suIPfWdCmjmaE asC2/TXofOGT507ovxS7IRmQAPozUmeICiuMh4vaso5oW0Mb2IADKgmWJbw+cjIuuYEQPOV288Cu Lbh7+ASWKZ8WE4GZG7kRqprQrkAwPLgksUSFuASZtisivZS/GBpOlpL5QQKi05CzgRtO86fyt2qs Go9PSOZkj1fwBViUoYTEjZuL/C3SvU95XI1ECGR0eAEjGMPuGDywDuFrEazVayrPh/BynZDbXOPc xMnqzEqwyW3Hk42O2lpFUinqPO3ajW11M1hQycXV0qgxgzu0AeN1mgotvTk1t7rKzGfXie4jA7xv XNMFNoX56rEBFnoJ0U6E+5D/sNRODiIfKgVTxPah7WF//jrab9g7u4ScAuLzbIGrHBQNKM5z5nOx iHatS8tQwojqK66QGIz0smoDmuBUeJKO6YCcN0jCeIWx3wnrfuBJthNqA78vPik6XP6Sf2vKLUgA kncj90fesvATHCw2kYU4DEQZBBQCL5BV98tKm1aE2L1zzwI/8lylk8wGF3nEi9JMfzN4DWoej0A9 ZX9J+LxT0xHZa9rLU/LJFjA1wYZHd/yOmBeu5amKNttois8wM4gP2UntQ0J/hcE3oyA5EWxiryRX zwobnA0wKC4DQStf8NT1VJ5+sRhr3//u3c+4MCG3a8pkPA2jyWbWoBIEoxMt6R2ldQfo+awAoXGZ CFOiEBTtALDws2958Cwt2bCangVHJuFZyuEyR954i8FEfCwL7H1O7170If+HBaUY3SJ/DW+Vxsb8 ua0cpn86XNY1JMSNuxgyKLGzaTbxFwf+Neq+EhaDZoMAL6HLM3U0R7uzZwz1Qdgj/OhHwhIp+bCX qDec9M1nEKfGwMr8dLhoZztPDKacZMK+m+n6qGOj0pjKs03hHT5iYXB5l4jLSFJZZW8R/L7H4cwh UijtPN7hKhJge3V9QCPiJC5Y3teXL10ilfS9YLyjrNzGi6lxJnrHOQgRrrKI1Rrx02+cXx1U0X+0 R16y/O/cCff/g7J16vySLNBGK1zS2EuCFzS2tiXvN8z/sQQ6RUGmXapPcPp9Ny+j7T144+DIECTR Qa7jsrZCHg3IOoH/JH7aNX2VKtrWEhWbsg8HgMBXSP8LcAmUOZlT79kbGe28PcVG4j0AqI9fawln j1M5lFHOIBKZEIk+B1nhuYYXrqRFu3pRtvnfaxPdS1PgYHMeOV9DyJFCd70iLuThYs8zpJTe5ZQS KbEvstZTj2JgqU9CR+5gbfW7W9RgRVX4B4a2xEiKApJQLlryMjy1Iq/Le6aidhZoOhnmEVCyyMTu RQkxUYrzJn+JhNtJBtrmNT8UhuwoAaLBmjC760jZYcH+c0EH7cBTeknHi6BAf1r8N7iZe9no5Y4w 2c3i+2vWox6ZB/qMPcqUnTfTLqrKT6scn3XbFMAkz6C/K8DvUb0oWJJyZWQINOn9EtvigL+MZB8X 34hz4OQad7QWP61QizmbHJmfmYAtq0YjUCqMhRNwDmEAnTiadxhib9g0MpKDUSgv3lPg5lIoYy2g ZosRhU/O3a5FLoH16DkdTaT9M7DiAXELupIFfhXC5zai+1Numdd98dgXsuO9W+mnkm6nKhj4Qxd/ jxJ3CQoYosFyAn2v982pOwc9IoBXNU+nkGToJ/YDPTIH//jpzEft850C/JqLjjlPOpucSHEjwhk+ MgbqwThWprZwJx0eCLNspSecxziGTq6jfQS38N8LhnLjvEDhhu2WUTKpABf91kVLn1ZJ+km1sg70 3OfZysFtTZ3UjdVz+mLhgYZjcFT9VZ1U5QHoMm2NSVxZPnM5UyH3vaERp/lvIAYNZOGvM8+ZFtdC 8KreojVxsso5Qgr8zWSD6jUwF53OvrvFEEBohCq4n5uNfqB5itm/2s8rFsEXlJmGCiD+1WKlwxRS HDwli1jrOvuBr32++2rAFfEhvD34Zpb4wcoenYovFdnDxWoAz1pWJY9mT1NnWclU36cWftWBXZ2Q O8yghiLa3T3CwVUBaz9M8c85Uke5oH++hHeEe6tSg4GnknRyKp7qvn7E9vDi7X4GBbPIBZvFm4kk wxwjNTKmeWFSFz25vBc8kE+R0+2Io4l2U6Gls39YiRTkb1wgo/H4N4xRWIpIlAXeUA9BJVQYE4bW +/II83Wtbrpd9CT2bzwDC+CoRF2jdYKZFIOavMZEEbfA5Pow/JiUVcXvSYr5kVHz9QQOdy1JeFWj gi0QwdKHBHtQ+0U9vc+TRB7ZE7tOWuRrbXqeOjtqo5ag0MFdKufPnerGi+pR2yc1ohnnxdoXEU1S mGVoXYx7u66xCNz2c4Lkv+z8QMvOc3/iAm9EqR6Ffd5XxfUrqwnFlU/D/rmWYmynI/tkkoRUTfwD 3kCoRZaIwh7Rx2MnyN3iwgdPHBl+zr2pL2+SdaPTSP0KnnEXzng0N3WLrD5MHp2IgtaFmhBLaQp+ oHW88+ZoOdAKBh/DmVKWm6rGcZmB736+OCglczSgIvIdrmN+5o2rmqJI1+mrVNDnoJ6dxj+A1FcI iiwedcyKm4bRjHwbZfiicO0PVSj3VjIUPMgxAZU7mN/pM1gB6vVgo4zV03Zjg7fEz7I+mKvERrCr M3yJYf4RbcC9MMeUOx/Xs4foNS9ZLBQ17gY3eByXsgSpSHkNIMsi3H+G7TCPjPQ4ZpXZTdUdpiMa BfyYhtTo4AejHk3OWROGFFMxPcJIk6oXjB1V3OFLkzfFd6wShFFJ2nPCxmhg5Gm+NkL/ecyqgFns 4p+H3CNK3WlCHi4jPsx/RaghkMzT6i/HkltygODP5fnor8TmxgxP9wxMu6SDnePGXfLCn4oJrZHs KdR1YNwFO5meeaeENrqb8RbSUwAXdgAQA2oL4JI5kWHxzRdQxtJjdRrNdGD7+0ND0cobZ2/ZqfYw PLawqgLvUipXS7YCymD9O4RB9mQiLEv2LDB/cHNoKPAvb37nd665wgVaGiI8Z5Fm8cCwvJXobVhh bF3LeK9kUFddROTUGZmLUpChAwoa1ztCOjS8fPU1/7FgNDHzaNhBBEQrzYWLW9eKXn1SPKyII+BP 56lH2n1LL1yLl+hNWjthNFGphGPCju/aK/UWwL6bMB7AOqvqB+7/rQamuPUpy3/xoIBD/vpOfJhG hxIZojo2fqMx/77N0mNfSdss9xlAjGUsyRAcyh2cZH2Wfa8WjCbg9Yzkhz31iY4LDm0J0RPHdUJ8 C66ipEaoE+wkGZz7zz3Tvccd+LFnVq5xjjlLH3VKotqJk9hPNx4fk3EZxcjspmEd0FAOfCrhHArV 9/SYvQ+w8XVbRlzVqR8aotVMr1cnEE54tVVTnmCY/xQi5rSxVSKphKteIhAAW7yZpm1kwHBbXXHW b0pF64PMuSOYJJ5PoKLd/iKN1v/GGcbw5e6C1BDj1r9klpqXORbQACBjZEkowipRKBC6t3zjNNuo U0+uB3PatJwZwmlWXihoE2cbmqW/ydiSmBAu0YiY2DPejJiT5gKJkgz1grFkokTM0LRhVpN9tj3g HK89vjNUI29PKmwhdfFxRckX8TbJwkaJGraqStMY18q+WJ8rbvovFLxqPRvftqISNdrCjo1HpgM3 93ARnd4VZdrtlC54n8W0lxTcGpqffQwJsWi//FmseByJai8bGgmoGmZrT0Apc/RnmaieRbqbLoyK BnsYXTYUtPMWMfzhkyqoCJZg6Oo5vKQ+Z7sbOJ5bhv5TiaHVh49tPui55cFzsBiqWBa0YODkL5CU Lk2tuCSNFXok2v9VHg16F+TupiEMLqRRSTZ6SNjpV/4nyZ19uYkxHIWPjnmD2CCAf+/rCdTJ9BDD A26AfTO4eu/oaYe7Ltc/3dszoyZ/pRDRulwNm9FvR0wFRqvYLHrLbi3XQZceVd/BTiZFSoHNNbW4 Rhl2wQ6cPDiW45QKmE1THRKLi1YhX5pS2J1EvF7FCIlWW6aKozevcOytOFSSXDzJ4t7oNdl8W+Mb LnqW2MlSva4WwwhQQHEnhRdy5YuTMxmLwLyncuIyOi6GsU2fz2xLypVZWwUrBXdB/GNl+AuDYhbV rN58rmOUi4NntXNr/+ESoceiFBLL5oI9DAVRo8DZzi0n8Xlv0+RJNEUxQCW34vyRjdJbK/mLoH5u yEE8cdceUUaIlFz0tJajewzx2eUu/rvUEYNoOIBK/LUXvRkLKTn/I0GT99ibgH/E9Xjk0p7xfvgl bToYpYdzw60JAd/pUxgOboQpHbGrpYG+PyCelj66ks0Q92M45f9AEaMpzPiwXcWTV+uBf3IVgcaC 8X0gVy3M8dsxQEbzodTMxu1lq4jKrWsnQN4aC/WkdAZo41FRWmN8R0ijL9btPohZFaxYAe5Xat9E siNxHdJwCwZAEep/c1JyUgWN2A7wkaRbaUtN3SSdbGOXMJqOHeaiK7bjXF7WerSI2xR6TWTz9Axa oiXZzkVAblKikfak91R9jqQcmwoEgb49Edxwjl/0KZPdmeFNGXRpL43SOL/c3ZC2yGXaI5POYCrf ogRi/30zs2j8r2GW837KKjCAKePYb38R+fHtnKHAssaPN6JEonsPpDFLgzfPpSwt5iHW8o34SOBM ZpvRPoDa+UmSwr30V6nf2Y86bPn1TJ2T+xCNSSRIbIgQDCEBaoPsT7ty5zrAnisCrcnw2ZZVImic 2rN1XEb+8mnneX3c9Z5JjJKoJEUkCnXvVcGIkORkS7ehFFJ6nG3v48xGGKEG03a4Fkj7fTOYpjbD YnBiWx8kyM+gDJ5kyTvzR5PFOXIpjF8C3dhV+UvW9Sdtww2QbXmb7pjDFn9IiM8kfhdw2//Xe90A X7+xWqK0DQR/iAJGrs/V+SOxx60qiwXqUirzQOBjyo4Pi2EFnPsXZC+LCh2HtG+kx0sEzIQgJo8+ lmF/tE23O5hEDjvgPK+7WJcxCHG8Oo8/45ZoAcwkbm4CyjUmNaXopxjmroYX6vJrCdZ1bPFVg/mf Ps1oA3i3y+xtxDQpF4L33ukWFKlEJZeWJtT+aNdUDxZEPfdwOYUgiCEDsTOPhfpaulZn0VlI1FT7 I9E6VVN/StoQ8rLpWLyxaG87qTcRNoLwHlQNRVN4V4vmQYu5griAM2q89uy0mhDEO+/NfCoQpjvX +kyvAckvJq44cSdECHV8f1xV93htnBnPQt5AzdjLedNJxHXwaS54yta3l3fwS4EmFiDYqXc+XAXu aaItamxNQguMMcoU8LhbcbWVaISVkoRSC5By5/a7RG4Bz9YHGV2/kuJYnF3KarKMI2+Cl4qWjsbp 9vcMweitCNZHqdZImnF+nMIEXii5pqRNlYEzPGGEdPK6xcIOGstshwEoJuGvHcbT8OVCFH+a1gx/ xaxQ0xTccY3JLoj0l2Dx0RJXBZRZXMv5tEWoaIN9+c1pQfu090yH7wGbEsrDPt3+6hJX3pYqufzM 8WTqdoOVUxfvGJS9jFK18V8Z51Nc4WUsCJwYQwFYQQOMHB8HvidbWtzCVnyfthUJXnLacBEDO+qm VwbcwvE7ohFvCmfZO/qTiZHacDBm8s/PwnbZnz9SlM1PzPVPwOoClCQyZIePiTtrk/nEFWw2Xj+I ZRDBpV6Qx5bDKd+dk4F1peNdTTHOSNJmAg52Zy/2qg6D5Ni9EVyMfyqOvN7NnxNbPG+5rX8rwGuK XWdoKofuslmafPGiMKWCkv0WrRnViw9L/9/BIFhDPwI8sF+JddE/FH8yN8WNIRFm/M0IN/rVMXgW W4BBOdjdEDkpeJpx55tnjmCccp7obmXyWelAw5BvntJqqjR4LaIvyAbcCposs99TfZebbvCAMQnf EBzc+Xsa339dCfQ9W7xO6c8Hs+op+hRI/14hbgqVFF1zlgNMWD6232HFItKy5a+Nt00MBL4deKsr 7U4Lfgo3AjmZEw9wndobjniAL4VSlzHRWynKJZjj42vhEN6h/nQKE8FnDtENJ90Sqz0p09AH/GSE 9opr8qDKvx63ZipWs/OEPOBl7QDbCS3Xu8tghaSVwEawhJm0FJ7DuLmPadrdgcxUbuhateixHuu9 L/cJmrv0nOHy9lmYgJ8I/hIOXIFZ9OLt6yacjsa005VlnlIgMGSBDD9+pfDA1ZKBJsCTDURsL8p1 b81q1+x8U5rIXnEFHTRWfjlQV6ym/n9ckIqihLWxjiMt4qWloOqGuJIBEzKD8XpgTHBXFcRiBH7F XdcvOd4+qjfmutfMpa0snhQRza8nBdj/8txzVjp8c1Q5KdMUWoA+pEMlu0pTwBLBeCjNrn2Yd5iH vIoFKofLDi+r6dBUtFsMpCVTgzNPRNcvL9edjxZSo5lCqWUK1jhLN1FH5AP/PYVDxsFdma243IXD f3bVBkxb22lxBaCTf41ObhxFOMejlXg15ieE1A6gRBYhKaJmJcwySxz1G17pLLhDH+966+dWyGv3 hJfMuK0uccpdQbZN0yMMqhY/lJexul34Avk1htpHmVOrf0f6OTwvS7If8FrPt5geKZ7tTB0Mn2Jk bbNzSotz0auLO7h0qix9KUfnunRCoC36tlHmtXoy6kB9Um3yHdObkku0N1r2HEMPyht9oh11QigP +4utFhPblqjpMqMMk53djpfnQXOSC92QvALz3grrGOPlQn4z6ha4uBYMONuwYScOqBS1QRCCxtRt Jwu/vQ/dgmJjKPUZcZFk4Oty8rkhKI20U4aN7NfmzzKdKS7XHr0nfpx7mDrbmcpr0/5O+LSMYN95 5DBpOQ+gqhJmwNu5inkITktYtlGx4fxKTA2fTOB/cuDr6Xfu1qx+ZGaJ7PorwdZqs5Q3bIsBWdCE waVjYei75WpTuVmUTdmvk1OF2GJwE5GjhqgQ0AM5n20eHZw8dbUbaLMrTpwbSOj+z08efEn1Qtup 34zWsFsduzNB5QgGjyh6/ZmpdUX7sEAxNPHnyfzus3xD0lBS8ZMyKNatezkRYhAAhMxOVdqhpgUR 42DHK1RMqWPtZUtntqCXii1tz/8hky1NIy9pn4FUP20t/aTDjNZ1pVw/3xxu8yzkuGbHVaa71MFN Ex9OuKSSohsdEhyOxUrGaUS8EBuyDvAZRA4vxQzI2Lv+2F8/cVuhEtzWeP011KlXj9rUFM0EfPgM sNjYFlDflisKX93mV7mKZO+WzqjWJ1TJSydBv50O7TZU+udWkEAcDF0odwXiNM1Ndc1XpAEB/KNA Hree4SxwQMpBUMK/mQCy+KTGkWwez0KQy/COdd3gXZZ3mbNuOBSZ9HorPvKAmTTQS50YHo0/BsgK cUYVoBF6FGKc98DNrfCNTMKVmQCigxaZ1SUOyeqCl/RDRHR979o0NRjUCT1VycDE9krNSOJIbjc3 bXjdWv87To5CSZGcmYJp4UAPw4Z2VFP1ACiYNUauwL4ustWSV7anP5mR5fTuTrZ7d+th70jYRwdr /JNpmJaXa+zNwzZX92DI70X+VGNP7jVXKiEBS6Hj2AKJ8w+N/gmYkdLaXALNdhTqieVC0y5fX5Jb VRkUl2D1YQ6QHfRVbba/T4Sny+xQMMyNuhqCGK6XANjYkuRVlbYDNJpZQd8L87CTItQLLAQPNqVu QhfSWf+Dyek+XgL33vbRZo53vuBpiBUso3Mzw0TctQL6PkA/wBS+ucEOUGqCpi3yvTKfyOwYR/oj d+0rfA0V6egZ1M49lGa8SIbnEmNPRoPGM9P2duCrvNuetg7DKK2UYy03MjEwCdd6EWs2WPCNPyt6 VBdGmCED//xIbe0VeFp5qoWMORFb+vkb9HyzcItwI+zr5dm/pAmnuMyIX+59UsUCcxgM5us3QO53 P6KVWsiULZTQsQysnd/QXfnzcu71ByiN8NfHVLGejYCQDzc+RUXf/gs23i1wgbgV6yL8WE3c5Zjn 9areAtXiIv+1lho0w8lnezGVcQGKJgKMh4yJ1tn6vQQSLPRRh3YQ20D3o/9aKBgLfheL0CDKz5Zw j6uXyQkqunqJmah22YQeJ70Ecj0CnVoJVvntGqv0Y64/eOpzb19K2mEnTQOwyxuEjolCQAFIU6JJ M+lDRatphqG2EVDR/hsStQ+ggD/x2qgUAj1Ue+0HMUXT9odA8Zttskg4e/8IdQLlZ8fRSq6M+unq 1MeD1w42uBj5e4uvbPUFkjV/+wJSxvSutaNTUigtSEJk1KNAqYJOqehWWwh8T41viIoYhg4M1pVI CwFNKqCxFOcqWOKrdcMRETruF9DIlqwTByE3cWyo4ZZiyVtOWuEU13Q8rNOr5ZnxQTu03nNDHwTl ANzn7bEiOZVysG5Jb7s5YH1+G+0IdudGXxoC6ZgZjgwOmVm71u2fOh/JhpPVr4TCnRD4fl6bEOnT friKp1AMC+1LmXOyIcSwRUUWA7c8ZmLdP83Mp/8tvJMefXL5wXyx2aN1fKzqDChsRVW7vElZoN/Q NzZtQbTX1DBMp1qVXsK3IwVzmx7KCqJ+x0jI/qHBCyb/9bNX/YAeioagV9g/lp7wiSXounawq3Hh HZFxvaXNvTOPXNYT1mcdbhHyNH8tC9CwCu+jNa39OZRBvoogX6+VliS8Ar/R3bQA9xUkn5GFtapj cZZ1u7ChUms2Bzkmpoxx1tw0xfmroJ6hYkaqHINbGXcfFZ9xJKv9hmsyNrkfSHaIVnrbePRlizQk NYIUb+9YVdBI3Fg5PR2/lREs6O0Zzef2Ap4W89xuyXpEOb7jZuX205kMUQSER3O12r4fjTtHjRG+ ny77WKIqlTxvfZy1BenRPFCMUtJpILljLDOCgWYnQVTmsvrG79cehjFYCdKthLWTx3kcrJfb5oN/ u2jy4k6NhG3Cw9aSfIw1ZHxLjjJcgQJ2lHFQmLrue0cNmQ4aD6j30eybinOMAjycxpfciIWPU9Hd qTtvkTgIvlnmqq+EkkBtd4htx/ty6hjt8SKPQ2hK5QRVCmR5hm2kCbPhXy4PQXAr/QsRwMD4CpfI sZd4CJEhIkRn8Yis1cVBy8KBbP0CE9V+zURe36XSONs+iQ9EEV/chxnCNL481ofthQ0y2Em9UlTT pNFMWX8Kn0BuVXVUGzl3ZM+GJ8At4MakM9nSw9CDGrqV36kdBQ9WcNS00PYV4kcdEWp604I8pBPe K83TwLG/9tBxeGAOVvqK0BsiNtKbjf1dvM9C/flD25IbwjtoPsUjsrcw5bwlLOjpyUhPXkUuGBGS +U1srn93bGNRXZTswVUhMkrTbIxzMuJEx7HfOjrfY8zzEHUDVI9mh2vhkPxGhyflFCLGLYIIGfz4 dFlW5oGmvVG1yS+pOp2f1O9fzzalfQTp1eJW04zm7NR2zqV2I2rE7e/xNFuDa8MAw5KTHGhBHbX8 0GrluUvvxoyUZpVP27eVngWG4oRndAt5qqm8lU/wGJZYEzNhGbGygi0RFUxTXpu5N6Vlp28YbSVi GLSBNyhEQT9wC9gZfKLtRmE2elVxpVNZkCttt1rXlqGPc3yMXwsZA8BEOZ2ylPpZPcZgIfcr6AnG MRLGlk8N1P3/xy1PwlxfXYeR0nRhPJS3HHao2FngIyR7VnxRJMcQClWyE+q0B+T4BP0EF8U64F4u pdLLVRbUWKaPWZDooVJ4QG0auHGyafmNRwpzwB+Fe/UvSmpzphKx/oTkatVxTtT8LSXsygWdOhAK sQRkTkSlI31dalvfVgmHKuvUP8Affz9AXGkogdqZdjuslkvz3Lebc50fylwMdM1BHYtjwWyPHpWy qSBSm59Je3ThqEOiK6w5U9MN9J8HY6FhlGB/zA4uW6PY3F9Jn3yIpxXHswi8RDnzoZr8xhPrLXF8 kblFO9RIuA0DpOigLSEda7IZ8EbA79apk/Agsusi0bOFS+Xzl3y+8y3/AtjUHaO/uUldWxtJfvuS Cmy4OCk2eW+S7z5NSJ8e5PR7zp2Czj6Y3WJhB7/cgWQJE2uRG4wESf3GUT53sYY3ybClbNaYYHMu 0EIkDzaXDQKEyqqc6FLx6lgfjbt4CpmFITRtsfTJoaw9uq7qn6zPGPo/kHPWjaR/3SAdAOA/8j/9 eDLxF2eR4jZqCuOo8oZ3Z3/w3hz+bB1BawG3Wk7Q4CRNzl7PmTJDi06vxn59bH+THe2wc7vVVfGT tiOeOJta6EkOEPP/ILZaRDqcQ9BJh+1edLgv2G1X4ixFSKpwvwaldiaV4/RkcoQNrOK7dDLaoZDf 2sRJF6uamWeKzLlHV1GnvteFI1YdLyJWPFSn4/edswz2Rqc9OpwVskhaurwOK79puI47AbAtDDSh +Y1FnS9FQ/SBNtWzBwi6Mfcup0uBaUqZxgOQozZQtxlaiP7JqCM7GyBv40DfRyR/E8oC8MojS6Mi XvECPttbKGR00AttHnENGMm/SGTPVx2yDDb10I1QYKZxIHSCZZmZxHDLPwKDgoQszuoaTmqnrNfy S4yesMt8P5ZT1n3qPkKVDtogJVmiK/j7/vIBM2lZk9Nl41YXmFOqkOWoHBJmXhnfO7MzO9ke99hU XtihPbnLDHO+5iOs+hQiFsQckjWSVayp189Krhs74uoM7EQCdNoXJWSWCNB8Daj2nLoUgynvSeUd PpNgjutSqHuMDQ7W1WLmtzK8m5FIjO7xQMMw9PGS9wiErbpuAyQLYBr2bRCokJZDJGcA2u14VmxW soHnvbNI/HynvGLRkl9QFQRQzZgvl3EVKxk+/tEXHOsPsUhsl8/TjZwB48AcjNcJoY26njdOJ488 qUdsMvRcy+NRhyfK4h2yqqg8QUfroamzY3sHOfL6eJlfCqgZERIU/SeMMLoG01vbb23IRiZgNgKV vUJs0lXhxs3D6s+tZcqPfBM/pzNy0TwBkyBoWZbAFiq+bAVBqCKz8Z9Z3q6kimutErNODx2Denpw YIl48lMubqk/eyZBHdBOAuocx9Ikfo/usfzrYEDQgE9+dYGogrlXSRcCzbgua/Rru+6zC/g4CKus 7ACFkFWv40Y5gumyOHcawDBYl7mXZr+r5NTYWCxBDPqzUTS/T15eUxWhRVRL9znEBTdbFA6pYWKw wpMJwNsDJ+t9dmcKsOVJ4eyMyhAo4M2c4jJd+pXv/JVLUm6yPJ/s+lQB7qpvVDKM2GE+KzX/RKnd knzjckaUe0X54e/7ejth4NZaXfAnZh1mawPCRacGeeVysLXRDYMEDiLdWUckZNP/CLAaHrjITjXj qlWgY7QjudLoiaWJJBcT7XxYbJNHG1EYHrqUF7aqm5UvPGAmlseqQGpppqVWx2a9GY29ic1bqskc CcdzvGjW19IGAAcFxdN2UHxXNYqhIEsnpH/9Y//vvB5t9MQBFsdhI6la+AsSmLDQjysTQWGEaVpS Wb4ZHiOC1Y0bhTj/kEksCCCtopN2BUHX2G8F4lzaQNqChl1L6dU72wNt5SIOMg783jUG035muZtg DczRZAy1ayhgPQJ1pEE+wtcEbRhUu7kiXTP0CXLsj1TUTimX27LtsD+pnLCCF7XN/TLUiepht2PD gjmjz3yvC7S99nI77KYg08lhIzrN3TGSbZaZBrTrHHAv4LRgueoLptG+R+i0RMQXxTqFAycAMCZp 9B2lGi0kd8NZAB7eZqnIiYSyGT/P4LgmFvrleP5JMBh9op1oJgxhV2thBVOPgchzgS4Tl3I5oXiV wGW+gFWH+1r6fOVCQQz4QK+uLKFXoPTGLQ1HRbB+YjPzb3GoCDrSA/4Ah6fN3D2yhGTP4OpwGkrV 7gNSjKUUFgI9+CMs+rhaQ+zhNG2Fgcz31YvMJCawYBjyVXmEo6E1/IU2XIHHwDIha5Vjyo2k+FUk ygPefWUULfH/dystXD+49kx10Isw8JedOk77FhMQqq5EQBQuFtkRBtUk2lClUVRfxmEbEkORRRkB 4j91hNFz0gcd6d6GoKMnXK1DpIuiUoETmk9XwlgE9e8hY8W02V7EGUAS9AM8bn/Hf2n3V+LSKaVX KGnQOuUYkFBLccLbaUU+s/NT92PUHbf+QhFXt5zApvR15PsGnf74liDe1xS0Wp/h0pO85jxauQj5 f45Z7o6xinO5Ky9f7SiAHtZjulB28vmLOQ5be7/yqjUFUSauDaH30RwX59a7zgN2IMh91/t2k+zv T77ns4pFnZJX2pGg14EQjXxKNur6BYuTQvCGvtCONlYgzHDzjf/ZjChm7meaqgFNbUUdY08cZGZS XrvOc+0CS84ygMFzT8zvSW7DpE7+51nym3YwTvKGNrIJj10Vf7F4g6BhzTX1ojrj5RvdlqicXDGp EPvTqOEFacjp/rJP/RAch0bqADM5ZM+Gxgyhxqt9d75dD8//MKeu+GyBvCFqu7hn++W7NUQo4b81 HCOJ+Gweh7du9wmxT30hfz3/gl8xAQbSO7gIKImWGdty63TH8EM4HkGC0tv4WhoBqsEC/KYsyQJZ uDcL+5R1bOP2r5XaQzFLEm2YRQp+whA+PH5DpSs2nSn6TEsOMQ2d/bCeBrCYl/B2Bl31cUtDlaTv IAH27WtgcppNe9b92JTVwzotsBt52lYZY83GnbrXqfSxIZMGdkaJLuUyJn96JRNBSHejES4aH44v CklgOE+pHaFiz+mi/pyXEaXMCq2U1C0sSAmLUteqVjniL0wPr7zhLPDwtXBJeQeM4cQX/4wA4Z5q AfQnWMgfg3Xrinork2qx4J4FOUqPWEgCDIWm5Dce6lrZdLZAg+brn3TZqmrbGYvvWmXxJqJXhPsm AvhkJmldfCoUjGO2H6x1VkOF7yuSaaF+1P2zF/0ReH7ulra6BF5EvfVz+jWOqpqQLdK5gXYqMLRg YaXDuyDsLRpjJMzfpzK3dTGcEF/hcdXwEzH3ab2VLPHsMgXj8UoyQGKdLmR1qPUGSlLN/ZHd/sf9 qBxzBSWtOJxBiY5oc/EgUU2KCMCOceYI2y7wJhoECKuxH4rpyNi8Oyg155mbo5ZOxSQhLoKYwtzG zMGVx6LI8/lB1QH4IgtFIm7iFsT0h/gmzY2YE8rmRCx5sZi8DTLW+UzQVyIxX4KGtph5ftE+LHc3 baOR5JjydrTWqONKH+BiMb6JpLJYc3LVPBMnCLGjb/gQuw3RwMqH6hA/8cET1Wsj3EECK/ZnKP2W NyeDqJxR2JQ+k90dPqa1yzeVygV5LQH2fN/bW6IwIfDg5AK7Kh4UfzX4b8cubeBi7iRpmgVd+Wq2 4nNHLmNJf5n0iRd/bE0T0JLChTDkDAHoe7RUfs/3i26RgOIOjMyGf6ya6jwBOrHhmUbach6SoLvd N0DMFr0DxlNK5XV2FnaQleejxN9bOAQbISn7PutGT9MBjqQZ2zInNHWfhL5tK4keAS1GHKWg9NvL D/Am5KeDnBTpa+IjhFxHSyJ6PUqCyiSEcwgCQQ7ItW5O9YbLg2vkFCSSSmGt/6hLSGeXiaJma6lX Hn+tsRDcM0o5PJLcVFx9W94CFM+M+xaOTEtT/2k7+7ssIZXjVJBzcGbrMBBrh4nXNzCrVEcPrSkW V2XgFk1erS2sAmJMUHW3SuNC/eLZ5RDUDoyAU2snlzlUsy+Jup1nBE+BaarHLBatQng7i6xX6Kix o/h8KZPU3VkfaJGs8VIvc190WShGqbg2T9og8elD3JDwVyYDa+yYMISDOcn8rhv2hyog380jwvCp IfXzK7yj5r2n5Ui9XTj7EPBwhiE7sejCLYeJPgdtlbAe926ztvOEV0E+CbAcytnqBGeplQd0ygJR SySO5g2stXa3uJLFlgRV1RvUnm83yEHPOeQrr2I1SZfoVg/B1PrX5BPVSPz6hDzgLDMIbMbvcE3Q z1OtLLAMl5wiIsmbbFYMQhAYLUEg9Hoslv+IcZZgzhjOPDBRP+0jWFwdxUP/l1HY5a182EDEGNbJ C5LnjfUYunTM/SEL+TQanAFHy12LSQAREI3oVL9aXWOI3jpTF0ZYthq//VCwQPLyPnfRGcLJoQt8 AFvPwVauw5FheHZhxzvbP7pJtrzSSwPCPovj5HtEmeQ+8rLtaJDWE61dqyeQ3C3+yfS6MlrWsX+o T5ODHXP4BoEnkRhq4VULsFl+e6Ax0httbjujpUqPzNJOKX+9oKE/VBJdrpkxsK3f8R0GhuvjLIBc e0xhv5j719ZGyY+7oh5CIsPL4VgdASauqY8JOfY0FYl7j8WD1ji62+xeKQGtAnW6y3MIvjCuf25W c3ampoXhyjHL28Sryy2a+mqgEQ23V9wOG7KjhepBx1ebtnWnSQSHmD0MT3dvY2JlQvVbkSY6mtua KLOmE8Lc0FspvsOvY8NlDKEDCjviBQ5XTTikHJcLYvmK82Hea2l8ci/Z/nnElTRLQjpddIB2gPIZ lJVwFrg6c9A9gESrog86/B/1Bags0O70/2s/rKH2pQ8E+dilVv+JAD1vW68Bchj1ddz+FQmT3VA3 WRsOhVi124bm+rKZsa5x3y+iBVFbnS/fwyvzaW4rb1xd2Ldch9qHTpVREXKiFdwKUotjQNigwnZE 3Zu9Kf0jRJ2fKuFrxTGqf5kasAU2fcnLMbHVtIk+z1nH3VcmKBhJ9ymcDeVlbkAQwOcTPdXuxHLa +/YR4DfIk75tHsAKXvJXm8tFKRjfAqybAwE074tok+z0KWlYsSMr++vh1vmAM3Vj2tDdzSDib5Ly 0nDKL8mItXRs/Gk0ek6msZhUmBXZaRRIMjs0nO7WJVRcm9xwGYtMcr1gI79PjFV440vi1qlvexOP wRpf0Onv76DP9v2tyUHoyslR8lAVJe8c6RXKoLL2kfMrHmyG8WR4FrS1/VOFiRgZ5Xs3Mpm8HcgH vUU42EEXwI1L5iK8JSFgrOVQ+owzPNoTdLrVM9kaEBpEzzkOUBnK8VJbSKQTtaIm5tI1OtUFx3JL RvmkA6fZrH58tzCuat+AeTzm/8PDyTqyt2F4HmIO4Kjz777/hvHpiJIHL9Ned1lLNI4krtByrWh4 WShVkQZTZggKLccLZvztzwQEis/jRPpVW5al8PxdOqroj04Fd7nrhrvGqY4Ngp53DiGPkFQRbwSc eus0ZBigwQqMzoNb2450js93sSPR47NosCw8U4zuZZ3lGFpAJ87f77xPz493AW2KJclXiIigzPQD lZNWFuEXi2shj7uJXd0iZvJr+nQWeygbzKXXYkRgSwWVzy6chZGNIZ/V5U+UTrJvyOlJSx/k+z6b x9sks5Htyq1zV63uYdYwHpQRbpj7chbPr94jmynb/Wm2EuJanM6Jc6p6QPZ41nYfCprGavtJWIUl lU1DAjqs907vXt78owcLBMMBuD9PV1Lp+uKxvDI9o8qn5BGAHDaWoCsAyADEKgmdKdc0llvr8SCp caATCGFH1HfB3Hd88jcfHZZw6Owmw6MRg4wPcaPUgvwqZCZPai/Hq/AjzYAzX7J5msxvlTJLn6Cn BbfN9n+/mP8h9mtt3MAPUDOCduHJ9CXi+lzscBNd/p7LtWDBKbpfvNVKzJLHNKYv8e6y8faEcse3 rIBvjDSzccZSPyy+QL6n3WPQtIo8DrjERwKDScv7PkZy8qgceDx8cv8biSLgH0m7rjLJRlCiqi/8 0InIocU1lXS6Qxtq3nHeQK9ivvgN7lrafyiBjuQQTaHIMDvo3vD4IfycKqB+7EsZ2YGnrDfymDKi KBSl5VSOlJ1pho9CG/4IjQMhWBEo2arm/PzOOOpHc2WHWl5fdB58ZpKeaVu7WkCt/Yd7UQ4JrwBP RS8kvYDB6fH/jGsmK7eLy7lj+QI2DfNTVeBJ1mrPv3bSXmoQ3ynXxB5Spw/9b8bY50F8jWlf10Rm zkl1f4KpAQP3NwjeiPskopAgI+CGhE8NJigp76s49qQegDUjYbPpkXN3uBUUEcm2BMkwfalqRgzV oZW6/andLVCLWkd2frPEP9K7nvwPLCddMTN6/y0RjmqGIukzzyvaJb20IWeYm5MP0hgAWX7w3034 EPLflCx7S4OERVCj9mJUtpE0jc4LjjSj0UlsZskjFwne4pETbPBtkVGRL5V4GXLdSNZfVWaTEcw1 y52kBPhWqLxjay+vTOvOKzI8E+OMcCYY6rGH3gx1W26tKVakOpDlpgm3MsmWVcCUwfyEY4SQNx5j A1gdjZPT4ufFhqnaELlSQ6Z86V+eKghUToHvTFMemnK0w4LQbI92UXR7L296hFUJdVMdPtWy/1o8 u5ElW8S0UgXoFhqxepvv594V4eRbaMD/b9PKJTdmSVk3V9tvKiELe2xxa9V23pykSR46EFX2tSnM l0RkrYfHeCkaJzPIXRlLwgQDikMrU0BFhC718BDf3BmVoWKgn08Qm/75UmPhMOUsDr5k/llml8Zr dVfx/cvhYpj21hjnIDsQlMSUKt5nLlKyYPjtBernHYRVFaKAFDwwWJ6oY2PD8f949uoHVpjnob+/ vMsvXqgXmqUfEBoYmwv38707wwCzAwFhfJZkpi6tEySikVBnSxmqqT2K+83spvPSP4wYvwFSJjL3 1r3pSz6uN2xlZDNl9B0IOWQ3e0h+lSdF2Bc1ak7NzIOrRiQOoz5s3Nf+ALeyknsaxYisGqIpHANd dx88/EEc/Ye/s8EVsvLzJAGR3quNR7xSgr0wlLthXVWNvwWFhU9uRPqRkgu0SFQdRsXeseWi2275 xl7r7na1gCF7KlPlou03j2YFiCRRkrjosXNPDXGB/sYJJV1sAsQrVeCtumjmr8qq52MfPaXwQ6M5 BO2B7LCzrk84CLM1ibizv5REvPCisA7ENee9pq1tbu4I1eZOuBVqjok1iNOGkn68F/JGNlrnzH74 P5pe2kBN4pQInqdflwIo4sOpzpMDqMJF2QoYkF7SnQevGVSw5wc0rQkUU0L62hOZEhVINcFO3W4+ RN1B7XSUdGz9iiBHstHfWS9XTR9rzhOYiz/ohiam0INK1p0uuPUbqfBReZr8XXGhm0LsP6PVJm8r mfvfvBHuHtxBLHzeZUKBN9zdlJZrs2jLwEN5Zxt1WQweosI3n3BZ/v77nIdxjlF6pLeiA9fmET5E ymfUTRhXPp2I6xwQYFxHDKsPX5ld/VPLfE7B9DvNiCFte2+/QYnm2lH4ETdlJHXW6U5mytG9Zimw zyzq2+buGPuZHsR2nCIWbiGVmJhi8IgNRY4UDBthP9QrkOvz3Qj6auns9aBEFuXhjW7PRcJ83KKD XeN1BV3Zk5g7migiJOamBBMZmq6+WZlmQnkk77I0D2xxZpPvpkHZGronXGdsqG/lvEmc3YjXctjB x77EPHtybiMMj03Sqyp4vhtktfVo+yM4C77i/6lINZP0HLF0oQ80mJyOPcXFNUsrxCKSWq/SKCqw z9ACbOBNuBsqel7LfgHNzdeVoa9+4FCK4AOgDp0NsW4R/nwOWMpF6GwdzAaGofz12qFqxqJ/8I1q vmriykjMQIGT5ByYQeRq8VkFcSi8TNBE9No7fXqQzMZbKmIrSiVQ6GasiAOplzWP9GuCxwWNN0gj YuzI3uM/4s/IY7hz2soFfyvBJmRttHvCWYxH/gSHxT/jibO+Ap4gj9PkLm4yhzkytgg3iuM60cmU Kybypg02DIwiYQtcQm6OL8kqFaHShneEodfimdfpKE8S5A+wyNjNr7Jdzsocka+4LE0crYrw0bL1 Fuq1x3KAXU0nXFpuZfdKJ5adlar1gAATjvn4kgLRQEWxEztqUu+mUC47C3Ko+QXPnPwjgGDXjXCw 66pUhJuP1TpQ/5iWv7nQm6W6qHBdpmJxm0sHh0J2UAerQcQepungB4afROg5Ikgj8yUJv7lDkNcq lfpHgnq22ndZZAgp+qenHOScDdBrreRtcReTUZHnOPYrvElA6ZzbtTjBKniBmBmwGDfpW35789WK xXQH6pDurqLZjCP1zy0Qm+TENL1dTHoyZ7mZm9cMoOn3p8sqeqgpP25hxXwB4OKaI/4oHO9fE7MM 2K6bN9j1mhFzD829RcMZYZIVkI5txUIlDXFqEdWnWPafIRUCMS/wS4osxt3uHmmEEEWZjAnujC2k zWQDN0G3TrVKRtQZNttvKaHDSSwv/bjUBb/oT4Fw/hfvigLB0H+jqeV0hXkrIzah3lm27bXTmiqI qJhfS2Mwc+Iyjby1di+Ze/qHh5DHgZdk417hley7CPLaTy4U86KtJyH+oiEJrw8zfk0CpnqYifkL 5X0xWH33j8hcWdN+gBlDJM+s5C/2zJO5PLBn5GT5SqZnaUHU8uUq1TJtSVpY639oCZO7gkVQvXKA IMLL/5nMAexHCS1MpGAnd196yJxNUYJ52qJV3+b3JjoCsC/zAnITuXzZpH+Z0e3pC5ACa3JYWREg cnrccmA9mWObOpncPaMI725LEqJSkfwNK1/hi/VZJKdWPns+Apy+16TuWuhvmj/A7dkosDFmwsG0 pMN2jEPvsDZwZXaU7QFbJppbO2LfjVZ5OmpPY+mi/HHR9Uzn07kGqobYBrZD8OyRR7Q3WrsK2zaG YoizudX0PQi/nCxrvH1SC9q8Q+klTgOsyfMcMPWarWbX9yww66pgz1UDwbiZyaf1bQ2U0RWdRMLW GIy3J69D1AcOD4HRMMsoACfGUb7O8QImZ10QGeLi9EyH7zU/cSzMHssMdaJDyPU+d3RYxukyZph+ qisNIjVHl36aRKmfLd1Akynmc07hG4U1U7uIIyZXXV/GbL2sl0Lhk3bwJ/kbSxM7o/dX3THlQZuH GQxN8OX9kuAxTgOGcSngKR1d8hm+7knUlJSXi5FXlFMV3uGpYZAF//OiL9vm6Hrroz5IXgI5i2F6 u8rBfdaWvbWaXq53RVu65NMstuGq0Eq7sNRD3QWCX11J8WP5zjAl2/powkjS3eBxmB1Qs2/QNVYN C3DlEyP6U2Ww0Z6twJk1KCl5oARpRcrqhe9yT9hoIqR8kpsheGLPds4AsczGfvMCdAKchbguTc/t 3LqwCQtOyw8lwacnpOJufzFPawfxGcw7a/D0WIpdre0AEEi8pXi5G9hXQ7aLHtTYVW1UpN7O7eP1 AmvtK6er/gUgCxUqoGIBMoLQWbdTvwsVgikIz4V73drqkK2t9m7vPeR3ulYnVb8dUrAzWNol3Z9j GB7hdYHje7jLYtwV26heuKeDvhsOLtjodQ6PQAtfMC3SlIvB8Av8M3UAPw19IiijI9572GOA/9ju PilzwOYG/WTfjaKt5skHslbwAxq4FuahQEoVzRUKKQrC0tMeoyDXTkoh/M3GrpYSKSU68oz4Xa3T GFc6cpWdCS2ih7HQ3rX0P4pu79O2pR8yZgCq0pGR5i5NsKiXjUuCuxxQTRfmlX5wgztfQMG63nvO 7FakK5UWBM7IehXXNrpH5vPv6M/enBKYTlMCoFQefHRTTwcTXs/a80cY9aWsG1iYTuIU31slbO0R yEPTxu6tCvZGYP5Z9kPUeB7s5+sgaisqYCadnIm/sBrYDkF6pKBYp/O0hAa0TMucY0VY7re6Tgy4 Jkm6/RWQ9sAohLwiB7WLqjzqHHS0g377eBfzFC3bfoWsvNRErid9KJIhT6emU8+ivCWsZeZ+ja7o uimvLZuIZ1DJPzEY1WDLBAm+VjVW4P2WueUMb3X9oqPPK2TJhTGRq+uhhLhrDfOHkJuddtoSowMN bcCpRbJJ0v1WyD/8WM+xUm7M86xxdIlfBzhL9jOnEVBJRLB/qAk3xXnJxQ3EA7z5EyVcCDTDUOOc TDvZ03GTnRBLzk369wOgGRXTzxmrsVLBHW/m+OrSFKDS7yhR9utzO5+BbcJ+1anwIq1HEV7zVAaf 1w9qXwQR6U/JlmDXrkHacM5/SbALc9PslU6jtLN+fsM+Ybjrr3eeD2OL0CGtIZioSO1pfHbTtEFt YvsqQhO0vewUxd19tn3efZUUv/yrM99VG3rxIiOy5SHiCPUkxmnA0fnXJIFeafMnFyI8QGvvc47i vY4OwbTzdP/Bt1poY+2l1bl5SHg7AVq5NJ9KBRh8BToxrDmsGK8qmU4BacWXSqoMd0fOjgnlVG6z nD50aUHfFeNEChClTh4Ib+dSgQxCGIdXcedbjED56xl7+nYVB9CudU5QkT3qWWyT2fqGM6pTOPjq 6mjMgQfprdljD+kakYEd5vjGMKw1m0ZagVv/sJv4peE70ShQH5BfgxDYtCuw1SHECDjrJvJuEcKV c9yg/4NgJHmkITUuWBWy0oHIvCW2dyqb8LsiPPmgJ0jcNrSL1TNfAjIVWUf1NY0ImL5PhmZvtuGE 9iyAdvDdc+qpXpeQQsGn+Fkqf3vufazGRN0iSEBePABj35CUJYA2jp5TPNQplxOrYeKqlRfywqh/ Hq8hhKpMcRV4bK6fhK48NigWJOkHyQa+k/UWTMxSfyHFuPEgXmGl2hFLOyJxE+sJPT1GPHZVdN1N Lh2Mj9W2SfgPntoefVBDG3BShrRr6qpW0LLWHFXzJOxXTyiJQO+Tkl7rcpDgCVAMYV8uh83t2OpD yUdlyru7/rx9K25OwI+R8IMroNIImRIe4pxy3W266oQkw8ILFdOqsGgqPJwuasDGWCJHTxu0EB0/ +MshAIMs9Qv6iIGKSbgKDQ1mYhWt6YVvmYFVVeVh7i38AZLa9H6XibUVXP3UQ7MUqGR8tviYxYby S+qqQRoaZSwXMUFz5sqixxfTu8Na5932/CllLutpAWBAJco7Z1agQPSnvaWwtHjo9qR+EAOsyhbx d6y7gYGtQSyHIBjbjK9ckeJUCO73eunheAeczPo6ffSIs9OWpT0vtiw/AvwHll5KT9N6ZtXF5t6K v5S0Slgxq60gCEE+lc5Dg0xZvhnwvcarbQQpBerxZa5/yvYhQ8srDCQ3Jq4bNZejuWKWl9dAvSdb qPhRYEUVBwv/y0ZkRZHQpST7bjEDToRTYfHv+S06BQW0NLWkk1BLbJIqYJDi5W7o932kAcgFdR8F uzn80cuCvG1LZmiyJoUtKzB3XEi3ZW7+ElyXebWcWVZVthvpaW5l9BILwhoy6eWnkESeNazoN6H8 GbOOt08pDYTe3zEdipxTLUPXUkpZmJ88rsNawr51W7EdBF55Ifgq3ZP3ml4kvCReLOJj14N9daj6 XgUCFjHM3aI33dWBypA9BS8m10Ax+bmh7va/ZfnUTvF7OF+Kode5ZNOT1a+hJ/2oidBZ8rmhlCKl wpid7ZT1cLxA0QtTfLVVt/Z99d2tNxKFkqrcnNXBrYDvlmDoW64G6VdUXbJAeiXpYrXAe837ESEK EqV2o4ZdGQAE+D7pJ5/rFCG+NG8DmxuFFzHCqveEoCnQ5Tvq9rMSiP8PmjF76/fM+YLiit0zPEro WV3lVf4JT4BbyeVyCLQzVVtGkQHXkvg4MDT8idWQNaaa2PyChQSF467jA0B7XX2JJ4rP6IWbBGtM 7NsCRYsiLn18jRXSjnz6jK5I8x8womlheeOmHIP5dl3jfF1GZBMBUYLZZh9fWUstKXX5vVfa/oaA MSjWBaAe9cNXJN4pPwdJhAuW5MM4z0o6zzfgp1GVRXE0lMXI5nPrGV4gqAk0wS4fxxrRI0aohQZW Lvck5CrsW36l61irsiasMId7yT1gpmEWCsRkpUVXdPONgCEVCLcP/5UV4DzvgbzA4/G+3wY2fgp9 YOXQVeGOonf4uqce1nyCDDJDgtIcut4iNOGdLWRldAUwyehR6qmHu2wMh0cNl/6boYUOSo5Sq4P2 8BAghS2HRnn/nXz2VeZ/1H2d2lOk4rftePS8ZDbjbk4w+zmr/yWkOhbBPdIVd4QROjbJVa2ki3oX yKCa7QplKfx4wh8rDY8Ojh+YBNsUlDYH/6gt5uSVuum1P3gB400llfCNSXbWB45cqcqwWwpscsLF zKYuJnOEiioEcNRWfCaPd9o4pw3RI17ftGtdimSQXhjjuXuvAKDbkKciaDkR9CfIuXEqHuFE6pC0 aRWlhMJvaEusseAEYbtZaEOerRe1f2wpjjTvPzivu8Qj82q6nqxFF1nwaMt0wfnrbjrmp6qwSJQK MQKOTg2iLkYQUav/1DYtKKSvy4eNC7gh91VrfMNEsTgtPk0KcgdzPLSSc4rTzzDAY/wcjpmFFoPz PiYxHY7Oc0ArKKyexFRnDXK3W50hJQ4BT3LM0NsovxMO2RNBVKTWVypX/F5a/k1h6BDaXuLZleQe NDWTTY8avNsY4dvgVNv3VqsuzSnzHsK7doQ6CTMJcpRCSjozAEHLspKCM/JxfE86ACVOGlQtvYMG 2e1A8zm2RY9Qa/NfIrUm7320dF3Sim2KucA0Yrbz4DjDCXpv7sEmYy7RFWbPDNjR2G/Chl3pcpAY s/oJ94wLF3Oc/drS5ltJoI0vUI4FedEUMKZ24G7j8KLNS7c7ChmuIRyJLGwiqa0meplKAyokCcVO B3LgX/ld79QXoaTrE8hvhAIF7p6ijP9jQ+Wlbqn1Vn/vExNiUNUv80Ha8hasRnyDOQyJIQZ4Q+Lg w1a/zqHEmdLW33hsCSacgaOVBUpM1EaZGsYqVMvJYDI9rn0ZYNYVHaJ0UaLSC7zZgX3qXVUyjJsz o8EmQnQpMTiLXxl4aqB+nJ4D8zWSZngJhHXZiP2X0p+qp/gREz6do4zI1j+WiaVtDg+w3SInTMdD lFS3AP5GJDg+vrIUk6HEVNGQlpWJz/x0Awk3rgVQYhN57Smsfaltoj1WonBxj/DPkSU3OEPcVzds p69E+yxbW5CWRQGXJEV58m0pzCER9NrPqxbIMHR2EaSkC3ZdPMwVC00hXmfJEf4sTHYHdmLFB7Zj 1H5PtCNj4ADWvTNTmKcfXmyNJ0OlweV1c7AYbjhbDsPa6owhbCwAZSbvhdgXWlTYx+Vnl60c8j1M UPWvpkTR4G2oNkzQ3ZrY6dQn2A9hHvQP9+nFnJtgT4p9FWiwAwuiPG9JZcQP7NTgo+lglJeDiHm4 GWqtC6HiIEapNUH9qwXW6ffnCL2BPwspMwfdHGXWRN+z9nC7RtBtUSJwpLlqJnbbMZKnKJd7X2x6 Oa3/2kkxBwNelv1lGBNAzDjQbQLtGmEkqJ5OAkmz+PDY3Xrgb8rjW18+x9DFi41jHXsQ+cZu1tgR E89tG4oUZ35xjeyYCSIWxU6kbAxSr4MCrFAzE+jona5f6NrTy3SAV+on0nzSKtnPOx6EH2e6YvVy sLXFw7tFuX2idOSxkev3o9I4n6IKz/Ek7iEYCORpQDkOA9Xliy8yV7nJg9RSPowShlFWjjUAiCOw ykWFCQ+mAWAIqIa5IDp1qXSJGR/KM8/zbwloDba1mbVs5On5nBcdmo/ezQNrBL18De/NAKz6gxJe ifJt5WPfptD0J/f/I+4wkEt0OhQ99n0llsHumTji2Hl5BE9AVRy3gyQd+v4bxFc0OEGbThWN65/T zzOhT1AqUwVViMergZTyiMRnulMONIOek+iQR+ZVhtWHHDudqJlqivFWT/J+kwoFx54dU6Uty7Cl S3BwqfRk4j6eQNMbzme56Qkywn5gHwhILpE+cQDXFiH34UjrCfHAHM8PUHktrBLcxdaBCjBClLFk veH2blp74BGloC67ARQTlPU9oF+Ob9wgkRzUU4glRhPzaSVZFVfy+xM2uNP9UWhOSdj3L1FtZEy4 9y+y2VsOjQrEHtXDKqn3He6+iT5XIQSGjwcDaj5u6xRLnKHoPRpiiOche5UiKEDmuKz4ozSGgcGn cpWrQg3Z407S1idbC2tC6aAEfLef/Hef4Mhgeb12is76I0E2b59CB4QtUmcY5MzEgG09glQ+iT+X ky7O0zsJTQ25ozL/rfRSKEfe5j5kUTSVBEZp7fhaE9xJvr7Z+0/3sjGyDHOtaKd1ucERdc+kqKab avIbNvcImpClKBCVOkTfP2DbF2husTPSIVzfsLH7BCSL3O8jmmZjCTbZibaiQBN0h+KPik6Rjtg1 HMfCAnKMc9CvxxQr78R3KEVn4kMA8AlSOzlBI3fSfQaGrw/5CVj1G4BrS02dYxU6z2JseQ4vQnah saSBXIoeYVPn0dH8Kf/rO/YYSw4yDXdJvUHkWi4LPT0G3kq5lchtii/bQmC1d8rMkzZ2LUbEJ1Uj SnisKIy7XpeIwkCLh1mAk7TCIDN94Y6CbaFx4EyCtB3jo2GKfww3hh+KX/ONrHHo7sBi1uFL+LXr PNds0jAo+RzmyPvnAzgHn4V9R03PTlfOLyLkE4jXDG7O/MLoeaGX4SAc//Y5K/mNB1tBwDXcAf6L uv+lKRxkyxAzZBlJN5M/tofeZA1TMGfcFVATlA/n7lvhtpfSpSrtyOF7Jz1PbIA9wFQ9UDqjLY1j /dpCNy5Ltral+t9twMD0bgizjc9D6a0vP4SoVEJB2zm57z/aesm2ovppdTQfAhO9gVKYTpYmu5VW 6IWxQYMSarIO0ODpwX94kR/gV86+TYUtPWaEApr8jTTrwMDta97m7KenUigVTJcFYZEELGB46UWm EnWzndYNb7siEb5PcIHNe6cdEMhSGvRlIzyVpm9WwEyz+BXpphOgsZTsqi6rQmbsd04eFT6Fw2mT MNn7QMOGOGafQCNrhgXidAn4uKs34HSCzgV64EaHvI+i/1Vzk/BE3w5ZS9/mntJ1RzvXPcqhrZbf BKLN84TAEbDDOzRldi+bNQEZRlOM27gkYyNiZCVJ7Q3cU22+Khr7qNNGxCCjvO/fkxpSGV/Wsg6C 4OtdMagOzCSw38HxB45hXaCn0CMi8yyY3GmV1OW/gMNooAgPP4bALzWkHUid6TaLw+rBuV1/TmTi V+J2fsET4/XVF02j/pAB4oqoy/EDCt/MiDxHDfEFlaTwOZqOQSpS6kfozRjmeZzju4lOzqKmVoGj gS5re9cTOPIEjw8I2EYbdzMdVwXsFiYUNKz46M8IiyWVO1DNVv8FH2+AwbVq5IyVXMI8LzX9Q/lz u36CcO2YlJtPheQrq+nkAdIipBiIFgmq+N74wCVUyfEvJ9shAQ0jZg6A+OzddDxzvugIrvnQdE5p b61oza6G21N7+xjphjrms+ZKnd1QJM1vIWwQhYtFwZ12v4NF6IIHtFRCQMeEj5St/txSFeC2MEZp f370H8yI0ESe0OK5pEgX4/olTuxDFvByRTtMLliIAkSfoWzOOBq10QkyHQuo9XNkJVoVHDg0Ho1y D76clUBMOAKYlSLWxK513kv+Hdl+PV85Xo1sAUxwpPY1uz0d5zFFGKPvGwyYcv5sen1obgm4TBjI QTIrdt/0TXgJx5wZMufNd1Jx7QMHHz1ACxvbL3dkyxrLGDZhjjfU9sQDTLvgUHp984GuNnFAL7yh KN8kvSUxwGb98E2p6gfI65KVFEzGOy2PNJ493LmT2PtpNKgx1mfbK21SWq0c5gD2D8Z4zeCps2UL v8uqgrnDFF+NDcQW/F0oG1Lyyu7kPCgqxV41MI4Ql1Mx1PkNmZPCKidAOji75fz0UnYXTBxeOM7V 8y/EK/BP38/lWQ4pV47p72gRLinX79EAI3Y3hyRxyi0A+1VPr5KBDskuX643EnyVHOLd2OmE2zKm TDasAFAXS48XzKTy3yuW2SOLjeRpd7X7KflQQlc2thrU0BULNvH28pxtMfTkib0GheSXjf/kc355 Vjvcj49ItWA90l4CtybzI+N578XfXGy3AIBG45k6jnBFPXBW5zuIQ+nyiSs5gj1tk7K7+cjX9l9t tqtbwnQgoBTHBDzHx1TQIsID+D1UHTkM0SfqKvK/jclJALdNbEIspoRyF3tzTtUV5hAnfidA5eGL jJORV7uwhMt6n8jhe1BRwtvJNbTDze/tB4cRvgBKMRR1XjBttjDoO1sQ58z76tBGYSqfbezuiL/i 4CJB6fhVVyJixBPjOStS2KFPI+6OoICf+9ldmEhPapvMbwFym9i4MthCbef8V6XB9xgaVUmJaYUd U0m9VZy8c01ZGhmSl/PhCG5YZDveSJviocVauDNjdxx2TXfdwtvGaPhRyPzFeGJpY7n1C4vDOyuF KUPxgMj24xSqfMNcD+V+HnlEt0T42gnmieEiwX41+rQafCk7PdxPEyAgxjCoFGUf4ZpmV0Pcm/E3 t9CHaZVYn6cKRTiU/CBWggZhECd9uYsQu5x0NevmOEnVr25fn8UENFwbUl4xR7mZA5xi7vQ6qVoD S+ClR4tFqyMFItg6PQNI5/LB7fdWXmquHL94YDam+U4xb6DzJOfdvCPVB2zFW1961GXvu8FuJcjP EzmS8z7ORDbqJpJ//C8gd5ckiXBgzXetHkqaen2u2XVgj4fXdZx5JtyI815vrcIOFeQffgyeOfOm icyrlr2zGaYSPT1DwKx7Vd0Nw8jlpdBAcg/wUUGhXxsEswGhNyvo8bTQNtnBqUOwLv7j96BKlsGb XLqvBLkNskChErWohJ2pucli4893qjgU9SkdGqkoIeqhLX5p3YfARsX6CK5SmSrDZJrquX47Ahe/ zsikX6IskUezoCDmij1Ypei6mmBYC0IZCM1s3KzcwdrcCZYsEwZQ95QcP5wK+1sIyXOtHZEahq/3 u+tznzNB6/suKZ8nD6pHj6ALbQZGdZwj+U5IDvBAbn8DbcJmSvuMenfB1j2oDCaQkoHxqTThEDXo 2iBpbAMgGi3EMnuCDCV+oaLgLJbwVYt9QyL2PT231sb2BqO1JXS8LmsrfNQ2bE9WIJAuEggxZy4c P2gs7cftOFu27DzdsJixMtDBnfCOY/qnqg52J52Q4QJfYMvz7sy04ZIvuhhNOqIwIjA81m3dHE4A MzJnymVI6eKEy437pJPJxWQQ1qtjMMvpW2p0guiNyQSBGF2+osQifYZrbdMhzkxZcSaQQAIecgc7 j/g+Of6Qv4vsZRau7BTvJZFUI0OuLr7iQZcuqragAuD7fcQHv0QbBQEJM8YfS7G9w4CbEr6DZrOg WUEgllbbzipdzFkQvfkZMG7DHLCU92hfON7lmnLsL+CYwF8piNr0bcdirm0vAVLW1dEi6rgadEbS 9fHiFNf+4i63hLMXeRBXa9G1oS8dpHkWtS6S2C9A1ml6Lk2V7N/ZbnmTNFce9oHeN4q7fzKBqhJG i2L6d4/1IMiINM1Y5KxMRvJTjHhm1eG74ZgagdXVirgZwlWGn9g2ooaSm8dIz31XAU6vQZSrrKg/ 7VEt5GsnR+hSUSwlZg/5h5f2L7+Q7WSIa0ElJTUeWEgyTRGz7pGcTxM0K6oqsIVPk5kbHz+rsO8f Gj/BAXzdKuzEQ/pa5o7Sz0zMc7IgJxQpSyezx+RXksgBBpCN+yAbGpMU0LBgv6Jx/KwReT19RaYe ap5+NuKvlLlCU1K0Y3B6MemnW9rLs0cr0fGOK87CvEiSgLnVZYdg7nzv8HCNefHwnXOS/im6T1Rj 1KlwZjdldYdvwjRtjjRnzyVJ/vfC7odueW5yBNWH+lEsP84PbFjxteeshzoOhlyB37UTr9HGnEv0 WkflEyQzQdQ29+hmFbXiafpvN0Yjmg/mBp3ksRW48pOU3Gv0Qb4yNIa9q4RWnpVUBSz8fic87VBM ovYtHU3zbOMD9TSRRXImszlibDQv+Gd6LX10uC5oKVSvakNjGb4SMEZzJaVwuAhwEcihd2w4v/ys HeqOWGO77iKirrR/RTK0XAbVejI2plIR5WV7hGLoqQ9IVkWsqQNKvOQvho+3+i2JLdmyWJJgwp9k et5DGFgrNJJtSQ/+CWJpYPX/Y3ZjITeglkpYlrjvE0lZZ/r40QOGsK9BlkGnAkFi1V94LRunwrw9 ozv9vgUGuMQsOTlbFA3ZsqGjEpiKk/oC1t/EkSwBuG6PgJIUf9Rz2npbE2PxPeA2MUoFklZNZn7Z FAQRDI7dK8VRlOSjMF4yIt0Vz1n7msyplUKHShESJRns6yPkem6XfyJT17tM1/ZBR5RaN7ASxSNL U+jJHuwdBpSEVai26Q56jsBdKwml7PtRVVi3ZhhK/kyjnWQCWoR3xVqdHi4M/Cr8QD+hsGYlWLtQ DD3F//EinlYVQWmdx74MENEGhmbkDHcxUSoQCSXhnekqom1wdv4PocmVmYmexcb7bUmUuik84kCV i7sPbj9e1gL90/yi6KMcFAY9bWETNPzpWaYSgv7tj0WN2e55S10TCsZuX+Uus/dZVEYB1dKr2ktH A4B5w6ofAQOqOklyAvWPHP92Bor9Mb8fzrpKgPtrePiC9+Puaw8QiC3YbRIuPJYsN3euV8dtACw2 HQf2ncK77uWt3u9886ZHkl9Rz+lOhSMwnbRBfk5Bu9qlgQ3w3u16q1ZFv0YupjOu7Sq0GGHtbXfl jdvOvEkfWWh9IvRoDvab/nhB02DxSuHbE38alJCkRET8qUVjrfzTwrBoZRCGifF7xYONuGaiQgvi YCFe+XpRB0Xd91+ht9DI3SnmGiqwp4L/JDOMKWJVmg0fP6udK/ndj8ROWKlNPUHOoyEslgSBIxje am8IvGfP39PVOvOOMmbHbZwEDNRy1Ba7037CVSBEEdjKoAtRRkP3NsUJut+Wb0h22paC8V8MHsjI lnDDTgjfnalTsO2ca6zhj7TMYZ9vax/jxUqwfYqt7cjV1i/w8VEv5ZlXh87wBwHDobaEn0xFu42n 2zc0tRpGqJS/6BwXm1MaiJuYsFHGe6DUygVN9N7wzVb7gnf2rpFVUPisveljBKzQb546J3lQl44b 9THOPqnxhvjbTfzR71GW+XcYJ4XoSka7kAlCjWS15smeNVtWOVoDi9kIhE3xRHQoW5NhMsnBKKjp mR/F4XllvW2zUmo9yG+Ozgy6xLJt2S9BCJPNUzufKEC6ndLay40ssTtRf/DiO6cN5PDDJn3jK3Js mE30RauGtGoH2Sb5/fW0RkPstlC4j7JqTUo4AVxCXXZ+SjGGh9fnktc1bpScVF2j9vavDVHHVvGr udtOtdX+GEt6/8BQZ7XWbpEacy7MIbvc8Uj8AaAK0VK35i5YNfNBASfwBDyxch0ZicxXnCrlMctF b6V8n1IFBlHf/wS/bplMkkjTOqK84gz1uxfM+FzJm+rAD2R9L2OaS9KBPgymBCFrhYQtqntVDnY9 J0GrjSUF72G+ZjYjVbiBpBegI/3v8Rm3Ri1pusAZWPDCpur/mkDVx6pdWVeWyEK0iETn6/jXUJXZ NvDTMxUbiyiJ1aqhAbvRFoPRW+WWHsJiD6Yx2DI/hZHijfqad5RFymbAd8+40Chz8cyH5jnx/jyT 1QfvZ0XpTSiYE9THTvjc5Hst3YZ/zLDFHczBMUpTD9XwQN1IW6MOLrn7K0zjK/ruRdD2NxSZsfB2 kudrmAdbWHdayiyX1E5obC41OimKKmxooHPM7TOva2FlRtaTeqxBMpU/ANe0NuKJXsvWQ6yaWsqS gdaM6xchIQ6f0a3Su4l4clJq+kpQuV10PtVHIo3PjhGBRxqJ1EU8OrBFrysZn3focuOrZmL+mRRi zFIzeZFi5ojsAOoWbydjUlxxL/plYeHQdr/+U2bg5CprH8mcXpNi1tqOiRXXkmlyl3biIrHYJmqO /6joCNCm3PvJqLrrOR5y3t3sKGSZSFUsMGvSUSTf5pf1xmby+EseGmqy8csqu7a1qVE5ukDYalSj HoAEuWFEzcO9sCage1TzmOVUHUpJ7Ujv1Qz4JCjclMHMviF2FMuG2qFSFMMA0I+gafp4olyy0Fcb QfEiBE9zD9mFpYB3ZrRgwbrsj+7coDQOS5Dz6muKOv+AFByNVQLJQc1Q3j/xRJkeMdx+VGgeAoIG JQdBHdCYMitJ7fc8Im8mH/nRtmNzE72EvEgjgj1kipspYHT+TGoz9waUgbFnZeIhsZ/DI3rzVR5V t1+izz1KlYwJGvi2t0QTZnMpesDSCfWHXBDfYvgCpfBAXfx4aQLhVHvXePZeIjlI/PhAdiYG2mEY 6NZvaLwriKoBJuq6DaKtxgiUIjKODKy68dxsWO19Xsi5/b9hFa6uH4GOgyqlI2mIXPdO6N06J/Lb yCqwwAbL5PPM0isnPSxXMqCgHekIMXBDAzlo3pP4dWTZC0Lmbn/VFIg4kNI9BysQAdPW4reynX2n oP1dShZ+3H4xWGniyfIJLMXaVNf2XBOzpwS8IHif7hn5+vJrYs7JG+2sjJ+VxmDYcWaiTIp3cU9r 3LSAtmlviRr9KCY1Buq+HljmCjy64RfVGYIjaBFkuOPHsxT4MVpSs70Z/WbTug9yogEEA5V3L11I oIU/ljaiNdKhP6lj/D/ss5V4dY99fardOUmMgRQYwN0r3eCDFQ6934B5NowfbBu8sgNilOJktr4u vxG5WdY56CRoe+JCiHtt+wc9Rll1LbwfT9i322RlGeYROq8Lip9Qw8HrtpPtsWIDAMbtcTouCfJ9 IdRYTnqbG56mqsmN7GeDbvr91bai1WbPgVjN9Zye0I+rE9wO9W5sO5Rw06rZ+qP6mXBhRBPe7/41 5o/I7hLXVluUOT8CA38RMj7ZcaXQMV2GHd9gnaKiypo/Wrg8c7Y+9FLoz3ETW32W5UiuEQYni1TH IXJ1BZ2aMDCcS589Lx0Sh03KEM5FP/UyknPYMrWjN2ZsMEomc1m64f9XkTmSnNpTPJNMuttIkZ3B o08gdTfyuJzxvqKzwggelVLC+Ts0IdwJPxS6+gnCGh34QtVsO/HjQy0imazQWwRfKPaYejKqyhVq pyYAlqrhTtbN14LTtsHcWkCscXCoscOuuPkIH3LPmEj0N91pQLHP4/84jtj8N7zZQYcmGMvcxsTZ VSwdOGWrcfdBPbfbirAs9mNGOL+n35f2pVuA4EHzmJsKMmD0Lrok+gwjSOb3gpCM5j0U6bV0uf8D 8wVzzLQ4zTXyDk25WHt4adAJnKLckl5ep8sAl+Bh6XUgDJUjVyVn1Me7VompprU9/0f1oR8lRPt6 KeIkRCI7mkhLkczPUMio43NU1o3D6UwsZoN2FhSyvFYhg+RQ6qYfJ7Sz1+ch59aIm5i4HSr3TeVw svlNG3EviN3+sKAow43lBqAccqccAEMFQiYaKLHX3x052c9AUcjnixZnO1RoxyFJnmqdtYb7O8zB P1ro7I/O+fdhGL5OujhNTUyB093+JtWEpmfQsA0kepohrDmoVYadfTZKXGE36GFEJ94lAINZzU23 5I0CuPGmVTQiORQ/I/YeXhrU+dO9WRdz/JUvvzqUq2C+AleNvMXdDkYiEZk3Dqf40fNwrx60nHYx qNz/5Zits5awc3IzNv49mCD+Tq/2I1/a78M/kPZCuJxyXQP+3Z0upVwzqOCpQZP/tFl3SivBbnUQ a3qojeFl+drv2/lVyNCykDi9taY+bzgCfZlyeumTk+CKavTJOp5TpGX7t/oUhKu7KUlVqBGxLyH/ xz5g3yWmh96YfjbFxxVy/dV3JLPBr7phyPRYA1VIFhBhOEQ2SMCaQ01DC6HkL20Hp3McOUOCw6oL m/bncua+Rzlj1lvneKgvpZavlQyZSS6xibz4+yFABMJHEaRpZLvPWYGhHR10usKEX5D7RdLS8GM4 g9ptv7gtFmPBeRBTb8PoOrT2i30UP+9sRuPzOMCQI3xwrWinU4C0UlsRLYXC6bDA0MBfBjamux0l 0mSU/3Fg7J+CXag7fCuH4PKX9D08BO6gTM5Gydh8976ods6pE2IrHYcZ/VoRwFKFecZLcDly/CL+ ZI8xI1cYBR/eKbVUy0brJWdIokPch3S/vxtel6mSWGSv9uO7U+0qoPlERcozMrtilxw7dlveCdVA ooH7MyE2zlNxEYAfqQmwwiEZA8DgQrHntrfFB1+/Ssnpd/CdlK/ghtZSmIl8cp9x7m8ieEw9b/fe 7a4nWjdJKghWdI0Dq5XXHwrkiFLxAYQWAcyvbKOipzxWskE0ZSQmwkylWNdhLsYhzh53jOXjI76m IP4XszCYien+rJelewnxtNBsjp/DawEkF5wvQP1tdi7d6EZM5ONi8uhoJX6fSpaJPTZ74Pz9JXF6 7+3Yndxy8u64fkBEHAARP11B1xZtgTX0BCArGfYwf9Mi6pt0GpkX06LtRfSiqOqS140Uh87lsyGP c9PtUGlgldTP3OPEfDgbKtqVEJ/k7iHtVAHJ2WMvsK4f1F49ggIWDxdcFFoQyj9OVHvDtI7ZmWGP ycsrUDpfu1SLCHYqhbAvy72vAPlpTwcxOzm7RgJyVY2CZ1UFNfSX3hfqWXaWM4kcM/hy4W8p9woH OHI1eZzRIAIYy8RVXXlVvr/iA+AlE9ui7n/FWRdIphpuptbX8ugasg/iOXRVJUJMbpUw5wpyJTLy aahMkAwnUt+SqDNCqKHCwBOm++8eIM5spRq//oqoz9RwumvNVCC7KydSG9N2lEFnDwALosAY40s9 MDrrVQRHBPq6tDHj5bdjjPHr6S5SEsVoRMf42c4yyYGeeOTSnCplIv8Gs+YRuvSB0jnZlNdcnvS4 FHf9PivkFl9ZH+uO+MjmAMIinfBiQH+he2L5c1qRuZNDIV/MK6U5ZKby3PZVotmvKlECSEEGhWGW Y72Ogm5CIZZZnUeawNA9e6X+Sts8QQPFQOgEk9C3OZHCgBnj9BgiSNYBiAXXjQvaaxyj0QX0DeQZ XmEAdMulR24JVtml2xEcwW7rDfr1EtpsMp+1WdyKbHh1kldAO3Izmn+ge+qfsg5nXTq+fT07EmPM 3jGqZr6emX6BqeR5fBQYK8LDEMzNUyB903d891mrsHebK/iQXua7hDI5/vTI4FybrwpQRKEmc/lY NfbB8IGngqnNJHD27K6mWX2sMfOZ4cyhsNXfZVrUb4vifUMgYSLLX2wub25EPvuM9rfLK2DMm0OQ 8O+XJMR5Nt1xAbOkCyo/kjihrD4NDAKlzDrP+fsDZ1xe3mYl603OXvsMh/XTHNShknmq8IFGkXVG hCwSweTrNVx0ohKs7b2ZiNZtJi8hU3P9We2cfbyzavAgiXnzq8FN5O0h82HKE2+TGLv9D8k8VUfi ImasRqABf1wMIoPMBkLvXwMVG/1TDHxaPsRlSQ3RX7DPJaZwOb+FGzpxjIJQP8eVrHn3Pfclzihy IwplfXXUBwmTalZsGDJNR2s+BdewDd9yDKL2wmKbhGir6+DLNZrvDpA5lmLv6vHJfplGmljuNifp 4HuSizfeWEdEcAPED/QNjkCP1DjUTXD2A3flY4fMshs4un1RSRjDE/cxiXWryz4B+SCe3LNbyGpL 2fCF3g2+pATrAcZSBwPFZysjvkNIzN8SQXxR9HHU1JUrUY1ociK0+WVkxMrlzKata0FiqJ7U11x0 Z3ykk6pfJD2x8h2MaFBSCdBEcDW3ksSIO4ijUM0lq1QTdbzlc6oh5g54aKGjy7bfSCFQ7G04LsWs dH9wkOvyNy7i0gaRvEWV6kiATdW8kRXTtrEiGQzg+jxz/2EhkA4Ms+IPwfMjYyd0bNS4DZS1dULj cDdPe4oyPG+c/KRaN/eyl4MnVV2JlGSuGqYfVgfQu+68dWOXgtcsndP11REt0+byoLwh0ASVMSp4 +AVJikkF4RLxmlo1CwfJf/yoDVyuYDM1Uf3T4ObaHX1TN7sPkRGFw1QRX2xN1+vtoulcOpWcDG6B vkthiq0dDX49+wjRQsOPecGO9sc0f/q2/ZEN4iywczcpNa6MG6JsFfqT9U/Ug+3eg2wueynd4a/D ExhFAuHw06DY6+tkAoy7dVOHaNd/B5xwqyL9DVaObZm2pLf24PtYqOT3/ACSPsKeXDN/b4C6bFgk pgnlGeHd0rQdu2km7vIHhUT0XC310SkpmAcPEWykWK/LkHMjQ6FYJC4HkGYOLeOXlsynFBGSzUx9 p8OFZMvFwtV+thaPip/FM/mHtvR8tt32PRa4miau/mSFiPNDlWUqK/HRqzMQfVpYWJ5GaP2hIFde NR6jSICTw7vHkKGtYeQ6vm2YB+NffBMkNkWkSa1yrT+noud9SrQga1TkeDEmRLYwS7E1GvMPofQH mmXDD1LcBBT/FXkIC+7eG8aezTIbW52bPFX+UoO+zZDAKh9wSeJ0VS6Djk8BsTuQ4vvgWfeXleHS 3jdR3kwOA3hInlg1VdM/iHw2bfs8ztBsTzEzGBL7zqvkywUT0X5/tRSS6Wai6+XVXGGyBrOnSn8l POb7IqTHRO6R6z0Sp1/NiF2aumLxkUr7m2fPsNNoxz9RbeyZ3aqu1hjxkDfT+FIJpe1bU9tHT6KU Btw9j3qqYcCGkVzrGjsmbMh1SZckG2h29KWGrlt9D/h8P37I8yj3y5lVluoICa9eXTkQ1O/ofFqv aBqIjHHAWqzBvdbuIYqzOwSPEiCKBj2bHPdSdBuh3R8NYefTJFu8HEQCbPT1xhK0jym1yxiz5O6P DgjBe/byUBRL6q3uThLMlAG20eGpRG0X6H4btpLm303jlS91xXa5ChZ/KnAJ3lWCO6nmnRUkV6Wu 84UsbAKFveh54FS+6Ak/x0KRfMOXEeekDzu29ZOIi0nY/Z+sOEcr0qQ8ij1+O7UT1um7IDz9IMrd 0mM0x1InaNrVAUL0gKD0gzsBimgGNSl+IF8Ru71mTh0mrFTjp+HycxrwnLhc4UUTsHKCfubqY0ew iaJ/KzXGrge+cCax4Esj/lpqlLk23bvjS+AT8+qAxMKZl3nOjKmImWSeCNzYe2hm8TvylmbItTOh AtxswPmSQrYB/wnme2tBe+QNm9ztpF3yStibKzDrQ83nCdsdQWnbkxmS4/VyKOFQph9ruZkDxF/6 oCJKPbkAocTJkmu6aFc8VKGS0IQJ94LlIvP07Bm2CVFkL6LhyIekmmbnYNEPrwQS36/3qolAX6+e kU09+VniQNEwXcGsn/wgIRdGx9JwDYbhfkqDnbTf4lCKanUp3lLWSECHk6DHOxFrviML7vCsvj5d 7BveCZfQTSsBPPotEoT5wHG1mzQZHpvnv2+ei6bjZq+T7cLxJ6/WwSXYzDXF7wuOsDyJzB9gkUaM 0uvNSbkv7Krafbx179VsCTWGR8iiP1Uv1FJSZKHbvWcwCQhxBPIYhfX//NceQNzUtu5zwDJX2339 12qZ2q5Ccbo6tU/Qwj78jibIEUEoPsLHl+z3lBb5FsD6yhZCrD8mkn1Kax5nZhocdRrgI073FTFr edDeEQodIVYAWerqOlQd91WossFjbYLCn0a0v72ZnikhfnpOFYqikSM7RVlEQI10CKCLQGB98WpV lryVl1VOlJ+4NjUzTgJoeYEloJ2DW3ssKvfS9iGcQn21OB95TWAVOmpKP2Q2giy0Za2Ge26+n1/q bjv/1l5QbTt7ao+C0lpVqdW0ILth42MFtuLx0biJAeeW1TTTC4RuS3C45TAvK8VYnDo1xMLjR14W UNn3IZy5YEigym76iedNwQ+wI3Lxf3vGvoZipp852wCkjIcvKDyujPDCzw3eQfgLkpYJgr3Z88Wj f3E+noVlu34LDKutOLIGIjDxJQWVA0kAm5Hg5D9PO+Bpre8s+pZ4/mSi3GQigDsgM3KUzuDfDJrs s75vLrdtvwSKXBhhW7vbKmkjVITy/1y/C9WzyhBuJCmJnMXyT74dLxYjN3zkldXn7R3TknPG/+iB 4X4YDxEolekykADW/xjybyaQ8VCd0RezHGURrr1YRWPOmUBPKqXEPoEw9WzDC7h0Sk9lJ+H4m5V3 8RRb3Fgl38Qp8LreG2CmfI5CcluIZbkiy2dnzEB8FOVvkUWsg26D6K3HaYDQKedABqQJTdUljdTI ph+syULsanwOVzwYKnEvREji4N2YEvAkHZyzB2CFUH8Byn7u6/ea3JTPDONDnEhVh46aJtT7aMWj DPv5auwafTQpOX7y/SSxO9A94goii0WvPbO5KMK5OG0P2m8o+WO3bI93TKZOVfwDgXSLww8hoQy+ erHwPjsFcPYhCwKSHb5FKnqu1Np7vnTzkk/LALtmHrPbY+mZdRmfGLQpiO+C2oUneL7Kssi9Iuhc j9ZlobHdVeBTP4dlOD4bJk3QmGmYX9SkfFdTXV2xGlM4Df77/l+bIa9PDk/uDsx5F/XjSSzkKUSG MSoC8VtlanIPldB3IeMOQE2G73DQD5RN9kYWfbu0T0o5W1RxdHyvneUShv35u+vSHTbK6QMzj5gA VLMARwMAdgXF7/JJRwoRhWE0kz36beCkRR6Zl3Vaos36ZR/UQsqfssBsTBuul571eMmRrhtHSW3T F9u4fD6eajwHcLvIHk8KcTSCbRkkyFpPiVhV1ctBqNl1q4LeczIoEiL0Ila2j4uRhS2h72IMBEqk 2XNEWqvccAUDRPEVQjvp8rRgNawvZTCLK92RAObDZMJHYRJHBjck/L9w3gngsKdtWkcehlApaEPn LTbr9Eh7hC+mzBH84xusqLyevPhYoM6hFZcf5O4RYQxH+3jaa8XQ3dD88iYAy/bcQGlLhbS9gvro NTVlpFnYflHArZo4qy9/I2DUwsE2aBBq/3ZlmOS2krpUioZLfv3jrLNYAtyh9udM3pikpFJRN4kb /y4ZGu+rdPw98CPGQXDpKfE3hCV6gVFoqnpIyPxmIwtxJEdMNe/lkyeUgENDwLtUuauVxIqJSvJF yy2h7GpTxQPBLgo0ADe3/DNE6QQ7sGFmHIadtRhRMDoo0OBn7EudrPXBVFbvRjudErOJi7C7sXAb r3AendOjC9XK1hdmxtfNdCFG2qmct22raQTvwleMjTi2pnnyHzur8BQY3i8vXUhHFawJDX5mIYgg X7mE+G+DQzTOgyFMKKf6MIivf5mZyEZQRcyJQvyj0jMP9zIQviVTgzkBNS1BGia+cLnv1f/YcOZz q3TkiLXX0e8QbV5b6ShWn5FfgHcArQ/oAV6hJtYg3Q49vFk+CW1nsl3PdoEuV8hxly45QIj8wCja D54uKont2iNats54FMKqMzoWgHDzNwGo1B+5f9H6/7w+vCAKf2wQt+Xp2yS+alHznIYlnL7TcilL Ji8jMQ/9gFcFVG+iNDQYp+I3jU7krMFnA5/dJVhUvxFV1LfXo985M/6wLH5sNXs5tZ8oUu2FvCjZ ahfTVKqvzQ9wR1c94KkB1NrI82vveP3/g4/qb4uk6W51v3zGlNt3i91T76fYw76dDQrHxthhs6cK JvQI1DadmgcZnrLwIvuEPjoBNWgFt5kgI+K4dmuKGvHgBXs1bwXCtdwqTiYXXW1fggFg2MlX71+G l4UVfs6VoNaoHnfWvAgBHH8G3ddVKijm+ip46rBgmiJEQktia7MmEoEboargczZZ5LAfBpf7036k ErMBciNkDdFl29xLGnLb6DuVFZO5uImFFmrLulFwKK4RRQB1rsI85J89ipOuOvyii8t010TU0PoK l5ErFpQczm79mBgev1iCbAA1j4C3Z+aiWZ5bG2SZcVacegMkqUr/VwBfhaMFqetur2S9B9a/HgQU ndxMHwED4GXTpXhQlUWd+YHj/V+xFL7ERXHz2/SX3SuqnXxMKmlXQUmRJUc2vEWLU8qH23ttV+l7 ARD13n7nPsALBdIgr6QwWfLQPWxBBtzvGeGIwncdMFewhNBzm/lYGW9eVsk1l9cqsvNOS5xNwpda pWRMy0dU7gHpasXoVt+fffZWxI6d5OBNF0pB9puG99cyP1hi/iN0wA4rY1+Y3fdkXaKd/rAuwMwM Oy6aEwMk9Md27PvuiYjuwdkbHeOZOS/w4yEpc8ihpd9HQn1Yzl8dJ2hqpxsVaH/mR6G32PKJ2SLo 6EQISbVlT6v0QOh+YugFZ6YQAjL9EtmlVH3YTLhOvOZK5yFLF8bUsrl5Skje/GvPjq5ni5C2rQb0 /1uzFEtpQWwbt0x0pIBdGXOo2tZtRseH/qZf59G4+w5IKU+99BiCIXrZXohrcdvsZXDyO8PQbIyN aq2ppQ+KF9YSC7yON1vLIPWmMyIWHL0jPukbstvOnXeAMv74X8dXQcKFfTT+ZJALqSVTdtTizYGD tFi6EtojHUJAtMFP6iEpdgUooTlkOaK5VubpOGIL3jibQu/B/dC+gZEGiHKg6gWe6Y7vTAkOfl7V 1C/3XK1woLtlOcElrN5+cpKxqLersbvT5CHLzX4uayUt8/e/TbFQFH/xUVCmaPOkGUkI31ftpeT6 eVe96FJNsKY7SGTb7T4JIalNWPFDYBcWc/oxH9pc0jijEG1BFZZUUpRNlrpkE8VofUiLdHGzANvs vbkCgWFIb5F6C86UyCzQBXclHaqUI9Q8l10zzG6iKlZIY0OaZGMPGLyLBr7DCvVXpeu+g67kcciY hbAeFC6ags1YMQz9SuPqV5oKoE8L6z4PFErYDMlb+6at6xxSqNUAjjeMlbSlWNdqXOqphz2TSImf r9elAZmzKibXmVKnzT1yRnI/+GjchVU6St7BasFxmB4nAMQLDZdyvwbIDe3LNpAgb9CtcMfm/ahx 3gWC+YCXGTeESqcQYfylCAphARxG8h4aLItEehTSVIA6JKz1UXf4Odrc6KZRNtoH1ZNKr0RS7WmZ VcYbZbXXyrutzUl6JFXjw47UZ+wJ/BrPVHOIbW7jKz6mqeL7vaQiVMSjMqD3ZPVgOafBhuCN0yGE Sa2Xswe3yfleqsdlkx4QQv8sJA3QjlBqhd6hMthX2RB8HPOUt0GnXQJoRu7IjMdowm2e3reTGvdT 3iqcXKFQGF7YOQQBIA7s6Kf9nkztojqzSmCUZoYQW149LtJ3n1c6McQ3bv5YjQbLpOpGNQysvZ6n HwfoJisXA17TN/KZ06VfZcW4A1oLwKrNQ0RKMYVLfZxwdw/YJdQPzKmepFZ0dWQqSBXM/QugD8NL HZh15KGyV0oP63mEbalCzkl6S59s8yNGDhQ2HLF4HUlZ5MsQ1DaU2CXf5tN8AAmwvT9uYAfIrpZZ 6hBpRk/a/TRw9rDvsGGNC2vSzaYhrogW4UbMY6KR7GpGv8e6toKeR6oV9NAq4eAQavlBH4x+VBRc ig/ejMOqAOViiuBqVXvjmDWcg08zeF6rGrbcmwS6RLAykPJlvyaliAnti04uvAYjTeW8qZAZEjL6 K1XRNbek4Nc/WyL/BiSUgC3QR/sLni64tTM9e445GOuXctZFSFfbxLcZbOPJn/Dy8KiE6ezOOR9U K3sXLbtI/eaxw//bcQI4TAeQ3Esxy1LeJMpUrzF4dqHLn/hUuoUw7CRBf9Xrp+EraM2mb+1+/sOQ GkQ6L/OIbXuTXm4xOGy6b6aVmSnSO+zl8nOe+OZ6YGJ/wh68TPos5IyCjM4tb+onCbgNwnvm+qDp cEuuMxtXrldtMrqu8NR/422Vyd9WtRWQUsHUw+ryNc23fTYX5Woq9g4svZyOf+arNRqjCfpTC2YY ZhqqRZyBSvurLPiGAt2HkHXse2PITXBW4synP736yWEJ8jGHhVG7Gk7v6rlkAufk1nUG8f4FwQa8 VgUmtU/8wVBTOwfguJ2fTzf/iKKtg/uIsSFY3Czqmd94WVc7IPBDJkBJtLWnNDYM+U67dUKeTfz0 CN35aj3CUy7TLSeDiXdas+UFow5JTv52dBnu30bclx6vSzLa8a3EMBlXpplRo4RiVRjoBFO9ErCR nNOKKexUviY+FZhwb20NY5cM+Jaf6bywF8t3Yt2t7KnTqqHDB+RN05e+bf8H73nznEUsn3d+tSA7 LTZdhAEDuZBXMl4LH8AsQnFLLGLlXT1+Vkl65A0ZuofbFFd98g2YiWwknu+oxxufn0BPsK2BUPb6 OWzOAUdTIerQNU/UGwCNxx312rh8QyA7DuZQydJwCgunFsPAsTbfBQScXA3BE1gKpGyr+8XKgXjJ CMv3u4iM1OCxPQAnT92gO7hHN6CgMoafTvtO+tPLrTp0NJuVvHowx5eTGo0CGzlIX7164zqogOAn YDNev4oHM4XuOXVaulalYqFcgzJRVpm9g6maRhn1wPXwO9kW3aheXzKaCoW3eUUIdSDvWPCmy0CA syel4nvkY3AyffZgB2DUKFU6ED31a883BMPADx14B7pbWHv42xopv7zWXSxk8R0ecN8kivuFUyBi VErpi+O6HFE5WvUtfQ0cA32MfR2EhgEAmscE/e/IWX1jl5vpfPIvvKRx3DQ27jsplb8CeUshm24C IKS13FjXHQv5PfMXmFgCCJYwnCKh/JrABu6nvUuEM3iCuco2F5/hAoGLvBI/YQa18CB2ct1rPF4M 2KW1Qe8qGjciBQF3ttBX/xdFTAio4NzVOn1Yfmrlei20mw9vCS2lwf21hmPvSRSr1Lde4ahAh4Ro fYo6PVHmoRfkMT74Gh1TiSQ7Qs1MsLDXCe6JB77lERHAGT5P8Vj8XiDrukpwLqOCTkeGjp+Eq7AO 5kHMJafauz9iLCm/KVbt9TSmd+5hg96bbDhwvGbvuoUqaPj2/IqafbkRo1hPwT/G/2UNIWbJVN8u E4hp6TeLbTqyBeWOM1tDOTjoLUxO1iwm2rKAdQx9eRTr3zcJef9WHOL1a5t+iGg6znDxV52fefmm p0C5da862jtjYeejiseXj+xH9sRc6nCQkj9Zfhv1cv/7c4cee+ft8O8W8NZCLbI/J7hVjs8bHaZg MxTpaI0EcCu2f8+652sR0Z5arDzhmNqeXsx3FeWtBJCms8GKlvyZYhH6NsABrRU8yRd1A/2utF18 1ERyeCe8MfdLWz1CLAuargeykUtvfa1rvBMsc60LJHrm54F0mO0Ymidp+zaJQdKNGndhWUp0AU/j 2pBh+VUlf7rpj1BHnBbitWZGqFQB90sYBtU8p18CRbR1s/ZHbPfsWFTKa5cjxBHfMjouEmi4YtiW I7mpfKmXrmdwqEgnThsCmBeKmKmtd2BvtL3iYaS4zm2qrqEHdNTMGqqVvKjVDoyckCcmhYIZF8oe 4PFYN3wo0QBOWXbXkj6hiGuS5KGIHXqbq94Ks2/uarJ0ZvrJ3AZx1+hBEfF9l5/yxgbQ6JmbIDHL nAZZ1eXg54LXdueCYT29Kjy0OpDCOiMg3jmAIzUVWlGOoGXIgtc19KEUQyAb5Upa3alF7oYwnTF5 Tb5uLAO4oqEx6kWezPOPNqpeQtSNl6HSSRE0bY05cU/+FXnIt7ekWTi2j1ZzgOE38Mg62KR7VAXM 4lmt71o/bFlUE/tOHLDlkd782TGLTQ4dI/xLz0QocFZX1ZHTPlJW2ZJznJzBmnoHqYCGJautt2RC oWhSzzQNVv7anNpRbUtVPNFYjfrUZ6kDV/eG3uhomk5zZHiwqOwO99lgIGBE4KBY9lsZaUjOOjUf 5NtKNRcaat1B75+GO4borfaQLpw+B+eS48qjrxIQLn96MTYVGvK2o4CcnN7WpQ/+vX23+4MODhen hdeyLKv96CayX8n0w/ef0CaVzICPJ7h+nZHSI5D5DQKHjZ6/t5qHcj3AWe75J3W7lgjaSmJ5o4gk 4VDkN58QDqGkdKPBYzusc2UlyHOUjdWCEC7ivTp5iEwRkQ6GmCdHM4BWq7G64Pl+uD3IRTzJoGvp Lra4s1UvO2LpxZwKBP6SFzneG4oEFmL4Pzlk3PBVeJPn248vnq3/u6AIiyrJGumgZbh3nLYCrl+H idqHdlGCGyI/Ex1kNuuqGNy/nqm08UJRWW870tWgZnMlzSMKClT7G2DxLiVVK24bZoPH/kF6clUO oBzZM5KiZMKQZ9bhvF7SpmMlexC1TUVUIkvsgr6zi2d0A9vsMCwIpTBHuKz1OoKzfVJwE1BCFkOd +EpxaVmTBijdSjOEPv2oETmaw17rKaceFdKmYZgTZCcZctdu06sEei6wvuz8u0qhUHosml/ibhqn LrNReSJCLkF8dyBf5tZC3hDqQfGvTdLfF3VHThC4pjPd4WxHsKwAjkmF1ZH/mb1SVBtX6Upvwgn7 Sj49GvW7QTeHdCN6pr3UsMea3AAoy3S4DGh4Q31OyP5nVmr1aYwZ3amXacbj7KckDDJSdUtWqCl2 9EEL7xabZJRBAj8UVeuXDq0RYII+OkcnV8rMddltAHXnO9p79n0rHLbR/hPPCbHAPHtxo8x6lkYN ZXN7PL+jyenGLtUA4vlCBT/OSX5CB24ndz+Uh+ZQTm2XI/fClrrviz7EH5qnALLnFK+7UX4hDOLY zKDOE5PcywHgiijEGQODef9ZQ5HgkyRbNVTfTHqP37cX1cEpQLKD27XLfgjaW9aTwkUuzfv7qHc5 gi5R+xnsfUxk208uzVMzA0wpF3ccx1LJDMTWIvfyIqnF9H0wj+HNxHh8C4V5WoqEtZRptyXeR9QI dCS0AyCUHWLnX64/JbqtyolCeAolvqG036YA5l6UbNfdSDm+CUdl8Xl7LquAwCTbKJJ8dfisG0no lodNnS6yZhH17Iwvv0+4b/gVNqXbKQ4W6ZMMKljrjPWKq6DfgCUcZ7fY6Cnnjatq6F2PzJrLsMsJ Tan9/ZeODLUYjccHiGDQ9cmu97Lyi6y4QDWTTTmn/EqX+7mORw/QpkOoiKhBWg0yXXIKYPFeQG2S Zyb0jVq2+PDvrsroqjyGrawLSM40O9GnVPNQKiB4RrHiAIlsM30D10IuQ67THmXU0g+mGfz0apXp F7VFRZUQBO2ZKHsHhV5+qdIkA18acXnbS8ggQdk5X4hBsne311yOy9y1CpA8pc5PGbBdQFBSwkZ3 WmxBOjIH5Ehazlqc/omZo30tMN4sZGx+WXw0F9NeA9i8pdYwDFoDzpOYHnyr18u5jNgiANaeG7fO Qyxk8KWS9KJCsdGborHY/gkZQlE8mxqUYYADgA5wBFVblX9MtSfGC2eOy7Uza6vdp2A3bvzhSaYL Umiqfe/U/XGyhBbuvWZY0AJMnN7RIxZPK+js8oI992zIYxnHam4aaeEMpW1C9GR85lbdl6aMxI6W gZrObGu1c/snELljGoKhNy6HjYtvcq1oImegfvtznUusX2J41xWXdsGOmX04KX3zLnEEv6xipx1T hIWbuJ9R/A/K8+bpPkp6/xmndHCMMGrfgtlHLgojqkWjkxVfSKBOhybdddLqHcl/Ve5t0FR0Ozpr sed1znpNwHkwhwsCADABbCS6HBBapcTffzS0rk8yjdMfTfzeBSkW98v6G+jAr6HoXAtpBrILUT6v bCYiK3mIyz1W5IvF+QhfVhUSfUsxuSWNJMnzmwiSQlKjkx9Tq68TADocYQGmVpMD50n33WK76QtA XoUL3tOB9biHn52WEICV3YW/SsN0gK9KR4Q2IV8AA7ApuTFZEAK7DVqpyLhpSwBvRC/1oezNpJfR d7U4VtdB1tbNQNFCn7CPs1ASEihj+EqQwQQbqdDLPKNXU3ULoA+ZHWuAgXNtEpQr5rKb24P/rltO Oc3qnLjOXBEetwWFYGbS7KrhjhWDbZK1dXaDISOnFocj2QaXA9hoTQRcEoD6Ek1xP8aVB7b/mUoN z3obw27fk9iA/wYvhuTiNF1Tc7rh/97M+bZ6184LkZMYseeSwDKMbYkYCFWZPWX8SWAcCLJS/IpY 2B+FgjX5nrw0d3eILK/bevQMEGD+CXXnD2pzsU8BevDNh4WLh2P3MfOrBLRt+37VLS6EfzrQNzvp wvyhbeme8MXumW89U+vejWkxPQr3/hSqQVm0mU6iFVXetfy3XBIShiQH82FtAy3Liguepm8WjYX2 MZseK09MYsSOk0ZZMq7v8ORsRkpm9Zbd9zXT1LRR76OxwXJiprOaXFCSwSPaU78JyBko/qq3uQAT BWEApVVhTwmqVYyc24masBHMgV/HwJfBReyHpKcOLLSJ/8MWj2C/WISb0k6zEysmx6jUEu3C1dCV 6l0/LlSwzQ4jqlfV2kx1FuGUzAMtaVhPcD3Nhkav/XumXvw5ZL7GQQV5LIv+WfD7T+9TUhXCg5FM 5I1mf4HahW3RdMqaIL+N/xgXfOnzSrpOjQjj0aHhnLIrK8xVmYFYSw2AA0noUqSDYJ1uH7P7Nhy4 hgxneRvdn+/oP919Bj8XJ8ligh9lFH1CSWkKjUTqL39nMGzmGJq4PHeTvmzw3MOKD+ynHgx6agjv 3pjEBC2HN2hG5UEHq97hQbLVk4aqT7mNYz9m4E1M/Y36wwsgH76TEhryMG2uOfyjWD+plmCeVuOT +ScuFGAjlkg+twkeRP6gRR0bzLMhrPN68VhOPChhKgAVefSRsxImwNH+Z1FC2dSZdolrj36ENf0C juGWpNTgZ9qkyH1iKU1hjX8F7cjXvmYGddMFxpOF7wwJjhZT36Y7+liB1Hz8p2JH1oznFVF21I77 PHhLkOJpR1BPLKeUA+y0F8FKyh1CAolBoQjzIfXhZXrVty3zcaS5s0khAsX70hg+iJIdXBnB2Phk 8t6k5jgqBFqjWZlfwBv+thVbOKByO/1ryiLshOceufE3WQHCzg4uP4i0htumlybqBD1Dv8S5ITRL TEJQq3D7LrQTiQJ9kYMkofJ8OTzb+vvH3SNC1j5AmWpXMap1nu6kktVLQH45mbtsBgn0qZoC4ciW TzCa/80A47IDdRt4m/k4eORyXvvs4QFkYAVOYh6WCOnznIGsqbty7u4QkhhgvPnG4EN+s1xixInC pWWXSlX7cPT7o2OFFhQkc4ZOA3SZFejvM4S8bm08G0k4n1usq87+jK8ZhVlICoJv+MCs8pmmCnEi ogEyUlTUwdlBMxm/Nau+LwpCsZjmPoAlSPa+/WTpC7T2ShPtSpWNCt3aTpKiAvRzFydJaubkrhTb LnSY0xp9MGKZUAS7jQKybop3IqbTxFcJbXSZgbEAQzGAnNRhZgFF4+w5HANb3fsBGmDQV2q4bpNQ 5Zo0UwbqjX4OCepLHHKG+S2R3yghkzn1ecvDX+7YWDUmIJ+ThqZzMqlsdEbHWphEiux24fM6L+d2 GRpymm8Oc5AooPVfUo3/NBwkqzoarmZJbTypMzy/69YarG4qe9+cZNbVShqoeR2axID1TyJUk/o6 Kz5JAJpcPNWmlzdE62nVYZhlzwy++i7qRtMwM44XIm0/bBC5rRye9NYUUPXX5uk2IsyJ2VO2xs6X TF1vp0cPzRw5EVRHQFhHwUaDq8pKyZTssrhfHyJzAAAeT7hj6ArhqfjabfjqSmOKwHAOL6inmTwt UovHkPhbWChOlrJlRrziYEqcxNMxFL1XQoT2VoCFRaSCHgn5TgG3zmEOcP3Y5ktTqxw+Jq6rps0+ GTL8I5bjxI1x2g28lzpn8UGItsk68OG3BUbEX1NrSkb0NEi6MFQQvrcdWijxsCg6dDzmZo3XxK9C STASq76l6DMtjlY2Xn+cLMofMl181AS6RXbodBhSqU8Gw5kMD2LOoJUnU5z8fQov3/J/hmWjoi1w WlIKFtLDpM2lZ8cKFrDxyaBW8jmEaKxczsSf5lUqNt3rFZkc1g7E2O0brJVZfVPtV4pUx588KBfu JyVuPlDNh2Dhbxss4Av44vebmU4tAcZYP6S3OsOsTlzeJdjNOpVhF50Zg72rA7EXw/bh7qRWi4mY qTmxjJQB+mnTFyELcYG0nMjGy/G2gAJYr3/AwicLz/l866/fXXjfBT96sAJ8V4F53itvjHjOiG0o kCqdcOfwLGHYndoPGu6tjKDow1XDnUBbAHy4AtURwzgvgQObl7ed/Fmk5S0KMzbtzT1KnQ4YzWjD trS+GNR3MeR3HjXEQRnPAf5Z/XsnAlk3K5QRjTEOhH0SsvJsP6/75B6R5VPZABHv+3m5hhHjddao 8tDk2PO2I/uVlTksXn7kk2gwEDRi2GnKycVYPJgeVEqg2eGswa6rVRE3nVWzhWiHF/VZF4RMSFFt DTMysPRlYr8RWnXTddQs5e3CI0kdOifHL+YSwRK3is2YsRBI9Mn1Ok7Vzjc0b/ve1Mdb+s7Z0A34 sIT1+x7Rc+kWn36TufCdVprC8dM3rn3eN11Y2T52zUul07vzp390Gr3lWpoK38QrCEUlIy0zOx+W dcDKVebj8AMniv6MyWeXH2x5sata1JSajWjsT9YRY08Svk/uzn4WmO5VCP1jfLIk3q9Psid8iLCz XZyskXeNFzvQyoCrlMBfOILJx9t/xGIoXOFHTMdAdpzIaFwGXGCIBRzZqgVjNaxgnZBpOsLbNaJX zxBm3tyH8Tx8A2A5NR1MbUTSS13Gt1cO0oPWGPT2AyJ7zStSYwOJGHBrNF5RrOMNrt2IzjbMFIgq YViITgYV7Op8MmVrbDDWzXncBZx/YvUVODt+evDZhvzAgxugxPR0hET9J5s7XLxKkZV4d6ycvyTw 9WpBeJdMucptLBXLPTm7zfvVWYPx+9PBtrqFkUUQbiey54WJCo3AN9RfutHX3IFiWbjXXZvFvDHh 0jGSFCuzdduQH6dh54IO75a5zc9b2NmyKjzhRQH2nviQTFEk46aJs2WZpw2eFVftcfvibzoP6mha O1j1Rs6FMJzmmAkGf+lpk1fVdBS4n9DMGKd6YapCLpQCihC3ozPOMf8YF54YuD9lc3eDFEofFWjZ w/mf0yWg8X1QSgVwbKjpdqk2EnHx4gb/Gh/JVOW1BirzV76PTWm07RWr71snJZcZh3yZkKO1BpQd wIlsGH7clniNqsLMnO6vc5Yyzqbg+42n9nzYLtsuxJUKA2wQUZGQsf+ofGQjLmlAhuwhsBsPvQ3/ rQNOffFl1tQkUzWyxHWKi3QDwMe0KeEJH8NqPFmJOUyZqquFuopjl0nwojpjejeTCgc1z3xTmRnB +IXG4RJZiRQZoIyK0ckRdOTZ9j/8TO6de9rrBSFui0SbmZod9MZ2wvQpwrQqXGy+EE7XwvXSZIFl vQdGBXQ75EY5h/LScag4CHI38dJ5nNYPdHNmUCAv6cG/uKswkHP3CzpfwJ4LR7/pc/ZCFjL92+38 oFgqyQDKQpyoaySJyCfN8BmL9TRokPtYbDbuVEui83spHywsIXMjydvqxPwkseXZUkeqf0bRlMjI lmyKKPPd11riv7/a3W7ewVgeMWJ5srDA2ndRVfcOMo1McQnzSRXhIPV0uXLtuq1LbCzLR1taIWgZ SNR4ArXpY+mM3AvmjaAT+QqNwoKZ5IFob+5yEw8zHFMKkxKhMu40vsHyJW8qpAlUAy35/T6UCpgU Q0pfi/gEZwTw6verdEcd2WjT3BC4pHqx/rw+7eO8Rj2rbx3D+AEYudoulFZXz7ggavA7PFPZ/V+2 ke1oRcUj0grYtE8T9c60Afs5buHMTZryKwspdEuTvfggN6a/JAgdbbjkWM38Wzsp6vxzkmwlMqSo SdGfSGUMgIgX6vM9dGVm1rqOD8C/UZjYRdpExNrbymUoCmvJPs/DszwQoTkzRtiLseqp6pp/qPvD 7CCZjP1qMgrqJqDE1DkufOBv27n90x0SpTa1PlB1C4ChP1KWsAuWM8OneG5/c7O+Uidsc2c7N6DA Nxjecog4DR8RZkvcXcZpegBDZQY+3ec9fDIUFnMBWPK8zK/c0yJH9pQ6aayoig/akZtkz7PM20vq g4o9U0DaUbQKud4T1VXKT3omsSy3Wo3hMHrhGGs2eAhU+M1QYbiyKPMB5197Jwqgf8EpAMapGzBl xnE4vwzyIbtJ613HNwJgIVmEyN+v0K+4EW5kY08fQAxd2KHApJBulfC7JUtbczlku1B9A3Rqi0OE gZZtsDWayw9u4HvSa3rGqLDHRJndLVlzP3XY7P0DHv/qS+E8yN/hbZk2XYFX0cpV6icJoNfX6CwL 1oAuj0GWQ9h5Gn9jhBLS0bc3IkBgqDOAh4TCj5ZRePT//5sMpvcrnfNPVr8iiHNIKvzvJcO/eUNu UGp7nv8axeL4+EATCHvSz4cQYW6YHBCbaeXNO4gY2gp6BGKFANGcJyTqPRSQKYixkV+vbUEtiNtT PR4wj0HlcIOQx2MnHnAogO0/CViSv01vBVN4qzVQUtRAhsZbtU0Eb2hGLYMrC62S5sexYEZA2Zs8 JdLhducmWoKrxcbuDD9ZuNI7Jr1tRvG4qYxBJ/MPouQTpqAoo5Dl2DlWl2XJ6bIzGtFjf6fS8Med HlVDJk7bMh2oJTXWsCXTu+wJFewr/vIPjxorlZrcuqR4Q0T48939oLJUpvEuLv4DkXvKb2S+7T7O +2JDKI2o0oCG9WvF0P8M6QK61suUdupSctDvLoZlRpx7noEojfInkRn+oYNqfYEa6Huj4wHTqoIi 02DO2L6OfhURj/afI/xFz/fKE9vln4uDQ+pL16Kuu7HWdUj5HtmPOHJCx22RW1U6gcAz0hjeDRqA RovabFeV+gQ3+baFEZANpn9FeR/zz/tQr/PRsuT5Lh0j0O49fJ4GADlYsY7iYbLZwQT/wfW2Fmnt ssgk2JLm8F8PLd1bf3aWaO/qaHXqPmaZreBv7Op9FuqSDQ3VKOVKuoLKNEet2ZHkC3GevOv4yu5F JgxPF9gCEfzlafFG8yNUDfeNIjaqugCBwi1buQJnXQ4XlrzzujDuCJrx7Q53lQiwbn+hJ1vksBYh l1EnTaPVQI7hFmQrekBU/5NITo8P8oKkoQi++/imryo8ORWVVUNhWXv/1WLl7OAUBrSnXfp/eME5 kOXfMF0yKRX66opKIoyblzYypSA8RgHr9g7sZ2vVlNBxs6PEjJTDWE0XaZaTWYVl8jM352FuGGWT CR5mDV6sNoE3EY1EKmEpCfe524QQQkfO2XBdgm75K0cZ2E3s3eBHEFJ2LjvuKX80nzKZW2vkirVD CmlstLrM3KVsKSfbCq3S7ZNZJA1vzYa3a6v3F0i+7H7Sa/qbQwmp3PqgKdHo4W+9FDjsSx6ChVuS dXBtXl4pBbIMB1rx2Fn/5FZIS6Fq3eswKFFoKfR6WaozMe5Rvgn4HaX7uQeqqkN5834NI4IqjMPh 30fEmzDsW1FqxUhEWuw0XDNbzrZ0fH7aMENRNjoCXg853DEMwVz/74bOWe0/29VTJmJOufqWg7IC WnmbIGW7CuBr1KG2CpDgvNfzQ2mrn9zqEMi1Oqb2fFlh5DIeUqf+ZDKPKhQl7yoBt+eBLB4PbXF4 nq+ctJ1rbLhhR3T0Np0XOGiwTPT89Ku9ieISbb8egKOSKkcvuUvac4M1IxU4RCfYIyWauuQbINjn JT4R1/fivH8t6d9LSaTQ0bn9Kw1Tyk09xfUvgvGZTcK+Tr7vqWC+d/WFqSO5vC3FadQssUnio7Z5 JWJof8CEDdoLoUCm4E1KTl7Ojz8QiPq/oND3G0y1vQ6DubA8mpLT672KW1enficdsC5qrktc/gMK Xoo6MXBvQA6N8hxup+Kk9c483CNQ8hS4/CBmML6FKlZDOujXc7SXLb66YEMYy+iWWSdU31w53dur 0btFlhE84+mqcrcPvr59j7wT0WpOfhnu1ZdWjY6vgM78GoGPek5eXuotHrniIqSb1D5xNAZ9NK0n 5rNZFWzIkMgDm27igZ9OJn4wUM0VDySsr0f2lCqtj2imTyeoSmhdLjl6uWKZwM4nUAEbDRZd5DHq n2J0JZ+iwNcVMJAXRqquoES5v5DQ+ylrbFDcCaIXzXTh13/lelq/xGaApWu6DtbxGtpzcTf2+UA6 4yM9rS03SVhfV39lW9svspbaOfHIWnNQY5erX3snrZRzb94mQK9fAjRq0d4uc6QIB97ecMfGx3/a V02XcKyRyExEQFMOFqgSRQtBvht/SDS84DfnldgoUFLPC74Mp8uDmFQK19I0qdDRNQFP5B9tQG2U sU3rw37fvYkhni9DzPXvaNAwfnfocdheBFeYz3btUxQeFo3XfbNJQcnzkFUo9TMD2ebDrjUofEf+ XvGn7WigDphdp35Q91LTnTXjXIyfknfuB6js9WG437uZNYbE1GKtjRAdvb/PAOELhUPrSzcalELh ubVP7czPJN/rv3HRZKyB2NvyjgSV8qPqgTBRPWNd9padWCoo6NI1hklEVdEkvsvQDIbNaNJ0/Y/e 3WxWqvO+XX+juXmukncsQObur8kIudxSQanPmCHwSjDtgJnfGfgistYixHqrBKBqNZc/1mOdlTzQ fkAAYFI/XwIP3j+bskql7SpKJOunEuMoRaJR/TlmweXLK9MeTt5jy0KWPtTYrYqmphc5dHYrKEB1 V5FUY6JgmBR7nSLBAkoL8Gmm4rloAkfcn7uDjTHz138rCpM7gVv3jbrjdHV1N/0Z6CGtuWV+6j6t t07s0NbkPpZbm0Rtwyh7JKkTdpl6+gOE3yK4oFHLNoDHKIUtzDbtgPFLAtaXbC5mccaSGkRnBvSL SbOGehqfwCg9UWEt4WDXMqH57/QSl/oZvpQxRT/z4f1ZnrY2JH2/7v2NoBpHay0NYAGuA30ucdLB o1lW/TUqYq3sdhk8tRp1BLBM6iuoc44NAKGvJGe25qPVcY80ONM3gsJxa4OabUEFbU8hc4igObrC QhAIO2xE9ZDF3Pq/RGExlWrMlL7LHV3o31xkl5AOPb0fZ9YfPb2vz7u3VAXe4vm56pm4pE/EkVq5 NXVOH08ugZ/mqP+VdrtW2LIdjmJoDrImuqW7n4sakHeG8KnB/mkS1tQqt45T7G+0wAHAu3coHM47 jWypPRdLv+6o/ka5+U1/hc2VVWaIyHNq7wqqKUoN0llHo6EzE5pBO2saznPhCNz7eVa1aLBBvbgb fiP8edTS60yuqLj0LYZpaK7c96mIrcMgN4MDYIRIYjIAaTPoR753UNaR7SdFt1CG6K8N5p9ZA8bq Py/2PO0gRrXgo3+cKDAWbU+w4gN+8lD3lizzsZ/duxL069MOL+JNCQxZhdt1uatXo89u+Kjc7Nio 3N0+1oxQrfsUwo/Ps6j7cYI7EmC+TipYjxK/eyCMG0WFbqsyAzLXVyWaaYt70jy0gobdd9dsqaBF 1/PantPczTThsde0eWz+NMvqNapLtKUXYtXsNJSdr8MT3WYTQhbRKH9SIakzrrxWTpa4FZTZeb9d gJGhiWKVnUxhQCRahrpvmsXoZ/XDYrBM+cgd3lAQ8YCm2OGmSHC7OR7hK3PJub3tG/HzaPNQjlvR DrFJ8rnLwDu5h6P4rdUv8dplHFC6B6XZNOMZ9XGPgr3Pw1L8guKJyL4uh8oKUW2JFk3FfO9VVrL8 NJrDGAadbqohgWjoW+aBKpRiDasG7JbDVZ32I+7WE1/myfm5n+0AFpiANe9iPdqnWzSTWsFbkKL0 UxOeVt92gOgVvTfsZTOIzpkk6NIav2o7uKvliVJsyHTwQ4sS5uMcTjllXgvKOtJ68zjG7ZjvFgma eF1tT5CdVt/nv8KxuWNvH2etp9qH6jXsG/Re8M8eA8oVfirsEqHUwPOymLNmiF4As7zEHzexHhzL N7abyMcJjusLqMYF8i54TblLcCbSLnJRPfrr/ASiEFc9QCNgNFQd7MUQQec6JjPj8BZlEDTz9Mq6 capY4iDForISFTbjxX0SU7lOeGmk/efcux5OGDygmghhiJpbEQ+5FKlnPI1bvfPCJY9osRMozeyb e5xijwkTZeMygL1bVHQfMKGD6fikytNVTQR1vkAbpiSMVQMgQ3pLkafADDx/h6GvGfuI27/HcSo2 KcKczuRuEMCAnCf7HS1bDwpJ0ujahDTCrAn2S7RUdeAifK7VklN9/rdDKP9mpJgwiPqq07gq4cYx NLA8gSHgAdrB577y/1Kl0uaFhFAgPMhP5ISMKIykvNlYuWF4+XJpCibYs7aKuqo+hgiFncHwHx3t +YvYu4TuMk0vDSX2k0XGUdMKPoB0uNIAh3ddkW1V/eatHRUg3ehQmXNmTMkSzAY3jvvVFFrF1QT3 L3n5bKXtdSEO+qzXNBAXM7UEeASRXsDYeWt1Yu4LJAI/eY5rBNMNU2xSYEuLefKanYeFZrox/EkP 4uxdvBoHC5w5SX0T9zdZ8xMExnwHkpyNL1TTw3FMap/84NiNpl5pnnJjtiMz/uvIGkAX9FOj+J+b 9o1UHVFyyXNFt5RarFs3q5qjFFJ9nIKr4nZKvwEPgbZTUx5f77HfjgSEJ5jsrQelv7mwvw1KOgcm 2etxldoP0JLGnZWF6L1SUWrfLtkH1z9OmciBYyB07AhCeI0J8NQ11HEUrqR8DrTCEUOmHmnAaC+T 3PXX2WWoP0N14W9Zbpc7dCkc1pVrhf70JDiJZRHu7t6RCtQEUGCjwYRuozaEmb1wSIs9cI5j4KHD lylDtmMByZ/rX0TsMEaqYSVISl24X/ZAw6CKJEBj8N/QYwnXCpX18ovobyECtW+4y/YnDx3Wf/TL wDclHM3TCCEaHdSrJ0QFhbP4Pvq/TBibeW2A3thb796v9gfIbho6xFbFjJrFyvJJlYD/VNS5guhy HWpM76zHMlyJ7sqAysDGWlCGwgiy3zPXgKrKfCAznwCdFQ2IRrNuPGOBGMFpTXKwZf7r8WeMakF/ 1fB39wb/xDjGAol4fQWtDyna2u+U9g2uWq2uSxNIQVN3lnW+Njcb9AVGD1M3DObmhgiviP//7CAg FGmgwvzuji3JaId/t1rtU72xHeqbd4e0Ov62D1yVQvXKp1VeNWCYr6osrMCmLSsuskAZMA12h7JH mXDsjV25wlkcDUpzs5W15ocaAL1y2Xg3ytw7OSl91TdLTA/mSLJjC6P9tzGRcjF0F3tH9vgvqeD/ rgKwwoxkprL2BKoMSkLwouJp6wAqC4UV3NvUQCgtKrvQm20B8dBJh2X2lLtjWLi1LPyQqD+S4srk ImSwsgGUZbb0YJBQfJL/cHLJ5EBg4g7Y8G5feh/k81p9Bs8o5QDP7wbB1LiB91yxE90oKu55UOId IqG/alGl6NqWdXBmWGFD+u9k5hh8bRZbVP6HLAfxtJ7Ka10y3P4MNLQqwK4JAMcaENa3q1sq72IL frtwYfGGiQ3fUG50vw81ub3UhLOx74SrvfOKaViRX0m043xWTWEYwsv2Xdbc8q7KGUDilnL5RkaG JraxaRtCQfjuOpGBcJD6xDgGHYPWeqG1L+d1SCi90B61SgIZTJk7Y4DReXS8bNj59GI9RJr4+F+y 2tC6YaHx66L8GEv2annhFyjhArxYfJF1lZoh6X1nPFvUYfD40+F7cnHfOM/LhVfEydca9QBclkr5 U/akMqdevqnXTRC0cs3qnXaFl2kO8eb0kxtwZOD8iZgN0dCW959J5xRvSV55Llq1GwEpQxElpybN 1uJ8D6hJE4xZXoDWBNiOjlNOemg3IUBCh0XIQuFc0Cyf8c4RwoJT+KGivQqrhhuq1bGDB/y0mHGh kAjbJWutayfJB1mv/O5ar1P92CIx2QxjLj6jbdG3iwWlg9BTHFNsnE7Jl08YzhgID4A51XqYRYix FABYp5x0m9HvzdAfqNV6TeGzvHC8JCEr0AkPNLm6YG9UV4GN16eAtOo8g+PBreuprystiM3EYHfJ E0LpnKMaViWHgJ/YoK5rWJWL3ifCgiwjolsSpBQGAEcYViKj8Vo170x0saYednQ5Wj9ijbDWGR3l rsvHcujGSwOv5tan+eE/djEV3DFrAYf2Mxd7ovIIabXlDGQfk19MW88q31amRR12NP3/oFLRu3+R 6xqg11IOpzFguGx8LNsu70/UeAeo9pm9qAEi4EukBLvIP+m1nKa1DsuN56PlPACTAs8ao+UDUYp2 vEk+kKlbt3cP0euDY4m3zzJv5YGzWF8kNf+8F6usw7fgkVlPJ6qK+kCBsP1sjE6eByTLWK3fwcD0 qLsSkeNjvL5zDd+20JVooyhUmvR6s374zXYOOWYw0JVMCyay/INZcuXavPB09v7EnOS/0jCW575i kJL1wzGVVG6S8GwMRjU8hFA8C2N1P3j0S9MwGwyX1tPLziitTGNHRAWbFlyQVkKNxQQwazPa3XEZ tUVB8xlsP6Un7nV5yi1lAj8owBHeWBUtV0lR59m0bFt8An0O2uKueVPkD5k2yw6IQ6m5nF0AHeeh xhCDrXZL8wqFdLM4urH+yez7r9eH6jYpY35+R5KI0LE35VqrLfOrf58f/fe3f8Q2voKquxffMdeZ r8CjAulvOdTJ27x3jsOBMm5hhjrbXzOLZhr8CTrXOK5P5cEPfo7CEfrX+4RUX0CpC7j+k35bdikn eIKFsp1fqPSy5M+YdQaEaYmVYQbfVVps47rsg84uTyM5bYrLIbVK7ybbCeW06wb8eGHgxqY+UQQg EyiqN6EZAJxDrl+FsrrSPUxiU9UuD1C+ylwdhAPcK8wkWs2JvO7qzRtCoh4czhMV2vRmTb9kGIM2 uZeFy4AdPp0ycZQ5hPzbGjqcAyXpcwteKwP8I7FYfe5uRkUJg3J8UFYzEEgaFwt5EBKbxKYW76+P WfIEwaJ3vVhJgkJAW7+huac+qFtu//oSDlcIx11988E527KlssOPu1sTWULOOSxPzD7/x9rCt3HX VT7uUjDpm0T+55AR9SxFT2IkudNgZpcO/TwvMd2U8cXTQCrlODtuciBq0/jrpdXCBjW8EWY+uZ3B 1R6Pml4uLYKr69KuspJ3iWjufAuR9FkS2rtpaR3h3k917tghQQjfx8h5wme0lOIVo9HFm8r1TPml uglwFPkVNKy74711AAAEH6eCm1xxBBxU9JiqxWKEkQiZ5Nd32rF/yqUc1AQSsGx9pZWdmvRaEDrE K3/GOqtRVoGM36hyGqkGhkhOpC+R7hlDYxcG/AJ5ba4bghO8emb5bBdoWeCvOurhmaObOgRjQuLC LalvtOrbGKfxcqHZSRWA6F3xOMKKaKo2AYxYO8ZQZPsbV9VhW9ZqkSQ7+vHzvn2ebHTACqQdTSAh 5FPW2CMC3i/E7pTY3njUcUAjgOI9uuz3z+9jp3Q0xlz2aODbRkyLfpkaKRVKZT0u6tml2h1cr5+L MC6ScL32a6SVGAvQw/LSr8fvbRb6DpBT6tOgVot3snNJYO+CHvOWNIvrhc/5vVS7RIwbZPf9DkeV oAWeRq8QM4n1Ui7fiNRb7UWEexCyFkCXvLrzFAmuuVt4AnAYx5hWiM+oV1/6FfbWuQ6LKeOGeO6i Of7rNwUCQx8mVRM0Pc0HPriunHDmWoMS+zltp9VDfEjOq2VvbHjiQbY+qY8r0jQJGRC8rezui642 g27YgeH78Rs1LaDPXY6zxKwoud0W9789Kk/rE5zJlYQZ8XypzN4kUJfNaL5Bwk8ryLhXjuHfSuR5 p+rRItnqunSm+Z09FLBUVW3JsFl/Fnz2ZWdDNNrgBeowAogQHiy9At9a9TWyPfJunGUX2hdE1Gdt TV03miePAOo3ZoyjaLvYpbakdFfg9zm5G9xiaQ2i5sDtdqWGkUX8Pr08al3iXdJXqy+dNyrOtL02 uDIEcQCY/UJbhyDmZdDlEf+NeqEoBCPGuDvR21nqvFBspD8f89cQ35hCFZJ6L7ccbDJliWd+iffh A5pEJSTJuHpTCpEHvE8ZxfPrxfPGnlIGwr4kqt1KoInDpK5ESJB3boSPgSI8yGc8vsDgrh/o95nA DC8DFqwJJ4L4Uj2Q0PEC+FjvVva9qgSi5+7rq4ow+ofdojIYtRnNmkYupevgutgLeAHpMRxXEC5Q zgpEPH3TdLUt+peiKJhiBHvu/cKN4Z8pRsiTuQRm0jkxfJ5d7TseiVBYDgsqx1kMtPDhh416PfoD DyTBTT0fKo/6YYNRsF6NTzGWaNKcOhY1t8a3WTHplayF+PAPx1LObLdoID2Mlh2t0QXjWVAbFf68 HGy5EUmMEhLdDVRGtZzRIUx6cHBhbSYkjzxhYUzazUfelEkIbkq9PXZpMZthIL4vlS3wpXXb0gkT WQ83Y3LqRP6mzycT9wrOzn407C+an2SWcQgBHIDi2h0SNor3FBao/fnYN5r7jxXFA9rV5uvMQIpJ hiXc+2w6f48x5q4dguCz9sX8SHKDM88cJwxkgiXLHtDuSpocFhgwykHuy+zGK5SOVQWERaN+OYmD rYvU031Yjaby3L6wZVqeuCPjFpWZ6LHr0fRkMDGnYhLhYgqjA6zKbRfSLLMb2MkHRT3T9p7IK4Hz pBKKyJv05czI5TBsSGJLg1Jju+2cdMigFXkSghRtZHSbk2V6SVby/ZNoXSJI3VZxRLGRJxrBpYJq 0lxrludsmTkK+0nOAExRqE5P+8vG9SVdlCj3qu5S3S1p1WLDxjzuKmZXsqs3v1zfxCO4V2P4MPOX bWFG5G3trn2CQzQ+IXiRy3Y4BvabAHHc8e9kmBZ6hXKB1k7xcVmEHnjRRxKXen+37ZqK/OVxtdGb i6wYW9X4LSzVto3wIQIUxCLNWP3LboNlwlLdC5XF1Oi/QJJ8lj24nAPxqU8SzwM2AbxUk4skQw1c WCRALc3CDXTQi+ATW0aaU6RosUM+gqVQLZgQ1BdeomzJWRGYRw27Wp6tO6MgkKjLsTkvKDylwwZ2 W+F2dQMnY8VChgf0dKghcrPBIBIZv4bzvE/otWphHxehkRvdSHEkwIGEpdxCjPRgTb/vxEV/csQG Q1CPs5p8rtarSZ+7If7DZsvIww0V6A6fxbHN0qf/H2ggzZvk0o7Y1T9Zn4cpxgKA2ayz3UH+gn4O NAwi+kGh7zsqNhTSvBP8HOzQn9Dix7uOCEsWUc15rA9whgZwOUziEhbqBMczn5EKOhrOGaXkq/1I uoY030CwQbnX+iqOJgCNfaI3IBM83OuqZ5JYX70DdkLBwXT5dROMs72aQYhQmb2Ss4n6MLt7wYNZ odlxFn2rbG5EqKdja6S09wxji8WBpOw+VH3JDN9yv/HDHauVpuFhOVZw99dG/Kj/Na+zzwgpxRt6 kgoSuLIxgrfJ7g0GLMNjTA8mu1J53G9W1t1tv+Jsnad/NL5P5+qb9RLZmBcduLPxkCXZv2iir5Ia v93sBnlM2FdaKD2rPe83oveI8SW0K5GLcZG9obHBpK5zbD8rLU9qWb8iWOz21kmUqSzMVa2+Iljw fX+PTlTXHHptEkn5m8TEeSc2BOS3h+clIphPHTqJ2coH0L5rLhtiRdFqjhvPiLWG42BBR0CQaQsT Hye7G596xalfg2kb9thyTeEN44bKAzcv/yKbR+dFBIKPn9TQAS61PxdnQYxrMUEBDIPb7mJHUJ+L MDo0siYQKATlFzE59+bx2/RXG0vUC403DzTq0eD9aV2Bx50/JuVZzFDHoeqdmNtda+c22vJLqra7 xlqqoXMaxGjyt/fmPTiEtczyiDAExNOekCLmLPxTu3ZH51/Xq+9HBw4VaPNNt8770MCvfgHsplUE ht5VtPLwm5sp4huM60S4SFX1aPVp9k//HP/qUJSkD4Q+S7+J6xbb2v4uhBaURRaxcrtvTvL/cW/J 6/Pt+o91K8oe38X2yk6S/iLlPGK2+3Vdu48PKw9WFRPXpa6H/riO3h9ul7g2YIOIJHbWHno0uvUU YnDt6xtLbMjHjXwcNt5M0FmnOPJHSiPEBOS2xJ2E56Grthl2bskB56Mt63/wY40V7B5xNMic0Vyj XxgeKFfp6bWqWDy2MIjI4WiWwD+Dgg5fKTJYlW7+xFEZJiNcXhSuTfJmu/VySequO20LmOBbdowW BTe0JsoIYg8crtitEPb94D9x2o4FxLlSrTrALPOGqjgZT76FKVOVJNkOFm5odTeMiyJnKlY2Ziup 2G+2/nr3PDgrsJIkiOjU/WCLB3iTssTkuk4LcThoSY52ic8J5be4HAFdek62LFndVFvKGNa1ZwfP IrLJ1Y/2g81nJrvp57CbPlU+B1ffn5Dh7oNDSkXlsHZij+QdBwwafKMKDChS/841oQx3FomiAZ1A L6yZBxIRWb7iJK/SK2vqJjSt0O+ey6M8ICt0egQfx2sNXXDsZdPbikizFOw+qs83UF1hUMXGtHGn HoyPs68u9Wd0cdlJHNhzmFBDfwbxtxvIna7pIN2IFirQyQ9KrkQVj9dsn5HSeoZiuJzvLiNHhTRC Z2DzRSzwReRk9cfk3ldlFCvQfnoXoNBW/wvyv9hb7WIBJcwVHgfxtKNo+CJ09TtkrjBV+Rdp5nDD X+t1tzQvRGT+jmP8ltmBLSc3BzLlY8QI26X7O/JTbZw9+jdPpRB3eI1OH1KlFQrC2Z0UqQ2gvevo ORoCFPJHbG5K8KlfstjSD2/+O9WXAD+Kyu4Lj0XiMe2jaEJ65LxmrFrD8h47graHv4Y3aMLybgGy rvJLyh5tQ23ZuHQKyV2z9jL/LryVkyAyvSNprGjo+6Oud0vJMuK5+Oj29i3e2V18cRSRqp73AyYH H4e4pjRRfQIX27h7eqLtLelNRm3GoftO/dN2yJ7hf9p5eNQHQQhdASPYPnMcgNj4UwKS8ZHw8gSW anqXdiWeF+poELc/1lXos3TFplpYXNkYhDXcF3wIg1+UlQUGPgAhsXJZiKUDIl/gA4TiqH7ZggqL OT+XvM05HPday9gJC4IDo9JOh7yZlvXF42OuRWN6MRQFuqBGb7fMa4/lN/rAEPPACLdxudcBbNaT cN+FH5su0RVmAXRHtxXGIDFyV+8kBlpIjCWbuia8O4E6Aj7a5ccKN/WLGX9C1EuI585jgApUXUBn 5vwtcJN6lZX7G+Suxq5bK7hwO7BFLgMbsKggl9+p1VJgFmYhPxSmgnjgGRe4q0Y+4lwT2vAzfjsZ NmzrdqPG7xsvhIVizMLGffktZXQuKshS+RWaFp/JQhesINelHf2zx4GIo84hW47WFbRuqHeALGzX gM8V0DuBOPPuivUJMv856y33KPgA3nFmwwY3N5B3OeT8Ca2f/2GzXSmqfijGDQTvI/tkF6Ormxxi lJ6EYf2hTe3sN/6w8quBFD2DzNAejO0ySiUUrSQrl3skvemBszuXV5Rbu+k+9isd2c8tNpdCcuTO GaCPqI7DadI8hRC4sWFMUw/hggO41LHB7NvP4ypJ60BIO1WCoEWy2LGzPXYV83hicaa58zyUVhnG fgvq7BW9dI39Oc+PmAEhaGKTC9yIL91BHQIFvbFSZ/cbIXZP718HhsnOns4RpcZJvvb8uJIdlUOE aJNn87kMROxnivE/453Z+BjJr2h0XgKIpbULrNe8fDiqJ2SmB7eRt9Di3qIYyIwSkKi0oMJ4anaX o7xokyO6oUkev1KVQwkC7sb/MnjFGrLFla7+24mzivAFiuMXPT5bLGcBPLLGDonD4IOkhYKyQjcn 1ytIGkD2FejaHSQpx5GCn7iVGykMC6ndnOxtDFrCv5FHy9/NH2eYMKA5USlNmHeWyF7pDKSHTTi4 a6leWDDyRqk3G/i/ZtRl8tKLu55WUtxGZRCQl8gOLxOo6eJQr/Wgiw597bTTvLjLs0b43r26pon/ wJkNiUT9TPC08t6ZOK5reU5uDGr/pUksNmcAnjOTMkcInZxMatOw6vkg0ycO4QoR6G52Wgx7kQsg C9lK60hhCz9xzkEdM6fQdhxezIZG5+w930bFTLRAIxYgK2B6HbPN5DPq9maInJ6RyoWt0uK5XBwf UuP7eyI5H9bt0alo/7xDF9FRaso8zUxj2J01znX+PiZbvfEkl+ubAkb/WXSQ4dHJpZ0qo0RXHiBA Rc2r/H0Kndy2Vb24eAYvhNeWFWvByC3QM5KfEjjED+EDJBa6dxoHJUxpY/9Nfnq5ekjeippuGkMC tOIck3bfHi1jg0O8yE3nW5siCcB78ENIRWVsRNYFgmU9WEG7LGo1dTD+fs2Atz8Yuma0zbvjB8jr +hA+8aMIidvht1JP0/vUCklQ5EM12zScTLB+Eh2FUNmJFbVuDnrF3BAJVR1fbX0rJxHNBZqJ6+tm GIz/fvqaK96TjsJUjkw/OYrrLls9kp5qE/Ynmwt1HmEBZ2xocxuYP8C1QCxSwBjtXDZWeNI5tSvO Qm8eFQA38j3BVTAnKrFvbdB5ONgvLU4d8IdRdRHnpCOjiTitLyc8s33kpYwPDZYkN6LNMsb4eU1M 6A/ICTh/K7jNihrGBPLIp/4MKZKbiFcLdWyMa/lSsfCdHlh2xbDqf0YA5Z/b4DPJgwZuKSihzL/n vuOZ8OKoJjXe317iO5iIs90bSl09KlGCjt0/l6aFHLywUE771ypLi5TDT6sXcywJPV0mL61ApzXc DRsU39uY8h5sxXzmHUjneIjl8kEUOj7Y6VoSdDSeYzNrgfAqTO+uUWTbTodAS7eczq9WVLz0HKPa 3hfj/uIn9Mp5UNqXK/CvEkssP/KLS5a7ZqCTuFBNpvuQWBIYf3oMhdCngQLwnaM5CoVDSrRmjnx+ ZM4+M91s4G6XCHpTgjk94w92+CGyLSFf3oon6Np6oDOg9EVdQ6jrdLd4OSYZgl9+aS6SebRoT3+v WwYZzEh3zD3f+wvwlz/ZpWKiFRD1hmhoh/TajFx5RgseCyInBw1kYRHb+E6UlHbp7Tl9ohK/Tgzq xx1+NvZKshp6x5z38BBVfS92ojQ1RRxtjqKCaiGbDzLkn+99ZqN7YIJlj44EcAJshMbPbfsUQG+q Y3sy1qhGRUVUUDpqRDuf5n0WQXREoTALG8uLdeu7aYxsrmHiC3HJZWvM9jbjP66RCQGKpTEijhlj QRKhcVPDpkS2mjEjoGG3G6v898df2LMsbO/XLsIuuTdXMaMc9mlQ3m3Rx97h0uBCyksyItO5wJdD VKN5Efo+5n4rXGwV6y2S8rkTzybq7B2h7T7vJDhvRrbvgJ2a0Mhu5FU6wJvx+5kTOsZa22neaEqL b84aSZlQI4iWGgmGKlKW9fBigO9M0PrOFBPX56QCZJHXAASjTW3D8M13keCBeNqAjqN0D52RbIji p+YuBg/fD3MG6sI/GY+8PM8UM3d4hX0Q/8OMlC2Gi4wVXmhBBrcqUKihNSUNAAn7GpummFXXDlUK ikSMo6JCNyi9Ga7bK02D9mxUYcO69dLCo20FYSyC7LPnO2l0gt9OY1uY9Vj65gGE2QTTWQsJKhtY AQSj5XSC2qF3gGk1PcOa7mfNL26pPpbnpj/Qfw1svDZJtR93nOQlr66uYzIKtVZFAf0W2UyqnRet Y5bNKHSJ+Rw/46fey1wLQGmyKLNH9qMxFLjoJ3yjXQJgGEOGv8q0P5mEyhEvjqfQOxyHXA4asm45 Ak1Fo1QFGp4VToSlLNOQQWlZl8/bGisWk0tRMqt/CADJghKfoSwAGVc80dRd//YUyrylGJF+bf6T c46KG0DlVJSsQO/ikz13OUYDl5z0ICrGEYh21+gsocsGjmQn4c8rzCIZ0VISiqaciRh5FiSONwFV tpHojLMB5G32SkhK8hsdBnE8NxGlC7g+YBaGFxSHPsoFoAfcOIJIHwlfF4TEANd/MFDXU4O0hXVt 4cKNlGTeVl/G1aq5IKvPprm5fEQus9bPyA6zz6wVUOfCjRTRtp/CICipmKEjhju5eF1U9ur/LSCH gFGBu4pYMiV8BbSvmad/f2V2cyB+F1dj4K6bmwuNixVmdl1OVkFm+Pjqa1/HqV9zhRUbg3jT0hDW 0gFN23rwn57itXsRNRzV94rtuOfT/e+V/tBEjPJ/67tBNX6FZMQK2//diEfnpQD5B4J6ruLa+/cT VfkHJvWJrhonHEiWCjefSL8+gZHo4wazBb6OYE5j6sZuOkf6PcOFucdnzw24FzISI5E7jKs1dwTo xhydMBPOG1msu1S2ICBZKxgoBjw4FrFGMC65lnIOAPXHscGwgmn4vRHtsmOk4ttQCEo8Iej74GG/ L5RiFHausOe1xTL2vg/fmTLWqoMA2SAGiSnoUEVbIFkYTFDYxZfMkKCBMR3Ht2c+ygpOYaZs2uWs lDURijAejW9sQidSydKPo72iwdhKVOygPKQF+FemyeAqXEEcxPZStJuBlkEHxMD6IhLSHJVmVKk+ UuWVNWuHS/imjiCFKBKfcm/qi6IXpRS3GVswZsBjlIYZUK1ucfZk7qD2N8/atKR7ZXOLaV5RmAIM ywepRsEcLiFMZdZwCVjbWDhfslMZbbawxiGkY5gveus6iUKSS/bah8QSsw4Lj6uzPryk7UfnPRY8 WgOUzlwlJ9zkcPMbYFsANaJRquZ6YKYjRuqNyzbUd4fSkSTwsvMi4Oru2IdPJ+nWuzCvJmjYBDhy 4kV6f23ggf9wNRd5Q8XHd2mP561i4Zan9LaH5ztKsdnd6tfdX6oXEH9LKF0n0F0KtLAcM+CqXNuV 4DVwq03efLHaVWV325vSdX/JAoYKKfNDZd2bFsMiw0R1t9nwoMOael4RSfn8ZWMDdVH/F1U2m2g+ x6S0aS7vZ2Rnq5NiujwzdakxiVCV7Dd4mKP5FJiQvhBFIuNsUbx7w2JJcrzoO+wjVwUXlzUHi7FJ q2IdYN3phriKFOOwC5SejWavwQO95Xjijib62DrDkO4BOdMsbNkaLRP5z9Xoq6+LPEzOZFoL82DN LPRAP3zz2273Gh+62LJQdrCKomo2vGnk7HW7aGPle3UAOGzBg8YIM33MdSj4h0I/bXyaW5ZB9+t4 hURfPONcCSZM/AomhV7ZYFvXTG39ZOiT+y9DbpVmikX6lKw23xhlyFFD3cmH8LdCJRXJu6/qx6t2 WnhI6m9HAH29Ff51mN/lsMXT3WNjBHAFbdAe8MHLAA3D1gLNvAI3DjU5r086ZF8X9gFB66tn7Fo7 OnihLynFGYkT6x7EMe5v5CLmhfBTJsBH1RGV5q9UN9PWADpTKo1GmtuxIJUMaw0yzlfFFFZqjr9S lXi5gdnrfIYaIDcPHJY1KNuGWNRwJwUTmZCHukeyFXx4DsKo0DCaqFRsAH5bm6VwU7w0bmYEqGvB Q5TJcBhs5o2u2O+7gEdV6wGjMeMnHH25u/TCqEQkJjS7GcpJ/HbMQA2jD6wl6YJ9d/DX/HkharoC gGTWr2zWETaNTRD2KUh6OITRpeUzDPpoGShlQU+iVjjZ/dYguFVu/A4fFQAWAmzSStxSbIHPGszW 23IbzehvPm/c3PJxHWW6zx3RCrkfLuK5YhBybhHnOy3qiQhoVWSSCTVF5yreIsuf9bFGrorYenw5 qqXK0rQX8BYoZUddAIUvwgDAG16bR93R7RUZXAC5WyT3lov4jLpvBQF1sjDZOM1X1hv58u+AwZ52 KEH6f1ncHuPWvNomnhIh5fRPDgFHLlqNpmeWvZh9FOSsGtmFdVkuuumke+/s1LhZNa3J+hm9x0WG tfqbPV51ODzbJjr/wO8CfUQVvcVO4BPUVRaZ7x6pJVqpAk5aEX7W1mLRLo34Khluufyi6YhanfBN rQFWuPX5r+sREmOIA9j/eTIWQXE5Ol8Eza+GXO8ys0ygyOi1iE1l+Aekp9txGVCbNkHXONRAP5DK P+xS8cVgH+iVRBnd/7hHSJkN1iy0mqdL6qG6JwmceheSaGAK4P/teHit6JaACIR2F0Swf4cTB76C +sS2Ic3ZVocsrt9U5Mg3UQ47264m9RrVzwwvw+Kp2R6e3HZsJChF/6S5TbAgc92qL192pxnLGZk4 tOhgo/uAHndswvsVl7UhazTj/w9/2OzH/3MBo4SALJ9Z2auDI3IWPqhwv1j0mQRBdkcPLbJx7SuT AxZ4JrI/t4UvmUoXLda886FlFrrHJ5Am5Kx9TKjiyH3e3ulSis+gxzqI972Cygns3YpvQdBGbmGw 5zWsFLMp1p2RzvnHrW1LM240fLag6Ad9xIEOn6T+ydlqALPEEBzPlunYyWLAqyM44IO3uV40WWR7 oarrI+Z3mLxNnNYzY/exoJsMNGvE4BUvCiqbCdEky7fIez6tQpjGEhfQHqVCK9DcPnzDzjSSKpeB 18VfQ8HYbr1EgeZka8aILnoiAYqN43B4F/vEQIhMlTdiilxPgOo58LQ0UAdnT5eSYjoJ5ESox5Um FdqZlOx89GKpdMG/7ZlQbnXyGTj28+B2E7oICAJV1V7aIFBygtjby3/J/Fp14Q/ZUU3EmW6MVn+v nMse7e7clSqSAkgR5IgOyxeq/mQ8qrEl8XHlqO/TB5rzgZ35CTnIIO54BzM4mwe03pZHWZ01Ug5y zWUEynbRw/Ta+AGDyVQqK/7eJUX5sS9lV9hIXSkU5RxSZTrfhL3T2OS3VCCwkyjKq5kozP/asomx RGvML/6VnqcW2PYGpq20m1uazKucN77DWkHzZbrT+mUBo24HXtxlm+bQvI8JHOkrFxfoVEc+nuYO VjdqYxciOmiXnNEN8/pw8sbHVeotpJI9YnVMjq8Jsaud28JDOGA+5CwrjM2Zc2sT18RpGvwi8uz3 dwas4XXC3znHF+S8Ltp9Bx98hPhuQZZCtbjNdicikyl2iskTlDXL+FbsUBWKTwR6sT/JBqV0UzX1 9qti7mRjjifiQwV4NAQUebdM+70mWGEf8TAjdD4Yi2gyhP3JwkHbTuMS86UfK71S04zl4rJTorDM h1413vINogBWKxOl3q4y1EUcdSmWBYk5Qt3GoVrABDBL93TU/TYMVk/kL6f7oiOgfrl0pNy4sg+T n/Xnh1HnkueFyUTDu/QL1ndQzzNSn481s7NQF3JtlyMSZ7VDd/wao3VQ9q04pisB9Q3cFAdMqGT+ a9ki8cxgcVJd2Q4znFUM7jCTePk1oWctpu709laUJY7QVWTWvK5yqcJq3q3QQimlfd0hHEFcmmGm 6utkv/rfX2+WVdXg759gvRAbxVIlEnnrGWQMvK3wVVsB7sATRhwJyfqjVrnWWEA+CifII461QgYF E8lKxeIRgeyDyWgxNkOJlud33Pd/gl6EAkx6qz2zT63myHF6VZUQEs+ePf3Pv784vv0Zwuo75e/h UrdiamuQAHcppGjyMrZO2EQBvO/HxrnaQER+j4t4BOk9wtMw79Uc4s1huv5BI7bu6b1g9ZVxDvKZ IAdHam6tHH7vPwaqLQfC+805dWGIra3e7AgwUS6BSu2ny2bji1PDe93EVc7Dr16Vm0YAUHU7ZzaO GLRVxuZO0pHXVj1oI2yIVYXCEVepqIZGEt419kC+7xMYjBSEtwV6hE9dYtm24yObgBtme/r3Kjc+ o1YZ9SBCKWSqcBO/jLHvJFE7evvkBKQLjY+KaYW7VWuqxjzD8Nbab5RSevp/gIPENOdXKt10pVoG AXG7B4wQmdNa+uxKMrnT03dwrsBBBjCreZ+ogmqR7b+6EQYHYvCboWPKdTpCGBfZvbpxmWB4Xxzm 2Kl+KyP1p5C9nu39CX75eAyyID8tFvQzJzAKmfKIxnMlSJ12SQ2JzHZAU/CxNM8mJhEdLRpwPTpL diDthHCY+GXRgVltwZB2rPGqJpHPxVOEnsW3ptslbWNDcDXOr2SQsIzHuU95ld5a+RuZEgHgpt/0 qmkUyFOfHPZ9+gPTuO7MI7cT5nMplaz4HBUaKWL6GoyqH0ux2FGugQcJ29WrSvLnQREGX6lDHK8J tW85/dRWM6KesWIxue5MlkC96flQanedq7d5/ibdll+bMJ4dBUMjvXBqSARlvv9vpZI+N0T83YrC xRYE4txxqOPtjOLbQO4xVfFkeuL5v6W2dago1jGOLHustvN9WVVMUvw8DebyXrytxkYYLu03dbLh NoyNBvzVRvQAPoU/BHdyyKwtl7HAeHLs8hPCJAnicp/tLntufefvMciOAoTIEkAIGJ5mIsE3j9YI y20lAFxL6XWCNlp8QrK9ckPFpzseQCklrhLDe7tDglkGMOicdA1ZHaUGLpWQZAezJ477ZwwuMqRY 9GgCPuhUHrUWLYwnMJaDnROQU9SzHbZnKxPzzaUxaZI/qHCv3cPhoUaZrrtDphuSOrYywCZDqYe3 af/Kdf7UGCaJtWk8SEAmIaX5eLTnnUa8WPUwyQtwxPpuThkuBusRan63R8BC1W6kmU/kMLqd725N dRE/s61urszqyMsx7sq9jWWevFpP+fifqGg6Z/SoV3jFMn8rtcMROD2CjFwyw1k5hN0Bzi0aM5vC ZDTreZyO9vxXg+5FdvSkQlcBbu0cl3HtedjdZ6fXEnwQ5ab/OPcRotSUA6DyiWFORWo6Za77a+fh MtuwkAV+FwCJHQ6yFqpsmeMmbgX6DoF0qhlvCTggMxbhwx1qEnblVwuyTZr1Uur1l8XOISQDfPtS mZaZOTqPnL509z83sPFRxrTHiMyeLzKMgzrIQi3Dh5Jf8sdtfaX9SQUfl4TFK4weYpu0UHcuG60l nPij7tlcLHyFeNllahhTOk9F68JGIYuTrwEE63ehBn25oA7SFP8fuaOgeL0aro98iGhPnCUPLpRT iECgzS755oJ3Ar4LzVp2fgXFix1zgOgHJtMnAiagYs9YQeJZjXu61Cs5jTAKAMZeufInSKUNqv8n PUWlyH8cZC6zoTZ7XHHDCa8dQRxl/0AzfPCXGerrv/d+u3oEYw0/L+l/FaNVKb9b+ATlxDm1MjTv Ri6e6P8MPKqw5MmfAsKLidt7IJY9M1nAx9SYB1ehnf97bevICynYCYhlk5SXAMqBH8Uen2wlXMOQ qr73fqi8ivI7QjE5UHZOJwNRoAxRgotmwLtSH1+5MP3cUJxnXOHKChlPfPFMR8ohyeSEIKj/UYWz +M/1eg73BoQMJXXY3uNYlzjGxBawbCuILwgVL0zWvZ5kTFntf1Z1I1X5O7DZXYQFrMrRXDtlcX3E 87F0VcCWg9TufdfjjkZdhmWV4xfJ3W5EKags2bob9sjqVINvDkoBe3fHO6/UMOS5X6Cw+FJCn/YN y0iMGcdAzXZHyeJhpWCcm7wipf7m+tF59YVy3+hp9R12kZSzt9qFudPpmyLK00lshAqFxUmJZ3Cp Wq0gs2sHDBehyZsl4cJQnb3DKnWNRCIz8cI81gpZInVKwIzVPF/caVL+P2FRkccM+aebZRTAUrAt SygbxwtJvFZuHTERbFE2qm9UdrEYVWQnmp8V+DRtioQMrqOeSvro/dy431Futok280YWKCLJDb8+ 4Wp5PouPZYXLWuNJhhQ2dN+LWCuWLikvRQydbKgDBL+Fx90gRPtcrLFiKTLg1OGt1+NB5/Mze2QU 9YfzG+jCZqqE6oqhhPwXlZRMB3ioBIJKcM9nFRk6G1b2nEx+6MdXykA0sP+wTY4X1mc4RRfcp0xX Wv6Ga6DApT8fpbfLS/R/9ecqNuzBlQSPa1MXQreOtrcgwQgPSsOLpHTOKh0odXQwzkbdyvHQbkoa ysXF+jo5JC558WBF0cqG++I3asEj4iz3j33yAMjKUcGGz+ct6gSPYh5u4W4I5TF8RCsbuFKieByB PiVQv70/KtbEhB2txc7+uhcQISH5iQ04w3gfYnCnBnXy4InfkPZ/vO32jBzU399OO+HOZ+bjpNjp Byaj9NBU08mjp3GFU8NNNGb9QOM7cxnrEZElkrMKLrM9yTyeSDq8zcGMtPPsQ/pnym3RfLd+xLOo I1Nfpsn/ixb4PNUKvC4frt02efgIiokpgrF4edhbwzSpDv5RLGkHo7oCw5vxrTn0OS5bBxxKQzfp MLypHejQ+7vTQdmb/jI17/9QeRU5OlXjdRXUfuKqpQZ4QciFqRP1iFI2WBrOCqTPAucwlssDkot+ rPc51mjC9QWhKcT29cTI4adg+JV26XYDzx9QSMuPMu6yIKWcVo2ZrNui8Nwk3/gGZAMHtKOceaM4 1BmCWBHIPhiBZIDVvpCelW89lQeXgUzu95CSAWyikcuomK/UKfmHIsIVKYMWiu/LtWRRwWIHS0ss 5lfNWGTNpGvPogeplETMbr2ds43/Nf75N9zZ79IL9+1pPAE6Eqk8Bz5MRad/5fVS6nSKTL0KxNTu irHnjln2Zow7DCkoKjhEkvr1t1zdKzSI2ndAYoWegRAIae4eN1SFHp6vcCN3GY4lU/I7/NYeOPwl zJjP2w+qW+o7Bh7gO/81VyeaNGNdkOixUCAmxI4GxraSCJjyKn2jS8dqcqsVxvRFs7EpTymdbaBH YNV4ExiHu+OoS7TkHbwiYoJFN0I9xQ4QutI8taMIT5FYrTykeOVA8aDBVNonjxBnb+AzsQPJwZ6r 1uuHD/mdpTMDFoy8FHOChDxtGy5M+TBALdhJsz4EftQj2YuQUZ+d4F4mblVNGRpydc0LertMCsU5 iUyCr0VdAOSJAsO+YiMJgwXFLqOq8ml/HFi+QnA6nzOOb1XYMsmfZPmKPCGhuobbJNU2gFhjvHXt 8dRYj1TuTfaICpImEgc1WVxryife1AKIuKm79IxoGgOGhI+yWOoEdUxCBrsYcCo8D5Ycf12zwzFc xGkKC9/ZbjRv64KukPzfryrSJ7CyTJSeQSC/jm9Ob8SkSRtqJ7mRRh/7XwV5t/B970cjurWDmwui QwB4QiLec9o4TkxgHrM6m8lWa1ML839DRg4SR9zhj7oyZHqGJ7lWrlRI4t5/lBqJg6lIpnYxrtFv itUTEDD8GjdOKQgIU1ncYv7d+nzcs42AbzI0aU66w8+apNof+CnQumM0Wojqy96zOlNp6FtgmeU9 3trv4dMHvUuz2Qk3WtEg/3o5DiQodgUwt3PIR0vwCnOqwAe+vbde8LfwC4EywGGOO0p+qh90nAYB mOaTvGyL5E2REHbAnHaNXWuGSYbGli2dhPjgEBatIjRlqU2R7N+0DwXdNnNkkwXXaW1vLpwXvbIG HKRc9bVPK64c92auGPwTVQTyPBJahHAj5owG5jGBcov0jPu3jQqs363YT/dTZSzoBZlpMmSb0mol pCQvSgXPEL5cxhDW66eFm8JlUHtHZUfddZN7FpHgHE2bhyouNJvsU2peqjFgLPrmIvgbnXkVjtEy /8PWi1luQbPpkxMCPyHdgVI3UIuHdFGHqWOf/NNuS9cxTYIkO2zXQj7lhSFXd8u7hvNEVFyXq/kC Cx0Q4U3S2ZCdKqZNZTg9kC0rds+P7f+nqTAkf3eeDqJLeXVeOzGYGB2Xhv/nb+mXo2oicFL6sUut 2r+Bxly+ietgDgWgisyMjKGvBqNR+npds4kNhDG3zyMoSzVUzJq6Gt8aAhT54ogU75R/zzPKK1oq y9XR72j9DmKUGNfaoxer37W8k3PX41ravc9TJ1nrN2GfQa+oG5ostcIKidPBZ11ZO9yFlsGfGpIi 8b2tzdupKmeIojTPHg9OsGiIK04dM72l56eLfOVF4zCAk5n0zNXeE87jQLwweNhSvBn6qouoN2J6 Zt9qSvC6Mm7X+qRXjyRwNUmK6mwaoNDqFePTNaezPjJsGo1yv0Qk6XVzP4TC88axn+GLQk2sVhpF XJ9tcS3laZcAH/GMaOJAzMWVdQ+Aftvfu+hZd5qxhiaHGctmY0CqrdBbBJh7hrf2mxw6joyDqOZw S6QJXIRQRpZtHnwvOQUI092a/QGdPByoattXyQjFSF37XTEnGoirWAXqvK+H81maFLSDa3H7+eIa io/A1E6tECaYw6rvZrU5gPM4YoWRKCU9A69acMVufL9hTvcj/mdA392H9i/A/esB8mdhMXS40UpV ziVgcwPO8Rn41pht8XQ9FP2sKsGxQZvCkrO/83yfndxxIR6GXz2/+7B+PIEPCXmrjspcosRvIi2M qvY2smPuW+CoM2DvP8wtvWRxNtosf1senbTP3lpQPrTeCCNPi0T+zHBIPZ09w0LOj97J3h7wAwxE S/LjIpVmulc+ydv48oPUPjZ46U3cWyHKdnakMtCRjTySaCOaVi6aPAoZT6q1W+nSAMK32Bwskrhn bVHBnoo9yVrPaaCMYFTeXq2ykASz81s1/wvIwZhz+YqvLVyHnUsEmLltrr1alwTIZi8alXqqXTYf kmKLZeUTbjOK51faT2Pa0mEH/hft+4QfuXlpmU6FXXP1sPVPp4Psk1By0HsciyTwMIq9fZgFN3TF YSy3clfKkKanQowlhBmSWfYpSFQL4o451so9wCB5LwtqXezM4tb0RMakPVO+1Nl/Cp4+FlM8zHWQ 3z/0W79koXKP3W6jLUnbkTaANeGjHbaDTADnOyE6iuEL3RNlKMW7RSymAcKyrLwEzIxwp9Xj8cdB XzHKmLUxydRDfuI+dC7gXZBfXHmV4j5fC5RYJy2PbUR5APVHk5I2gAiP5v1ANmMwJUpF7Ph30EdN 8P0GeH/zZTjz5uirqWSYXWD42PPfLq0jxtXgLtzW32KX3fqOqbs1gFmWnWy0vsK1X80BtP5dwSx0 VIkShWhIuDGxbOM+q96GrzCNkPVghEaGWclgvF2Zma4oMrILNnqKfQmGdZsUdD2uWuaFhDOozU2n T2o33Jd90L1byzhBfIVRCJsW5oBIl3BLCo6UgCB5SmVNVaaslqBAg7ZXe+i2mbpwf801bZNtv7Y9 WwKNibi4NCDgu5Hna6Bdc9Wo07coxiRunwToOQ07kWJj89mt8ln8CeU5b8Di/7QNVSIhuBO+ag9e aomHHd0gy53rzd7Xb6Ngy2xslwQwagfCkaHJjHtHaxXhsS4iNVoBXCnqDChRmIohJvsIqT9K0y8o q4jfG9hglo4a/Go1VluIMqSTp6G0D8CGp67V9Ff5B38cWorN81KWLbOG7L2vb98H3iPL2HDK+gtH iv/UHT8F/UbxH1/RRddW0rZv3x2XVAksD5yVk3CbunybpebO19UVMkXgeMvACLFd9f8uKZIQf3Ss 2wt67+mqO905RP/gKMzJpJRp5Eve4MLeRve2mumH3DwwjlKm6etGR3l9LXqC/2HR/OoGIAlimUA8 4NjQXCNQyjeYYGbKbyjJMf1hBYp6omvrLq2+RaYL6gkLgWwHOStzXjmmrE3OVTyDQKmaQT+JnwoX 4Q5/b4uLd9k/NEHX9vrKn+Oe/bDehm0ks1z84tCgoljPX0tn77USn8+PLU2mb0Xn72Ge1/HoWoIS nakS78sY6mMPA8jJSy8GBRtoOt/5ctji5rbt1wH+e7s47UMHN8WpUxJzNBtp64C+3gxDU+ah2oqe lX26eDDt1LXtza9sIbk6nzI9PDC5XrMPHV8zmoJuknvCKnOu4rpmkqK8Dj5lzbPx+KZaJ0iFzuyL 5BWdg1tuq+l1OsKRSnNmsv2DTDjnq6ezQFe55UYM34iWjFcSE+Gun2bcc00Oar8crrubMvS9Tyd3 hO481BgcehDY/hlc7hyLjRs+glxQK2Znaj7Zat4eiPYMAZpzg+X9RdHbvivxByGEV1gjy5/8M2dZ CP764JIMv5hNoq8oCXgGdk18q1UxJGYHWj8QhvMXZ2aEZP+rLUZSzWq31GyJTMU1Rkmu3BWivzBo Xzp+BqmUEzR6gBYoUVXOPZ8O5MFKBuphwMg7alkZcMgSQs6Xt7z3s4ogsYJE5HHh1hNfLtzxtTS8 CsDI1Uj1EH9hQhnhDTzGPcUquhW1Y4fiskvbDFJTNj3IvksozH9H4xr+CJUvi3CP7LeA6AOUoFmM KoUjAn//AcgTiQoJTgY2ws+z6LU5XZ+MyGFbm91n6gEnVSLSe1Izrblz6A4EzsKJAs+tO5yKOAQ9 d0VcaoKLjpL13vO4t3SAWK4eNgSl5lN/W/75SFg5nt0wExq6DUSjMdGpTjfXsKaqIB9eYd/f1AYR n4JsbdbTnbviBZEiVfX4bUb2S3QEXqN1JvQr58S9nmd8vbVzImZjs+yEXnhjg5LeO/Nfqk0hqzOb JvWmHng/IwmAptXt9CXumLsM8lpxzRv1+S3upUKs4AS5+LjF92Dy8Lhysl1ayfgWI28aI7Mor7iI 9Za+db8GQ7hW2zUVb0xcCjLBSzlPRKK80PiUgavhmv3k9ChG+9BBzKJWuRhD4lNXQsRCh12A6GBF thjHkqmoYMpOsC3O47j3Y+Z0XDpwt/CxaD/Jo922oWAH7xY0M9PU4nBPxm5TiGsUadNwAPnuMuXR 7MWpmAck6GUuWElZ0NPpFoMqVxfnxOzwl41u1qtmaU+xabrTerx0Uam8RICyzhBR7pvN3dCnLGIV R8Fa4CVpMXBYZL9VdCGr7ibQI0rrRiY4PRgljyRbLuw+1sUse62jzDUOMMb7VmI0pi5fp1bkwvCP QO1ed91Lksmhou49G82YtlaT9XbHrPf99xpHOick+0VHwxwmemF7yyzigzKAwCOZH5bfE4SqRl0A 0ksFi4bscN5mfoTJHXqveOPr05efYSs2x9E7nZAVBjYTQ3c+iN3PxO91oqB9B9CYk0a0kq8KoWEl B7jEhV6qQjCy7H6OKZexrsrjco7flBN7kA10Z13B1Utwh0F/4BavFHllwCDO1sj1tEAlUQt6ljN/ F7FoQop7qGN0LxbKT43fMPPJROaiJZxJHKC55RpYJTEPWp3Cc1zJ1gwg7vrfbtfD7BBs5bDCEGi7 Icw+Kq2IVPx3R3W5vN/KybeWdfJovogVQixTlBbPbrsEWATlOzoDOT3Iem6sfFquRGSeG6+rzg7C DH9dbT9liw/2HIUgVsaYFioBXfR7ad/1qwff+MsITsybnMaKd+NxT94VqJ07En44vr0fOkWH4GRa e9JVV5Pg9LlsGw1XYE6AO2Y/1KF9OaCMRmtiLNlFClAdtIJKBs2Bmr7D/LuJvJFxcZ7XNSMbbgyV tXgczXixZLpDA/SL+2YaYPupGacSO2EoGqbA7OidyuwP+tFPNAQeOu/cwzFEKaTpyZQG5NQMDro2 CkVHu9nWE7tJsoeCxMAos0F//pHfjbc5XlMrW8wMeqzNbPDr95waDpr6vsnVc8CDvATvjX+3zqVh nFmRUG41gTTVsyn6oLuch8JWcYL8Ct/AlFhtEl8QarCek02NVlcXS9JrAq8jODn4XnwYJJZOcD+2 YLHZ1X2czRegvcQhhvP2PrYuTJjxUso1Ww77+EMl3EXaNXgymYbQcKNSVdkjcDuFBQY/BMGy+IsQ Iao20ZNsgQedmpFkGz60KGV9vwrtmjJ6wWF8X5bAFs/tyt5pZdfhEc/m2arquW7IAhIZPIYnGJNW FHRJXdT1nIKSlqil7GPz9HyVZTbkAHO7merd0QDkTzpguQW97EbRSdJwdjndvwo0JPIyvGqOTuDO JRGhDNwMo9a/AGdQB0fg4lDmq/15gY8Y5FdswoB4Wdrf4rSNZMtuXGVmaliA3B+2dDXiwv6soy9b Yt5HqfMMbNn7GhMd66drA51b0Zdpy9bVGl/EWVEhcIXZ0pAyKtxmI6BTHSOY26RZTnsAtiZ5aMoQ R5WpPeZhthTfEPJLXsnQY4j2q0BUtuhri1ct/klVSoC/D2TxmG4Yn7QMBrWi8zBRYiEjSeBXvKo0 dVtcpjv+xV9PrCUm3jSRTAbtdrkhzj9P+S5TsIHINj29SdRTzMfSt4VhAEA8N00p4mjbBGher76Q 5Rho5QeHdmDD9AJFuAO+TVBxeT2ExEOPgrBPmF+Q1W2OHahIn5noqkAuqNGswQYBiz0sz+T6Oamu rV26Gi3fC/dbQ06fJZDbHI1aNiOw2YYuSCMbfaR2amrOwPnlj0oz4o+mgFjm5WgbA4KqU1JF/Qkw jADE9A+iGmgIOny8EhU3Pjk8wbsdfEFNdbgdPrX/OR0SUtAK3ouNthLgwf+XypG2xd2McYpxMQnl RVGSMFh7THA55hEmKEnnncQQEPnjom8BvAsnmO3F3gMxPfwf4bGAC3ZPi19oLCHA75Re0QtiZ3cB dugOXK3ItyHJmS9ZauMaQuWHezcAWd3DYehIBsKsEINBpVTn57RFItQU459tya6zsTiEeFg34Yyw oyPW7aMMy46WnPX5/vdt9ZWfmsT6ya6EyKyndQf3jZPluVQ/gnSy5dh20LHN3YjsPbJuBTrx/J2n b2Vs0hstzLK73AS1GT6Yu4CiRP+GnpJGLtqJpk9UuLsTiNzOCHcG9jbbUX1uBkq3IOPYQuta5mgG RQSaf8oeSBt7mFo25girw+leoSdF1CupJL5YBVl6LfY97b6ClkECmqatL3IZzOM0x951z9JTC/i6 mjSPJer60dn+nKnyQ1wSx1pRA4HbEjnNkcKtrP9hpyXW3MHZkj9U3s+B78sq7p+4pRuEcBpaewyK pQIwqd99/fqQxAsopf41xyCY2EXtxceWFLt9jI10X9UuiT8Pr+rX63MX2gBAgnBPS0WPeS3qAmiD YOx+ZwuxLVf3ImmJHMtVPrcSqOMfpf6YGGyAiBhWomTQlc73CO9CSRh7GNFyS/XKQx/nkmtO/P1a ygA+5H94ihC2NQq+Qy5rzzQXEFnACeyYjy93DA7NGamVr3DmbJEnPQPHnXAa/0i12Yhi9x4B9ryY pWa/yQK4rR0UDJHdkngapJLz8G3s2LhI0PJiBF3jpGH6zXB9iestN9KvcXK0cHssRUA2avJmvTrd drLWTOnskGlrR3vs0zkoyaeEo5mBD8xclgWMIgSm6ytKFYPHrqJTzZcydvIQiBvBi3rIXDpwNja0 u4sqV6qsePF2icqF/G6JHo4Vbv9J/ypo/dp0lm/2ojmjSB14fDBbKP7Modv3bV8t7tlumGKj8nPs wAbBtWRUdy/jZzjEpu/panHgWElEiwqhKVAIe6m1CUsyFU/In9MskLXfv02F9AP/2MtqPPRfQoah CwWPQih6xn6n4qoin1is9wMhUT14UuVfLYWSH2T3MgoM540m2q5bqs5n3Eq6G1EMYHJXfk9300+J EOc28OnvAeUYZnto/mSrS62PfmerefstnspFM5BAFU9aDMOpb6gyqtL/O2X+YDwzVkLLFCfGwN2u bN9VXYy8II/OHz1VSggOQn9rPDkCpPqw8uoT6chaF8tPsSFnbXE0MR96EzPOl2DPxs/mbFW0ONV1 Ya4C+Ak5CoVzP+H6TGCbAv0o3Z/3dLrm9leHwnaT8mkgtQEwyUeBLsvhi07f1gufeHukuJUGVW0C yYnOYeON87+FaSUoq4BHdyQYYC+rQcJ0bs/C9aOAKHmDueCZ2O7fcADi+8ki4+EuSUhy6P4n3Ote nGIQqmR8YaJhC0EHZV7g6BZMKgo0P1fiOfyPPeUzkTZqMBDeVIwSCWLliI4+OJ2aCJTIyc/GAF3s YDIRMN26O6BGcYFRlbxdbhg7f7HBk+LpuuoO6v+YZly83Pf1MYV4a0DXmdOfNvci/mLdV1+HfN8+ QFvoUrzg7w/myrtK7EGN760BWiajmwjx+7XhZqkq7nfegaFIZ56QeM6X3hPPRZUmBBLGEO3VpUxz 2nYLHS5a+O6jBPvyuceEIv9AgeYgGwXXssWA6w1jYS1e6yo03wKmEvTxb72RPwlYbdTgMu0snPeY caXV/iaCsL09Jjss35XVxgXgP/r68VZr/wMNejJPy2tgENeHu0y0G7p1DwQ8G3E9grLV6/6fp88u OcD44o4L+N7h319BYoRauPtRpmX1ZDn2ap9LD6PYrGZqylKPGAjRA8IXzcHn4m8S4CMIPO0UOj69 kk09O/EHtjC0DXGcPaadZCT/AUjr+nye0ndrDFwMWq5MteFfmELcKpNK6E5HnVwoYgj9AbnA00s8 1CseECnFwPRwUn10HyD3hkxv8k8khtJBcEInIKNZpND9YFDpSd/rzRivRCPWPtMemLaSrly+4Zct 5341Ink1BN8Jo5A9+K/0v6qCnkiwjLI3IJ7xupJNe6DoXhxaRsxmIQSBVfTh4CQoKz2jbCC+zzTM KKCx5KpMV8TZVxoALzWp9V+WQyYYBmfJgEjKl7pwSzVIvXBqtShyO36zbbhrCLX8t9TYlgmSvBsQ bXGhCrw1vlEpRkFMPHS9wTzHXrJniPlZTlbnDipSUf7I3NJEQ4pi2TGipWmI+5Hl7Vm6Vp3yyO6e EEimiPYHcFov2CqoG5W9Yl0VJRQXxJkmD5majtJQljU1LPrlkY1Nc+dWGAXw/Uddd6tG73eaiAQg qSFHlOGYf4RDDgMB/wof7bFUdcXHX72EQvOZKzKw07qQmxwXcDfkrwX1pct1GR5sUtf3Cqe5P2oD Gv14HX079PL24uyOnvzohNXK1+NLGeo7Zx683HN8DhHfAzA3mdz8iSWCzE0z4U3PME3lAIrev542 t+EGzCj+TNTAvpTJxTFlyiXdL3alC9WtgxKCt7Y2AyNrsXGhZom3jYuC4lhBXT9vD5RFY53tSfT9 6aQVPrjEEaXr99bk9SmP9hWqNH3bUyEQ7z2UizsLOjS9kovyi4wnKx2vfZ2ft8VA1GDDwk0/ScoX 0NiwvGyt/dh3TGRitUhWl9MYbURypvYCRUMA2IJhE0M+MPbwUCYY4+DjilgVwoVqOc4py3syWa/e k+bSJpXBuqBC3G6fa+nlerCL1+DLIoGzkWm/G9ZzytALxilR3aNHC0q3TBjd2gjmKrRWOGgC73/7 ioD4VY+NrVe8YP6WMSssZ2QLnAu18IdvueIm3uKr95xqhvp5K8VoryMgkMx4caoiXIe1rSVwRnaV H3lWL/pVjt1h0VmLb5cSiSTHSjvQeR1dZ1J62m7BAcBdGJSKAWR6bPUHqCqFAAw4Lfub6RsYHgRj m+Ffhib3VnnRyWjlXwBPB1gJtq/ExT8UFgDVB/qgOXb7DhL6Ktqr19g9WEvBDP+ezC/GngeRLTD1 WEtaZi9HVoB9OfMLrN2hweyG39Q1clMCGodCdl3w0qXGetRnP/D4aCtgM9umnqn7u4KUwB9Wxw6S ffAXb/P4z92jT/5XOZtQRLrV1PvB2C0dO+RP+P6PJ/LJAEsKVjlLspX4xgZiz2NTWOouWch8lHEl wapmk8HMiv7YiB39JlOrI70McJE6OUh3PjHUJll3WHdc2iCZYEh5WhHbnwAmkSOIJ73Mt+e/EYws rRPNCN7DFjDV+CN0LQ3AhXvqE16fPL7FCMAcnWRRDFZwVG6Yz4JEKmbxrG83uYgJ4bepzpAhNR2a 7+738qsbXwB/nQy1JXgq5z9yiOyVxhOrGahyNkLAVxcB9z0v6m/zLixpjSdR/TM1J79tRprnBxPy nT+3gDcE3/I2aBmD2gEbPtzHZNCV9k7fTIO/dIWp2bK03iEADJi9eeGtNV/ziMiPyZanYRXyWzWG I/tsmzhRA37icPoS14iZoI49Bg/nQiTHZzTM72IiFzCjx09M3nBIxVTV8DHckcQXnWaw2GrNEwio XYly7/FlM/xxN5vfgIJBhSXA16bhqtozvSwlOGeZn93Ku57dBvLDbiGN3036Op7Q/VgZYLjuk+rd CK0AAzAAGXqmt2c/QUZHfoqlkr/UMZnM5uTcfs2dhgIaIdt1w01fdUXUYTyWxNHfvt6GbtYDj4LP pliEovc8MJkIzf8jWyZnqBaiYZ1FNotO25/AblgsiVPpNnEQ/Ua4lOKneH0pjCd8sf4QgplbSPCW E3IgODguJ2BBEx85XevNrM35Wrq2vgOFMRipRlk47kng1SyPDYwlp6r+YjJPwbpZKITllAhPCEcs Cmi0+NKUH0J7BgV2PqwGLka6AGGIP3OQtfn3HX2FNPM3ZsJC5/IBEz88k/nEqijns6tB80XflUGC gUyZcQg2P58RHnjfNV8wvadtrmQCFMDHvDQIB7Sg6mgHv56equPFTWfHypzf0i0f5h+Y1V19CBph 1WAFlBjJLe8ojhP7/7oLSATEkdgn0KA2hHUFpfafM7KrZVwLKChd7cI1Bp9p2r3WM9HS6g5DcB+A U3GH8sRqMe7PCMRI1p/w/mYHk6kiLXkosCHC6u8wg6Ld9iPWUozG2DduYBZnznAsFfBuFDvX85BH HfZetSmd8Wkbgq+ZU6Kem88jlRDN9D2Dib7gL6Ey9zhdGKHkcUrHIxFEagLbx3V2E/PTtzOzDSkX iDbGztAsvdwr1PPQwMB5NlPQCs51mpBbs4cctrHu8kzWuua+g+AndARixRfejNuFkdwyjxm/KsQ3 QZEmo9FPgpsxpHAFeNt14b0NsTOfgNd/ax61fID3tJkt2wzLOQfo4Fmq/TeEgOz51f0ygme3diYs LnJSYF22dyB4v/lFHO9LpxVDMhgWQ+hMexWhzRO1hkPMEF8HWUtvO3ablSp5wyvdygA2E2H4NqNM nqq/c4l2av6KWK6LhcPRDogB/Obu0lucODGEXjoZI0Oiab4T/xK8QDjCvkF8sjLcIDQp9i87ss2r YTTpYovZkE/hsQFXpv4BDs1/TKBLMBcKrFMuiqzZcS/9jcYgFHRHnZ3zbpDPpNVJcSjllvlHIyuv GaIjWQkFXHhorEKHBWnvUgtq3MtwdYPpqI8qWZk7+FzUCu3TL4ntMp/cdsQTcxw0/ZLUHQhJaS9t lxPeUYIyN5Ta3UtKOtOnRY4FFkD7f1GtllsuX8w6s2qP15luA56RVesF9JQ/AYAMVEKh3zAy4mwU 121YPTjZ2ymw6y9ZEpagQEw1FQQ1PvYSv6v8h01yvMMl+VcXbGKkirxtfLUQ3PZTTfrGZBa+ShdE rGNLx8Hl1BN/tkIzyJXRGUlMzokF6ik/RwKs4/uBMNaFvWy1w1a9J++xBGeftqpvCrrMGB++whRz DYLuBc8PTumBn+stHUtkmo24qT0LaS1X7hIdb9QOkkmq0IvaYre310TjT8/0Ch0dLBhymYFJxlay qPlAAQSa66Ixfr0PiNCYmeV95yN9nuTINw8NAAokjr0Nh/GJdG3f6RCgvNDog/zE6YNXSTRYgO9D sCSK4+ZuWA7nnEdfQpnaF2TJdQj7IfvbJ3UB2WyK/22TuyT7U9Wq501rHpon+DGXrEBC7vPZpKL7 OkBuYyZk8a4aA+9E7HS+VUBGJvdU6wP93aLpyAO90mvtEJvQFHsXYAnIQ7U4AmlFdrK4AJ2uIFKF RWZSIiJwKaaPR1Pr+LEFcqCz3F0FeS5aiFdjbY840iZFbtnME5bzWQP3e/Ne9+clCLjEJeBXrK+j 5EJF0SFRtX32ZOPm9rlCkxbzlMh6wcLrxY6bSq8JXpcRbfYfdBXGyU4Xq5T880OuRVkN4g23uZsD ILWE95LsASmkzYIhJlV3SKxggaqdEp036a7JdTcnNyFVqIu7FHh2fTXYOZvgQLhchhaxOd6pkw+6 EwZU8KuGcYv2nK91xGLReTnV5RRAtjULUAxoD7nQLbIGCWSePZz6d3f8tchq1ZuS5CryVL7ODJuZ WlS7oZ0XN5lYlaifflpTqNdVH+T9g8f8edHrWDf+V7EUMbVRvhS0yBSoILoi/C24JDQsVNJANvUg TMb/nGrHnN5+EebYPdK11sW0qWpJem6tYkjdcdMl+HEtL4Si5JXfpRcfmJgEDWUEbrx1drj2i+a7 qUHfkZwvNZd8CYTnGA0k70IkDb5ltaQoN1E2gt2GgHPxLQOQBcuRsSABkTX9qEWdEvQEnLWMObQe jKRUpQ4HAvYszxFXl1bzgDIco5m5V3rIkAjajuTjEs3bkNHzHK5YjQ/8pIMZe03VYz8v9N2OHYTU Dh9Z0jTA4CNRWn+sE0WVAXU38THnGs/GNInNUHBMpqKIS41dYHqjmMcj//lglTc2aCpFAlaGgA3V noeALzAdvq/S7SqxBis5pz+bA+oxJSNzFd+D6Y0+xjVedsrrtT/POTV/Ehl6Pi8jzD5TZ1U5q4fp JqIuCxQpJ5r1IgRb8XqKadFlXnJ5G6xDM/7OXsD98Jq67kGvHeuXUraaK3t3if/0R/wJIW4IMdzL /0DzfOy1GPY+fTqEE4fzI+whwJL7BgyoNLcsC3biQNQdVdOt/wAXO+LCNAsMQKWsUB/4VrelIm8+ fXrMTjvVqu6m5YzS5OtjHFfmcdI4Yj3Bf3Di4YjypNN7EB8J1zk34qQBYNSuUz35MfRV8Os+Pc5t P107/uK6w57O1+I2aZf+SZz0T1AbrvsoVXJrpEAbsNLftitxHnqom1xXx/9AAjWvlFBpupQojDWw kg2+PrMo5LTDwxQ9LIOATtD0sjbjVyq1++06Mkx7irgrH9DTFf+JdJDM9UyxMGmBO9MqiOlqXhA5 HRYgJ7M5MrEIQ1EkYOEi3LH7dMb5dH3SLSeuNTMhJkL4MIccLOf5ykA0yA3YkH1/16unRb2/rNmb rs/X6oOGKsOpuLYL4U/JBgsugVJu08a/WCHMKiqOpoX7TZbjf/EY4DBr/+QjMNS/ERCm3c1uBk2o 5gogRCQb/hhC33NMaqE5NqIB9DTby2iP7iAgtbaiUZtOujFWd6FQM/CSLdieaCEZ7BhdCECPioUn 25hG4exjAvBPfyz5fNs82Hai6khbyOm1Z6fU03Omf3Ba1jeHcf1L/y7/8T13ZnIBAyFC3C0DYPsJ uIbVQy8Sv+iJXlpIU1vPNkdAFYw9Uc2l78PggRUoIT5IALG24jKjYwaLVHUtPnZyx867rprmP8+s sJRBRbis1t/izY70m8pBxvgSxr8GWM9VY8gvBhbFHxLEJKxNgopsK9sfF8UJmlzmBMm/UMsdHmSS mG2o/eLVnIK5Tp5F60l/uRJWpb+xDinguUBwvTMyFXn3Cl6w/o+Qs60xexBe6Zrr3A2VaIRZQZe2 ur2gcY89JvJrd7J3Eztj1nnDL9MezhnFXXoDE73XXRfQ5zJHKEw0sQr2gpLrDr3iauqtZMPpj+Ta JfqP87jeVmiYQ82QUm0QSpEYNUYxQqJWcjjVrEwObBv4kJjq+BM1Chy3tVx6Byf0S3kfvXX5yap9 JXWrVFxWVshy6cwXggUMPc0cFu6qgMbckTNuBBPpTpvcgQcGzM++6Z88Z4INpGORzeJ2GGtCOsTy rgu30vrZ3SJ4shw44Qq/0z/RYtl4zT60KiT9RFa9cfnEKHJajF2u97eG2aJFnF+i/pvWGlBusZsI baqAm6WgQ1V2PSt1DGGFgHxl4is+sNywPLieRFEB4wqb+cGekPqoNctcsXNE5GNRGikfDRc24uQy l5Upc4GQVmGvfFBwiunUt3V6jDv26mGyGTmNIx8yXe2z2/dHI9xeXI5phqWdsxmwnNP3dhmy2SZj laPWT2Fe1JzjPFjfTprCa/qxqglgNUTPo+qHJKKkdxoL9u8O0W01OHbYh+t8HfCfTOZdEW5p2QQQ CoUtTSUrTydlzWsjZMmHwpEhme4+2KT21Qc5cLnqd2TPKlGkZJ3MhxRPFUqbS1krXp3OQFp9T8ur Yxgl/FZw6Qm+48mROxX2pylQ2wOcst6F8pHVHQP4l8Zzj/lBdH5NKSvO2iS14giYxxSPo3R3CHsu y7WszWGm5Yc1dFLE+tlvZiLrgBdieeUkm78X4nQVrc86osOx1TqbHiDHPWlfqNh4ISAovmlhgm9z NkRScvNgOfRwwI3d/O4du16xzsxRPrTjNdVGGpFWdOUujD+rNajaZTzAb2W9S2t3bH/yDYNv164V 9+6m49/wj7WU681bPXFV9PPtWlf8cHjswRYsT5kQwTE7H1YaBIzT8N7020qQJxwsbGG093T9JL9j xds6SmWxQtLlohrm6MqsrJpV+eimpV+BfWEfOllkTR5RF6xwONl7fteKiuP6F1lFBv95PvX2YPfr e1GG3INUjkpwH1BIoZhSssuSkPt3FFXWnNsVR5nQ2d1MajcGDmPZ4O1oFOXiz0bN3Bs/dmRuZhlj ILJ5/DO1BzV750zYD+vdVDFJjmi5DJW8s3pC1SqAJ9yG/iE4eEzLSvDrJo/L6JgGEckS0dWRrxbR +Nrdjskirg9zlW6jU6i4iinr0rILw+kQeB6rRM/qUvZ5L+zflrkTHpA9b1b+R6j5XyT168JRgFLt FZxcw4O3s0hcqf7AN8P7jItIPhOTTkCFpaS6/UZg+1cugGdvll0L6dOD0g4wF7lYCzFcZl03Cvvu bW9EUiGV46wuMB+xPg24A2KkRU2anINMTz+i9mwSc/aJOBE9M5QUywDOV/BW4f5FW+HKDLSWnVFm tFeLQkAJ9/DyQzDYbJVz06iv9vG2rrAZVm8WB7pSRenUxCeJ9OxRvdzc5u3sU/zvvy+xfmc7Vmw4 kWc6BNVx2nsmXqwryBk6iLOzhQhsLXhG8KvwE0fTkY//S9Aw98ltGjSbOwE/sU/0WMeMXWQRdYQz n0g/mgrb1+WkwuyXvmMWzvF//R4oz8g1f5JCUkydarXLQlOjuYpt+4/1T74Sz1IGUQSV6PgJ23p7 jCbFJtj7zwNESuYjeyo/51VE6gDFJGc9U4H4/La6NJAEpWTRJv5MpzxTf5OBbLTLiTr5gv+pBgaz 9Qc3ZEPxR6OUC886l4SCjxE31NAM6z6t7VbdOGDh+/5Q+PPc6aYHhuGwYrymR+XQQmNF2Y5ON9FH GTeW9af//OKMBCfmP4Jhehid9pEReWP85fRnSA5SiMi8uEEYqxi3hbSyvc5QEB7MZlgNow7/rdK+ OvHSBpErr3bMCiKfbABCl1h8UM1QhXA09adAhWr9XUSKylw3DOYmVD7PjZJQfPbaXwXcQgIEzQ0+ lLSpxYqr4dZvfXOacDCjA+rSw2KAY6EZdJuioKvNehaw8PrAfPyG63b8fuNKJIFIQ0aFWnt0Efly Mh9MNHQmPi4QRcrKIf7hdiMyIoEHqfiTAXnAQnhquuTiumBa7zoCK0qoTiDim1PSeEsMmBvck3kj va7RHGkxNIfY0AvA4wGM2j50RwnO/Aphge0roNnVBeUHOSrRCOvHhMA2wAnLFwEfmtCadhVeDGXn WkWt+tT9aEc4amfMf3L9AfTGs8Ivn1/neDF8GAQxlJDFspKIO/mGqdeBytGVwtpO8bYaBercUsZZ g3udPGXzzxOTXHMPDxgcG3ldVHdntoW/6OJPO7C/WCtlabQgT9QlsbPFn1AFDtDIRx6fB4SkBc4D iLznqjyzT3oB7Au+gJJcevbyiBJ2QvSQlk0eYkBN4NTbNAYfuNVvt/BrfyVhEGly3VYrk+fTStZv O3BhuVZE04DINhz2S0FGWyx/tnCWH5J7zElrMoBJleD2jaasMIpPPb8rvAdoBAwgdq5TDMD1ZZOC GHJAM2KgEyZQrsT69ZjkaUwu+5ZVFkPJ9PX/kolP9Mk8dRRJSSlDOl3B6wXIEZ+4jB0mb3VFL+03 C3Zl9nmQWLcVc685O3CjOj6o01HkBa5e713bx7d0k6Y0KezavNNMZMhixXnfzPlXppaJr7eV/Z3R 8VjrcxMDV3qIytFZgFYjYKhR5WekpTju9FVPRDt5cH8r+Hgm8RlS5cSLp0J93mFo5/EmWrftkt/d XfvXdMKn4fwoMfajo5kX8+DjuPYzFJLoi42ucxHkB/RmQQdKT8Os0X8zzDNFmkKaCCaW27KKxC6/ WzNd/q5t1mT94pJOJjtcSH1VQoR6mJ1f4DImWl1l+PI5qZS4Qk06iUkblUzjD480q722hW1lNyco /6kimt189cwc234EcOObLCe6O3O5aTv19z/5ABesXuB9wqked9K+MMlyhiYYBRTJEh/pRHzDHlYY kF5y4Za3pf9M5prfRpoTxUu7z0eh91OHCwS6H7lkCrZSmuxiHpQ6Vev612gMSPNAWGVMpe8xzgnm RYo5Fog32I8mXcyT1L8gKk88voTshBHjCk4j/OknniPfXYJyLTtZl9g3aOfHS7KwM2kWG3/SqI8C S+Gn3PxuBr8DumbDuSdoD2kJNG9kQ8kNLuB7w9AnDFRK3yRr2wIdkiBo1W+X6AwPjVUs6LLjcNJO KTgkbp0ORYQi4rytDRuvJKBt0AeLfI7xi3O0jhYpkm23TyWkwg8AGgyZPsSmn7b+1wAQDhQMEZ69 N3n9xWpyV8IDftzv7M3w/kSWh+BgAP8PRGruhDBXDivrBH0XPAi0y/3MYvCYd16VYEfqi3F100Sq dPW7S1agQZ2ymo64E1akXb/IHOyJAGrJ9RtEsZli1TljNf6i3STVYQNWYVszJSqZ2CW/a1qv6f9X SLSpMoEQKJe2WiL9C+wxTjaZe4EX3qEXCZR2hiSRN/gz8Jke/gfuJsw1Pr4UO1qMA4kBRtiLb+2s HNvmStMk9quTbRVz3vYGJWwMCiGmgnpqzE0Mox86jRUw8S0boYWcSQtOhgum+5EsorV66Hgecte+ N1MDqeEXQI5G4xaPwcS8vbLLa8ftZyHj1ytiNwYHNpEuLv4+7OJ/eKVbPQ64Pr5LM2F3xE0gvsFZ kWGvbe0uWG7Yha9lXn8hdwP+yTJYi3+4oQKLVJOey4OrUs/YG+PYd+WvcOha4ojQYm+hG6y2sTK2 640fyFv2JFz0Tm0Ol5Cggf/4qCsWk5QGj0QaLAxKRvobqxKd6HOLwTkliFL04c550dqsQSd/PFTs VcX7pU/kiOPOS7lzVPp/0WuTx2BCJiRemhnwhwZYp7dwFUgFUX2zZTQGQfU28fknPmPtxankhKIe UPYBUenmcnhzCa9vaxd0Tqky9tssMO6f8wabVF+4nOl34JePZwZOtYNmp8Hvy/4/zxge3qn6nF4Z uXrrbHGUVpFSkRy2Vhhj6mpuggHmdfTfa9Oo5P+S9kXjiSOqJEI0eLr/Q49OZJevWiKkN2u6OWAb 6gxluV2QzQCYf154rwL4Bm6D8n7I5Eb8+/ONowfJrxp2s/M+Q4tK/aGDpBX9Mq7z0ZezfN+s5kUI NzuwInmD8iapbbHnHSpwRFKaOwK4DNGg0v3bLdvPHT631ztbExPCuOMuGfFkCR1OKZudTAG1uMsc m2u5ADWskhcmB58InRvGSWVq8b8ehaKzKm3BZHdFWAClTIAtfSrCm73aDztcHnwXgqBHcWPPq61I R2lex0KNEuIO/PBiQkOWjRGEOr4gSY94eMex2EtWYaxIPoc8adjY+XpyU7AlDCjVq6ZIIvRnACfL 7ZZCVnv/NNpdJpgo8LlG1LJlmRrXCtEx4+Hz7s1XMcJeBHEctZCMhOfg5OHizZdHdDgvdVxpH3G7 88KHNUc/LXvMU//KT6eHIMRYgA+Lr7EeNL+SAfTodiH3aZ0u8i0Bljnge4BfnVlMlKDULBiQ0E1q tqS+86CX6O4lI1nlTla6laXRJTy6ZoseChb7NaKZkaCVsRodsP2W/YLmLz+cFXdFia3jxbp3IgAw E9T87i14zMnhE9srSFeznnUaqdmFD727ve4VQZjEg5PqDQTiqzrzhm14BIpUO+8/Ep/iNiBPsmSK qROicHCtwyZ3eoO06q2wQyRpbihqRSJqkwtm7er7hLaZZf5CygpMe6LRnCURU6mlA+JhXQe+fvQy p7XITzfhxc8kEWUhL3v4ARYv0HBhpY37w6sozLg2gA/bo7pxXkyFj40SulB6mxvxk9ZaFhLqOPoe 7Vif1TzXTXngYUBFAaEaxJScglsqBTXgIuz7dVfxDd7EJ2Vkzkw19HO/0jEPIy9NX3zKtYzWMSyN oPC2f51rpgBjSWOE6GVrpmN37c9dNFZz7aHLi1kJbj4BG8j3rTIQOnm4GZ6SnMJordzHGfEyhuyD M7XYl1N/tbDycRpj14TI91iUB7MIvGTtknBaxeJrBR7JxjNxCPgNJVWZgfcyNOotMxQKqJQxsWP0 1NS/fnCp5P4dRc15HfUiozvNO0ZPXajc0e6xcc/P75d/wiVmD0REfhUal99gEQDPc8nkt8YyykpZ tfxw4xxWDcL0EQ6nIWed0jmRUZHEr0a2wkR6RJUx1Do/p8oSO4TBojj6UkOiJymphxslcmhB7CXe KUet4tRL7L391nLiROasiqnheav1kjsaaekii6HsmL4ctN/480GSKF6NMPJ0FgUw5c31EjX7ckyD 3V/Sm/XftoiGhRLCdrGqR4lL1CisDElAYyunz1+V1+0LnDIRhHqBcSmVI/BjBdYlIv9ViHOm/3Mt 3XghgGkHvAxu5dBmbEvZY2tqw3kL7SMTAAlxzuAuer53eZfh2afa2WAtPg3YsjVUzOs0KjneCdSv 1Y2/0IYnDA7hbCfhZtPgD4A3iXKs1vZW6vAi0prQUTikRKfwhpRFg97XdXjPlJD915nWnchPrGCD NbK8Moy3ROR1QLqwKHCZyEBxhr1o4ZZwzfZYbBab2ymc7x/+9mq08rZlW0v+EOEsFG8mxfR9Vg2+ V0XVychYjBLCOMrQT2wRDpfCpQ5dtlC7mP2KGPGhn9nvN0PMrsyjTP4fWABin1njufTHNnG0/Hi6 AbNLKxSiAlki0XV8J2mrb3mufLdmR8D47DoLtlyoZ+IH2CjAZf1BvFj5nE3BHWHf5NvUAve6rEjX Br+z6ou8JPXr5r7CMRDkoF68FsaYemNUGUiOpP+2QHE5zwS7s+hlvnmfEoJvIeia2tD9oNfX/hSq C+O08OfwCrvZ0wyJjvoNpcGGJ8FWrTOAprER6yq3qfNwyrO9uez7h+HoWxxiOLO9cnlfWucqwwab JRNUHYzMCROWnRDUMesQp/J5LjsbtlFDuCA89gb+TrS8eM3MtXmswct3+11D1wCYxApajDKYcRLu Tvg5IRrJaUcd6NirbQybjUMNdygHVcpLG+Cvh8bK8t8wScVbziKPx937JedINPZDXTEm3ZXjPJSN ydtduq0dD9EQpQ+C05+dlOqiRTSQ1hgZwMrO8MDogsvG+43FYyo0qgUs4VyPNlJ5Z1BtH6rC8Zvv WBU9PtPu1GRT1KAoSgpAncq18w2EQf6STxXwZc4o9+qqeKOpWfJApM6SGi4Sv7d8vYO2HBPSB3ai ZbWr37kUM4bFxWT6McUC2d3JXng0Xo4wDXvZu8tbjA7uHK1PeENrJaAeDbxC2jAf7iooDx+3Oh+C hKTN+HAmk46lvdDzrazpeDsTmgeadRgYUjXvot6nTi5PJbX+uLsPhSOmM89AqMO7N3rdsa02sE8T uiia8KzbC6yPL1A7ItNdXA+pKwbNkrSzo3zRcJ1UhM6tL/R2NesHd/sH8KqLGgjisK9R7TTb7lSq 6CzGB9ekIMS49/ZyMzTOhWcDz7soDzdmWTWlv0l0kDkPxur70EUsqmkL5/fNct6QWHnSITlePYRr FzKc1S5RGbYYwnFvXggBkoPztIjKoNTU/1hOlCbENEX3blLxHB25N9hGWTaVU1YduP3ZACSM+Zsb VaCcrPzgDXesBah1nQJXvEuK2tGEnX9blqxs7ts913liyBD/cq357bK030o0oX5/A19VcKNuGbw3 r9hjDwdFjYQb/LvzwW8SgdfYgvPAFcLI6tEGzsXnbYk+7KCSDmM+hK51Ty5GDxsrsStpm9WTrr8q 8/I9+HE7zUkEvLjWEsyRdALXZFLfldjO7u8c25AVRSBTli5EGbmpWoiEF99YLkDwu0lzgd4trFMR WwII8N/NaMGRlBl05EVzHW1AWmkTOY4+7vdBBwpaxzpEh6Zoiv0fdvDZ2V9K02gFtkqr9s6Og2mb aK3QJZwqc4n483m2ZQL/9TVFkRIwhpLXHy5Fcx2o+IZXMusFjXY9dGUr0nqpYqhwvkxsltBefFxT R9OtT1I7YljPvAD7EWAZ+huPLyhVfTUiD3pOi/JrhzIhwpaDwSGozm/cIPrFyC+DTag7jHatfmH3 Dtn+YCE0JQI6BxgvXKzn/YYc4KagTf+sZ19uhq9Dv7/5m0bbr2bkrfXUwe5WMkPeykBl8g68zYnZ Qj5jXLQ+Yryxx8MVWWaVh5sSDX91LsUd8qSYL/vM93W4cRoquVW6h6BVpVMeT33n1wQAeE+1s2VP ZukygKUswkDJDHhPH0SzA51Q6aAuvF8LD8F5HhP8phPR0iOYhr5YhbGih2bX6nCEPeMscHBTb0Jj jhvZa5bMc03KD+96MbdDFLfmJToa4AH7l5bPEqr9nl0IzGwAZaBB8epMnrfCvz9KxSUWuXlBSKay x4sDfvqizpM0YOLL2RDQS0aZkZukqq6aSKh5K6Qr3NmzoCurzHnWMQIFIV7ohcyTmcYQ+nnF3xy1 FoeiZtvoIaw9n/hfjbO4klaVLkFpkoIn0vC5F0YzJqaHPtaPBWMmsnWx8VMxnTp0AUcHJiD6wjeq RGin8Dq8pcx1wK66sslBjnHcdkGjqO2dZesHDn6+AAP/T3J1Xl6povkDwC2UOV+d9zElA4P28QRF FRt2PaYsVfuLnXCxh0SwZPbWQMX2AkORqTJHmJe0RkdCgHPVBx8tfz3H4/OBkMGj80pZf+jAXFhL uIhjq1rNcodxJiSZsURxCPN7wIEzgB9JP2AVpbjPsWmlbu84jIVZR7wZumKLz4fqedhJXE3OLsIA JjYKlqN0qpCWlIGmmt0pZJFs5JItpIlvRAzSHIrSwwlm1QRa0GAMXQA2E90gvz6c30DtyO1wdpY8 zJJf1um21jTK+fqXkkkFFKs3tM9Xksgcasy4WmswtyyJvISlo4DnBY53ZJq8yUyjiJCOu854IWCS rmre/cMXphbqUpv3mWzREHo3Rfamln9dLefx+LQW1Sp8k4+koM9tHAHyKP+WNcOcdsPd3sWjPdk8 KbefmgPzRza4FzPixOxbrZzIqtIZg12W2DY0YlFLbWC82py/YRhZi91/J9jMlP5gBmKr6ClUT3Qs uz3EWQc89lDUsdZA/pjhvMaH2gW1DwuF0RVvDiOzfvuIe0w//lkn5RApu+kEySWglO6H5/tF3CA9 yDfThnCK/KKsR9F4hwlvGiEUWllvr2GBsgw8vhip6XbSApsicDJ4nYxsl4rJfwH7/ajMT1pAR524 HdMyGxZwxE9rzvzmlf9GPqWlcnacutozmSZpnJNsli8R5Su3hYk/pu3C8QqujIVTv9B/pPvDzIcr Ods99u47CRotDwWVR26lMwTaBDg8BEkSA1IQKFyxHwnjr87E2nuuEE9artNEaaAAcqIGmNOMpRWm vX/XCRz7nlCLpAHrZC0/82P8cLH+VvEJXshkoTUwxHap5fwjHkPZyOwYjSrdJE8JXsmVni0S/M4W NmV+ms5gAR0YXxaTl6VORKn/4jt+f84HWjUBoMFKe0uT83Jf7Ys9JfoCY/f0hj4vLJGgdKsf/8wo zkgbajKcXUb6oaqWWao/YtlarPiymcRuKGvqCNP7ln3mMCtidHp8YyQR0L4Y8ARtvUc5zwHxAv5C tMMYoSwSKyUiqrfWuRGPVLzCEdX526QJy1q2zq8BAtCNrbo6dmzhc4089aFGGG8BXSPkpP53Pu0m a7O6zUIb6wHq2lQ3cuk69nKBE/a4f0PJ3J7fByXa6t5yTi8mKd8Gk/KbKd2FCs3eRkcG4QeO1xVv 5u4GXe75GcbjHRtnVHn6qIW3pdH0H/fDXRAYxbuAtDayqlIUyh6NTHsu2p9/Q6TamnVJZs4iln3q jTcVVzmjyxnpdthrQ4pYkVcJb8VR+3m5duof8eORhDwGJ5xciMS58yM7DTDV6yvTDN7j/V8ciXNP bRKchl/hSed/9g/UminkGvCNqPYFc1fc3SVewoR/TA7yne/np60IdQIq0+EODFlsWAExowffph1f BVVcKcgzyydGHPNea3Y3tiphVc4pDauFatq0BRW6f+XiRIkBFBz6aK6Kz62c8Ai+e6aHEESRbPiM rzXmJuuBSfQ75nTySMvbDvlAh88664GOS/AcBaru+MLMHtivRyiuJcuD/DJAgeKNliHDe0xSlEsA wM/hyKH/6TtdlfVrwPdSJYPnlD3t5EaBPNnO1YdJnUxtBLcpycVqGdC2l2xpkoMAUdYr3GrZKXEN Wv+KgEKmXtct7oZR3CcruN2Vd+X76yV8CRji4Zqp+c9pynG2TqJL8igKkGzBdpWVhHy7ODSFLIqs dYD+dQ30EwkOGexVU0A6ofoxRQ+DuI9UMdU65wk9RjZ/pnPVRq0F+tpHZDUpFFm41QnQj645LZ/B Er5k5nEe4pYh1ZwvXZe0BMwKF7GuWDw+Iy71Mdy4WT1tq6FX8fv6UBfX/+Zfl7pi3DapEekGADkq nCETwTqS3TMPQ9gypQF3Px8k0vjl6nBEiohFROCdH0RqKJJLl/Pd4MVnE/sSCkoetZ1xi7T9vmQM gtCbt/o5HmL8yHsT0/rwxpy3aWcihVeapoSGGsG+kVPWvMgO1dUha02BrXazf00wQdF2M/qsaBmF mwO01P+AoRD92EUlvKwl+P+BkUbWQTgxLbkT9vTdtYpplzqeZ/IAlvRHxeffu8ZMZvJ6MzfWKeof G2QJqj9cfG9Hogfy6kWqVuiqTjy0OhCkdy0q99UqUQsfu4h9xpejCuXqMNi54ZWdYutSMndk+SnC 0VyujsF0Y+hxBGvkkyC/TwLVq5AFjn7ZPYJ4KzQzW/8xKgscl1k3BzGuRLreG00oI1lOE7mSQvtO 5i8NCYEVOb/IABdKw1dU1FZszLUjJykGvBUmX5xjEcUtIi19ESMgI6BI9hk9rA2IvGpHEMxlTk9N LyzMhkszJxng8tYY17llBSUlFTpoHAV93GIvX/kbpY7szyOWFpw+ul2y3K2h/F1V7Gs8Nhe4g37g wcGpt4c+fnXs1OpkAnLrWK1odbWokkv0Yiiuh92wWEAUEXNdfqOWDL/2dvfpuNkomwVvMy4qt7Bk GX3k1C52Wx2KNmDEiO6Zn/N+xUZnz43LGa7859FYrKdZIFoZECaPqQb+llD8KxGHISqIbPmRk9ps BFeKkreUtVRpJ2qzjsriMjn1viFcIqFl1CJT/z6/ECcBhxLl2Bk1S4eNcYwYmWhoo3I7FjZTsxZU P0/fZo0hetToCPFRyESEVZhiBImpXf9DgT2lo6MQRqbCfnetGhbZ+02mTpWC3EPsGhXG6CwAWzb0 kIOzRcQRWfTsIhumX/5mwqJ1wh2tIpWRG0eyL7HdQXMFzS5dHgMxo9bun7BrslKKwro6N+//Nqa2 hUIRIfwZD6qQ9l7fJ6sHz2+O2ffkDMvYHvfDbytZI7AQIVXemPP8EC/JnO6utxNCjEszM7IQISyo YH50HdBcUcMFUL7ZAk/JVyTxBrMLtBu+7mmCEwM8FpEtxaa82eO+qqNgysFCIdkgYsfiJXZezjlb WsVf8hDic7ZhJRn8gHV8V89c3UwxS+gHNxVV1hWffs0MbJA/GDTJLsygWFf7VUcIA/Wn5Uo/Gj3f I1LGo9O5y63ZFVggfWzel0SjKWn9IQRIhBWj1xTL0FB2OK57/M9ygbVw0t7ynjM19AEiRzRSa0hN +fM7gg6ugKIQrB8L4h7AjOewQP9JvHo5sp4deZjJiwenV0vE/ZUt0ws9PJ5MBv6kGZE+bGPysjWk dWa/lU9wv/qQkEYAhe487fQw7PdYbKQ75RImS275/dvdGF+L4/B5fomoB+cxx/p23yeLYQen8L/7 bgvXx4wFnGoETZH7yKnIY7ZQIgkm+9TXKd51HAGTdEq32XUt0dQYjXNE/QGTrwYMf3euLMnZ+m9O cE0DnQ9K1BV3IWSEAltaae9r8hdwmCXAWrqFvhiBjE4J/4GDNbBR8y9Dk46t02kpwjK5KLmMiSM4 VWn1o3fiuXGk/POre2ASJgtYASZi9ieiaDQz0owCe6Wnrix0KSDTG5sYXj6QzWpghnBZ3sVWuOuh b3T9qZT6GqSIZMURzjBWl4MWjHLsJ4YDfLjc35S74rFM8g6BnOFOh0JLYkR8+g9/u7CgDcXQycay gDQtZoHupcgeLDAr3SRSq82GNX5mb+15eplYKFZbP4a9iUdbOxC1D5YJO1QEMsy15YoMKE+0nFUJ SpwL+kOaFqbOAG3j9R5kGiZICUBNfdIlPPrxAro6SfB8VIeeIvK0gjaN6rxGc5dPbx0aLgxzjTfK QHF7vw7KWf2DtsSdFh/lLPVdh8CxkitIV9lJHnzj/edH851ckkTFU6Ndq37/LrXer/3V6lBhxdeR ZIF2UOVn6DD3KgC+JT7rrjhxfK7ICplQpaPFJRYYb+/uNcKuPKhMW6jE5hV8i6Az69UYLfjk8rvi 99xYd0ko7+GKYUaC0sMdaNtQVs+b6vVMB+7IXU4JaZHyJWrd6mwUDYyxqSw8k1qWfAFbcv7fdP9A IU+lS+YI1xxLn8iaVgYw5+htvl4u4axsM4DxDBf/uxUP9Er6GH0KZv2x0EFJwHzRUJxlEhwUdAkz c3/m2X8OKpgtEQKHAs8+d9xgNU7AbbPZg7e1Gitl3HV4hCuE/sOO4DrBNxBaXrKn9Qpawkr30k9C urEcGZ4ppfs2cpjcERJa4fGpbK7ni5LCdG64Kt7rM6/br2ypjB6/7xXkuqu6agSsNePqESt5xC33 3+lh5hU6hY58vDdnW2289N332rccLMIdyJl6ITTScg6/gWV9rFMKlqISztDCh2wkVSGdjdFNgJm8 p5VkgvrhNngeYi1b+OEpttDDUjJx6SIuJ+6AUbcAzGlHkiuvjbGVtEtY9npJ7Q/lOQXZYjudsAtR aZEsrpsNxsJWtttq3yXfCt8rgmqWVmPzgBmT/qQd8YkXx1EHN53QiAPpbIsYqb0iuMR65KYoe60s epizijSVZaHr6IGe/Ltc49aca8O4SJiqhX6sCnhxmzwJaS1GovZVEDQNnQCQgHjBCHoz0P8UPKF+ tYbZgjvDQQeijroZRLxXDfNUvQjxsv3orAkFsinszPN2a2U9jIGAIhwYGZqnviAxUeZun7PpMhL1 SMFalu5rybfJdRN97jFf/Imtr1gDZJB58LDCgSdmEqObR1mkqibmJ5U+G/UvMQZLnXHjskUsDo58 /HgjKKiTlmkvpBC5fYbendiiO31yEv8mV4SHhvGVi06/obUrun1RJ4B/jvpafbXkxxmbGtFawcKs 3HpEMruD1bLmJgZjop4ja+5CmyW4jXZGeEkVb8vVlEOCPrKoeXBSxJ7fZZ/W3EHsNDd13IOByyJl 0wSdweQv7zcXTbVQzp95JjyO9uOiI+olLQOISpwYxm2m/MpdZz17vnIef+p18SFEWhz9oeyHUMzn 6Rk4UlxWurcYhu8uy9PV/UO5vAtGuA0csp1ZgviBNca+eyfaQO/W/4i6XMmnZ0oDlkzmrBBjSUjb CPazQPyHuPfvFZz4jK+ZaPQoNyj06F4SzJ7qR+ROz+2GUUXlzA7hEZ2JeDmqFHsG7RkmUgTuxvdP jIAuF+/grIzUIVmDWDVTkayaM4Wc8KfLC1a530SKZ6TI27bptOcGhLcr8LAOYF/mcRPj0kccPkPW dpQjdkMBl+taS7CW9jwZo0u054KOK5mn1uMcqNDjU0+tzdymBbMZbYFIq3bfKJV3355vZC6mDe/a Rmi+DKg1D0kibMuAJlmjJMM+WAJ4741WTKWMObXQjU4jivokV3N92m0x+xesF/iMX4oFytiFc1/U ldiHZt4EKHguCLcTa3k2v7AGbzuNdIgEDVWWOuyzYpI2ZqaKkdgrFJnMnvQewMlJRngwvTFjVXYL FqX2p+MS74pn5rJJBMpQMy1JnjMXhk8slb5dDE54BtKfS1ZlQBsTnvXq8agz75ABnZMKMQEvHijV FMFp6rtmD4IhrheGbltkNs6Dy1n5453rC30++QVOdIYeAsNfgAiIh0PGXPkXJNpDlGWI33mMWHzB lggnFaFbmBDHy6y3ZX6s+igoWIWfLmB1sEpEx6KzD8xvFVYKcJfkbA2a24Her9z1blfK5hL0QWFt uQTH1JZ3kgmyntDsQ7h5nFMhdMWeWRWFKVbIbsinb+w+xWy5Pw59zBntP0F3IvwtO7e42hbiqboi kQ3X9X1UyQ7tvR3b9ha+L9SvFxH8OW7sQ2/PP5nUlLV1oXHEVaOgY8U5hnEp+Rq39rjxtVXwlprx p/FAeiu7mVleCqAjrPjpodjn2G1CookHL02WnToxwar13fHZCvFc8TzW7d7xUtdpCv4nPrRGr3o7 CN5M0NbYbF4ee+p/BDxihlekmel4MRNCArA3qz2t2uO0pn0ukZdoW8dbnXW3/VNRtNzDeNDzE946 SmfJEyROy7JNJsG/SAoQZ2m4+6uekguaU3/qc/1fkjcOxOvOkwao1PlsV6wQP4mWuluX0pxX7Bcp 1gtHb3rnrIh7UKnmLLR4UoUMGaZkhNMSXktiDffy1Ik6tXC4G9EAHNZSFc0UrBatpVTKVpp7Z7FF D7OS/7BegRQenb/HJleyMt9Uo7GHVRtbe82s62fc1Dmt195v6ocfkQFOKDCPBpcSDr8/Qt4gqJJZ 2BTvM632teL0UZ96+NFuJ3ncKOMWzrx1FPGdHIVUd8vjB8pL99ura7FeVIFiN2V4D4nQOZdfLgyP toVgSyhQVlzPflImUAtoCyJzclHl9EWuQ7ebRTSYO/cx0nSVapBzRIrP9b86mzWhppVE7D6qJwRp S8tNj+M6AHgVb54t9seVGuD4HBrn9ahORQxk8BDTpGiT44Jsvd0lBH6y24iFWIzOB930RbsRsSVj xr5FByJJUsGJIzms1XompkDpjQLKv/lJp86qpdnsfofHQdqUcByexd4qyRfdZhY2MfDL2hlaYTWg uE1ZgevO1owcNsDdjqqwbI7j9ackyKPNYLfiNY3FjOEclfewIovfb9DR+kxBJTJwZzXAYEE80MHG 6KqVT2rPd9H16wSLyKwWDJKwekiHD98UftnTld07I+V6hQZT5DZ8a2tOoyRE/jSXqP1nM8Z4hbdS ZL+L+r8yMyuIBIaR8P/I618FTkelESc6we99hP/S7hwQT7u6KWFA2+wbWZhnb9Ygy8SpZBoyAzE/ 8FWqVqOny2jngyjEgoxFtVCQJhPr4PT7nqnsHBOJadAcgVRbp7YerMDkDh1iX5G52yxqBPhRLkCk Imsp3Sj+ivJTo1bDBgqVdYnj22PJq0cs4IiTsPC5jvhbDxEwBtuTzdJgPDmWYWRKNiDDj4t9lkux /4ou5aC3tpZVse8agRbfToa/6j36a9zzaSgVwfltNqIJ8ZrDrFeR0SwC8VdMOas5WEKRkfZKePm/ YEwwSae652dGhrjxyBFtJDYgHXUBmmrW5jZVAvFvXYWre/dSuFa1lr+qjmWypsm1C64pyuP2CNUX ejXQ1DZWVD5PpMWEdloLZWtjxyWqwP+FJwAqqtDkTx3UzeqTVGYRAQsGX519aCY5CIw9mM/aSASe mGCBDcOVw+aLeZC//D1Dxw/jE+b9bWxQqFVnPThcRPDlstCaD7j5pgocV2tjA0r0fBbRyuSa+Yyn 8LpS0EhZxlRSc1QcEPREl90j53MVMdZDyfHvWnItibo5NmsM6LnHUE4TzsdoX1xSCV0be4UcSSFl m0QwYjBMdVthvUsbiHBeC55VPMoGvaPwoPYLjI95PsLILs1NTQiWcUiNwOK1ewB+gvYGRi+TjA/R gbnnoK+cKAS+Wh8aTJ70Zae6bTkhV+T2GgW/67L45O1F8NViUAEYzOQCGyq5AtOERgwBwmnLPzcX 6QvoUK1RywAVfTAmngvdf1YZ2U8h5KQnLawqr3G4kWuXx5Qh93PY70YbSP2K255Mh1e/PaHmMxVR rLVfT+wiF17HiGyHVZqPZWVzAIGtAx971IujlvIid8nLAEa/9zGYR2oFFPL6KKlLh/T8A25kFl8j ZEqIuwJPQd5FqJ288iDY97p5gVUn7DCYjukB5DbdsI90JX2NtwjdPI8wabXPlkTCH2kV57yOdxKa aLRJsnHVlhsj6PxCJVdKnIDCuHcHdL3d4lcpjMjVmWAJTf0GvVjCbrRRLxj+PS1c4tiY2aJEkx1R pUEJRQqyzl+Z6PZO4eoJW1ew8EgVdKlzCikd/Lzh6/lXodK2qNFx05f8/wiOMkLsoLD/jo6R/2zM LVWJjzAYFuHOOOjIlw+HPNooPRWW67K39NOjSnNTlnVY9ivZL2/XrMV54whw8NpGp5LL8XhhvNaQ Dzt20P61wdDl0Bcl1Zz5KRsCePdjnF7SXt79Rbtw+Z4GT0EeOiKlHsA44gSt7zl9NkaTqZzy10ZT ljLi61CGCtGAJoVZSpGCDmVqq9FgSB3Ckt0WU6UknKK1+bdnWz5UgVghhlHsJeKpmUX9CouUbxRV FracXZrSiMWVvUEcH3Iibql/whWRTsmysysCXqR0ZDrB34p/EDljcNToMHZbVf/NvEzLCl/OY7WK 0wUw+BMCRkHSbkhl9psEfSf6wtEIorfUqdkBRfnh/Z99q7nK7wtKNUykdBhuysoLuy0ctvJAuRKe hFlaIj+RlMrg+8wSgcq1pgZt0QNh2biv7FBAcxIczRMzH3tlXXd1lkSkomt5erqxLRZQLzfxCaEE pkgqDyPAmWQxNnXLgyB5PvkQ4a1S5AlfAkFGGtt+OhPAonuKBsKQITmm4691IpgDwLtBHNVtOcy3 P0lLNVhTDII18dE689jjIHhDUikVsv67nwp9SQdajMsK8uTZksV/YlrYbcqN+akg0uLhck47QKtg kpWRY6Hdv14IN0LExUljsB7zKxDf3cP3+IEdy0IJwluLPFvz22gBdSU9q5RANXa0hKM51QB5Fxer sEjmoVP5CCg1SCXVqlTu/kFFOshf+IT4vO7qXBebb/06Q1qx8fcnl0SDnvIk5ZQXU5I0R92vsOfo rrgNpUnpUX2f36+3RoCHKnosnxI9vp57t+1WJuxIDZnpdAU4wT/MxbA5O6HXO1onvUJVcY8kr37V WYiqNKswGx4ZhjUiqTdo6DF+ZaDQHkLStsTTmyHHEFqAKhBGtFCrp0CJy8fEflvQ8b0ocK7jSOEA qkFmYvK3nyNWCyAD/s2IGoMN7/zrb7ekxiOTahP3tRmlzb9fvsUDiLXqVAlmlumVZc7dpo/cC2tp +7oLeTuBA2zzzbxoWkk21mXFdv8yg/Bdo9GuMD0TEHGl1N22CPgnVpcV2Z5jKSeQwHuCarCnoN25 M+rBG1g/OlzwhCuf9adQuubiurfo16gM5If+dO9ES9r1Nfg0wImS9EpUF6+/n47Rk2j5LqustAcq hsfMLu2ILW1N+N8xuPTibWUvcNBVy/rRAj5PgACdubmYUNb08+xCgyR2vAMBmJNaJVqgABCfhLj1 j6+GHOPYSGbvbbVofDRagfSpYQIS1YNS3P9cM9T22lnqKbFQ0saBlyIHn39FcqHt+wWvmqSbIZS4 ERVkJcqEfmL3l0y66txyyPaeDGwkvcDXzEGw4ULhFA3FXfo+LoaLYgS1zdggdlTh0M4tK6ZqOPMH EIc18CIN+bas3YlXxO4UkKkTWpUSVyxq0pNZ8sqYcbWlqB5HoAVAZo6EzgFayPmfDkGdrP1G8DnH zIpq8cBaxuIPXoGfhfqOgTuE+fmzMsgM77jPfT5dE17LSFDfBg7Ga+H6+Va2iVwsnscORjzOP3Jz l0Wt8lVf6Kh+vTn2ML/nHfXQBMI6uMnA/4k/7T/HxqBZ8SC5A3dGW9XVM4k8TTlXjaRY1DBMJ+bx KQiw4RHqPdNvXgsnOjZL1TjZ180Eg43Z4qtmgAAtjRGjw1/FXS8hOGDWTGpG+GT8jJz875oBgl2x VrzPMM4VTX628GGYhgi8OgfOYaz2/v194GZoFVsfu79J3lKp6mW+B5Azxdv1KVpvkQf3Eodhgx9x awCm2bzuPxZjWxHzhCtatGjg1baYUZkDyGeeKm3zX/UjP9dZlr5rBDRLBg4+9d/CVgPkmQKA9mbg mRUQl/aAJNLNYHQcoFtQNXE7E7KWyfslp0SLAGeZbaosFcbQ6lpuO5vjG8XuGVgjpvBMmx65jk2Q Z0Pzrh2h6MgdukX8B0bvN16AoyqvafLTJZVOudd5DNYSctoXjHtYIPwUCfAkMkXnd+hbJSrRog5o mnDbSCm3T+JjnmSOGKoVSsjnqy3Wg8/4SCCiClnAzxM6qM3qmA71ofiZ5TAuvBumyLUWWzn+T3tp q1LPZcWfMj52vgR3RHW5zj8KVkV9vMhVbm01X7PrJRahqY8+LyNPaOKYx6Jf5fzVyCubk6rBSO4T UBirHb/7jPRQ+lg3TI32yoUL2r9DmApFwFkOOy6ID2cgwn1WsVTIi9S+4msIAZBs3rPJNqcS/1HT j51m9z2zbary5i8DjYDHza7sAuEOl1d/XT1194X8gYdu2tJRZxGJ70heJ9ogXDnkcIWgjqymXPHU rphbZ7oRGhVKZw9v3yX+xIrzMX1IKL6+YB/NyN8hF9YgYnD58dYTI2n3vqQWQ8qOpsFO6fDllgwe k8HrggcWNA9W6YPrHnsHGF5IyvCHuSEmi6aCIWUH9M1aEUi7c94WJ2swtpJYj1D+OIXW5HvNa/Tj uPUJPBwiV0ctG4exB6ZBkDfbapRN4IOOOSpB3odiFyG0wxRnCCXvi5qcjGx57cZ64lRbEkw7n2+9 uwHzwZqmEvdEw1Wv7c9i/c2oZo71mN6WS0hPlZSpEjrwETySzDi1LnIBW5mNiPU6M3xdSzgKrKkq XE+fakTyrWZf1rX66N+6wRJJAjJYK5u+q+QLVIdksybBvbhAAshXiNPW/uRO/3/hLxhPJQLge7Ki Bjupay0Gt8gMiUEIW6Eoa+8BZH6DSusylnnqnml7JDAUcqxv/LKgOGuRPkEIHjXp384hzr8lVvTo MqFu8HPFo0AbFKDsc12cI/Z2vvIKW/TatwEKrQXmjJvFjCck5P63MpOVfW+4o5CYu3Hkhogen1DW nHt2SFKOJqLXJu4YvwVnpZqqIF6yWTXMh85X/G62IcklPvJP3dFLTQxkUgRgphAk5z6FC4jFLZtQ lzkwirx+lTm2S4ybcS7cqCKVCJ6gVfNmssLiwh25716JReza1VDXtlG6leb+4SvzGJ+xynegZ8s6 nwwbLo8Si8x120a5YEXZBvopYrdbN57hBTzLv2JLE/Pp7mqD51Euu0xNrAQtyf903mXMnmNCcGvZ SMxLTKZqtUnKf9//as6FEdH1/Tr7UAt3UuxjGCkbLlHRm+XEJGqD3huzbpB78tCJ7w182PWGd4pm fnGjEMXpg6AVnKOTIi8F/qu/k0W2eN/WAN9/LG+khXNG061Exm5V2XtKj4S8S3jFm3aGT6cd4c6u gnAJXslL0/KzBk9YbKc1wt8Y8ObXWaVaAebNsOmB/ENyCn+6atKotOYv+VGNqPjvPEfY+FQyHCcs txJnujBc8Qvb3oisQNI2o+8IVjhMdi1FpRZoOPHnB8zp6ZkjPpNv4xcywkb8632lW25ZQi1KQ3L4 4GjlxI1Eznz4/FfmjLF0H2u52ynF/Eli2vM/mXTE8XqeMgocMZ+ZEFtIknRzBLVVTZewlGLgJR6h mh7p71G0zS7ML+IrBCv5BFxZVbmU84DY8YLIT6h7ZyRuXlwThlGtZauN5DxCXDMUwGGESB5yYsU+ 69FsLwXCpOiPe6UvJJ0Eeo1kt1G2tMwQ5JmNSIfzA8OqgTbwZu9Xhp4y08sJD3Wv/L8LZPMdaxV1 KKJ7QF82IUNtujLJapxzWe/FjXccEGIFUNs+o4aOXGK02U+K0Rpz1opsSFmOdphkSYk+HmanXtD+ GqIWy7RwfOM0Zoi5HX92zn+BZx6SRn6KebXixMLzs4FavLvwg45OG8OmpXLDYY/ct8EvvOtM7t2u DCIqW6RQ7bkasYHO90Go54tuYqUirpcXGO9npXgzahbDBvRvVYvTEphMEjQks9ezGjcddBRCRFCm 66Da/fKG6Qqgi6wNXnG15zmPAUWY0497vCDbImkdjEuZbbodiWQUYLNSzEnHDOOrAZrOSGDHxlQ9 z1SSmmzBInKDAXzwPdj8yEBWAIeTnPskJDsWZBzkJO7ZPXrMooQfxz/RNFkji/INzsU+ro8Qw6UW USaHQlY/H/yWkmtGmtn3musN9ttOR9mLLUn+iBgJtFMw5Uo1pDjWksRcFyjdVv1Aj0Ps7ufjaoDz Gci30mZnZV+VqQWJ2/RTIhsx6aThdYjUGCa5e428XtBwHbC+IFHnh+B+1Q9qwDvbz1gtU3OPxbNd rYRibH/IDLWrW/euqvYSlcNqd9uXutn8E5vFWUXTuADqIneL3UnpYZMJ73Sv8gPkuFc/9QFVlhjI KzgWVe0ZHxpJ+nQnW5PxeAVX1/K4smiuMYKLr8vxayFCoyVGZRka0/BocAKJSD2TK5HoKvQr4GzZ c6852Z0Pe0udDhI7sbnGczrsVVZrCYsLlbLs1qw40h7wQbzNqQ1YSovOt+TwkyKMrgk6VWOZgzuJ ODgmuyEs/cV71ZCgRI968+qX9DaCWU0Oi1sGb/6gTZpRDRGKB3JrBA5RCqeRhBRxru6+4vXwlXse hT0CeswS8+yh7njnD7RLXsOQh1v1WrItrd5VEeNY8ODC8VGN9V0cfMVJgkJW0Yp7BZUK1H5DZ9dj GNW6WUutUJ7PurAhtId5hAiEFsmeG7H1BxbfWm9xFtwZ/jV/XNARX+Wy5Q/5HeyBW/BfvMr1Vygf 6UuyDzirS0KS60LWt2gF2VhKLcb0ha4LWrHRjdRwYy7676NxHlEGtAOd6nUoW06P0z2zCb1wAjQO oG9Iv3Jm+MUv6BQa32OLsWrH027xKc9qn1bKS69ne1XWt49j6hz8Kw3eJ/RiKFGm1dg+hcdattaX jPTaZ2njMl464CPIucbJf3fludthH89/WW13wZeV6+D6a0wzYe0a4h1ghUqV5uMd7NcatRoZdzTP sJmHj+2ZJj4Bhh6hztiWIhacTBSn8OAYKQpGTF/sEB9zs7KXtW09oQSZJGlja0C3+gmXAZel+onB 5AkWWdBYCvc+FbWrR2XWnJVKBs/zpt20ubzQLXB1fR+w4cxPiqq3cO5Q7JQchelY1EVst3MymjQn KfW1k57En9/sf/hyPXdMy+svCF/4h4/MmZf8GY3LMVieWe1/Fpc80+Rn/tMCikEhnHgtiH2a2oA1 UycUZze1V+LddNlCjMLDc52O3wA10N+rKtMYvKn2Uhs+Ckf8FaRqfngBDjaISfrVqzUUwwGaf4LT mB2czs5Fe+5/8CJy25+XmeMtML4yF6Zbsfynmor8z0x4Z7ISVfZDaG87EECf+v9D0tR9HXmhFEcw H5oVWv1BEt1rdcVfHFxcLMIWEr88FASqAt16t76ieVMkmPQuCWhgh9gHqhg1LfEhp+oa6K/g9s+e HvLuj2s15yC54W0gauWs1XEFlQotph1ydjoNq1ZCp5Dp6afAe9cFn8Fa7VCeBOv4HCSJBKo1pm2I 4na3831wbOka01i6Ecst8l5N7CEXMmQXNEjDIZYyOmWNxR0fjkQjNY7nGX4/K3v0wCuTzJ+1oe2T Cgq0BFPbwZkKKRYFlXPfY0Cnl1SdAGINCCYwq/e8nSQ17yf5XamlmxYCupo4SAgKFhgr48qyf4WH 7C+nu6j7m0HQi3de+5MUuoCb43Zdqlg9YV3mxXLditToyxjyJOTcRo0L5OWwJFoGmWgVUIA/V850 /9V7ssBI9qys+ERwWBJX6BPh999EZ+iZVASGVwC0mHMhrUYTcxYUbkBDNAQ2HHuRJInG95s8i2gH 5Vq/oKkhI8Qg4ngiYiTxvhmLudzDrYd1mYa9dT3O40j6KYFYLlFuDubr2NZFoqyd1z5GPv9R68PH NXZURdLcD324cdE+R214uW4FaXjW2reTTxZ0LxD7hG9WUxNSFvweh5bslD+L5+AMc8pPXggkVNLt St54TDloZIpy9Iospox7TCYWSw+n8w29B0OzDQs3RmteUNs4dE7qsHg2TmQanDSLtdCq+1eOLtyO +JPpYfpik64fRykr2srF4hfP5Vf5fvQNjU4rlMcSCW7N1HrSWLuICVmcmkZkvvjXt3tf3SMIh91K q7v8zFecy+Ko36bncAbRlGOtVZwDOhLRQSJXmnbMA2SSZkdV9fy7DD/TIZLdxmWqGZtmKbGh2bni lhViSfQTAeuRGgM8WCnFNpFu23Rdeu1lW65IKlTG5D+g0v9gA4K79GYmawNHEcxRuZZMnJiWpsEY mFc9nkNQKpsCg9KGIJyymNnMQMSxOlpAwEcmd7kSQ2qreypSijDEIe9vUGypAw5J65omKhpip/Dn TIVrV9fnYYV3Tx4dacDNiEm3RpZa2iiJ5dgDHh4ISiC8gqhyyl+OE3oX0GNZOsZBUpic8dtwWYzh i6JPasGqeB7nkm1ytG0ODaWFOW8yQxd05oyHIn6WWwkpUP81UNb2+y04Jl95LiaiE5j0mRT9HErc VD/czFoElgDV2z0Dp/pbeIT43iK1iILOZZumG4Usl/BVBWWuldsIsKfhq2GWqLeXx187Q/DroHrU cqc9kqjcb8P2kbWT7jH66lfmMisZLpb5h2PycFYBnwEv2AkVoIKVcFqJ781VBOkSIRcqNs4gNEMr owJkzxNVsCUY5/1euEl6SakY3Im63Ua/GQKjSK95hGWgnzCTwHfjC0t15YfT9jtcPuq7wiomzby/ 5eZ+k5AOa0gSSDak0iCVrs0xxDYn3I7D0KACl/xrjs/TYgJj82BSDXbXZzeO7T/RXn5va8MvcHL9 j/ogtWwxt2AmluwSciTBrYrNqq7aHr3u2Tl4HFMrgesSnoHH+X5hgRL5+IEUPrnf5gEMy1SYIkjg gjKyeSfTyoi4sYXcy1kwIkgesnap3IIqzW1JEOKs8tSUsCHTng3aYXxR2IkJw51DdVw1HC5hUOxw wx3l8qZMt/xSizgf4y32PixbnJLtGw6Od4hlCABGd5zItlptITV0nMX62ghTbjCc9zVXSE4ZjpRN oDuUyOfBHENqIfH5u91hC1a4EZj/k4IDj6XJYyttxQ+faYLPK2QHiWlXVeUB7n0DgPtWzZgcW/ge HGPvC5JmWuTlginvdthksS2A3R61bdKrgIf/Hocu1nzNF1vu7jXFOIqyb8bGANbxilLODXfTwfhj MeOIk+c/ZLD3IiGvkRZFGzDFSUoBMoDpy5tGBWV4VNCYxr5xgndWw0XWTfHXbv3Ix2JnGC2mK2bn wgoRGDJt/9BtBXeCz6rDTomwzDGouh41T3KzTQiY4Ti4ezZMcLw/kirZU5Z97MOYBshD40PAztz0 HyhYz7hQqOZiYNyli0gedlWH1Au9CBibLzfnLIUWIToETfbqma6b4YVjZGOfbIxVfwDFVPETzBe8 ePqwB9CqXXxGi6FZFJzISsXmMNycBu5o5XVPv65WdPQU3GvV98lZzuyggvSmWbgs28WGQCKRJ4lG pCeoHyGHVXiG4G4gFGb57Spl+owB8+O+1JQACJ+8HYCO4W+fOvYu/Wzew9F2xUqHBroV0Oan2ANV oO1jKk8LiWnJN78v9+M7lKQhAEJ3J8b5NFMD36G+iKrSlBOkvQY6I6bBPXh40tCdOzlsEALuZyAn a6aOLD5Q5y7q3JXgzz88fyG62vtEABmq86Go1U4uq8vpYHgzpmXbSAVeDm4X03NUDkF39C4IaiO4 MofwxXgd5PW82rMxMglI0B+A0FIsvJSUWM/Sk+sTaBgzHCFTN4s2aM4mB2frEizZMNI4zk2SaW+I WxHl6MYv3v5QFK5z3qhrTPO/cNeyaJoavso/qhVGPBzhyDHv07sBawSnSs7dy6JeplAP16p/mQFb goN6+A3VdJKIveeuG/UbJTfN+kZ2RKVQ8uDOag5OOAVeWVKTYM8eVO7S4r+CoYUfV/wyOe32c9Yl U380CxHCgpqRoKHe38RPGZEFCpQJsYp2qh7Qj61bpb6HF17OfWHjCC+RsNMYXlnSBD5SiGjtv8gU Kzip27AhiXwJmBJXExX6H87QAFTNmfuwBCrg3Uq5A5ycIFgKFXroQpJyWJTfd2YrU9hsGpvgIo9M 99qBhY8ngckMm0Y+xNwpnZeKAWUt2d4atoGGzM7iDQrx/OddB8GElkNR3WO+JeARZFArCpKkVDCx MsIAjlv5NeYijYAmNfaFfNGbiCl3IhSUj4aADbfWAnFna9UjOPklkbkBOLdRMs9bkocyUQeObMIj ubWZFh1ACvcFiFYp8/ct9I46+1+S1idFMRmOVOUOShBdzaQ5VFmaE6b/KEMSVzwyPFi2vKHj58UZ fI8Kj9eCgkG9Rtrqi7aoZo0IaJ06rq2+ZKbhcw4v6zf8o8U2y+DVj14PUMV3UytFHDd7ixhDORb3 IBDU9yBDWjSqhKas/I1akW8QekCmAk+/VdxMDyy9B+Zv9hPwF+iwFHGo+nFpAYOE1u02/qP7hDur qHPty6iNwnY6hRWiBLJQBBkI0TCr1CtALIcbH2a3eYZGFvfU97ro/t29LS6GaOwbJ74CsqHAtPXv JbfrUF3PAfppowbvt4GeOnM7rWPkMrk95W4on5NIL4v3qOYGf+plc9OzPtEFlxnpr4T++vQVXoiR k3VRTBrG9HD43N0QfwlZD+6C2oBWKR1K+s2GScQs31dcZJauMgy0Pubz9K63fG8NwzodZcFx/A2I v1l8S9j7Tkc9sy46n7FTrNrTmvIdhUW9dRb3vrZgryjbOE/kBUsl5ZIoXgoxqrSpv4iwIBXM/ubk PvmTAHhXMrWlrbd7bad14bS6ln7n9CkH9irdMSPvLc8ovKS7lpgv+v/LtM8atJKY2xgNCTTS3Ooe XQVd7G3mpHSPLvzcj+w+JfglxKk9s5FLzIyMMBq4DIX+toBQTLWIorD74dqW7FYO8dbsdoqIwdni w2Q9c20iVjsLzqKKslCQB6u4ujboWJ5ZtsLpM8gsAm7ZgMIPJkMy1BH4NZ3cVIuGdk5vI6PkVqUU Bz7BuXLAvjQDnEWJvH92BKbU8SBrvE609v720FGaaW9YXBWty9kq42LZQ1Oq89d8B5D4W4Tx8xlX 1/pUEw5u0MH2PMDZw/xN49ApT/tLozYnupvoA9UVf1OAkTtKXXX2iB5nKvJKrAcT5+uhDZzgqfSg gmq4R9DAQuldus4hMZz8IQbbcJyBFJQx+77K9bydNwsLurgx7cNNpDQ4KTTqdyl+nfVI3Mkbuvhd AW4QykeCR8b6HGT4Km9pgoI9vyCeJ1mrv6R4dSb6k1R78sV3FV/2oKiQrkQ8CtmRGCgmbRcpK2QI Bixcl0o2CN7INUquoNVxQJR/bgKkofNm/H2ORt75nWhN4f8k3eTEJIHMQT5UmSj/yuWtNG4fikZZ UhaFddFn/ft4jmmRJLPHOBZ2JkshkVyCu98KXhPWtWy1RsLUhver7+hJEbB0/J1cdvopmqmiRwQW BedtCpNqENV75oHxfD7eO0SdBQnFOxJDmpaclvbHSMF2qiNuhxdxNiiJmPm4ehIqvI08RJtEajW2 AT4sUOeQnCTudE/niCSC6NoFZEsjcq8ke4JBJwZa8u983lgjCpP+KOPGbT8HUWV+KxE/LaBaqFHO Pbhcjv0Zc8AyNEluy9LxzGmBgrnHBWwPle6HnctFl8SjajXieDljXUQTuSZS/rnFlcOW5scqK+Me MV4Rtp6+GlKSSjQW4JtgRAyFxBTMiT1a40t1OOBRlene6pTYgqXBPLmG9b6fPk27/W0ib0kF4ySz 18ZZ2byo/sgoLXEvhRB6ANMn6zdE+HtzKKyyhFrZpd++YqMYr1Aakd937g3kejPbWAcELa9pwR5D dpDOWr8c6nPgGqSSl3yyWfDrsjdMJTmT7Sct+R9WeNc6hIB80wMveePMuCKSMzrz/IzE7ASAikI5 oBq5PRpbNe6KsJcxtL9Xj70151wQry1D662PI//fJ83CH/GF4NfWX423/nbpQDcFveZRU4lKbLdO OJZQGA/E2jSo2cZ7j4sl6Q5UbAVeKs09GpYXygSfY3pW4sk/SZWNAQrum7RWtJRU/e6bPpqQ2gMW U3skpmJLCqlbmPjoVqZqgccqVlOKi1vHjfnc0isA4rHPBULCOVW/TkwlX0aH7mECnAWo0pxwhT2N ywul2Qll3n2/oXO23QHG9I9U0o6rc9DmrZGf6BthQ5HUND8f5PtSkFCp3EMof8GrWw11dFRuG6aq aGN6I5PA3cHCuLrJtUM2cUnPYL+WzhvSDOPlkBscjnUIepM5gjBjAkunmA42Fw9UUGVSq+xFp/2s estQW8YvYbwtSt2dbwD6A2fLjr3VVmhWCV9HIj6csBFgLaew0k6FyCss+TyNXcBpM02ideUF0pvY 198fBYrwVOnGAsGdUtmlemV4LycBxlEpL0BEHDwwSGs/QcJCU+3OXwaTWozbgW9zT0Ic18XXJiR7 KPUD7OdP+1eu/kyCgWgfA6/TD/zFvLewqOXrWnm0cUrcPSgY+pqcX0uxU/vjW3TIV8W8KOujcVyt y8FhFrLI82h2AUS3k8rcvKh9ggfbQg7l+XdGlrlq64fuikChPub5Z1vWyqK6pCzIjxq0AhIczv6V Ha1ynal0ZL1u5m0YLpxEt1hXEz50itLRgBrjlDEuQO4BNNMPmrxiMUdsWeB4kuDAXYlgTnu8KTHJ +ktsxK+NT0FdIW+pgQB6mebgvjDglhUWDf33MWLax2Lpu8pYobeHQRjoZiBaEVgODF2421rP5822 2RpP8nJQeuWgxyUY7/t9xZp5uwMAQKIqFday3Nkfftvd7l6y8abiUNcEiBfy6b8q7noCrBuNJvsu lZNGpApF8XT/1GIY4829ikx0cKNt2UamrfpTD/diCdoWkdFngoOuyntFGHAtZHVYJkPFBdF6PmCw 1QKdhJVXcuSzRa+LFhb8PGfeRiAshFIloDD4aIuaptj2WzwpXdPeaGTdlduadSGrDVNiZGa/E1aN Kgr+W5udPIwdrwzRkMIOB5qKDv7kBpFoX9hgfvC7KVrthV/TyP9K1SceAo9HigYqzmo2AZfB24Y8 FgngCSELfDYGFdlPFId7trYfc8+bSLw2PchOI4bPG9hGdY2/yKKTJId2H8kIu7abGWfLdw8W0IdI r5esKcrRgGN3doO9W6QASO3zfPRmbj7NkTXGzgMEbVVZOa+bCsTDhhZWeIWV7NMSkGggfClWl88z Gk9/oq60cGqhYul9nk5NiCZaLs/4i85KUwF3EohqReTZ2Hwl7iomuPkDYBzM7Kjy9W42OY5xHH8C pFvijxQMptQ5eHAKeyEgjY3kaw44BUOxj6px4esrC4In9kto02J3EM1KQJF3gGoKVFcqMUiSiY7g jnsuK5FEWIiXfhumB1I/olrPLz2CH22jbupnCFaJ6xIdMr2yRI7TG8zKV7BQuRdaT2nUKWTkjaYQ 2dOpT8MECooq8E43PPZ3kNE9b2dEpHiEgd37SeizInUF7KGBUb2tczfXdjyJuQ4AwYNPLcz0LwlR R1vMHTrUsyB32rQjPq4vSKSkpYzqjc49WYtehNa+dB+QUlMRCoYcS1+CsFLHLMGo3n3XU87V6Pht pg7Ai3PTuzKgIMzdvvEpw3pU6MJ8jxw5Y1hzD0PPOnO/jjisaDx87+n7sPBXjdU530V+SU9esVqr mcune8H06kEESU3/6UtZKXDROskiyZe0tr6ZrKXjkjl+RutLbRxpFw+nqGGLrWUWh2MODITFIKb5 urIK9kEN9ntcC/l2XASG5p/MX2jBh31slGYe/rrakbC4eTZxkgANmB516I31YXQ1HqD0ofjCxafm HDIC0Kj9l4c7fiLm+Tik8NWQJyrJbo3rYMfzw0NfuxEZijaf676/TqeGXk6x/V3p75B4l+BT5HAl Pt7K1B7pFTsRxCWpsq5dctjSGkWTGXdCu6A5hRqVaczkEXIfeTlQI47HUjd8yf5YVcQ3nt0mVRw2 jbDtOPyRdXuO4O9A0vqVlTGIszkwCgPNMokXMXCo6ZNiVBopUrBWVCWvDG1RufDMQN6yAC3P7xS6 gdv9/bI3YHE3SC0H/3MG1rbUH8Hd9p2eOv+/knDUE89xpmU1V1IBqnQiCLxaNgeZyPC4TANzsn0R qanGt6usDUll1OFGJQUZpOD3LD8hw2BDZ5iMXV/2cGvvVUd74TvU7xUpPZIreMq6X8mMKWXhfdOv /BLel7Z6Sl2W8JiIcqvh8wbi4nEPyZtCDCPRQXzdgipbNYMTCPMQy9MJf1ZkZ42e2RBc2wOzk0ei 41IMAFLtfECtOR3EKaulIV6dY2UtO8e17dFgztkf+sgON4f83ODQr5fZWKe8iFIo2ezsrucfM6+K geIdn4Y+t/9QLR9E6rgInlHDDy0wcui5bYAmFRAc8IDS1pzou9060tPkJaFZAniADJjE+ZkE7FHB rdyXEgooKsuTvuyfEaS/gFrt01doyjznaoU9pgKRfghZIYAoaGaCVilI/JSgJQgjnVO2nA0pdHXY oEv0OtOOzLjSfLGeNLzk8sUjUy1wjs0AWdurd7XFcJjeS5xCni9paqS5FwWIh+M7f9qy7J3aA+dM XktKd887wFeYtCjHM2NtPwWycgLRFDU6B+jtdbR2h2fI4aqtytCSNRedMlB4aqM4NuY5LIMTh3rn UKVru3Ke6AtyAQ+Q1Z+w4gbJiGOxuDUwJkxFqrlrDjFl99A6Sy0wHYsukxtZo8eF80X3mAeQYkMU kCms2x8yLAjKOv8gtp4wGEOcq2c41pp4JB2tG8X6pUizfpQ63AdBWwQFRDC5ti5ZINhNEqGTyerJ VI2cHIMtz/nXFXBUjxY4mvu89wTpDXS/s0tMI8bRyBQLdjDbdWRHWTulR9T1O7f9RYsKisnQfMJ4 uvxXmJL8XEclA6rduQDwCVrlmxmi70lxvTgbObiu8zeLsPUXrD2MAxaq+yd4F+0gDyQ0zZi5hKKq lmouWof1qzRF6GwZeVA3/mJqcCU7FzE5aZENRsYFsblEpwDFFiUhHCh2Dkdzer3S1Vip/rI9WsfB QA9czfMPacr3LXVRqsXkvaLy6Uu9IuIpZLgC8HVHB5gRxrcBjvNflMg+diguKHCTgy7jA8L8cJWO eOSFYXwknqYyfX21FNF5XydToRYAWNBW4Et+DGOHt+mxyG8rGuFPQVu3+7XJw4VQ9zOQhkeu8fXU akWqoO63q0ViyyZBVM/KbJuC1YvQTwCAp1gMdbMwRpDSmx93iaAaGK9Hn21l4LLmyAoQK9+A/y2m nkhssLze4Tf11jh5jt0zkb5Nk1Ayfb0ufy0AlCno5KUT4a6QOMSytZYtRJoFYC/k5bHCb37HwVJH nixpXMx/GHbmNXhiOXHNy1k5MiQdnUvPAPsEmJjihvCOEfC4T85Ww5l+Yl5Zxb93zfeMs+oiSSfy pnNSL0vGyxD3uRaqFFM8LBPjtV9r2VyVyfc9TE3lIKhKixC/LqBO/WoJa6iU2GOVlwZa6wbABnpl DQf+rVpBLgVw9RaC/bxQ1WpX0j/htCihvI9AVn8Flchhkg4yrSyCt4w3Vokf3neQ1KYTGsU87hbO g6sH35PMhmfPPt4cE7VNRPjHjFGFqieyE1vCsgszXfaETxPU6vMiWT6t5cMKLUB2ry2cFcgFxw6S ahwtrodITvzPeb2Wo0LA4r8qeja1AezlOfldW9nBk5U0IwOLFi7qMxHw+n6oZ+P0g1fBpfepC5Jj m86V5UX6OLwzEzWlVq6AuqUk1mr2Hl/KLTOooYces/zQsv2zhQV8Vl+okrW3mSH410BNMD3JlDcS PFxVfhMdjdDl+CsAPxgo57oOk9AmU3V25s0Qg4cPih0cwSbUFSyU+WwSwMrX7vOEpuNHioIA2115 bhWcZ7Sw7eFK+QrxnRmumNHPrzPF+j2+UoTPynKb2LntvqfmRcNFAEx5FqI4F/raj6td7nOSFGXf OJq4LiTDLmY17S3SWtPzJhTF1LVgvJnDo4xjuOKe2ctWfo6ENrO2jHHG4ifFXfMSKBL1TPD4Q+j1 w1SN6DVMmvBuucIpeoxUYcc5fyd1PrYGvoERl+TfR7SruBDAlDZ0dc+ekS7ApMp3mv6s9uVyfllI goUdGDYabrbtEMmHl2rTWnF/++dinf/OtVtLfJ7V6rlgsTAhqSar9T1Q1sU8nn1g+mcPJnobnQoZ ttMKAdSVnwY0oMnQ1pG8VxZlg82n1v7iRjaAfbORfiPOVAbp5vkUZCHFamom7Um8hbYPyd2gHaPW tVxERYKd9sQH8bC8wV2ZqAkyr5TFRJoZgg39+KhuzOMTY/nqXkH6RKHinDoKHoXQa3VfCqQ417i+ 2US6zIbex+btYdSoEGYdXULPukS75pX0UHjbPE08z9eyGaf53bYl+IzKE4BW8DdrTS1CI4e64ut6 EYOeAISuyu8Ehd1l094+ONsUKUG8v8BzgzFT0iagrueQnIrJmq7x+l7ZeFvFWhjDAJX5OiW2JJBd mqMMdVDByjoQgRqMUYt8pCkAGhbK0t6tKrRtssSATZQIT6FQ0cp+ViK2j/fegvumOL5esCy7Q0bE 6US7Oo3NTa+e8sZxPnbgP7eNNKltxAylTV7alGsBv+fusWG2Yjz7ckOWKjxy4nn3aPoLYgDjWjNZ luh3A0aHmGnK5uFzJJtG4mu+FUjnsq7pqT6w+05agwZX7eZhjCcGxdCVOZL8nhoPswPRGCeY5Hvw AIQMKy30wKYk/aO2Mx4GwwBjITnixALE1P1KtTRlNJv1JJpIp6nLT5CLw0//bdyF6mit/1IcBGU4 jtsKhvUQieiIYnh4gDR8hkUVpKUg7ipKktYIy78U/khLZW247P5Sdlo06uo5iV3gwutrT1o/Nfrk xE+ayXQTSwmUuy7YUKMWrW0ZW+oIx6Fwg4LWWENYZiBBbHIZ7I9p1ViA8NQjHKKCQy8sTULg/kdb arxLUHnMTullrQ8UObtvHjlXjCvTYVFzmbJxFXdjLvENM5QdJp4JzfVkKeRjSPhowh6SnRR3sI++ jk8/lHScbTCNepbxpGN3wHLcyihAiNJX3oP+8jffF5+eHpRVZMW6HtsU7vuZN3hZs1tfLxozG8o2 XVdIbjG1nqv5vh6WhMkPYdkRaBEqHHfOkYwIfjaHmFcDrW/QeYztiHYISBXDyi0ZiH1N0PXK1AI9 gUWl+lWjSAmbIYh/CCr5/H7xIvaWaPDeGLCImnWzhb+f7f4MzbF64OWRYPQPESIvmWwdyruVpAG9 0z6X67IVm+C+bjS/XoOQXftGXyT7hWTGOYFHfic/f/JTFQEKZcOVBSrSzn5K+A6kTikBxv9Yl5uA eKKJPxgMbPmFMnN5mOWk0UEvcibbR2dxttWiU8eDRFDMyOa9DL2buEPaZy2CP3975TtRa/bjAyos yx0LVMvFHgWjnE1bBCVNmjPgA5vtPkuHLuU0FehKh1zTDnFnaQ1j4R07eK2HtTS5R74CmtS8nLeL I1rxODy+2Etb5Ea0EGxQgWk0XZUq740Mz0EneIKJBjcVCHFTiFfx/ba6uVmIxf/XN4kKJ5+rxvfF PzojzofLrw0ehc4giTliEtkGAFVJVNML0FqZaaN/Apvn6JN+t1VT/j0gWdorVi8MDpCkujxtB8n+ Y+Mgcyu2en8mtG34aE9W7LDmYtURgyxaH7Ek/jJjWHYqiG9Xou7x1+SQcB6Hyag0mMIevfJ+vJ/z 2JaANYRrnXPJzC4C28/9w+0EibzE2YVjDIzcV3MLBMCiTKY7W2kD2qn3pybJckRgmQAyr9xmJd/+ EeWWlXMb7RnAcZQ9pt0olo7FfYjhWkyrJI81BCzlFZTKVJAo0vM458KJj+cGvHRzYzIkPejdeBhM lt1Pzp1wyPhyAqDNkbFMRJbFPU+S2s6T0VnjL/gbxI75msF3bPW+NCf+t1d0KxeFcMB1vB2yjSrU Gn0gqaFKGpORmd1FPphwZTYumN8fG56s5CZ2bavFwixRbWvDX2MBvgFfSvAB6qxeyPoOVZ1r0J+i a9uh3f1rSCQH6Io9QPB3kYFjRjjwVb4LF4SteSCGGiR0UhIzQXgDy5pXepmvL9DM92l7FYPR4+Wm IP3qEM+w2aE380hZlvH1I4Pa/I8lDO7UiQ5P4PkMX4TQmrDH1KwXW8S9Ec2fEVlBQOZe8I1+Toxj QIDDQPKocZxe8FzNqz/75te+vdljMA83o6FpIs6bLX54F7tLH7EIJwOpc7Tt3Fb18F/aUN8vurJb unQA3tjVtNaLUT+j0ikLTQ+gfv4BayZbrSmHqmJdOxPiR8Hupqi5qLDJIhjNB5sz9YEvB1fzDp1Z /Jm3w2N79gEBCTPlVmi9S2pzinnHZQ/ecATphyn95rLBqUDx4c60KGRGDvSDVjiIoRTOd6zeQY+H fjPjY58Jiqek+LkUEeZ3KZCY+yWiloY6G/8qpBwKxma3vUCKVWdDdK4C9tnZg3pPxMCRtEwLBHo9 USoHUa+L2FngwSh1+eV3uFti2Yjj/JzYC8J+0I5o35Wn5XA9TvOQt1zS+0HCbE32CfZKyFKNncil GSK6ZLltEPaQWI59kbWdduo9l2eUEsUDWLALTPrkpgpPjxANINvQqBE53WXu7vG1rxf3mJQOOrRS r2d1gyheRBGRLlWc5yIK78c7MlWx4rX4rG9oCr9xAodcYiHC9I+p0qoFLDrteq17KwAJiVEBGvBy xQAabt9XNTx2OPYPjMSjeX2vousR0jJG/vNND/94S/0ZC1zq0tsnK7TBKgFKFLDCkB68YLl1cqLx 34/Ch2rj/V8ljFOiamSMutwQtzq7afCopcobZ40BCybGhEe2XvYghJxCp0eMg2QJ1Zod1cwKrl1u bs94hwQC9l3dEsg0320zQ6Ba3a/gmG/MaQeHLf8d3KBdeJMZygK8LFqa6Fa58PhKa7WxEe1cxjDj r/l3ViyVCr2rg1Cpcm5+34Hkkd67zObXU3vr5e/XVvKRMMxSxoduQt8eLrEoJ5TavRkafxPeDuxc G1FRiZsGGoN2/G2ZtdjRW5TUFawdtfa9MkrauToDkN3IPmR4uJ7w4gsUT5d6WYhnKp8nlab+yrcV 628c5hkT4mCH6XyLrWUfOHHlgFyEMP15543DqaiVRaW3i0PYiOWaynoXe/UbodVjKLAcimADfWWa 89/uvg4ZVXvIvL75soj1D73Lsfb8b0THlccCun4MnpYOSR9enuTqvkCv4uXR+Ltk/s0l6+CX54uk YctPkfvXGR5+YeenbKZNoOG0/cEQ+5fJKt9cO/HTZhZAYrNqjfaAsNzBowXdfETUNbgCTdyT2tiO 6bnVbJ5hDEWtbnGMi9iiOipsdQFzgKZSUBO7hTle1lLiok2wo31xf6dfMh4iaNuMafDxtzxfKgZp W+2rpvr8BHiwoCJpVRw/Z9dGFF9OZiXsPDQTiGTKGfQPQmwRTQCXJGLKCm8P0Cv5bnKwDezbRzlM 9KmPA9JhpxLPCKvL5MLqLv4QpmxXV+8jv0B2p8xbrHaHF/eRSwmN9b7bHeM6x5O0LWXbkRw3gc8l t5zMhRlQPfpiviev8NnkY75A5LstUfMU+sjVgeeJFbEnx/7QcemaYwVn6jtqGVaB/FUxCix8IMjC qldOLRc0MQGcKpIMX9lKJaaw4V2x+BSQPRS9oA7TrttVlM9BBP5Hmf0Cdj5sY9Faf/wD3xqCj0RH 9izSLyuLZYvgbTliOE1hwKBtqsPXaADglx5Sy8AWsAaN2/t7Fdn77NZzK4+6+HA0hA4J3r7/l6bv xZDOfqANJXdtc873+ShXU1wg78p5uKuVU0Kn3JqK87F9Dwv4Xa/X23oCRWFpvXiCubqb853iVMjr hK9PMXXai/Ph/T4M6VU2dj9ktRKLx7Y37KxY/OL4P4uBjHjwrgetPezZtU//S/OCXUd0ZVD3TxxR XYlLPMN5BNPNWpmHrlmRyacK/dc+sF/RzNCMdoAiZyUoSbquDOckwBK0dXnh8j6mGLdFGzIKbRKF Lw+0rsISAhpdu6xkaUnJLuidCTEchPxYs/3/fZXeurzOpIBeUzkDXOSuUI4Rqf8+Y7D7OF8UHxZr 7b83nWSt9LYYFFit4QklxZZdpyIcverjjZq8GLO3/WSZt051nwdFy+h4IhNscMKdz+mH4zsYIBpE SQaj1QPwxyPirruEI3wOO+Ve1pAkPe9v8ai4h38L1SuGwq1fmldOhp2cY3OvHIJOpcItvXxjIjmc i5ZwREWbbrDHu164Dt1T0ls78e18TuPL+aTG6urQ7V9UJ6K+pLG3mEMfSdOQ3Ji6ic2EtB7P3VlK Wq4x0CsBm28TAN+s3xua+QyIWO5Y1fA3HzzsqMl0uC5b4xQZ448OHzHGcT/cjaZVIdZ1Ab3GRxA0 KMq30XgQg9IWyxWkTCOdrctuwRpA4LOQaaZDelT1QEyjTqEL1jMcNdeBf4I9a/HZ5ijjl3Fys3VI ZXxYElt6RoJk3MxREiZ8bUCyhQh6AfQMYC5xKR0hm8SPsCF3YcQr3eM+PHwirbpaOKl2OAgtAWF+ ATtflvihWDwIe0PKksqXg93puXpX8dSDEMWREni1BgUw6UPww7nOApGrJDIoQtfxc36m/l+Wt+Qm /4HPjGAhFCMzjJgKtYOfm3v4TTrvBYT8W5WyEv3bGiWL82bqFSxaKPJqZ9IIeV9ica1MWVIpOI/S a8kEwICf76j9BrSR1R+8EJE/aYdsklmZd0arTfev6DcjirnSt7ZaqfcCLq84EqgbPmZu6Wo0brol jA4dxNDcgNVKxJXTsoNIrzoOoknYnBeoie/IwnqGxOU1ks/8Ga5oLIi7uExGOUBZ26IXXtoihAWo EAosAc30UufUTMAQKzoHEJkSkrpTZrK2e7YjjCPMfj2xTjfbayf0/gOZgruxvT881IvNMk2vzAyU FYPY7OTYjRzdp8Wq54ImTcgOc0R8ZIQamfV3HDy58kTItLG+MLTrz62MczxOYkYQ2rlt233dCyId /wpRQE1muxgFei52dgkb8Cm5aUDoGSmkBzmWzCCtOQBX3mGphE7FBzCBpZ4NUdKUWjsaJv0equAW /hEEhS3sQmkY/JZ70cJ4GspRqF3yNdPmyBUuCqN7LiIQS0TQcoU+zsEOQuFfYnSS1C8TK4cc4U4i hYrfyxNgF9676Z93a5QW+DRp1GnY8wm1gk7KUNhaPXECbWYMXmpA3hL/2N6W+pMXEZA8gm4JfW0m atpJzsJk+6ssAa8+VC/6/dgRbT2ZC0Oh83cQIg9oBgmXh15hudfxSruypn2UbXzGdNB1/r4OEu3z ySEss+Kk7A1bo1ujTzsD4BeKjWwfTNFCS4Pi/wqoHyxLAQFBXj2g/usMe2CQQlWzS1eRS9wM9ybc 8FkyQ8CAuDrNUgyQeUzAvXSCbzfsUVu8Mn80/rsSTR0Mmha89JXcxPFgLGuDGAgO8jGyF9kl4v2P i8dWBXYKVDRGxBkRE5Pukjh1cVvfz4xrS+D1XBPgWuurL6NaNAdI8p2Jw29MQMj9oU2hHCSXJmrt QtCRWHFSPcRdMn7c50mcbStUj17TPEUxz1ipyyKZGuWPEW5CHJa64sEXdXcnsPIlxACY5MXgnGrW 0tWhPttkCwuqgbaAhvtKEel7uZ5BgpH5H+3kS9/1devhOr9/oh/bnB1UxgfFkwDixX7qZEV8/T8P dD1cNrZfRVdh4sYp6KfdDNciAHWgEAZtf3JkOsbTUvhJLUKHKFRnnxwM2yjNhxLUZZb55e5ad/fS 9KZnIU0V+AlYvfgkTxx0+Beqr5+94nv0+VObgmnDK3x/K81BQwNiEKRhVOdzw3Lfc8DGin5OytEY Y4mv9kbX6xXt1bz5OjgmqxSHZB0RLz5Q1EdkgpndV5r94A8fqgyeHxpimKpghxeWrG+Cn5cU1I8B W69UUZXgkc1yve4agyFZWigPgCi++GUcF2R4UGxKDTKxeYKJeip+CfMEm4QabmarKNQ21/S5Ixpw 9/YoEtcr+65/0s2EHOfASh8bmpvzbT2aL4YYpP0fqmDXy565JyCGnng9cqaBGU9VyIWmkdvPDhV7 +ti1mvVDCVyzaQOheHlIhR+3VOgmcHmNrYZgyqiBLGvfBp1S5jPL0S3ZLEwTZWLdHL6pZCqEL/je eco1ms2FAPctfH4K0WmIKdcddxLuZGOMzboFPok7btkEfwG4Ik/K364qlSalHPgubnzeqdSQV4Py z4U0iMjaawHBHZqwuHEYNZorrhkLNdL8Xl1qUHc4/UELf64tmT1mQz+cxDJrdLltxfQhAzNXd1/B EpOQSRFHYEhfAsFw/mDN2PfM3gDfd1nUUbTyqJ5YDEH3190CfYcI3bJW67bXK0j24kk4fi/OMgqC 3W/QbABbFUyMm5uuOMHcN/f6p1fX4Z1uSVpZCjJHz/3w++wYQrKmpfVyFeqNLjRg3TUtEVreEdP5 9EXsFYPeOhYNbj7ERDXPe9V5Wx996VQH+ys5oCkYk4W8THp9VDoSuaHxqi1PJCVpG0l0sCLjELNH ivMi7Mj6Ct3bMLJ2E04AftXClzhXsMy0l/wTYhLyY6LexT6hcQLIvEIlzGi6xB+ZKWzlISKSbrn5 BYNoNfzuM1+yj4nFQ/qU5q7J6hyD3ZoGnDpdpVzFbtKOoePYAlGQ1P0OfELW9cAzFOw08bpq3f/T +2C/PQgzmvak7Pb8dG0zZdIhLBbKn9OWW2x9bMcCLfLC4/rBYlcKiQhY65xFFkhIdEU14jFp5BV5 1usKT84PsgcmA+EXIhUQk4vlX+FKWKoZOnxQ9WARAVWFpWrz2SFKCKVfA8HUaZ6PAbCsJEarZ74M rymEJTjiypH4Y7ZnuWw5YNLhVMtjmMJista2YPdGr6NBodJXhrFgzJ45qpVsd5oFvc552ZJ2QJE9 WA0/Vhdgb0hNRJja595QyNKvngJbfu+eJVZPrvb/WcL6Sl6XGN5FhC1deE/GDUmRU8BtzNhdUwa9 nHL1U4QagJV1w5+I8mKC6Wjmu/+7DHyew73Tl8Q1lkSZ/M4H6ZNlhFx2IN2ebWGJ8uhtQC8Abi1A /5JgpVHr3pACdP+y+8Qpr/PVWbApZU6l7uU7F5WsIv3H+ttEF29oQho2jFqHjn5tS8wB4ROmSJu2 PbirxWvTv7ZUrL13MQsI+2bz3TY15Jh/BT5h+9dEkr+4wHshq0HmtNh07qJ7py8AsVQW1a04rhzj 1U1wrKQC7g2nHvymMUK9QyRlKomfvo2irHFVEb40kGeDBOnx2vJupG+6UYSeAz9HBiMLU+os8dNF GtJlT2jlUsjVr0OznOrsPZXECoJI2AvCME+43vfpcPKjqC4awP+zBi/ugtDuDyeg3o3f/RDjTX4n FT3ISs7BQ9LNIcIhBd10ucK9YMNyYpfA7Mm3mN2+a4f+rSrqAlwb3e6j7RFG0VpclUQaGzCeIE+l W+kmP9en6AxSIZs/tic+bK5hHrfPdglp3TUgIJlZixDVZlSnNIpB8dYTtcqJM7/5IUXOZ8Cnx59t jG/qNHuoQ4dNmUjLMHuTqtXUqFliWSvDYHe5im3ml7U/FKEFqkjBN7Cag2xBkU+XjXQWAz+xPdE/ XA2/91bJ6ykf81xCtBZc0KkcbkyhLoaa8GvPLJKWyTYH6c2LszmG1QlipBD9UvVPcJMdW/AU5gfp PlLE7ieblh2G6TfquMIO9cJ1EVJ4DyEyQxnFYFAq9zP5gaVGK58sureSrtjDtlMssbOT9kdLh3ZA jcvVmOL2DlPm2iU4S3CNatSGW02jS/vIqr8ziuw/mluEMu5k2A+mx/DMiEJo2Uoq3+F02sGN3hjQ 25A+61cI5fqEyy8hxt1fq4fop6MB8ZPSdggt4LXNBw8B22V4Qqtq1Z4LFRv+oG5KQTh5T9ZF0zmZ /H0H+pUqerO13QZa08SqebrkIXP7TeVqOmBeY3TqapiH/pn3n+f+HAwXLxPOMQyU/oY3xCFYypV/ dYMOt3ZdlCs9xRTnvRMWCGkcN2xKhC33qbOq29WfUBb91tOMfvnUnKn0hNTghc9R9/P9P2Ny+6Ft 6LZDWrbbZ8RdEZ9nlt09msSYjdwAaQTWogp6KjEdAfYuBV1Aoewny8koaz6Rko+s1CAdOxnhQqDi m3FYKdrDC6GsCI5g1n5QDD32JeEU9e+H3SUeBmf9p0gBgMZRwf1I6FieEh0YmwnOA08hoirPtGS7 SRn9QU9zbZ2NyknLBsM5HIr2F8/l/hW3PWdqGyIo2JEhBp2HsJhyDpYC8r+X4B4qKLF6A1+mH5gv UmsYn8ceTGYO9hO0c/Q1ItzGbjunE170QMPGz891O1/lofgSa4UBRSDLv7Bg44nQphnPaXM+4zYK QvXDhwZ3KphAeoeNpHLj4v6ZU5444CtIPkbBAzVC/tw1MekY1ZpUuZ8UlNNKz0eaH3VXagmE/wxn IWM5y4jG9LFoE5frT7qqPcbU2dp811D3ghytWzveLV9u9idWrikKxhEFbPHwLvNp/uzBG0RUSlzR 3z46P7ldng/eg4NTCgce+NPiIAnymGpkYeuDYesA9fTbfNSvThRhCAEHIj/k5zK1VimJzNApAb/n ByeYn6RJw7uiUtF/tqltXcPLKICykt33+wOyHtuVGMtE125Iu5S+4bdR/uq7ceGyqcluMpmAYfrB mD3uvSMjM46eNms5ttSMkUnyu+UTUucxU6nSTR0uXN0pcmYOcNxaq6pBQn5yO5bs2aqkFv95CGLG IXmrHySa/K1eAlnaolpqq8yztaZYRZtl/cnHF3WudnuULSRWUGw1A/WDU9Vcc0NaNGD4j3aIZxvn c6s4CP3caqID8MtO4xzvmGWGL6BP8MoXPXUCFNhsOkuKY5rvheaxbfGalS2eImoxdHvJjxqgFFw0 1CAXNCV6UMviTs2VvB9MIMpXxptDRSPXW9nqWL1pQhHjSNRGL6+1d+IBJ8SgUWsVmU0bESjLZ1Vm KHiACllvsMDWY4o1vom8mz4p/gQvqKHZjBWMjUlv3rxKYwEBnSK4CH/pgdYOhqWz6+YXP8yeZb31 OuRInCGixorsDPamYB9I5GHcQ0SiNwhA73B3Dm4DQAz8iJTcUgEW6b130HRXfPJWFSbhRQHCBngK Yj47KQ4BMXtNzH04phJ2DGAb4PaLUKy5S2tINLXQdNvKLXnqKS1zfvdx9/8GcTgZvdLZLnM6rEf+ L2LibVeYg5mY5qVvbJecdbyAc4enW8at7gqXpzo4jjSVF5Vl08QKu6yepzblYQjgrFxPIXDvPsIh X4y5il2UMQZskDQwezPWcGGH1F+GQ6/Ssa2Bk59bBoWHNweCigcOa2c7R+vf6aSfI3IqW99Hf7R7 0K6kv5ffP2+xK3ziXDL0TUQkkibz2/M+/zkMJv7T8WyQiXBKk/qyM/mMJ4X/vXND7MdJv1KzM8zz 2M6cRwbB+xRDtBYlnyOv392cpvvbUk7MsBF5KRLbzLVguLymp+fXlBbDirw/6t2fQfBmzs9ZxHNc Buer9OW/bghYwdARugpUuKXVQWpvGMbbB93lHw0V1lhcXYjgVx+vsigNrJqkuxyrktx6AMpVs3K6 CdS4CWLeZzqt9CsnD4S25XjOc/y6XE+7I/CtbIlL58wvJq5/z94jamKstvdzyN/i2KHfXAzu06x1 R1GeCJpKkzb85z6TbSlN+Aaf1SKKFQnNIA/w0kP1v37jbqorkmIGUjfPEUCObMEeULZBXSKV0zWX vGhWSNL4+Zw+ncyFVmZqVazOGJ5zBylc0tx2mpAQsu4QRbXlv/6Wc2hs9vkKJQg35myJg9tqLFGx NTaFXGtN8G+OjddC/yvVYpn6ePa/nqt0Oqrly6jJOgnqfEdDtxSgU+AwnaSHsFHCylDzCzRjzeE2 knXYOpej0l3R4I+5ekJV3PDbUS/R5JI2rP6i9HtKNdWFKQKFZv4MQJ8sb5YEKlZ2AAEc5BgJK0Pq Ylws5E+/dkI0ntcwjm5Y/UoEyrzFIjc0Gxfs8decvf45o9gzYIW+UqssWV96Riy7AMSMCj0cRVdv nI97KQOx5PKMRpP41mlTBRVSATl1Iu+PLCRi1lVqcZXXcRffQ/WuZo+pJZ6UzwD8Ewe+KQwSuyJd JZaM45pvsmN0Ozu6n8Ok1E+zM26LJL5RoNuW9Y9xqvMvyOCyLNK2Y2pTBqCK/g63jBx7/iMIhw76 uWf2k3d0EdRBUorkxVXR6WHMwQ7+K5e38er/ODrFDvbMVGruakxJzf3aTIBdb1rVMRogngAK4yKk gyGdIzesCe46HdokuaBnPZcVXS3jenpsJ1GjaoKNH8+d5/WN77d4NFbfIVMZZREfKvTGalW4vi5u A8n+q10WzfFZK1QQZEvBjQvr+ogw6sL6A2CjPsv+80mmPozoP3um4t52vJcP1ZzzrHkx7PDv43F7 BeTguZrRbi2Gb9fanYK/7JWtFk9gFRPGhj4L53w4CnrmK+jvVmHHAF7/+2d5kAqwJ5r4bqGiUxwV sHTIIAOolOOOc7iNpfb4YTP6q1jEPS7MCyvkIJ67EAB5V++LVRZ9Rlw4Ev/3G8O7hGyNvC2uji5G pznpvhOLkwXnB4lA7JtFVXe498s/i7eEzxwR03ocKkuZ2hMmFJhH8VBzzYfIjORlrkf5451L7kWa G9DqtgQWXWSEdJ11yDE0V9wkbnh7gYfS41ONVhBMkrxSi2ghzVYg58dOEUrOi3pMZJFnXZStYz09 5Jg9X54gp6EGFqgGsifhqzCkBrh1E1WRjCmiakdsx8hqGN5ML+TVoSYKWM/UVrD83GaFquHAglxk SslTmAg/oEBgD3Yc5vYdwCcjgo52bBUzml+GglaTiza7GI2JTItFxn9P7k2oKlecx2IpvMgdOuze S35XpmFuLDQSqLrs+pA5Svk/hTPw4LByQfl9mel3CO+oa+hz8/D7yLzWd0v8uDJadQRwz9gFeRVz 8JbACQ4ov68OGNiqPtmjqoAtGRzI3CzJNft/E1+ky3/hT6j1yQ++7PitcGvhxTFerOr5RGZWxWKO nIF7C/fACAPREgfch0rhKpnHbMNSqxmkcpTnwGGcIi3mc5+3bIR8LGLMIBGowZEnuaa4rEfvZ2Ri 7rXjvqq3hutUmf7Xlh+KCXdHwAdMQc+9WDQ4gAFc2xi1edQb/ccMnQvNybJkjBbmlVdV8gK66hNg DWbxP3p5gTGSfbPnmurlLm2tU0LpoqIu9AOOuHfVWFlqjLLy3bOc+rvySgUFrjNcXRILIoa76NoB 55sllXQSrjrhomamuK0zLHVZGhVr2hHXHqMc1EG9SeUOLEdtEu3TOAPgL3vaSa7g/mBBkygtF1Up IdrD3LogFGKfdZd5+rfYyX4tT9Lnakcv/x6WUPBoFdCjhUOxYxpMBs4ApU9UgHHzUTltejKqsxyz wuxXZRiCak5rJs0eG32qAquq/pUpQ1QX10GjWG3ie4ioKxTK3kt9ei0pnOmylE+LDg95xYNYUN9j kD+3raJBkE7WlpvSbI59g2XUqe7+VaW3gRhDwJAfZ7QwMAAZfJR6e+WhyHQ00pL+2seGE+eqtCPD +lxd0NtknBMwUHn0q3I607CS0BOJOO1ZQxPicWCQe3UkmseIdndtwQ4QbbiuuZqi/FtoV67taa7c PsyE0kDIu57y0UWE7CfqEE0LXD0/j7TWCgsJpJIXg3iGjKbpC2JWmsrZObxq3cIql4o+nat04Y+C AXjW8109CJCVgM0BZH/+V59Sm55RvS4kgzYgxUFZE9PhOuhlnpTdlJVpvBKqSvN7MEiIXVvl18Ab x43feJb8dzoyo6sqGA6UXkprJYIgIiQUm5oNxjdqMiq8jTr3cRIFOzYsSKKWltWf5SwByqSlMV5Y Lx9kRN/l7237kfch0PmkqSGm/PKOVvcRFvb/NBt4ipu3ssxEQQNOPXydmOC7yHDwOQAQw1mRF0wE v2EkJ9X9wWPldJwnX977riT+Bf6H7++GyjdVd1aHZL89agT09c6J6ezaes4LTI/OYhTg+HuLuonW EEyWlWZkGZrvkDwbA4cGt0RWnLgJCbTKygew9mZtd6VpgoZ0LFvPzUWKQ1HzNrOIhZT3jUsLAESj O3cUORNPeF/9KJalEDlpRnZvr2AUX9lIrye36E/B5jYEZim0o55WvPlWooRs2u5ulT0CaCdChdZD l90K8XNCLOTUHX7zCzM/itYqcmt4sV3jIV9Ree/U90ORvnGkM0pYBsqGEFVqDKE4lo8IL9TBPH8K R6EG/yyfg7YPAtLRjFSXP5vgY7m+XACpa6sxbnwvReZaMqR0OXfs/lRXm/7i31UQ1bZxCa00d9uU /lso6kzl+57Nov9azLEQ1EjhK6StUDyWjnzc/5AfHwPR30s146WaraDmi6kdLU5jzTftx88pSnEG u5QODOUggHHIF+k6hO5FYJ9gNzfqwtWzcbOSQS7nLVnxxaw2mH30ArTz2+iJZ9a12L9Fw4kG6Uni OKVCyOSEVSioVAEfMYmqZxh1KppyO/PpsMqueyr6aE11AvOhlNMN+gVfqHi4XfWGuVrEcqJeB1bR bgXOMepI18htN/FhL7/+EhJqZceuulY+WiFtebM27Z3VvjIelFrmTRuUFv6APC/XihY6VCQuG+// qBdfXGtfLMz0AyGm3WOLoJRid4cpsyX3WE5wEU4knJzmBJQreZxKHAHyYlWRqpKjRYbV96yfPYJ+ tKM+HA8a1qY+9recF5TULIMD0C/XwqLuRPBD6YkoyCnQF1p7h0YvOuFOt0Gn7HmPaV2QvMnucmLF 18jm8R6q/SookGTh4tHMJ8BaYLUnVynLSJ+/NICVxSE3Vshp5sr/Lq9WY4kF64/uYxN3IzmiW079 95tYITUnNLwxJXdNxFAI5NEtyCGHOpiDECprvwx1RJJ1JW87ij6FQmRU1b/V4QmhcPSf7ZgKlDsG K9aTkG5ULb/wtMMF+72LpwQ2IPlFLRsNUQp6Syg7ULbhs3iT9l7ZBCtWwXdkmxBBsjmOUYU4glG9 UDXTnq0Zfd4ZQzEbM1PqpIGTlgqDJYMSBFiwC2r1WSbwSIIh18R5/mNmtHWlQj0RY41ANMUhSLFG D7Ay5RL0y2Bmk5FXlqNfi2V5moE0dNMO+A5n0JUNKkJLAXBP9oY/X8WSNRV0Q1gPhw5BlHQsNLgq 9jkh7AKaTDp6ZooBAkkUDY0bVGei2t/iCDKNL6ulmDatT2DVi2nRLTRiaymUoRPXNWETzVPOON91 5bufTbRyk+nUBCA0JAGanWOO5I1MiO5CxdARpyfRDvWGTTwRmMZFOIa4ScmHVFB339NtEcVuk8wn T3ReH7cy5TvhTHLq5mOB7pVK2PEtznbg9GMgleHsyhimYlzKUlhShwgOEQ3ad9VK7OlwtKGXZZuK gvF9C6wG2To/jjFuCM6D+y2WP7+4JDafGnUR3wgMRDCa6nNiH4tu5spVIWZRgX68cD6dsHX4vrsC xF5NejeQ5M2Wi2+7AGEdIM6rQx2NL/KMTbmLv1k/2Ue0BdPqka3iKrC3LSZvof49MhSfN3iCsLz3 0PlIfed9fHt1L0K2/L3ZncQAv5xVm/Y9FXDnGsm0qQOsOVTZ4NwhXidUl2W8S+OGk2HoBfp9cHlR UpKcTW/q98wEl64fZ06HOkPXbqLcz5G/f91ALtiSTmo3DnVTibDicCXwxjIserLDsCJuB0SzZR67 Dl3igiB5RQcdQ3hwBWTZI1j4D98EnwcZTa3b1vWXn9EDAz31LPjMCtXXTvrm+cu96XPST9JbxivX 7fJz4RRS9WCoZalQEJj2vgVSyKUqvPjUs3kHiHDN7NwZxGdfptHkFgkavYoaHyLJuVDiJOCmuDGE RG6rzhfRlA4LEd7+OT4vN2smUHYSpb4kFSIry584vADqy1LPtH5AJvoWXHOyxLAIPcYnG8kqOYCu /5E1HX++gAcytJ36rxm5pC6BqspeBAz1rXff5XbdiPnFOlOiha8xHYHd9e47zE8tZ1bFHlrHOzMb qSPXv/tUJvKSLed2WvamChB7meH9SrYt94M41vEhffVTkV6txVV0RfcEMkrTYpUWdxU3TkuRwLEc 0TcCgCgcvOBLB8XCUxEQA2tRYI/5KmSi6M3lxTB+kgaonCBYE8zmGgDwPU7kvARquMeRJso7RI0X 4sYsmbhhVyYu3lnL/+3u0EsyP3YItUIYz3VpNt6JdH1aLpEBFVCzWYlylc4IVj0TOnqzHUFHSmvz +lSYHOlPZDI58MMgfPXdumwzsHhZUxQbLeYQ3AeHidlUROWRMURUqfk1gAXkrNwUBlmGu0W4wxCO 8ksenf5/2jNhWJE1jPcPDjL7Yckna8mECqeffO6D00rGIkEaCj986l2JOspy8L99YOaxB6pTGS+y zvQwgVnckEzPsg1qll/Mm+Ms04JK+sN/lkp6lFgfV4Mb/aUhM7dMHybE4C8YJOD5Q92+0vh8h2zC yoyI1rwnJOe0NE/tkgL0HzQrhPcrJd0QIvDGW+JeXkimdGHtNPOc8bzyHKe4MPCV2xwa1nKToDQK 8dROD/SUsbqWAfojMxbpNJ4uwRZ4r3qyrTwdMbYxqHtW7Z3v61SXcAd3OpuoFegcJAZ1F4uovHIW VoXJIznMenbHST4YHQm1eLBveMs/iPfFQwGZsMgTsu+rCGGnVaKRkXWODH6R9B/UQfwL6Pfuwgo6 xofDJHOwP0BaHzKphn+Bb1ZrdjmCjB0hdnlV+Pql2hUHarUc5lDvGjSEzNmW2Rh0h3Xso604O3TQ OMQlfbWbN1wEYBpIXM7nPKLhe/SdAUbiD7r6KYwp0KCapy9dvMGHYWPjRoJIymEITxZ4UErSEHzO i8V1XSImUbOqnkW6aeCYNbgEazmrhY7j5SIOpU5OmsB4MMAfbGli4wMja1GnzZQqbhx68C+OeSYo ffpUxe61g4BsCvAqdqpXpmAVVbs1wWh6YpCwiFZvbpSLxtrU8vGiUtTwyVWSrjIhxhHI73DvzZMa 4y2MnZ8U21bYwN7OHuWZlwgk3Iy3/ekCrdjB+TagYwpjZkIfRZbTUTUUeqKEZ5Y+r9tuHOwqntFe jcnLfwFJvTW1Dq8lwv1St8Mgaej3n0Ldq2x3Qkd2ZSl/pDsrudg1ve06xu//RnDKKj2IrXpBjqqu u5Z/dGmkcqL8oyohoFPCKKN4fnJCDSH3xUZzyAa5uyV9S0PSWrzp4qaUtJH+pwo87VWuWArTwS1+ YR5KIHX6MHEnmb/Yt+sp5tTT/R2Ge1E0BIJZ2bVinILcBhkuSYJDpwYLlJCJgWBNCzw9Id0MoY2r V3DV3pO4rctYjZIw+Tx+H1kP4q/B+nT37Jm78KKckg9RZyNTlySNWnpAN0daUAw5yXplVpkG20kK PazzMNW9VzRLhgDXkLluzpu/VFHtgJ/poo/YN5GwIPBt0LBYdAZz3r0E854A8OWu6urgss5BkqAO CiN0ZPh9Jgnx6q7kcihybJA4kkS9uZpErgRfuetx6CJw7W6zi/d/GUUOsoxpVv+QvNM9kXwzKyW0 heI+P6yr4X8iw/DQxO4au2ZmINT/0Ux7jLjJLlFlscOExdhOuz/+VmX/m4MynoXEpNGapw9VCR5I UICyfMnyfL0BBA2izcylcWSNTTNOcC+vy2tnHUE0RaJpjBXrFdzpaoKYJBvdsuO9H7vrskxkhKPv oh5wuwFq+fnIHU4hIaDnXSdJeHJDQvmRwA1L1xcqnH0nZNqw1MKrwkM71GX10HO9T6P+7Epf4rMe r4U40QvrRixnQiphygUVBK0ioKGfxvO28WOPmipTFr4yfthAXpO7LM2JvfA/H49BPIQi1DmCTk2G e8DQLGUfRHj42oTJdzGhi2hzZN/6t1DdEUbSxm+w0SroV1wJv/6VwfzRX2f6dg4SpMZPKsfo87/R KN3kzdmM2Y2vo6383dful3nntc5mUhUzVEGM5mk7B00/tRneDat4I4KSqSS1GFV2yctWhagsumaf xMR/xdkTW2jGbHMLF2IzzOX38MvtDdhiwyVO3t4/Ch7FDn2BlbQH/tfsXmt82bJ1642loPgaNnvs +4nrIMPd8nrYuVOdeaTQr28eWKkdpNK6dssI7rY2cz+pvP79aUqftUoTfS03r3MYDTOdYPi5Sj2G 0gvgbpedOnr+YyTzoJSETDIw706PZjlkqtHqzoxbXh0K7RlP/1YiHifScyzLjAMuXAAXPtfjrBuz ulrS0iodOklCcCMtMII/uQrHkz16g98hXWGM4JGsOfU3osbA8ARq04zEtfaF0N2pUChnexMsRO5q edYxkkHY1B6XK81X14TVDdwBOuGm6DQ2Bn5/pxfBRWdMOQjT1unDL9LwvP+o0lvpCyXuIll5+GmZ lo13ujnCSf3+BR8K4P5L1Q5m/HREIb6aCD4P83InXZA9oX7k8DvtHafnqmaHlqwIY17/JQOY5fbr RGBMZ6DRfDyXZ/XcCPw2wh8KTxyhAo69hV86vCRdSmothP5FahbWA2zCUFAo4R7R83VSmaYyFYla Wmcqpefu1qwYiFgg72/rYhlqBb39Lq0OJbXjcG226UvWSfx59g1/+HagQpE7ltngwqWzVbKuDMZi Wt+qqgDke9dVUtxOMKSOCplA7h3f4VwBXRcvPzigBxsqaNQows1K4EQAuuWxpSXWU6UJSUdIaHiD 0YQp2+OR0Noi6oCEiXu3/KqeAPrgcSCMymUO+0rxDQc+NmNCBQsHbtg5LVlWjMq5nfdwQNF/iIt6 q5i7ALACgfqkKBw+xetPrmNi+o+wysrWOEUyAyuesk+jB94IJmO1fYAGOVzE/lEbvsScwW/Tffj5 US3CLij9Qw+2qlgOSPMo4GH0JlDuHUQ49NlLN7qjAeMO2DnDLaBugrWKxu2ELl6TPHidK0uxuaEw pArEJpM9h07pE7URAhDqd/hevPJQDr8+u2uMD8CfMndTFXiq4YuDg40qVA7RcUsx54ZUvX+Dqwbd X+RI+Njq07KTDD0NFVq7DXiqhDVaa3aHSw7G+G5ggslK4l4s0CBdwuR6U1fM/Kll8zCO0F3Sat3j ndIYMTEAfo8zXsV7/KdLoKPT8KK0YJS4DG5Xx5mlXUgjoAdNDzwm8poCGezeEn439parpbL6M+x2 IrsyqqbqOHA5/wIIgfM5pnk60xlpYWUL/tOGS0AOpOxQ4AB4va4q4Va/p3fGCY/pq0LPBHhEWNVS w6hx38FCIEbocq+Pc92Mru0G9Zd6XFe15FVXPj37d52TztMvrblLfANKfYtB3Siupz4b06Yj1EG4 jMR4/Pg68CTaq+eTDWwky1aOkfYIB3wmXi5ESlpJovJHAHhbYJfGk8PrnBQMq7KfaxqoFLcda5rf e6jgTtP9MQn3KfFhHrvwablEslUMgsWIGmRRfGeVjJmGkOrOeCUiKdaXNHhOcC/4dicUx4J+E8S0 V7CLpa6v28zPvEBOujKkASPS2O9LobAzl4QKtPreGQCHfBJA/qw7lBuZ+hsyfEmstozxlLWIYNQE mcmJFzsXZuOehu3rrDhiyoMAmphgU3mf2tjrj2i25YF7U9mqVLmUwlcQFgXvHRAVRZUYkKKJ/rNN GVxVSM1NaqYWI72xyQFRXhJcxPL08rdKO/6VYBbO8o6wZP0LdojTDbqM+gQW2UloZ1UtSgsxxFMp 9fyJryT43JoPENZSeclLeinfuLCP65TA2gqMEVjnq9vyqhv0+EcE9jeECrMuozyNGa1PKt5p6FJB a+cD5m9s7XGtqDgN13SwkQyBS2UP9BfL0C8XqaRHKey8okHpzIBA/SqpUIhLgC3nhAqIu7hKKpUp C/qzwAHAWP11BQQpFPZRYWH1LC/yLS6ydS7A17ELbL8bS5R1CZQHG0b9J8V62edghzhZij0AvrPC +DiVRD0IthJDW2cZ3mHT0Bkf0Kz4c9XWhWy3xwKUx01xfaU7LjAWlCN6rtj3u0G+FZJcOM6EQPXj 7ACC4BWcAu4wWZ5Py3nWOynQQLie/1QzXRxn6QLlGWMo3hhjlq4M/bZ0Qen1IK8Hx+1QOl1syB+S UZk99LQIZRbV7cMIOV5r5bTKzkAqj6gIGlBjcqs3TapMH9FhhGF90IaA2eWp8ntijSbyE9eHSsW4 wFqUC+9UpnoP/SlqbinMoKf5pE2tC9xJ6gS0LgMNVI1p4jB0AO67MgLzCNrJXQ5SazAptL7NrjXX MlQlXtVggU+v2OHXEPSS5sRzTOPKj1LUaMbXfrM8xepxCaHcI3q9aUrvzRbfZOsJFoA3bDf1XTs1 sHNu1W4q/T++phlepO5c8zAxSXVtAM8hRZj0NorgiT+p21LRdoPBxuj0j1ClvAmbygbLVQT9nDqX Veqy0VBXEwjHzQOfpPbdBvJO54vR1cac+xucdHfHNzT/eR6sDIlc5LPOVWG5L+eW4hysikSuF1Al +5mnvMwuTjMclsKx17Zm5KLsu3cL4sg74+1yKjcrvm1xsPvP3+4gYdnbZ0T8zeWAV1xkgF4AJiIP ihDFXtr+jxU+ZwJLZG2kvCMHWDBrW6UUUGwpWDd1SWKr+JYr38RSW0F+Z5bI0NA2MfraVI6pCACi +HjEFoy7WPH95oO5gDHPA13U/z70cgq9gW5nZqtMv+wyhmnI5eNGggtzxNGdC5hHZjWAVt8hW3m0 /q8NBog7L9vgIgaNt+XkpQQH3krTiPEa/dR7A0q9U6UjJNd5hzVty7OUwjTLR61npXOrcKm8NGFL c/a7+m8DGGChFB7AsAVcefW+QbHM0+n7Sw1wcRflupNJpiizGv7vC8s14wvD+NJyN/p7Z5Ed1QGo ebueJFyDxEM7fxSRNsJ5s2iM7HQJ43PT352Yp5VwysiVpMs44fwWwHGCkJ9XAcnvF2UVdpC9KACw lS79q82UHSCXWH/AdU3Ru7IgE9mSdyokZfPOjJdDy8WeakKRkam4g3O4RwR6fM+NVnMgeI8g1FPn ruFlWAjzsWf3dn/f7bYaa34S0nTbMYSktaOObN1rqt3NhoNmKWOJzyuiGpLIlRS62+PQodcjclRG GFahVvkO+dVEHOm75vOESqeVGtSMZuSK0gMteXhL5e+i4tn2Ym4JwXpwYl6wikJs9snfGGmEFgKl z0ZUOzpYso/kioLXJ97P9X6OmUgj0Ylstka/ftsQKnokDXzibODPbA4WNerbZZC/LPgC5l6BI9jL Tdf/VXDVPMmVCU4l0I/8R9wBQAyJ+r+QScGPxOabtMO0/uEUueotAAK2zmQGGGOA80jxHFusclgD G5KZ6HfxbQEW8hrGeU8MT1hyCpkamcRoYsLt9suoE/dXb4Tu77tRKTNQutloyZhDaTNmmPWpWoZg JvTRy7CJhPB7Ici9bTqBDGmWuzCDiW/l7zhlBpJbgiw9XVQCYSzHsVlahw67R0YEHV2+pX3QYII0 rOGEIbWp3c/uVdFZPcRDhw06ahKm+bbluLJz+AgC9x+7FEVqli2qifAwh9QJi1n0mxL1aKWXKLYG T4a/Wp8fcl91/G4jHi0Jko4+/gdLFYJtmznJfAqQxuGWQJ07qSCc8Jl8I2toy2CHhvhtv7TXGNa1 g7Zgqj5bxkw/ZjKrOHxoPjTsWWBsZxfXgIy6T+H2efsVINzkzM41YsHIiny7xbkOyHyFbuDVWgkI IHf8f7/xZ7YDGk79+36uF/boNU7PrBdhEr01rtCIBZnOfl89S+ATDI7NJj7nQ75krzAT22+2ZCXc N31HRgzu17X2xE/CIl+TVn+AAFz4cCydI0nkx1OQD+a54sZXhyYh6sxUzZ+CfDmM6bvo7JT1QbT5 4mWVSnJOd3teUnO6BgvfEnRX+8i6Bf6KPwRMKfAn/LhgmfLhnWh/gB/ByiacglnUmLkW9/gmfpzd nsUeb2vKnVtzsa8dJQ6+awoYHLv0moiac14b3jEIopbw2upNaQyIzWXCE13RFajn++fYZ2evl3MA xl8yKIze7KViKlqWz0s+FgUasQ6AzQTg0oKkfhil+fOLKsRmQK3FFyuI9Cb18Xq81oHPR4C5YTZq GMxWQjg4e1cuAoTvjV+UstcwKev4wFZZsg531RxH5GnR1D0W8jXVfU+NYsDDzL62Wc2p60lv2Hew DWcFcHdx+fs7sb0cANufsnPgECIm3+H9uxKPMX9pzZwApWV1Ua2W6ArofczWvFEJr2Xn1OhadTav GnILjimFphXtyT7rWZJSHL5mJHam/UHj/Zowh7XicHO90FnFW/3Ztxc1nJilRKsx2gIOluGwU/7M r70JLySe9KWbJB+2InzgraLjm9oZNqS0NO5Gg9MMOi72S8dCuR+iZPRKmUHZyIdsTmqYoeu63LdV dPxqOq9Y0ZBlo5RUvGGhndtHseH0NosNKwrPohygXV1UyveoUl3M2sZRLfmD9bAHvsEHGXKdIcTf Oa8rSS5Gv65elauKLtd4uz+aSGYb2ZRs/2aN1iRLe6+nSlvcS4v6nAryCPxfuxbtLR9FPJIcvZkr /ZzANQrvouWOVqnQFxEygm5R8lO8HeZA/XPvPGRWqPdF7pTvtqad+OAbAaIHizBRiECgnC34bgkx XzIVpaPHHfIvMlZyNrqo8rM8LoctGF0thg0pEuYWNUmGLQuQp/2srmdQ9sYjdg9mGvckg5FFNPro 8LZ3eVSYmodT725j5sHs0KUL5wP3LL+D9QfT9iuu7MO7+xDXUfQo3GjqkIKZljKpu2GVmh+13vXr tWfq6xn54QwTBOGGYyARNGdzJLrfcQNdBsYBDxhUibypmkMEPVYQ+OMVU4G9G6vmOj6FjiEvhhcw M0pbhmAGNavUIOQT+NVD1+pUkOuQ6WAoL9e8HjKYF8LYFyX97m3LtFQ9UuYNZxO5n+yyGXoCugK3 231tZDd6GrQoITfM45w9cJYKvKXZeU7q+Ylb6Jacy9gV+Zy1/bMJFI/Msl2SMSJSas0eQjbvI7Dn JlPIaNVZIV2AYmpJNAQLqvPMGtgsrxnnHWH9/wMakx8vREhs0aC3tUphtf1CH8AV4inR1aAP8qtr bisXp4pFH3XVWi+HUJlSb3FxbhH0Mdq8DHZOk/geH5+Cop8C1RuC6GMiLFS2gb/wiZzUx/lYQ12U uKBEZrDCRxpJHKHd5OF3A54cd8zkpJOzLse7BEHJdPOhf+KAX4sfLXUXI9yJLxfIKbQgH3qMvyFX +rbESNCCBoh9e8jdOSefEWxh8F3bH2eUHHgtEKJh1N78nZcEeM9aKx4GtLtVwh2KcZh7nM8o/KdJ CyxfFWlLmMmEZS60p3Hdzmwd0OmaUf9HW6+fb4XAGfeGfkwgkJfJGCWVVQAQEihp9PrfvGFUE7KZ ny5SQsdKlOudodko7MKOa9sB4Q+ggEOQx2Z0YOpTfllLywZbLD55sPNM6eDHUKlfWg1pZNKSrLh2 qkJpPlCeBrQlk8Hr/6sEQKq3qw2hGpNJwFoWesjnhcikv+vjp9pCesgbn8HXKExmbEyMqpL1Pi/W 23XbvZmcTw8R4dzfnh42nfMD7HZiIsTY8Gt4C2Xf14ewxAKFlAnRiH5ACtZpciFankzQv9RLM0m1 IIZWegCb3KadcnRwoPvfk/VusHqP8r3j0LHQe01yW3JaK9Xxrwrp36STtUcPqRqb/Ov02Y21SkzJ 8M0moha1SkjwbzbrU88zu9SEfaNxmEI1vSeVQItsWamlInlRn+utdqQkjSXzO4GafIjShE6T7Zbr +/rojU7O4JqkbqYa8PPIalZSIVjOfmxWhTtZFhozUG1C+RnCpnshB4EKCVGFP0M2LGUagn64RUJH PduNwIT67gjnigULwW+ISxTX03oa2L4pVIXPBaQm2EKaEZRSnGmFmgqhW3SErfXUW8dVZ4S6QvFC S6gvwocsvpLFswgAo1sb2bAcFFnvOvCVgHCPcUVzh7ZIQgYsibYZVLSUM1axjJUKjfzzfPbgVGLe JRO16cmBOrtBWrTM0VTRkvtxDlTKj/zUKHkG6rKE1L8RQyUmAA+yFrfizHVBD8Qjf3cosdAsznOB ZbBjayZeCIl1bb/Xn9qhqj5VepEcyS1AIIpF1u1wmBwvyxXV4XatnDh7wFnTv8eEAVxonVchJqJn QefeTrgMiSV/CvpB3ZLIgoirV3SglXgdY6pyoCNxe21cYmlobWTFODUYnzUxVTOnvyJRMqi9n6pR 2MvxQ80IQJueCdGAkHCPFg1HbRcGhCpmRBMIeCFhHqZasZ9Q4b0LDijOzC73LtggpXblYz2sT8tD 97nNiUSZ6/a8Rn9d8W5ghatr/zkmm3Luf8q+D/JFuYT8lf2tEzElcBbR/O5ybYiiJC1QKXjv8Rwq MoRYYHrpUB6SLPhoCoEtOOPuu4DJq5QHXTvpGNJULq5WT1PFCBR4b2SqcjUSnCXqdGJ4lEGY5Gl+ 69t1AbtY+dT9809MTOaG/kYG/rGXM3pE1STZrrQVBcdoTM3dnjbr92eKoSeFNOv4FQfj6fAP4ukD L3XPxv1jfba4mc2EsTUdE5Tljo6Qx/Ofy2k3aw9K/bdm7mPU5Y5oEVuaYi3EXgblLf1SbcNgw0qh eqGqYu9I8z0jheSZZ9yoYAqKGsMXxDPf8URy6Ti7eDPorpHsRFShlXmJFoRUMpeO+2R22U98z6Qu 8p1CS7DWqvuqGw283KkfnIAML6q5nGBRHn48Lvu7dNjvSKt3r1Xv6FAn2aTOI7lrFAzoelrrh1J8 /g5cYvOgYUo6lbvmPYb0T0Rt4VEKeIqf34Y+GtQ2bzg2osqPbhsFCZekU6cqnfEll+evWno8m4Z3 JMyEOMNXiGajesTngTcc1/NizzKJecE51l5kA8GAxVNCmiLliJ8NPx2VSmAuZSsU694TR8SWn0/X 7yGuqjfL+QU7zdwPdxk/CLap3vgcXt+Fukhv0GvFTmB0NnMJ34cUv4cpSU3lMvywb3yu/vF09MXi neBM1/7MjaUpYkj5N54zKZ+zg1fOu5Xft4iN6UrH+Mgn9H4c0sdOU5EpVuAX60h3ON00BIX+/87K xqoVwBWRpNb4lch5dGbq8mJYSNuCuZ+2XB6PpWDfa0A5ENd7Y8+krN+nboNtSQgL4wOeS4XN+0GZ wHNC10euDGSlSDqOGDkkvNTlfbAy28yzrSuVDfTdjqTbKYV82iwmMfVcgqBkNfrjNA4WS11Hs1nT QEu6ll4qvB0DWjAmc8tKlQ0XMkxPNSKNFZHc0UkeQ38KRiUGQrT7Xq3PuN6s/EnVmWw5R6QrRM+L rgm8Ql9iE1KtW2gfTc8IdjN5pRJUk200bfxB8XO0YK4uJy/NcXBEoVfAEercs4UNLl9ghkZmlLMY 6aWbdSs4G/PmtGCh3ltGch9wDP14n4eGzwwFsQhGDxDdzR0UTlFT4HUVJMM3ILA4KnaCBbEOOJTG LcpUmPu4aYVGQ6iewqS4zW5NSXl1soIWCxBqgsLktlS+UOKHRXKX6878u7W6/MbMY637W2V/D+ZH xv3GZNouRbAACnJIritH/FaICfb+21CAxj1DehBjdyD9jhZZLGVgPgS3VFThlcMJ69wOgcUdzo45 bSjp9w+bmH53KuxKPvCM1RUZJF0ARNSf6sHHus2KD1wTT2klsc+JjdeaUvAFyseLbX6ZrqVMfhxh qnD0jMxZyHB8z8YzSEC3KSFiRjRLM8eh7tV/IlBSy13+YmaA1UODB9gl5w3G0HU+1eHc0nnKG/7I 9agT6Jr4BfqP2ZU0ux8oqkP4WIRP8yOvgkNlP9Lh8sk5vrCFrbVBD3n0rEcVQ7dQuZ8sdg/HRDdd 8QnM4bT6k0zK5NlZSiFLxi6MSEtIRI8ehtSXFFCPKVEm3gkPR2fmAepHHfGBq3gmECaqUKxpodJU 76keXrtEhbPqb+aW6s0P/yJW/R4tNoHn5NKyR1/OAbZSMaGbW5ouTjOjcaHFLrC41SUhVKg1a9y4 ABMvCIc9hFlakwcRrK8igvrwpZ3pU6l4r6ekqpJmLOnUJmYb1cXWHKW14oncIsjmqLn6W7lO/qk/ w1o1ofs3FYV82KRfJzvnXJ715cOW/f0kJuuCfu8u+ptOlfDpxS1NyULqWrHybUMYZkbxBMUEMBQB 9w8An2Wg3sMp6VKqT9nq4khisvi3yLDqk5rQkkhOZAJnN6m2r6WP9i1CbmilAPHoxRmLLZRxdFVa VsW3RqVpJjBPUBVseAUDZ6pgAaxWTH2Ry7Lpw0CNHXWo/oKHxJ1yUQXs6dfUCrrtdr/YJUBvc8K4 I6SbNfkVf+feG4N7rW04fgx2Y86oAA1OXmEz4Z+sx5VSDdRzwLKX1iSuJAIPhVF9HyZENAc0fOh/ 5B1vr8frYCxvh/WMs8upb7Rb8A0J/IhrJkfHKfHdtZY9MBXgPCtRKGNdF5RBRCdaxWhFDKRu3VtC MYOBOT0e6pll/lgYZLQtvyZ7DleWUTSMXLXmrzJOnFBak8f21LDN45976le7d6Ec3tkcolM4pLPB KybLkJoOmsFbYeOLFXjQaqP14RMEDk8S/Rzpn+LnM7OsJJ5hDCJqiWGvZAFj9QjVqoSMyYccBFOw YknAdzscr78NMg/tJYOruaXWYgUgPDL/KHL/12cWyvBlpMTzTk4nY9DmxuzJA208FJHstYDn5XnV qndJAo1Fh8bUgNj9RXUEA192UCezPoy5cB/FsJwhQKkrAwcqDAdYehoi/nzh5je6jj4OPFbNGCWJ 37ZE8u70oKDf5fR+IsSwLgjvUHnqWbggkYgfTIS4PjQQBXuphBvCvwaSeM/pEAwwEJ/cjQ+rHxqz 4L8KBg7mmvF0TjYHz0CZQbRb65uFAWJyi+1C8FjgbRw9o3bihsv6Ic/0OPLEOGV3x7zdLQxuDyrG aW6/ZAGCK3iuyc+ad5cULV0R5E9KN+qXlQJ/oYpLY1PjncchTrrFSfUxiybt/mDkT0vrFVLWSxT0 ySvpPnYwPIM7PC4BD7NVboj2hDlywjDUrawKFLpojo/77UIll6UflureoZO5ii1ITIT5VqWmYPs8 L17l9dCr3lgoBeo/L5Qvx+jsAUU8R2eZEQ/SmShDnJh2BO+xXkbEOX3R3zMMANQV5zgujKUtY1kQ UHnYnFcmzdKIkT0PquKyxmdkn2DOzr8tLTHJz3SNodRg0DVSp/hTv2HBpU0pXgV6WgNWrFRZvZid TaGhxLLBuJowiX1b0my6RH3dC8DPcKyw+oZRmfDB5QowESJmEzqWK+f5ArKpd7o1OdGCHZw+cBAl 7Kv3EsA/P5q7GDZa9v8RcgkfSEbpkXpOHnqys0+LjtYTymb2q0mFVj++11AmjsHOBzcko8u4z24f SLYscA5qsqKU5PyZnRx3NwTl19VBsXJ8UOs5lm1ZQB1gyh+HU9a4Fb7S92lz1l+FVg+l8S3lC1SJ ho+53+Y5Hq0M4bcw4hn85W9YUtqkW5Q9mrtBV8jwlXO72I1gavS1gBA2LH4VEb+KZ3Ozuz5IKVMW rPIxtZxSN06X0cU1hP1nH/obmOOIKiEEIpCoFhWXQpzSazUz2Z1w7jJF2LW81MthuR/yHEiDKl8U a1+Y8TJR37W/RICXSBv5iwzndqjqEuW8ByhD4QVzfYZU19ug+GAvCrRERtlYukrA4rt2HvU62XVh l0zAo6GLvfitiOf3qGnxAXcbBdkTvNAYA2bm5EX7nwNuMgY0nYnkPNHjKn0LJSnpjmtZkrObTFgT /4+38hVX5kHoXwq3+a/IgSS3XV2hUvpC2uEylJAAZ588Psz1/TAKSMvS9RD6c/TjEDv82oyteG0i p8+eNJYTEMEGnQeUAAckkE1VqmA0Ksyzeu11xJJXrZs7iFKaGkg4m1G10YdXCxzLeJebaI1NNJtw 6qYaUe80P/mJlLDO65OsmG4lkkrzktqsFtC7EvSZQxFgeTrqPZY1Lc7gmg0ZJXU6IZDKPZ+yjog1 RiNw1lgMmbJ0x2Q8UHgNWVsNxC70mL5A1XtwpY1ZUdahWaeNn+n8z+a+Wl8gHTON5IOq6YrmnFaz pa2lncrGacBtI0NULkYTj3igLIWJRwTeaAp+97w9u4YAr6EUjuleqTN+wR2PSzDY+rlvEY3XyupL e0QbKUHvtoPZlE033x1UfooMrhnr0OFClIAeCtgdrcQpJ1D2cYUxQ+VGrtZNWaRLmjel5RpM7gOH /nmwZly5e49SBonaQYr1Bm61ykJIPPz2zXhNYmGoLtnxYB96/IfDyZPVrInt4DrPOPEXMSRbyigz Y6ui3usqPcFQDQx7fBXAmRAmCI93sK7AfrBzmlWKVnUtbzIlccxn9fsOMVE5ke6qt6dVGXA8V/7r m8I3koRszdd4X2gbvJjxzGCAFwvSYtnhutS2ZSjW+tcJVksQPM9AhgRsioBHzHCWoM9dnezTJU8E qTfNAXfDeEcyQqXMvGAHJIDZ0WvRK3uRhuWZhju/Oe9fjK01DBbh/ZIDICAWN633PHQ6KAxhwY7A 3hSkGA1znuseDBenHVkZ+BpvPCkuZ4bPJLNj+ju2lGSz3ZRA/NTgmoEHJYpc/NztauUohQvvSZU2 cXinRLsqSZ0cbhD99EMkGVTvUYpsbay6Lyb7fab8kKIKpiTCfPB9EA+YIZO5NWilwlJVjn9+MiCE rn4KSvufZvYkBaO8j51aA2/ZvgOCeCIOnBOF9GbT1f7qhWNBtbR+ZTFx5z+6n6tzZofQ443XYa4/ lwWly0pV1mhKIEnYYJdE1518r/4pLVh9xY+bwTlAOTDD8RGHF+91hoEPg/quKneYMLGv2riokAad oJLwOg2odBdAN0SBCm2lX7FR+ilEyB2Kr5QtjyfLSTUKfSIHj5l6p+cnM36px2uGvRn0jHd33BOO qyzsV8EKV7+9n8/fAGFRfdENPMFr2/2z6vJa1zpFxTm3LpPbCMbMOMxPngiHQl55/X0mQRGX1dz/ 8aW/nBNg4ddH5+gYakf7iHgoAa2HoOmA9PKSYRYr06EBrR/0hb6/2reWWyYQzL1Ql6cK0ffM8v+I jOpGRsJ8rNXpDOjBlD9YADDd1NGEDJUITIjiNeujYJJ21NRUIcF4lgumZ/qwvQNjOpFzgNbiZ9ai KB0CM3ZVgPlYgrPcWmcZ7AXrrS6LfxO72zOlX/WRUmADSeycDZn1rbch5UDl4rtUc7giWn01lzLE GRjkURGaaqGsWuW0U+lTI+98uWms/AU6CfPNIeDura4HxGz+IOa4DVs29YWtH+NkyRFlAIGomTUe IIhvwq2oqRnS4JFrJaA4uEEnDPxd8CYNi0e2GJ55DEZ0oA7jKWiXYf/upCDCGEmqWUqM2bpI+ykU 5Akee1X8LC25oFb4kG81HafbKd7xgRoBdDXwjXJAt/JsjwqyLyfHXAg+zpgK8EIIJdbQaXDRWUCt cdbuvmDMSjcmb049b0nA+/AUhU8piUqhXgQAyRcrQRBSeqcT6LhCkdDMiIl8y5HOP+foNjZhHo0b gQdutd+fQrIn1PYMCZwWBpZCR/BtING+pZlgeCLprTwi1oXwS1+e36whKOdjxhbAlYAacW9fY2B5 wUtBM+qid4QhAJZYnmcSOA9hTF6ZZqWiPEkdjiXpZMVVF0NJOLtShDbrFKv1glUxJTJX44zLfMqg 8C9mGs5388lzG4YNR1HUsykXcQO6QZudziKOxnw1qBZWDLmJAp+UU8w5+rk5Bqbzuii8SqiqPG9F rEPyYjSgZR+z681GRSaB+6havx2Jq8Lsc+T0498+0N2v0pMM/qLqvoTeH0JroigVM1USfJXcm3VL I1iOoxuY8fpLZOEZC7aIp5vz5dexIYFS1Cg59h3KxQ2CN/7Tig8WztEkLkTrgt27izUbLA0RjBcM 4b7ezvD7p7pu6t0wYIwIc+p90wAsGQUKlNF2rQrDUsYroHv0RgE2TgCghcK9zXDCu7of+FKQ6WYX NNlBKS1dRJo7zGXEgdjdWdzqT/YhtUuk3HNThDr1SqDNiq2lM5DVRkCXJU8pkWeg3ej0eLPepUi9 QCnOVOAd7wGMtYaKKRoC0ujn/yZJdBklkRbMV5YI3QKyqDyk379wg+0Kug8598b7frLnwQ98XMey +XE4ErxAJDsRAipDeCDeYzmpNF59+lNfyz6jg/NjEh7dQ1nJLzErEU4wVobjo5wcSTGnCviHR2jc rVPlzPwuG9s3p0SvNdrIXDjUDfXUKq4/j69RYMymtLAAp5izDFx34z1f9m6z9vd0AlEP1jZ06mcz 8ZNWHOZuY+F6g5tO5c4e0avUxUjlvAaIEE4TOw59EJ5/lPnBxA05Vrv/lu7NA6r/uEyQ6CL5sJbb N+i0AlZHfzL4H1r4zuKecagx9i00vejiP2V4NvSgScJfgApTKfd9lcQlvtT5ibW9wUglyfSQ5uKG mWeqw6Dw9wMb7evJP4BXXhbMIH9xbF331Vyr8PWwCWao3jVbXBfFgPKxWMuKW8QUUgXORjNjk9IB QomFkJp3HtLwa3VLrBzAWWuDLbPzm1qjIk08GsGfxuVGNOIg47Atf9WGqfDRg6vNuKrENg+ObydN hy0J12Yzn3289qGWg2hyNdUgcMQOMx3Apx7QgvxKJqcabxwkWo0aSk6cmWID6VHEBIuwVuAva/oW boPd/eyK2hu1k4SQ25yOyK7tLmQjPDknG/bDAbZL8UxuQNwayZ3aLmbQDYCCsT8B+bdkWJy5FVil SmKNMSEYL/dq/d81/AYL+p4pckjLbZW6V72tEiuM/EgLq7Mng8I6J0PkrFB9xZ5UxIMrjpwW+DQ/ CrXfShNF4oTu1wzldof8P/d+bM9gs2Z+FYsqoeqMgdhnArZDH8o8nbK2riyzDBDg9vCiQl3vU/dm i8JxV4Lx2mzR8Pz4NYhkJ9FYAZgzirCrhzXkQJBXJHCWjFv6VyyvPa9r/U4AjOZP1hDq6tufWjSx YNvc66Xd7MdgNIgxfKg4BHMCrs+kWAl5piaBM1BD3cceYTiDDR7W+pv+ewxO4nptpYeMCewDdkVv 2XsK+iNUX2vWFEPvR5QqwJ2KJufzahLmHHD3XpJ0bkY0MBt27NLgE6ZvVrhcrYI50hKhMOlqYMbv 9ygG9zHv102WcUL8939WsgVX5pQSL0ZfOopBlByaqgJakEF78paGUUIDGYwOoBm7EU4yc/9mzPM5 ioWhYgtCFgLvpWItPzQzZktA1cfgRDxy09lbtqQNV0VTMdanqGMQvax8Jt3paYcXddJjtPo4q9/T P7P1QNYGQeZcDZN1Fy0voUGnPlxe2e05Y7jAigH7pxV8v8Gt8sHNgPRq4pMXlyo7I+3YyFcXMMcD Kho114clzLaSzoctNBhMEpNL3ST9iRVyRr1LMxP7ggi0oWbkzwpNCiSPP3LWZntjcc+ITNorr+7M UlhsDKgFezNArrk19Q1Pcgs6iwSHg9xpks9ae92I05Uulemmf3Vim2mtAazfNXaMBWgNz8v7uu97 n0tyULzc6aDpNeTPoKt/Qk/Gjm24L+tWz/8lYDn5AZedB4eKvno4HlDUDbhmA2T/yrXkWaNPwMpx jcGK7ZWBJTjEdW1EMbKI5GBzkUqb1jhNP6GnoPWYq0JvVTmtQczkUMBxt8tzUWWVBRbTSmcmFMu4 NBNsjd3SjTg8YDrDcH+dUSrXe4nYsry0hENTUJcs6L6LSOOLw5dyOxQEinuN/2knJUPezGAbVxB3 tANKKfsucQvLz2ZMNVSl8MHAhwyCDPhWfUEAt/1Ktob/TVsjzl1yaPLcHyOX4fuyX0Yy6vACHH0n iZsg6EWswAXqCVb7q9hP+TWDgtgUpwNbp5MI1rOtyYyAouE5wFqcfwOf6QIPKD1ayRjevqEAR/q6 zVU2AizkV+DZS/zgsVFi9EyDts4oiHW9C3E5zSn52jnnBov7DK1dE2JzA8CAaZ3CccmP3Xb8qnwq 5jBKDvaB43RF5i/BBlARl5u1lQNGQiMS68C1cn9LNbsgBPWyuQfrBfwQRJK6BAheU37TW0bYbXis thuXahCz1lWqPbzlZdXCYJP72eRZ2sRFZjsbp1b8+FVCKsr13MrxwBed8ZTsyeJcy+eeK7+MyHvG nWM8rXDjXMSyXNjV+xJYbuLrbTAQilMsj6/hEWzQFPAJO8IitKdQN4bMlpqE0ixqYPYaZLDuvIYp O94NPp+G3JGpQL5BGADPtyMyECBh00W3iUagEL/UwPIxKZPUhoDDubQL3GLQJH6MN3Ss4gy8BqkJ rOCrlQ+cZH0oedO/BGjWO723eBPIwSekDLiiKfaU6MfEPwXJmvUt9NL55i59lTz64KIrSCpJGxvk ZFrVTEWltG60bUd1K1RUTtptkN95K9jhEfNcEu4gt9kdxsot6pZ8tvcHTMYo5hrd8sTeS90s+d3S 9yCJhjRva0AG3RVEoAwzHX6wg7MTGJtNT9jv2YwyrFFws8NT0t45QXYBmMNEkXlJ0a9h9/oldOLD yHLdgK0I+etk4klttOn0/945YCKzF8UT61B0Cy6obJSOAJ8+Q+Lcl9OA8boDav7stcXGQ/OACq4v lJjTk6i4Dykp9BB7LykYY3RPpQQV0ogB6aG+tZmnZmxJ8vKmNCEXFeJFt/XacesJdoDdjynYOTfE IDU/AMitZHiiTywyTX7s9UkN1nTWy93WxLH0Si34hbE9enshIZVnuJoK6ca+o0bjHyWAVqPC5oaS 0jHLxCJXhvr4Y6zcdQburgaobk1KitncHw0Eu96Nm5a7KqXWr1Ad/2N6MAf2wiYrTttAKjIEexdJ NtAfmJM+VG2MPBtUdEjbV6Q2NDrtQRuTQ6EOSrwe9WCOA6SrbBPKGICEWn3NWfz/7ePgWNgUYg4Y o+tq5r1r8nOvzNznnS8XwxphigIR6bDNVCnyvqpVgL/D8w5LFuzanXbPOBN8BYTV2YmZSYQnQKzm zXEF+5pfE6YoWaWPJC+Py56jCzso8kpkq/rswGJNySE96/uig6KCxsz0Kw5JARi+VX9N3vttAwGb pVzfCrTyfI0nZsXVdA1drX7E26fUWaF33G/IAvF4y4h6vL4VbqtOUwJYE64sWqGDCx7zcxJMtkpp dftJ1QWe73LVP7HbAJRC0xFhll4big5yYtRX218Ebpxq4lM//wFDZJ3OoE9Z+munAIbuX4pZyQe0 Iihur5rqMScA62N9ZcE7nmDStSO8VnoWWSdR+GliWc7K+TfBUKoroo+Ztx3eseN8gCTzATi5TWEZ M3mcsBCZoYzrzYKqHb9Xgf+RtMU1Qu8Va/61TdYcv/g+mvQAfxADNyS8FAWV2LYDsrEcBoVs41qZ l3qMzWu9pvbLBVQpv7Ubpv02Dx8Jm8ePAWaJ9wh0y0j8aVWTr41dKhW3UkBIauZS2hyMDEN3miMj Dva3kvFGQHBKZQ8gDpMwhxJHFmk4atE03TIt9Mb8Op3rfMHBkKTlA8M0/U01zvnhRdLv1iJi8t56 Z3bADsXKdXA2bF7uAP1Q+6kFIbA3IxHeCrCs9y1uhD+HN4DYFXK8KWdS/LP6WW028qxGRpHPGOPp a16cL9keckxPb6CPygdFOU1+8lUvZBYN9mwou+w6pvtqUHpijzK1/jySe3nrK6+1hzuS2R/ILZO2 +FQYkhPxxdlbNI3gl4rtf3oRMzlAq+YI/f/n94vENt2nVPdT8nQcAsQlKFdhKUkO9UXBQOO0aYls peFQARo5shc4SOoBUuqUtG7D13tJ2HE6Egl8VBbcFtCC3QtJdOkyd1OXo84Oz8eeVl3Wn0a9gxrx 8twIMYYi7qOffPcN+BxJQCPi9h6Cgppvu9yBctvRTeuH2ihiIFZ5FUh0Y54m99Adq/cbG93ru6JE d7cx0maYGc17YV+W0qc+XEaoHGyMAFSBGJWS/Ks43ShDtIvDO0Lz3eA52n21CsP8kPduzLuGekEF oKM9mfF3Yn7R/nlEejTYb9X2kdW633LXz2RWIniH/dhJvBLkFwEwVq4pSbmpeHPQCiKgJeISQlLU 333gmHjVKv/r/llZ62vlLX9zi8ghMoxo2B3J/t091xXGJ55VhuMSAXWsdyTg52xWGRuESKK1T6kG 2n3IjdMwyb1YUbnwwiGDeEZhH29iWTmI2+cHkZxrN96NHSsG9b+UTe+SR86jrzsRMtdPQCH0NiIk jkzDu+UrGY1YjfXWf/M87vtcBBf9m01rtfXMpsM2G5IgIOLr6QIqnNf7LyVvxUxJsmJJhS7UPqEX 5QdYOm6zhxZazFz5rbrbHUdGx4lHrFpicnb1hdRdtCXyMS6hrqDUIHGSwDJSiCNdGgWrE2inZ0O2 S0h7GNN/HvxSkPk2xQRcjRdrSp/66reuqxHJQEI7RYweAPTN+UwRntFnRhUxB1gZv2LnuNE9C1i0 hPPFdtM1lnbvozr4aI11RwsMI1YorM9OsabB82q6Al/fMMorBLx7tWIaikqzOXwTSQGFd0BuB/RI 7o7zmkShoSMZfqiQ61fUTnGw99JexUQp7DjDMC1iTZfmi+H2WJsmj/NfHNYuuPX5uDJSaT6ZXsUm VgSoTUsypJQZ5ZZzDluRAO19hCLaCg5F9QpTNIJYiQXqyb/l6HKySgHWD4aHnSazgFK+TXHcTfNL vZNVfYQ7DMV8UXBcfm7pfZBQ3MtGn2rAK0qWTDxDm0MnE1KMI4aWMpVgHvZNEr2Q9OBlIlPCjzQv OG730lk4r3ilkCBGndHeihy2yvraYRsAwXZL24U1kUFXeTRsJzGzf/+EinYHBg7A/nZ3TNwHkIWF c+0a+j6Xj7T53+XohtSl74Y/xz+6IHVbB/CEfG3CZ64jXles0HupX9EtqgYe1Cagw/FkXTHPh9DA ldIQXeiWJUL8ND7BckB9MxhaPKaKqSzdX1XyUIcIALWeYJuMTrJz5ucY6RbFosbyV8vlR7k/0Yhh JHnLOh6K6JaRH+glzyBiWGOzLs2ca7WDa/zrZkNZxoeoH/U1Dj+TUMemmTvMUsQFenQ5DzsCrVGc DTdUyIF7glISMfd5RkkWMqWkUB8BQ1rreKLjqzTfGzcLzjaSQk2oMBQguKbNkwKlxKX4yuC6S4bP zjWGTaHKN4cLtpwVyRqBYX0VI24AKRDStGJlfQFvNW3YfZiMCB72BQX9CiyfWsEkaZuW2E9ufvkZ 9iQJ1FhQceTahr12O2LiD+d2yYhrIv2cIsypU8mAVMzv73Ue0EGVa1p7qDV4r7ZOrt78ekb2AO4y hyEqE90TKB6JdygURH2+u4MnIw5MmTKk1Rq3/Bgjp1L+QPKNBEzEobIgV18H2QAD8sXeMlii/rdx XKS7hJ09LtlHKWGaORxegNM6AUJyslyh59NvXRCKLwVaI53SX5rieNniJsILksxy8WvqK6LroYSK 0jJPzH6zOfM7hTkjlW+2ZqVSQo6UpmJuYs/d5lyCuMQNzFC6ncWO4m2J/LY/DgOpvmVDX69/bYTe 7MEvPwcO8DyWthGXaZmGx4+DN/q0VhdTYGV881vyRrdFxPy0WX6KoCcXQKF+cwN27lX30IQ16bgM 1AZWZJQUEGEk1D65T/W+y1mJj3kK6250Y2SNNTfXXAllrw7SdxStasJh5eSqX23OjwNtaeNHcA/o f/mq7zwOuEHocwNxqrMp91l+ep8eL0zaF/mpKfP0DSqUBOr8A8JrvBPA1vjCX8n8W8jxqdVsapeZ jq4iSl0hjsxvGY5CF/7i6qczx4AhfttdPo3hIPJBOFLHkoQHStMZsJL4Y7MkZGibQJhVV04RK9mc x5P8Y6W0fyjexz9DE5mZK0ev3/7epIxpwlInJxi8T+JK9cdfEJK76zBDqOzZJRG5/VK6Iip4q38P 7SCl60ehUR3E6OvtFO/3qbvYeO3pNRZ9XxnX90/OL0pDfYs02q4Dlk9RKLhe88YJnGChNj8NiEiL 1lHrXhCOcuz7c+EdreopRc/+cWvRKnq1WlESdm+iF3KH/nuCwkeBgZ6yPn4fxOc9HArmqK0jsfMT 1smiTE6H522WMMvvQHdrtkc6kB+MG8HJOP5c1bK8jOq98KMI0hhn1wnzHqG4qD76O35/L8guBEP/ ziwPwdRG1BfM3hh1vPD5JzKb3lmh7NxRfivguw1IUFJlyAcnnNkVY7MdfDJzAyd+Y8d6EH/78dGU tvUhdXAlUwaYcdP7XmeQP2asQ25OJV4S7u4y754DIyheVQs7cjduOWrVR5QZlt+shfxSYdrs9pvK LOLmLsNNFKPGA1CmB4W54DvEB0hRV+UQ6vG45bqiiCNfiyYWjEsJUJBLIJR5BCyzyJ/mOpJGKNgv ubYlRQ24n/izKQ5gLE3UoRQW4ePHJOsqASdWEwug+fi1dXJgr4Di9aFKKju8mj5/ua5KjbEVA8zG GkxiOcyxqFZJHN/abqT7hJoPjjUaTSDKs9A++WT5kg/TGi07xQBVJR+oqsPZ7a6Kyy5jQY6hgd2a FAZX+Pji1QoWR4I0g94WS79TJ35v3Pgu9qXEgZKEEhWeUzY3kjML92et5U5R+C8JO+9mbz+vaT0f P3nJlwGFm9SzjHMBqGCdDTDt11/KJEhz+eJvPRiYTruThYiL1CcPtQLsNGV8+Efga0Wp0LMnekMb cbcgbLSmsHLDadXsAyD+zd5T2ZRpRNTzcMSAE8lNGylclsEwwpSl+oVgRP4GQ/iH7T8vnqKhmlfQ t10dZm0BQIU2zcsq4QB3WplJ1wj3tFiunX82jliVrZ9u5Mmjg/wvpnKSFlRNyHbIu6sfKZ4BtAVa i8PVuRjAYep1HqteNbHc194Ut2f86l29eRkEcBH9FGquNfaOad5FdOCV/v+OVDuZ5gimCjQk7M6G ydvyxxaL+IM+FucMmhSGz7NHTuyS0MLcUMyEPj84PeoOMMlTTnV9hsbUnBm7rY9QikJiinRvca2a XQKJwOq6qwr3qYJZNeflEjXYELOUhYQ5m3y/Vmb6IMR7jJe5Q0VbkkKmH+mqwjfxn9CEdM8JnkvD Wy/tFevsSD6I4QYtoAPhxIUNXhFvK+RjM1+sEhU7bYIhKgth3NLsfVRqvuLSlcCw+MYVRjRWQdtT iD/aSf4GfsM12UgaE/0ToOi9Z7435w2X3YFymBMP1iU5bh7pvmhfuA0nasUP4P593MU+4dZEp8nG j7vIWv/T7/hcgqM3Y+D+MbbjMYLaKtITDXHc0Oa2KvY4euKQLYbk/WJPkSudyaZM4hGcF4jm2usn FXErOA+aUbarMfDyCjv/nMdK59rCChAVx4OlBhZBcGbD5YwS6iOJZkCxq1DN2E8pX+8Jxvf27ugo 3EfqGjspkjtXd4q6AzO5UvA+Ii4Z3RHnkpJqyIMTHLQzDFqWLmD34ljVts2yXbjfKzqBboJyXryX 8NUnpa+XPc0ykhIkTmaMT6Z5iYI3sdtLCEdVIwDvWiDAOW9+3BlxqjHqGLWfnJvkMyIjaXOHZh9p eya4LbLsZw3SFfLYe/o5SvuPXbHw6ugkky6qzsvAEBtvuj+4lkeUWbc+2KNUdGUeNxOtwHZwpEL8 c4PocvrF1l/4dYBoxtKoYcAyqbJIo+Ml/0knhW/vb2LIlISB6gho7sKJz0DOOkvSfOKSS7FUca6B vh4878S5XvgS6vohibGeNilC3C8al+YhFUZtOw2Y5DWJVWI5djBIq1yEaD44i1gh9FFxYoDR9fP1 /B9GMEN3gZX4+cO/n1jdbNKfhV4h6FkVPWz0ag9hIKOKCSYjR7Sp2pTMF06BSe85Djhc/aFtHJ+z 4HIZh7iKjRJULuUswgFqoVDR+15CNpoNkYTJu/Em1jAOBc61oaL702ffUp5Irpt33AceOHmQN0K6 /bjEmh/LeoGRmZThgcH5jDQIgKCaO+JSf4EG+TMkzTD+EKuxe8ZxVWUQWdhaWfD2gPusM5C9BH2L tDuckxxJHUPIpMuy6yHYqjX8TN1/5g8k9B74NG4m6OEkAKbQeC/t81uJXu3KH0cLK006jP1gqysR VGwRl6yEFq0eYP1t3hz4UBusvN1yb7wG1T4Enw+B28mEDgUYMdt2NpyPwS5xnMbAMpINKJJiVuOs m7mobk6SIKITJ2QuimOtfqKEZOP+Y/+pudaPUvs4ndTWb/W/pt4IBYsXj+2vWfyur48jzsTxn9TN RXGdrJv6moKXwSqkuiZStub2uncMOoXatI7rhiGCxtKIF0OUSvMo3cg3wr0S7Ql78HkMat1KkscH vTsRPLxqmdZBxRBH6IfF9/nssgqCbOLOJM6rNfDfjdM3mzDae1qp9YTIRF2zzqKEPeTMzMNehj36 n2MshpzzyFTtK7VmXk9nb9EE/z1egJKuqRCcxIsApG/azEq4ZWLNNdtd8E/Kh8SRL5M302uDY/KN njuWeFd1k0d6QxWJnDvoDdGbY5I3NCTNd2XhAUfxvx3Ehd/5H3tHGtqtzH+vDBFKQHJ4WcdJZ74D xSiW6jy49HXAAcVFqH5w3nUVSyluVGWYkRAZnec4ODRm2uBKGJdI1quyfxOldVo6zJWk9VDnWHZD DTYdlTzOYswV8nnJWY9+NaK2CBuiGNbl8Vebm6DhTOODrVtf7W5MO5BhyNFY+C5dkafjkyHFhoOS oBOLyDN1gT0/VndoyPc62lL4AyGziMQOfBlCcvzF4WSZRHFBvZAxepvdkqXqvYYxe4Ggy3lVC22B 5AKiOFnowKB//wZznkMfTrbeqgsakLpwLJyoeDCm445JOWfEywN/saN5g7R+GoItHRW/AEwCf+qc YzYv6k99Ws3uJZdnDUPI9uQqpGO9xt0ycPfci4BTadoVxdq7o6Kked7itUtmJLp4g5p+WhpqQ8w/ zl6ag9ya5AW32ifLwsoK2q4OV++kyiR/DyYnQf4wv0PbNA3Ns0kifcJG5FZpwBSZn8mEfFqicvNg Su3kawOIc3D/qOEOaFNP/h+pw+De8fBxp5/GpbexxR17sDvUvR/BQypI88jgUIbkIQyGDA6g9hFf 1ktpyxKbQKGYpk4/b07wiPG7liyjuofIVe+XmT4Vv+eAC0CPyZNuHGStiIRBVCrxGRmGHkRlrQqs eZjvhLi745lgP/F1rDymNd11iAjDTMXZkICmfTiG05dhqEjL8wYoUwyAUi+HpRkoMFkRG4LXzpFx HMqeDwf9HAEqwI554mF00Tc4mWSOyJc5HrrKmGCRjsHbrbVcIO4Pjp3dUu25C0gLK4dRqhF/cEC9 S2eRRl6i6FYXFUWd5Kbt4kdYl6tQRCkWJwKDOe0tsJBUrQriLiTVWd2fG23ZdF9xnbaMo1zGXSyq awVyhicN9ZdPp6p/WjzWMJhm92eK/yh8HD6oFpe7ilxAdHMJifKXsyf/Yf3b0o2cGAd/0rP+mHfh RPrnELFhsHpCIsqF2E1AMdTuEoQxzgBNf23W/wA4e9uXg4Ug1ty2rNdGw3G3229aH70qbNElzNom 01X4u5ZC8v+uT4QFxy8HesDoiOHNoXdsrnCZh4W2tZrBmVaC+HB6Kd0pBXmtBjOqc2SWpf1VTSwi Ch4RwhpVok7RcrJYKbYvE/Xv1q9H9pHggaW5vGv/7wyqoUdqHXDHHdxavf4KNCYLMQsAUPpvmRFx uoJMq7NGLSoI+oeNyD8e3L7/Cz48t3oy0Zaiwl7qVvTPK9L8UIVcI2hIfGu/M/tXQpbzI/DfZHvx Smp97BZ1easfQVaRLzlt1qX2OZzc0ECNC+qqM3eHhcXt18LiEWRd/u1/Mup8VesgU0n84oUEHKdk EOFBR3QwAERygtjn0I/5BS11Fs8oPH0nRaU2v5ZlmHWKLNYDGRW9/Z7VqNrRJWqhj6jlLk66phUr IiptxZ//JT1s4yMzxxloL0CDU0YwNe3ajkIkfGrDiH7ITUwLw7fb7dbNjXidvzvUQcEVKaBNpNic f6pqPcR5aJihIzcrjEIbOUyfhORBaBOPMCOQeiIqmHQMKAh0+Ixa2FzbqOGZi8fBl8GBRWr6MUNP 6v3iEtT44iwkWK8IvFeC8dSESaIuixl7Xg9Ve1bvUxIHH4pW7+lIQQ8TOCuCDuy3SheL22kq9ere COf66g9g5/udw65p4uhaMkol47ipRIFwaLGOQShoAQZ52xURMogmX5wt1hC0ajL0CKYI/oMQuK7F nfghR7cZWMrsZsew5TZM4QvhLg6wcLETKdIDM7uBkrr4WCXbNl/Ac1URnXNGhQuTDA+Azk4j8SuS 6J+fkkJQQgzGYOTKF812tT+VokuZPVkFonYdtAoUlfPiDBUURyvWa5ubeyw+ApO+ZZYo97RxeA3T yf2HBklXw8kewWLsqeHVpjInpChKQEq2+BWFuquZ/n8kHxrTkiB0263+5I2pfzAkdbY7L+WOC8u1 mQg2YQ8f0pserVY/noe/NRjG7HD+JUoRzlE4hMwuxBozAK7DSY/aFAeubG0Givr9IYSJA10gqCAq BBiCotlASIRYQZfgJuNa3Ji+YFtXqeBgqRP27kP2qjisVP3YrZ9TAqdXq2l3DlJ2iybJeCsR17tH +IgUQn2kCiAlXBb+4SDl37MTc5MrrJUeGTC+chEzF4XlsFTV3M+3EMegRO25R7RVbelTfemLxF7+ tLG+QLf03/CcSNKVuh0BPJTAQOPofPgnzlkhEejjP3VE7wChOXnkYW+xElPbwCB9FDbvyRxAEPdv LUBR8gJSEqfJDH3NbUnxr5v/N70kos2dbvsZtNSVl28V26O0HSR51lbJp7NgRS/26oFDZLvh7vxY N5vRmgBAXSB/d1lbJ4wvGfS+MOkeAsCUrMxA/5ThM8ZRflHNK/+9GRnFGr9v3Fv2y34Vg2tUKNNf /scOFhFRz/CmOpkCCqJ1iHkaeQnmE3PUx3gbEcvf/YKmsXYhW5v1hQM9XLTacXUYAQvocPi25FSD ScDi2S7pxt7oMaZ6exfydNgEiGf8GPV7WM7E4L0Y5mDGVZqp/2bKSt1dKJoyduJrKeYaA1HfVRth fO1M0rLyY3ryUYHER3D+JD0bG/R0O7XwUFSjH8Lw7vW3HFwT6ZJS+BRZ7Xnn0uFECXuJfA0aA/zl IQgiTZXrpmYWNCr7Xa+R4FlQMiNS6idV3TH1784GXnB5ZnNQpbVPSU3cTvKcICOWRemCZRdkZjfi Eh3GssruqEtkPHtPbGfJkTN3q/79hBGJYPAN86yvv7vrmuquC4zlFUZJrevh59KYVt31HeT+mlMi PT9QuPA7JjhoTMtVSNghpdCzYt9eiAAPLf/d1pLKkv+zCXLxB7SU4txguw+tfldBKXbW0+OlH3pL huxGsYDReFiUiQnwHTWhX3tLHa+4zrmdzzIGBtIKQxM6LnF/UiTCnKETXDvEjo3c6n4IAAfozUkv tfUxYjU1FprX/qklgpxfNFx1dCh4LwCa7SADRDOESh3z149jqiYRcnFkOMbLqkL1Ks/IYBdE0vOI 6XPrR6rgny33YJBSpcyCXsU2+EJPbAW02H+aGTB/fm3v1A2R6r7OO8o6DsD98oqlQmWxEq39DaRT sEJMzrFYBBBK/BGmmp8OxmymUpbE5a/9J8M0Gt0yKgRNgS5WUYzWulh3OXCMPD1yorqd5H6RbGRd 2E8Qvzx93eeQuLAuuWf+sMtr25vLjk+uFNRccgsvcU+AKYJEK+psgaQoc21vqc0VjwTTeRrB2Avg GYPTkiKCE49pi+ASzWDXPOVZDA6+MIX/EiIx8Go0dbOOerQHSezNtMcSXFOcK5rtH+00HnTJmX5f atWBNzBZFTJAVIO5D2JIuzBTBRNjq/UcOTDohQZcK+IjR503pBpKWkOWb38sJ2W7axROYU9g8j3Z YdBF3jbtet4+FtkLieFMaKVzeSNNoLQL8Jsikiyorx+hD/Oi3/WSUbqRQanJdj4AVxww9gGOoIEn cJQRcIXiRrpvaHvZphb5WxbK0ZzZjvdP7OB0MflHCzsjwVu8aFX+2Ke5hpXIm+V/iLSkxBxTExXT /M37NYjJgHTctllff6hs9YXmtQi3ooAhao+WgcJOFI+0FrPslfanLxmM1JgQ242yVuZZDPlsHpw5 Zp5vYgsA9zQoldXJPr8bGQ/sL7HtOjasubNY8yOC/I5xkEiTykobubjBoHm9lShyNvTGOe+r+q8q 0Dvwz7JuCj7Ysm0uh0hQlQ6csbZhcFGSI7lRZARNzJoN3Lrdyx6NCOV/NrkWIyG0AFgZU0q8cjG5 OdZNQCkQhFzscjX/BlB0OnQpVxHDmDsIgfQ29GBp0o/0s9hNYBxFYyxz5DHZyyOHwCdAUHUaAJXw 5mp/xjcTWEniF7AbzvLEW7ciKMdQcJcTOvJVVrzS6WxRfBIPHqCr801XLalAIzxpLFHP5wqIPwyV DNz8NvDPW46UY6S/y5M4ReT7iNzDC/ywLGDxhuJZep4KzvWq2bDP1tQwqCSeARpEOd3tY40ZPwCh zLgsU39u7k+Yka4ppq990R0UtmWR3tuxlY6CbS0ff5p8sLfwQdCcte45Gpwu6+masBafJRrcgxj8 zUQ3APjUnuzKR6cT5aLeARYas20Ugi1QNRxq/BgbGkw6gwnCSHW/ZHqpLvjyBgweg6nEA2d/6PqW FXXb/W/LwlmZ5nrCbTQuRPeA9C9CeVdIKCJSn6cup2GUOUUF1KGGi7RkThaNqE1ti+M92liwo+vh 178udDICd6vLdfyjwZyFNqPauuV/EqJC2AUKUHNDl5G7pqKoKMmtxx1bw53z5yolsyfxAcNYPu+5 4Z53hUr2q0Cz6+Xdltsts51nbkfCO3CZFTwBUXcBC5GYOrOz44s9+K+SZDWRFK8tblHt1pSyn2zq nkZ308/MRGMmj6YhM6UaTQUnoIhdTfEtiqC932gPYV7c76nGrSqGn0ORmQlXj1JlpjUvA191Q/dV kwvAtY8WQ+jX0JGsqrgzsx+HIz2endf5ipgqi4LhKajc/393L9eQYdHUFzPhlrcwmEJciHyH9+bi eyQizqpKENqVTrfMBNOrIdJeNdAIKiB0kSnpWgAI0YPVrJgZUlabOnWsi9Hlt88dRGKGQ+0KVYty C6zkHm6vdpmAShBl+CA26gsE1+7JO1fgYeGu3xH1151TwAiwbo5hczxUsm6Jv+YUXakiGbcHvCpv uMkbUwkRNH3ojHI2Adc0RJ7dNHtcIVt9MpUE23G/hGMU32YacsgJv3vM5FibKYDgnX9v+aKBnC2D B93NlpYPMsZv+5MIZB6I6CZt4pS4Z1Dh9/QCkvgkIfUs4dI/sVi76xQ6WFFFTBBmIfggahPX6sSC jqOxvNfGDYBiP+67RBgD5NaiV09ULtrcUI2w6zl+e52YATHlXr7xKpA+rR+YQ3nMkj4BnQZP4eMJ QqfWGXy+nZRw2DVn+WwmQ1175tL9xbsJk2zOYgVuLfcrCqrOOEPL2+0DP05yzuRbj1hNozcS9FgH DDQ/hRtPEkqMiMm234YT9dvv/It0H4vROzFPCRjDN0k+RWljYvjU0INlzPrPdret8OXTgxrVfa8A jxBcL7IXrzwz+RRBRg1GMXMMxIyLO0UInlI9O/H0WBaQ3WbvOdOjR+xdqNlQ6toidPrIzdO3TfN9 ub/MtD3ruvik33JNakq5bhicVyHitr8KZEcATvzfLOC3HILtIZxrdAgQsKa56Azm/Z+AQ//CoNu4 l2saNOM1NSKop9r86YK82ixx4sL0LlPtb4agV/AV4pKvh2idtIe0SMIT6EqothgELRgWCO/tvDGs N1ZjdxqMhHX/xbA0VxMG1tC/dJsqoIaJ/WtR6BA+k2h46qTnlys68meR6O/UYJidkCqrO2XI8ACs +lE9Chtrn4LMZJl+IEapcDs2XFQ16IE9NrVm1w+cmbMnCauF5l452FXasum0RqYDBdeZFA2F6AN2 B7mmifHSuDNKtMda1BTopkK+lpHUxwyW0BsMvZjcZ+259J/ZPKME7HeY1A6SiPEwXDrDv0lvngzC gdb7M6/iTP4eIRpNRqpbmcmLG/Ctlt5nOiih/wImk3mRTxE5SVUdt6TlPNHhFwCNRFVmR2xob/zK O48UX5zvFjc+1UWvETomB43UMvh/SxqPfajK5rwYIrPRZyH8bj2m/A5ICznmsmzK1/UlKqXS/7Pa LL96d50FDH5Jk6FODeXJHeEumBbPjHpJdFkKN+xW/IwW3xxyH7jcRAik01JGLueGO6hHAxjDujPz TK87AE3B0MtiwO2cTQtDrzYP5aJ/QIdWCrzN82omd1gBXdOwcEY0dU+TsxfNWtLROhti7iWkH67Z EpNjIfRi6HmtlcB0Av4bYe8EIk0WTe/SDlP2OnFDCxCmAva6iTF67FLuSg5VCMSrLdkw5BQlFn21 dG8lZ3qor9vK4W/STpOCXVC/OPLHjfwEjhixwqfWOXNx1AZ17aNPCtOkBSqijWgEnqgthDgKmOPR 0KWofVfolC5pKfL7uCIvvAALsdCP0ikg0nXJ7VAe5hCICZ+5sostswhZjVpxmHJlwg/SSK1n5d37 sJrebQUJXEIs7iCbqDNwiplOooUraNb7qgjj0ZzVjjgJPzzWXBhuW6/WPMxpIBNf55sukC7t7wRf K4g0Fzj4AMVOIyYjeQxJkZkuGKLX96JbrOa6mtMNVjVDNpm9sUjOq36tR6qCsp8Raf7Tz2kwYnl7 jZnq6kNk5OWrqD6/hNXzXM3fyLZ08B8NpjnByhgJZ81doOI0Fg5TpYXu9FYo5/EQ+4o0nzL8QbDA KgN8rIz/pS+OUVzoekrT2V/Ehv81vVzAmmt5gLbhwsbGkyMnzHXJKy2JfYs3lU1a9VrzvA9NKBH1 xcJptVGmMhj6d+9Xl/1WMxL5FoA2hC9E0SkLBaPAM+99S1MsYDp3TeT6XLhDUSq43QM485wAcWtY dMeN3a+T4dh4tiVFcQgqAoBCSuKUKBo62c0ykg6uu+WgJ4Bk1dYdNJZFZP45FVUbKKAl8OIGAbZf 1iYbnMk9tFTpQ29KS0iqKr7R+3Ht18ecankmzexzAzPQTNEPvVNMPAe4dI6GSbuJlaIZbmsSEjH4 5cLYWe8qCxO7iu2wy0aAL2e59l6IZpqdNOzbKBK27CYVmKgrdDEHTsP8n8fudn/VMR2r3u4+zST9 9M6Da4C9HP+Lq5BjSWsmViXSkDGapfXjfnohBa87YqWUIXFZ+TRLD3rGh0ZSy4Pk/TmUB+HQT7AD trJOPleW8vfPbB//dPVLrdVT1AHPjKiDzu3GwXlucxCiX0rqEyJ2VjXXCkTijNLLKfjid36icxwK qFwmp88r9P7r5PLhAcXZELteCKsTAwt/Eou85R32WzITmfhaPmn6QxqGy//0w+3anYMdP0Vu0UKU Pb0RLJ+Rxq71oFJZO1TdE/rWedfDJYXUA1s3+SjEEoXcrt0k8US+sqeAOnnK1ZQi/qCuGj43yJPW tCK+g2t/SakFehv4zajznOxnoz/AG0FRQGA5TvjDKLWX63OOuedu6mdkDQz/1E/lkqa7a/aIr5iA N22bT3RhVhlJPjd6NQJovP9Buu7FIaju4rEX4LWmHm5AEC9Uu5nJxeTtma/pkodRegfwAhJVFQb1 9jKwafRVM+6pYhokPeknaCpM7m1QIi7xV03JeoCH/v7BCFzpxlX8Lt0T4YkuHuJDG0f7FJiIsiL4 dU0uLWouL0ZScB5i8B1KKcb8gM9a9AtOvTJsy0LIkySCK8pBOf4EIk1k07UNqX9iGXmUuMRyNh0r yAYfMp5K7yNpXdGMEzrWKilgGcSVhMzJg9YymTJy905mY1HNFi38ArlcGNM71uXAJn0R4mKNzEmC tDCLkQP0lbvon8psto2/NXC0X8+HASUyis1v64mqg3y1T8hklnCQz0hQCk2vV+GqMyUanoVuyfI+ 6KN0sHQ0Q5AYRaJUtDe4Rq62+fgFRb8Bh2GcaW6IXpwf8nS1pNN3/m2znuM2K0Z/8lBXHmTP0Zzv yG8v5K008HgKHF4jt/5QYWdiqVdyVtk05HqOl2jmQrKjnEQ1XMoOdqnBzwNHTSXg87uWK4IY9QxL sGiIBbu0O1lS/opHpI2W4k+89jJawR5UiWWRIf5voTlvCaLkJ7aNHwAyOj6s6UXNl0VnM02a+zJy CkKpfgNCTpupHRoDq2VeWftuM86UeAL5my0Q/8k0ExyPumfV4FNA5RkXduhD5/gKwJ/a0o/RIG8U oNhT77ymfLeqwDL35UHfO5Wx3imywlxDOcgXaVXyCPE86kXDjT/oXsGrol2mnLLrp/6OtodrYwXw wJJfZfO8IOCXd0QRNz6axXsKNeGdx5Z4KaPuDpHe3IA1eoeTlI4HSVTvxrYe5/1D3i7V25TlmJJv CQY7OniiD3U5G437d9htRsWpDi8fpoDvIPO3luK8WXFFT5DBhgnaMYkHf3UXZJ9bIuDu0jaO14/Y 3d6BB5RBJ0HrQ1KOko1jRq/clYSz0woZrz8zDhN0VULTTHz1gpJLo2pMfJeP/pLSq+PG05sEvhNH TLYwaYRmMrLyIDxyYZaJ1VBhWBcaBh2h7oC1l9HNgSMr2JhqNajrAe4lJxGcg1Mf3JQQPcXbfdFY wReWDVb5pznid6gzQjo83Mld3X0h86a1VjbXLPktx7T/aHHRzBUd8ZgKrhPd67GkaZdYrlT+Aivy aXO/DNVbOrDLoj0y29ZNSXVIfGb1GzuyicZGZX7uMLJ7zHSkPuSJxyrR+h+j5jSOEq2LKUKvhWzH 00Byp9em8d0Wy7rEaAnpNFR7kzHWnFP7Bf4pU6EiHrbI019FraDJo1j2b0sPYY75zjE4YroiWI9k mr060KAMZnAmrG4nvOp5CVKTKkdsJEWn1nbV6lkd++mlGC0OM4D8BCEmpZDTn3F86KEXARHYdUWK Jz9EiGVQ7epUSmZpR5LnslD3D0BLjfuhJ0S+2+QuUJYuIRVkNHQ9QfjcW2LNB7GhO9sSyPKjggtu ggjfdVN4hJ/nwNuWaaS8x2NEHIM3oR70mjq7yI2pKWv2zf8GJc7CXWkHHr4pp+E0KKzGDymoi7ab uQAI8Rre3c76Wk03cR/wD69YPUszLTIqn7IfYeVW+G9SP0H7U/ZCfIv3tAxs864C26xZmho/h7hQ NpUGhW+eazSJzhIWwoqB0h6VPY6xxkYeIePGK2FBcRCG1ZFIrlRyEm2dBUYWmi7QvoUASJO/q3xe K71JDehZnOTg+/zy8Ht/9Giyv8crP7jmWQoHekxOGLyxov0ABR2u2FcXcSinw/f7EpPgsLGkFgg/ caz5eLCye9TKulEwFgiC1A3TifNC0AUgFEDA9NNl8Vno8Ddz+amjqNlxO+TicNVwynl7mRSIPxY7 T+o9+TeGvcUyeQSH/tC3DohIfoz7YXJv3VYcvBY7vxHQXlrvMrEEl2XPyuXNgVlnlW3zcllUUXCg mtu4kkSz7gWYNmVaPILdtDJYVbTpIPhfga5Hjk4TS3IkZnjg/MyjNsz/FE5Gx0HUckrekt+fesj9 ho9SjwlkBwifNQVGfOHSDUrOyZvjWAAkRTG6VxwZaV0uWlj9QYOwJkse1Lw1z0o4h1jZJ1kONqxG lrzTbJtwiQVIXI+HpusKOgVxvoO6f7y/4UVYaMNx5fujzoF1gSBBpINiFChIBRzfs+cv1bNhkL5S ev6DicJ5n3Ezeca0MvDEmEgNFw6eUtjD+m9uEgpoA3gjmH12c8GNiofQvrcbGbq1oHRZyIgStdFa ew4I2QousTlb7TLW6jT7aJMC/4EtCcZFunKaGRHM10ZvArhNgnYHrEQvCWYcvvNrCVLEzgDkdPWg GkB7p+coFY0jb84Uut9EMjSQsxvSzTtR6+3VWA9mfYnPd1vbv+9chPfDUF6dE5l6r+09TEor3fsI mAF7y3R6dpDXIann7WgAPC+LlK4+AoNabHZ0ME0DDWzijjinYZ6AOTQ2W8qIlpyqWlLFyp+0L33G hg+odI2Ao98hIfbXgH7beo6uQtcfb2Oi0x7XxDTiwad/ipO+zkyocd93+bqemdNFEcsPS7jj6lqd oFABkNPPwtW2GUSDRdqt5tS1E54mIAhgsJXbW8QN0xZgQF9XwPFySCQ0HX0jFxnxQ+4Bunbg+ptJ PKrs4zz5+uWkyiswJVVx1c12eDjZFPvXxSPJ78KT3sdj67bdXYDayITCFRfak6hgDzdp8Ns8pV32 7VuXMRleS33zyTeojXwBPFYodSMbchZJEFGG2oc5ZwcSepZQRLD6l14uuuat3LPzOdgyOpOhCbcE 04/Xh05qBw7mivXmgp+tnCx6UFHxVsoA6XWgcjX+f8z2txFeiJJAOHUDm9oUb72G5E5FXVuJvWzu 7b1r0pumBpiByTOQ8boGeuWMTNdKfzY8XuqUOKt7yzAljMMqvscDct4OHxt3DIvRpqHB/riuSuZl 8SpG2Q0CERTUlgUNyXfZHkkvgGYktLVOFyYmeRgv4pjffBPufTsaEW0m4FFm8dUjm3unPhAhDkzg GlGJMp3kIG48RPreVM5mt2WXDimOrbbjJb0swbvY4+Gdp48j/hzyRJvxtqyLiTFMBAzDdqDI8GQg yDPgtwa1TfqNyjkuJp4MFcZpEkzGkS0UHoRjlJOt+XxF8tB9WKK66glhDR8vkITgrFI+WAG5PJ+E LB7dpZZgbCGtvgO5PMLk4fEjxBYQSZLY3dJx7ah1UHizIbQFdQ11jYceuY+V0vLmOobXM0GBqiw/ +wSFIMSx9Q731Jrul2wlilx7DWB4gW7jd1Rw/PN51CVEO1sUK41wAXJXLK5gClj0f7jw9pO8kwyK 8iTxxiHMpY16My59d8kUjHv9pvWKz+gXT5ygjtRjKQ7+AX4w2U7M/ZWTtZl/SVaK2nUDAsUuoXCi oTILH4piyC09UZyo4DCpZSkaHEjxwWlSk93/+rH9LFoFAuk2mRFkpasPDXltJnFJCVfqKMALhvOX ywT5CUrcUm7haM4rLjM1xADrR0CjbD+TX+Emr+sJNxF017w3WZpRRcnV4pTD4FGgY85w7S3ZUtVt Fy6vwiORAPdhrCUO6dlybMs6T+A8UzsNjtC6RhDqwikrgPK4tErTM6fI0dDZZcA9IJ7SlPpl8BS2 vVHDnnXamz620ZlyV61ukgh69BUm+PWYbZVW1HSfai4K6tiQ1Z6edtEuvK1gQLMDbTX9phLKSyA6 5zPWuO+E8YmWgcBFktoCIc9GHuMje7TpjRzVCHQ7tj0yXw7YhhVCFa1M/LlRhu+1wDnK+KvqMHxq SEAn7kF5RRxUrvMy6TF2pGLwvNTnYyjoK75YSxRhVedbwo/H3yrtGfsEkypbLJmd9zjesnWDoJET 0d6rFHdJKeaBinN1+05Bt7X3l/tbGbrjmU7pKzG/F1bPT6t+4mVhBEi+IrDvlvoWuPNtdtu41QHh 5DzUDtjLqgcqT/DsiIBO43/5zRKL8aDvajCgDrBlaH9yEefj8nYB6s/qWbuTdkF+p3PS7W045fBC f6H8A6ooSMoQDoDTvL8PLpxsmtdHMXZjP+/80t0/Em/V6Z6u2XEWt8ogjbW1wUnA/z6enrRB1ROS sX7vEmSwzKlMftL79gDW3MesYqUqQEq1A2m+JHDF9HZmm+ZcU0Jo9wSVMHJINjFhAam4gk93qVIG Q1Y9L/HED6GYi9fDTMsHQznvG8JL0gDj/FCmGcpKN1VoYcUwbJrejUMDuvswYJ/tem5zXYk8PnI0 nMJtoCepXwaXeOVjKmcskYEjJtf5YPPltGArm4VDYqgy3xE2Mj3luiquHEbDkECo3oFLUR1SdzB7 +uAQBonBQ5pvZPmgalz0s4O1+nEVeG2BikJ9fsS7yTj6gxlzxdRp+iglMWvaS2hyW3pIccekDJKi uIUP1zV7jjK7ASouzhE/rwH4RdUR1gRnPj/6RpStyDS78UQUABh8HF4X8ESVAYaBYrYhbsmQqahx 1iwC/q0PCDOT8hvbZDV+qXTdM0F8zHstN9Xo6FVUnfoy81DChut5VrgODrWd6mcrGMC40rSea7Yd BGaIFMNomsVCpWdA05HbL9UQDn0Ms2E9tnXS+o9s/RK+OpLEw2enFpEktzaJeJZttgABsjhoeG6B xER4oAQ7LdNzDk5IPhQY9COat/0iulj4PMDyVdzPDTFZDI93Xlr2SlkRx2IlJWg98KjbbO+KastB pnVu3C1YK/c4T2sMUZUAwrp+ST8/X6gVhYzN36kI3ReJ1YIL+CakBLen4DjKKdPqzz9ZlB6uTpeb FM1vfVuyn7EdQs+lcbm76iVAhD/+zAohdW9x+6Q6/Iv8dX2YHQuGMceWNs8yqiESBI/0lRKkiKkr JIl8CXfkIGvKnKMQK/iDNpxBmgjAAhQNdcMxxzCazyCZZmjmjRVHwXwQS7c8stZ45pyKzp8/ziMF CVyaOD/u1UhmTelTnjnAgzAOkbiN87uVeCwC9F1PEPISG97XpOU/yQbX3cT/zxkkenQKRZlJ0nwm Lv06nD6mboHGaeg/KXWfxuUw5SGl2BRHV3WHrQKArXJvm3OqgVteqCqzsirl/nwJZZGtvjmpVNjX Go2YFuFnbZ7rkuMnOroPCsJ9ztlCth0nBejYkgRYpmFy6QZAAqMMu1qLJAsJLIuvzcr2+vb7F6/g EWeuqKgKM1O7jUC5eV9qGWhFk8nn263rLJ2bIb39Ldp1H7ojKAf4QXiGM+aEjtbIARvOh89v3411 A1R7Fr2HrGBV264NL4lrmGvLrvX8N8cef5vg0v82ywRRVW/7A6FJhvrs6c51j//69RayYZKS4utg KwSsquAlmJqJLJK9xx5cPUPpBXUyL58n5SuJGyK8WDeG++np/Lck4+BV1V02sbSzjh1pznPXhkrB dHydtP1q37x9dxZ1kwoSEQApU/xnta9xFi7pT/e7KBcQQWtUl6io7dNf3dlouuOmM4okyScrU9b8 kBh2WOvJx+OVW8oDqGjcii6n5g2++JSXY5jTv4WKVuF7KS2vIK44r1mP5LgIPCODUs/sDEqlERzY MzktKeftb6wIvpZv8mw+zVnAPFl1wHPV1nuham9xjQh9oEAFx7TYK/P8z3VyUS47OAqakb8mnIGj Jmu1Rm0XRuuR62bPWHSEhdlRdER/0E+ICalMmgUG2fOJxfn/mW/duWeyLxcHCyC8lhu1Sz6HIddw NPC9xAF09RaIwHMk3HamVRC8Vh6c6T54e61uidZcoUxXzDI8Up68PMqJigwslsKWvMmHgU+Mnkmt urEQieXCS8ZzSMjPsQjTmDxhmFMbuwPR3BhJEL8wyxk/4pWsW9h0foXpnVIPEeA6fK68tNbwoKkf DenhJJBsFVyfiv9OP7KDtL02qmXbyY0O3kO0/dxg2gKHqE23i/r9Q15FA1/CTvfApaWWWsPORx81 lZPe1OjyXEJsTSWq7nhq4d/8n39sbR6UAiav4VLX0IBaRBTDr2/JqAiQQAdOqjdBXOT5QXJca0LB GjVgJGpo7nrZt/+stMy6FuChsV8gx9P+IECCyI6Lnk7MM7zSGa0shEXQdkB5n2DKjI1+DuZrFgEx mJZr8obW2lml58JGohZFEU6fOSJxxAnm3sgD8VxXPuL5ATjo6ATAM3rt+NKmmtFNNN3j3XpkL5aR bdMDTw9p5DQLr1bWokRfOSPnERumoAbFWh8/jwi19d1w0/oJUwPPrr3+hXNb8R4mz0kgDzR1Mwr5 MAg7XSNum8/n+IDXW5vYUFsPXXzHrjIwhyG7ri58xGxBai+iE1n1pD6DMYXytfX1z6Y3Wx/BAjZc kANDCCZ7fV5kqUZyf9fnp8lbrSngIjU15Dg9D8ie0eSp0LYjNj32ouPu6mN75WGZfnNqyPfrjs0b aTO554LI564TI8hRc5tSzoKmwVGryX69GfYldRNeRYxt0OkEHCFrJcA/EH817mITzmWf22h8y+SZ FNtxkoqE4sPQUJpWPh3TW4+bTgCYj6UZnCTgY1c/saENTs5j1Z9XPEmkSuKA+5RRib347Q+cWD0U Dn7aiDXdS8jn7A3mBV3KaxAL3DbzTMf6YAIzpOaLSTFivlWQQp8Oe1wK1tvBTykEwso9aZBXV6su 8QhRpYt6ql+PmCHvakNPLRI4KhkPA5e5irY9gYl8LcPCP7AORnc2Ov/ZSB582PIN+XWRc0BFO+Lb uFloSDVKXkhNtUD9Z0WUPNlqu0XUnaDUIJ/7CUSudAsDP9sucf2z4nia7tIwzLXX5GLMEX2mHbsT nvYyYIak2nZiK8fWrPapvHMPeu19TRemIFfKe4a35MlO3JjoOGO0vKaZax2p6ySuhEdEl85HvNef 8ulTu/+WMCxCjuAq2xXAOqmLb6KeAJYJHsv3cLy5eAqEwk5CnmZVeRoDMp7fEEE+rAh6FGhv58x0 kM68uoKJYmO/FlDvHP8CR9hOeX4ww9CYDd5+5wwFIUkPQT+pf+6AA8sQeTjAiSkT4Ee4tz1Ngdcv kA7DPFYgocK+i5EM2yDGNTOphusTR2Jnq4Qt1aD5hKdo3Oj210XTbqMyteKk6oU3Vyqym+ETxgrD psSONOidXQLWn6aUUChvO9WpABsmctauApX8F0JIDPGewusgyw0R+luJGk/kfDl8jq2bz8PA8149 Hgm/4JE1PBCMQJSJmZs9TO0/DVBrLjaPY050ZDX/GB9mpfD+2UtQyhrAjt/XQ87sXdUSwLnv0qyx uGZZddpn0dyOA+uHGTS4slUa5ox/WzeEAgTLsNnc/PNBIbDqJaXQ3zQ89SoLoKQQ9YjPsnBCSJae lvBtJHR1bRSfDyMksCXxd4EeImhT9Ze9S/ZXbDroxulfpCEitnV+f2P64/Q6m/t5zEuMynMWFn3j aTVNaqQlBbeA/N6+o+AKacQIdeW78kPoRn//AhhTYnzoh6c6MxJXJCidZBCfwTym4oiWm3v1eKkJ z4l0oLcV13O6GfJnGuImKIvPsHcGOu+vA86LQSI7Cy40ABaT9tu2RcYm7dCeX8dG4/cBqTLRU54g CSAK8jptpYyHdb/WqyRGNSQ05wd2m5SZ+4OeX+uNZfiuz1Q8nzzWN5r4tauvzqJOpRwpRujH13dJ /gcNfgfP+FsAp6WwPJb/LQmT/pNR0NawM0Lqtv69SwE7qls+H0DRQS74eTdJZv1KhTzXKlT9Keha uTOJwxaEWUv9IHPU1Wl1c3GGLg3vmK9SY5Eyop6WbKIK4iPztx4ZjzvkIcy9oGv78E0fu3atjZ/R hM3Wud2omgDwtKVB7wOh/EhufRiOsyBUUGjPwzroPUcJsEjLXEhJm+TD0UlyIa3W7hjKOC1sCvBG pUr45Wtd9lvqWViYgygVxgczzboC+ljpjgui9wNzb35gOnwo0MA1669PGkwygcaAz6UPdfPLdo9B LEX6rYWNtDtkHGAEHk6POnkD2AqXS9MvSq6bKZ4HHdMRRE3s438UvJoIqN7e6+9pWFU2dSgNdnlH aEVqPmYaO/1+2NwLpHQGzBQeXhE7SA0ZJGaHUCGD7A+DjY/CPrLJWxFoTQnyoEQaRjwQdt2W/RF4 eJ8eaRH7Gcde/modZHFtxSzfMgS4rycHmxDyco/PwFemfZbcu3SoXF8vZnTaGRsPL4nqVAqCUkd9 Am12OEGk40f64qxJQHI534h7yEF45mslAE/j8LGcnllXqaGrn4bjI4q4VPmSm6djzh3YXSE/CItO KmkOFThtshq+wmqSsNhvr+8HQgPzu5wSzycSu7kJVosjWHN3vrA5OIG9euus58k71R6z4x4IYV63 p04WW/CGn92GCqUbS38xGv+L8B2zKOpiR5HXGMh7hJGf/fVAZ/+C35Usy1y/EFdd7xi7uB9xNnz4 g7VnMjNMAyvP0pvw5IVtyLlxOK4yBH1PZ76TxPLXJ99uAZC99d9PJMQbhA6h2aNHVBpS5NhbWOSC bBQQ/kFAuSylRYbNAyUJe9d5FyGnJ25PAcS+dLuMsQBvSrs+cYO1RtlrAQdGf99CAjQIuA1+cBJI mj60nHBYNhyQ2YcGoHL6XFgFgh9PvDzmgUMMIp67Pa8aGUi8c86EQib7HEfmVjIXfQWVANYLJjHq L/LVYiNXOJra1jPwebPof+1wbsFqwMGxIT85cQC3XYPmBt+x+cKdHTZnRU74yyF0X/2OWd3XMquF eTJ36z7hl9Bc5u9o7yjbye/Cs6bKg8Iy1H0B6c2KCS5fC7BV4coI3856lNFeu1czcpnTeoC7aovG a89KrzzVuSUHkCYNLcWr64+iCwzd91xkzSiXU2iBcuoUm2cG+jst62xDT/+/kv9fYlyhgD9L7f1/ YSXDQr3QBx8h7TWcdSF0cQOfRfB5h3LTkSt/pjkcMrD+t2LWoVkBg7G97Ek+xqQ6d6VjHm2s5XyZ VXf5iIFviVv/xG7h7tKOx5AMncnjzYrQDFgWz/JEyvGfNa5HTwN19QoermJ9GjGiHotqT6Mn3E3r vInTVR4nvySoIG5Y3SsLBJWgnCzChjRIFf9JFJuvGJB9mj1tMygifYUDynF9Zts1a/QTfcgAbkDV MvrcgQQhIOyT/yEgDPEL9tn7OaDuYyokvoEjWpwvfH+O7y2JY+7GQ/PmBV5405RDH+cycwX+bUn0 dTbpDO9sIFiHQnEsREwHl/qrzuHlwhuLddQxT3imEyKmoKspR+VHrt/2Y7T9Mlpqo3Kma6SnZUbP 1L7QM9p8WhAi9ww09QG00ulJsq5cmFj7EV8QAjUqrcNA9wKOteKHZWX7QwV7Td2VXqyTcQ5op7co JVDdo0J2D8uyjYPb18OdQOxuft74fpBkoBsVEiz2Iyi7Kgug3rUIMdQV7HCb4jeV1gvqR3vjFSm4 m/40baa0QWc/c4vqHl6iOYQiA8xOgTJ0IUoEOsbeh03dP2sAcCa6mPoGWD2OV6l2AYxw85eKzLPH AYkWQ/e4F1k3QcGFn8QJLZkL8tcnA/fTP77PzBot9YMmaTY6GXngZOVsFScBGc/4CXtBD2GgqGEd Rnb8HCGaDItXdEee3vEb+KXU89eZWuBQ7ZI1+hKS2owXrSoe/CS7tMckqTAsfbbfU9OUmDriXog8 HIyWzhelppPFiIwyK8wEYUB1ggRHl09GB2KLqYWaatPAnAYRC4PS+EE9U/gB61RbFS4BbCMwv1X5 darhZmOoAdGG2p7Vb+S++52UXVjy1N7AuBy+Q07FIYA8nOLRRQmKlpoSqVtWjZWT3gd2nPbeRl5F jlGXXd5g/HTnOHNvH4WUzJb8suWBA9RE2OdWNwnp5lFkBfgk5a0zVy8LM7WjhDzeuOc6YsQPmEJJ SsomsFy+q6r/mAHsGUDuqefNTN490QRniDDo/jsLM+Ro1Vn/En7en0m3eVVZFtE3sM/tuxfBbpCE TUZg+fVxw8hwHH3YryHFMtAU2BlIwdTOMs+oera11bGfc2LdpE4y98XZrhGeW/ACE+BuGfasPXvq wK6+Egv8NoLJt552BthlaA9REYboRMQRpVywhDQKke1QJLTWPmmomHWU8S4VbCM9u5eXiq1zyKSg CPp/YE3OHB/hS7xbkSf9Qd0msDFbMRRkVfISTwwY1k6wtgfWEFzQ4PzHbhOZbNmmUMH0xjB9qW3S cfc/iqy8Ssh5OOynRC/YOadZ+gRxPFxAl8PlLId7wfI7KilAtRG46n7kVkv7l0wIqPYkrQxJrnCY U0Z9B6MpA2QQJ7y7haJaPstSfzn4uTApf2khpjiTaL4uoywXiMvrLMGmpoWIvbCWnxtF/DWcRFI6 23oufpnmTC6++C3V77ClfObdgEuwyOTk7OUJBtoeTkAuKicy/De54vdTLHCPCcpzC72vCYY6zhnf /Ky4VXamhA+20Vs7mJerX1Ke1HAPDGtge/82IOCYmPR7OmVvkZIDDcnwXdCkg3zp2xNveMc4JHHB lqZVeV7m8hvU1Bgm37HcyY0ZH7GzhG0CfBCGfg7kpeYnJkqKALDKQuYGAx2Y+GXrMQhBkYbAPsH0 fSy+sf0HkJbSNhrbmPtVabEtYwqn04HWqXW5xHcrez+dWeSr9aU6EruLW/jsXwcVXZ6iIUZQDsbR nhZ9lSpYUj3phJSGde4mP6DbzndIqCggVWjyEHRfIu8C2bGw6N5AE3I3eHDamIeh1LiWeOZ56Lhr A/P+uACXg3bkeuY5O/B24oiP+CVW7MH3gi+HyLMFj1XmIU0ryMwReh7YiXVM2+uAGMj6wQt1A+3c 5gNM0EJz2fKZkoBx1BLlCmbHhHhaJuyhu+1EUQwzC18vcDslI3laNTOPkeeiyRUMxnmD4ST6rG+x biF8OWh+VnPmqK5no99gUIAKbhnKT+1V6NbhYsaPGMLoeF+ui7I9H6Mr91jpZmWb0i0EuP0D1NC6 lEIfrz7EchCvnEafh2gDQu01FIrUt85GxadqtRz89fejpMF0HolN+LDYlVl/UM3ilH/kLhLBdm1K RD0Y3NjBfGlg1HzOBTQp9K7gCyihvkMQlqhUKbLGf5WwgxKSVIgjVAK/qNryGKwHS2AODZii65eC DKgJouNjZpDGhK6nRhSySbWZ9x2xjMlbtrv1G2cenNS6EIPep9E3bqySJwevD+XrPo2cFajPoIqr sHuRPaLFtz6XOt9bUdAkwXXkGLxUoX+WPuCusvuG9TWd5uy0QoRzt/RmICyoL2EcM3ccZWmPXtuS cDnQFzSt7pNCVEYVaxMn4PjwhNoq/CgssRaK51yhu3gX1qtZ+HodrgL3fiZ6I5WX4CxeGqJfHUJA LhLqgRhwITwjNaaYnFEjdWb3B57Qj/GdeBHLkbhg06/GSDChkbr2PK8iL1n1plP6YbuM8t7epdij kokmoQFGiJx87L2FbR79BHZK5uduvLj6JfPdlXfSCkBNCaFzlvRSl4UXV1rRN12Y5DT8IQwFqvjX tgF7Rihq4YdLPHnb4vB2nrwVwr/253GtIzAy9PyODouvu22c+YgHlRAs6Hsgry5whpjKa2NXAjaH 7FXSUbEV6KnnkGXi/mA0MsKD/doQybtkB3VQdSY8F8YFH/nt3h1tj9eXKXqUTeyZrnqsDXheuPIn tUV3h/7jWtyhXALj6+qZiQkKiRdTa0FlosUC7aAbQcbbV7i3Bg/I2Bs4LfKq1jQJAyZK3oGqU4/m g3HK4y3FT7k+zeFoeGDYCY2cDeakeeuDxPNdMa2uC1D9FaJu4qFXGEecPqVbSkneKr8WpjMXGeLr l3H+ZwoUfUpKso+UqkCJJk0pDs7ikfjbW9PwwthDxkWSJC7gg/pH8ZwzUbTsV1n8vJsRywCg2/ck /0scvbAfpA3f9bPotS/kHvUMFFjw9stQIatYM1N4Qv+r+XS66GyQF3ofEDGbwHbhWTE29gXWIXDC iR7j45cYjzMaFo5NinBq7pCSFKjq+MjwKqaXybY3X6gA/XcpSN0pjdK+meO3c9agRUO3v4s3COQ0 tjgNjbOnPefCnWvuX5p58mbRipsryJW+WVR3sbOQpEZVzd4swa9jssjNv8bs5phS0htlKdDAt6vi wgD/m7YXR6b2PZ75Sx4pAzZ3HuCuD+FX5mjN5jd1O5nhNT/s9E20XnL1Gr2HmnBwpEZ3WyotgnMK /nM6exs2tqNJc96DrWTh4R1MSYUO2N+mX/vx0hSptmDqWHns/z3GF0bSmiT8pxBVyhFAMhVF20tS 4FabF8FpsZ6ivIs4RVleiqdUm/gO5dbxVNnVSmJ9rRfcL8HOHlMKltxOhSBBkyr250mmBoq0MXCv uR2dbYzPVnr8EsC0FFeHchF2I07IGaShBsq29ehEVGHuGa0u0uOG5Fmc4/aUr1PYW1J34VLtYZro gM81gNUlJIubHmwmzHxmWMZslzdHAPvZNpF3kY8u2/5xsFMTP5gkvb3UMVXtSgDiaMI/XTCB9Kz4 yPh7WBYc2saxfke6rL90bJKFvxaFY+IQFUurRO1oYHPhIOqbrvM562j0/jszKww+pWxUs9FGBE/i 0gGIcwJ4yZ3hWcwJoRx8kXQMMLCz6hiOnYYb+iC5Q33KqRNKDr/ZG/Ww2imQCSxNklN40miLFDVK 229kNofu0s1q+sQe2plwivUJUScQl+GjYUDbM2Xlh+KWEi5bEtz/KEopfuxgGL66jNJUTsPbQwU7 wvSF2i4sXTSggMmyACNZs85La4IO44qPjnuYg6i9jhln1ejvnAkOVRaqRpN3PLrBAoiEHiZrZggK WN9N2/U4vnhKnOTpvroisG/Nk2isVs9Q7bdHvFF3zH1ZzcU7w7RSsGNyU7gzUqzFD9ko/aiJ0nbQ BI+yNT+UG0SpbZGGNs15tSzJa15rXteKeuL8uuNvpXp34jXT4x3qNVYTHHOnOgtdNKlhfpyC5on0 2/5mMA/bUFcvmWX8rcxt9IUTDY07DCS0szHqZLiM7fFUQPLR57weDJjDPLJ7kFEi9chXRO0Md2T0 FezZ8e1lvmuj3Vmd+9q6ZlxJpCaLMZGTGODIIGKGF7nvQQT52uALry9X8izdGuON4WSU9UKfnYdH A5uCycp8m0lFgObwKe/z0MD15LaFgQXRQEHbiVV5swOsdOHBa/Fm4d4wdA1gGtuoghFKOLp2z7BF nmLQ04AJndSHXcRUt2tehaUAXgQI/yrPuWl29nJ+NpRWEXiFvh54a92wLKK6X3rjroq3OewaVHle y2ePtXtiqSwBCvIRhsWNgKPBQ4KvYqKRf417Wh1+vUJa9XTWdiPp4VfqL+BnIwaUp93okcRuUakA 5cEIWSUgmkC1KQmT7OFa/7x1X6tQmMrxU/ovSowW0wPy2O7ZFXTAC5L9sEFlavNU5NKoC3wLnelO anhXZ7ehkDCR/2tjbiWbnJki6EeNHCRwnxHQKe4c/eyhAHBda1LnAT7XSNFUyKD8OEPEDl4PaS1/ LfOSzr0MBEE1gQC5W6GWs1ttE7Ctm7hlGlmhjVI5l+zZIg6OdJFsjGfZIXbzr49VxBxTQ5gozpCH dVX/CqBA7Nsd4QdH+H91o7yRRpp9CCxJ1wL0Q5OaQmuN3xgBVANZEIZ9rwvwThvNVa4JspetI6SF acYem4yqv6VIIlfwcsClRVNGgc6/unjwgAxLh+shHx9oakgIpHesjD9006G2dLm4XMkAJLqE5GQJ mpJAyv5M9INDZEEJnW8v9KdfmMY0WmQyr+uC3EumryVf19LZDrCHovqbkuv9CRtdXDYxK9o46jCA im3LI+AAZ9yKqTKh0/SNTchcsq5jRenOZEpXacMGLoJZpzn3xnqwms2ITjjx5HREcZs/KV9WUZ4B KEIOzVfJUDgaqfu9QrUmHOLLD3iQaJEzQj9NuiJZjTz9kjk9DJlgc2HcLRvA416Gr0FWef+wKxmx zt3rSNE1FxLfPjItZcLwa6ZwSGGbj8KX6nH0ULJzSaPR9hJYFZoRQ1aWXV1bWusJV4Hl1Rgd0dyY issCtzxo7+UlncwPYrDCdEv7+QlUBwd8XDTkkHNeCR/mYX/0nxd3GNaHM6vzfMQHLzzDlxJrH3sS Y5QzUjM3BP5P0ngJGqaxUE2SehQwlT3B+dE5cnMzUVmF/u75spwszrDDDrRIalPOh1yRPb7s9Wwt Djky93pQjwhP0YV4qFHm4LfoSm3r+Wz4TIX+b3olt0h61ubN451NFbJInyHGdB82SMTCquH/zctF ptUGzdxtDH4yfQUPpEc612fD9DZcvMPuljttpP526yAm4e00gAbDUG7cdYUmCtdkE6x1cXbKKlC/ Z/DbFYbfGvgNbk69dI+GG0JLFNCqAJsP3nO3W6GRVBN+N6HXPsfgh1cNozLKaiKtNnGK1n7NSw+6 +MBHEbX0UnRP9bKkfJ0OcNvwOj7phWz2dpr5Qz53q6zRgep0SJ7zrfIBDZEX0xEyjDtvaQYqh06b m7c29ZsUyailXQeKrwrPBaYSsUstCiaTqxCJNoo53Yxrh3NynO47WEAD33d6LN5Xwlk4ccdgZT2g tAPtGYH3hZhrg7+xMO/+KOgLCjAJzz2iFN3fmcweBCXEnkIlBDWnBwFu6Lid7rH+RQuUGIYJM3xR wPchXxv/Rac3Lxo2lugPiGtUOzXKBp3y0JAOq85fnk6SDe6/p0Q/sMhVO6zibBSoXIR8nBN3Kzgm bCJtDPsxDbpdvvWhQSTFmHcBruPM3PUk4G2Sg3a7qF2eQwZ0AeYSzuntIOQfk69wQ+gECFVckoeS RpNZz4tJ2ZyFQTgghth5v8C8QaeHVXA+RlOKCz744v5i7ufM3SGRjGQ8JiJposxiBs+Oe1f/YhXb i5mBm2lqdtvMOzr+X8/99fAM+Ov+EkGzUiyStOdc4zwsHd/m/Gj+scKN4JZTumG8BOd+mWyxelqg oCBZDGiXKtWRb3Cn9XjijqQs8RbrI86GZ+tK+lI6T651gW53r+hNP1YzpC1E6Uzy/li3lXxRHALz x6MK8YiIxjvvkuYNoeU0WLCBjv+JVUQFt+zp9i/FKFRIhV7qKUOX8mlt5CX695bBeIJ0DL7AXwWr CbhUQtw/lGy+wL2f9fFdsMcmzldrJKzEYMkp3HqsgtknHL7kZ9nV2m/daWrLJXRbauk0i7eHni8K f3chhYBjLOKK7cX9y3NAvEkVRw/eoZkBxhuIwEcmg/psQT9hmrQliVoF85Y0yijExxX+SEICQ7Ml BQ+Rkf5PFDkgcAkQ0mXIy0+9ToVm8MKmya8g9MOc8DMvMszurToMy3wztROACsmcGx2PU8v2Hd/g TQCp3JqCd9BNacygr+/y7n3AlNmkUuG0LqnhuFHPvmKCNyKKxViBbv8X9a6KaQToXkorePQKei0W Ju6d8GYpuWpJqqHS0VHFuM47WzGnAKOKqLzhjVuNkePzadRgJwfit2Pf4oAxPmYURXKkogS+756y vqamyDR6Jx8RYBzWIGoi+CvrIVcKnDDHynfOpueBJvcH7B1/mgFxXi92SR/fdnq/cU+cvitRgOz9 s+ewNhhludDDP+70fW9nnRZLgxbfurM9ehmMB+ywBcVuR4BBU7G1g3xQSzBhWYWUh6pHuTQ+Pb+Z yP6f83hiqMcEyJbFWEgDUt/pcCAk4VTXeYVBLmNeDDJkWmOX/SnUG/vomAQw8gG+VQf1HqCSzvtd SzRgSRcY+9Z6Kiojv3NTopqqbH8VgpGCqjclgdpG/HEFHfajPlPDQm8lEcXnssmINSyrHbGAyheU CQG/vEvNFVtvBHbZLVhCsZjP0rp6vGd5lAD51pecs72K+pD62lxkyrXTt+iNaw1+79UD2U9KNCn0 bqDAR9fMNo0Nqk1gie3FJnx9NwmXEDUxaP3MVfhAmwB+0T1Rf18c/atqIPMsBH6xB/4oDCdhQvC+ VG09rVgBFH0vCZgSWFRClPOywmMUVvL7Su1P3zIIyanFP6axpFyZKlaLC331Bdy/v4psVO2VEddM p2XT+DZdYYxOBSVbFyNepLH8aM0GcFimQQUrcJdb3RYsiskEPHxwKS6mu8VQWh1+D6oh2jMXo2vM px84vjz8D3YwVne/uKBXzSFksgq6gGKuHaMuKVgSx7EORaS8cu/KkorPPNZckslvAlALvxvP/jg6 ffHGhHelTkq4gsGTlfroA5HQnlnmGkHA6fxCZSmZhrQmnYuivLKUghtuNmxpUK+UBwDedrGqvIJb LbKqIsh7ZdmosROCDI+gBHK/vjGJ+KBjY1rS8oOWs7W7nJuRaLjnjrGaxc99qZNXZg4DE4PkP7GN JsRPOUkVeHCR3y5mANCUVzH12a361pLqYa8MxU0oPcclBeuWd1T9B2D8mQ1kdBWYHz1J3rATGlC4 Pzes9HEFVWDEf7nvDzOGW7KOzCNcSv9dGyfk6wTW3CiIQp82zm+wEYrUYYX5StGM1ImGY95b7lbQ n7qjpg/A6FAd1U4MVZAixfz4XQKm9gL66vpoNP7U0Z+Vni8CDl+gOtSJd82QO1g+5acezFZWUknb B7PYAeWM6rVIEqffRDRq8nqvVLcyrN2WCfvpkt04QJGnSkaZgWH5CGHNb+wNJpPpcWqKeeWaD+S3 gVy1j7egr4LD0B1Shdb/qpe3IkJ/Zfy+ZckZlZx41wmmpdIh5KXfoVtY5fUkRlo5e8uggPukG8UT UCgp8l1F5MbUVpDbKiOCDb7/plcHREQqS3OrUVEwiJ/5duHtwU6AdExyS2rrIVXTPyz+HEa8VHfa QaPWHxxjlOFe9erV4X9TyENKUBHgDk5cRf6LpO+Mlg0e3xXgXtdimcQOs5+ky+vSfCAbtCxvWSzy 8mza0OMh8Pz6TuFlbLYRkkJsAOwvxcfAH67Uj1YvnEteOVzrWqaYtFunPH0Mn68TVtgIzNKgkxWM 4oyo0unigX6lzEFOI+a1laAQLr6Jw4w0zJ0D29PJEiOBJxyk+CuxC6TwCJoOLqXe34vXylYV7cWf 4VB1iuGmriXstF/fegVVXwG8TOlvb9tWuz46u71RzMHj4jP71afuoirMFPKtmHMFHhxCfjJePkio AAiZwXLOlwrf+b+Dr9NtAmASLYI8HIDmfC+Bdy9qzS7iGduaOTmx8seD9uoK3VfkjVFk3aWRnS3H qE/HXGF1UNzhFXVSRwgOO3u/v/2NbizSYKYqzV4GXiaCVwMlCR9Nd0luDajmJjyTNiAi0lsSyNht AzGmPRffDaoWzBFoKh0CjUNBhISLrBTTKPRCBPQpCweSFPZOAI/UV4U//IE+cqs3AqjnUfZ4gO08 /NRSTw18Wmu1Yc0mg2NWWSqGX99lZuR5vtzwVkjprHT4senk5fKmX+hPkD13pD5i6VphCzVhnSIC A5YXw4VyjL2rghR3mwR/Te6pyrK7WbfXBHRbgFRkITDsf+b2s3ykDryU+tN5E7tDxOrsYwc68d+W 9CjmYBIPpJszLXtCyganMJnAKA8jaCtsK24nj3QnwgmsXnEEdhCKAjyudq9tB4lExqoWTlVfHiOJ PUXdeKnwwKE/p4a4FkznC2lGF+T+73i8OB6LiWMaciEJNdGWn8SVNvHkuM8gF0DFVbLIpPcNb/aG IoPkxtcTwWxUxVCaaNvXsgLtObNJRSYP0FY0a2TcSXBUGk1+7IfA+bqrDEbStfz45C+PZb2OKERT KH9OEKsIY7P8ZXVu1znBiZiS5JjFFqQwFU38Gssor6S11iVAbabCOeTSUaOXCbplm5oWcHs+XQBF xAfp4aAXECy2/IAwpiUGQ0hW5DrQWwBCd6pLfHbWpk5cvmH/6BPsJS0/vwYqf97u4ceVglA/7f0N mPu5yF148KO+tyRL/RZgvjHvrqex7gLqEfJT+hqGbtezyKU8APqmts2MeGUcffPJuUyi1M8KGQfQ +jJKuwIWWuOZArxM5YwKGsfUj7BjW5P2Pe/9NeUdoo/PVpplA0+t7O6ebtwNDSHOn0HKDwWZd+OM qc+U/k1NvyVoAo1CWvqB7WXzDBYqgwqeTWszwl+uUiIXfG9x0LrSmDeB3ynY9dl15Dqfaj/r8j4t qMAPuo8MYLmW1m/dE2WN3f210YWymZQ+46Tn57ujbRyMywKJ0IV/tcGCwh4M4LXLUrUmt4jv+LE+ bQNDSNuHDzEd6Aau6NxmPlzCAtbS98O1Xb+vSpejyfxDWrxSJ4Z7nTvtPJI4OmdtI4TvlDmb6qsE 06lBtXs1THQ4j3ElEHF39lAWsiXz2OpyPtZoiNIqZ6m9k+5r+Ra3Pnntpw35bdCAynKQd10CstwI HKQb/J/J1a85iBA+dsyknwIFfUS60AddiZ64pfg6f1Zuzh5gFWiYStgIdmlQvyaZ5doF+Eg0nVTJ G3jFybyk3GjhTA7xfsoThkavU4tWxtUkfM/A9pinidS/2GNlc6qw7zx1Gv2X68qyT0jS6q4/WiKd IDZNkfL6+PL21w7VRtLQ6Sh6hSukyJEfGlLPnJ3dt6fCljoXYf1XzYryXRxhZr4QGgJm2NdPmtAq zVG1qoVn/LtQvkZCXLWrUA095eYVRKKVe5NUGQApbNgGHec+dGqcDN82EmPIPnUaccLuMah1lLIT pflJE3fLCjSiud9MVwO5JNb0oR0lhtbpuuBrUcmMunPBmRSS3vvnS77vwOR7K6h0O+PX2j6xsu/S f5qqu5DTKoFDe5pfgWcBcRr4sCcZsCrRhLYzQdEcYJT+W1XqTJZwatQEnBuL/LwrALBfCzMb84eS NG+vQR+9xVa2TjTVHC3f1rAOK8o4O1LRpWYiQct/7+GD9wdip9m9Oym7fN1vKXN6YQZNfzwUpCFJ Wp24uOYXbkuPfELS794RyN0SfiiQ3bRFjx1pxQnJRvreV8smkaQ0C3b2xipXKnofYglM+0G02EYA Bz6/lOuFJUCKjmcfQhhm0DzvCbULeQudxEz5uTtcIn6lxlPjhq32Dol+N/rK8zmWDzOZtyQOK5Dp 8GHijalgOs2PquNP3XJ563Pct0SdwSLsO1kUpnyydWmBJ6qy+g+mEfcmVGGTpz+LTpFzf98DnL3W S3rbmcq1nC0NQTCDr+l5aTpEet9/uSxVX39i2GdHmdYrme3e8fgtGMGv0BCubF4KNaDLzjIqIJBj /s1ufKeDYug6PSxpHCXpV5gda8UZGLyBN3NtkXzdzBSNwsCIBRgH5xhaCJeqb+b2OePmV2l0Xoo1 L7l/bKGZWvQAEBxNRmQHfVkAWQX3v/jjivaa5jf4DfIEeGIoZTcxVDqtFwRzlo2pqTiMItqzxBCx nOP1kvQmguUSSWNzkITFI1Sny0gT1S53Lq7eKWlIrXq55PnDXOwca98+1icj33u0oJrfEDlyMCSM oQkFtGWLMzv+AdrH8xlPBDQbynYHhVIi75RPmMgi/BrvX0bHSzFf6ehHGZIt2OaJCQA5fAwUGEYV 6p7iKYfV9vp5jKrTh1pq7HfH4G520AlZdQ9G2sR+AXyoN0W0ig1s+AjPDfg6F6BwBICi3r30mLPP b8TdmCTB/k++wm4GX6XhBC71ArUGPB7VUCxYZg3px1kKVkqRtmsnR5PnRpaYa1r1YDFJ3oGi6D5c wvct0jAT+rYtqAulShpM+u2i0rLYPbloYLdkEVVRTCHCwL9MoaK1aza1WVAbajp240k/nY1U/nm/ lgLC7bcnH5wYwYxBQxjzk5qXNCoa4yISlx35V1iSl6oOPxD9752NpeEEanlnwlvaIcuuN9JpMc/D tuldpbR5XTqqf3J3lQp4KPfvCTqIRnCnpexFutiG49BmZV1JEWzHOaLGksqWPkHPoE78mb9voqdq MOBMtD/a3ZD+C84SJTbsZR+bg2TU78F/y5uu9NWkffHteTLMuYJ+NISiQM4M49pV81ocHxZlhXhu LNS5qk11bkbOWTGc8bcojDU0PZia+ptXHV7qr2vTR+cP5M/G6+7rk3TYbRlZ5lx7CA3ZQZAumEKV r5EjtvrnmhYamEF93KyJECbZT4Sgd39PrN1IgxzkdW9fvx652fnGDaIdaUH5F62+PBFb7wLps4WQ gG94T/hP2GHzbMQFKCtuTEp7ALh1V+VAI+oZqslsogxHQv5eBnieY4JTq12yJiIEYyVAHvcEehwH Z5F++PqwOOCWbpMiW5L2V+RKOT8jqX3nmhb8k1pqEuV/+gCuA3BeK8+QVY0umEFmPWok8PfanCJP ZJm1goxF1fReP13jXwqy1SRwiBmnQ6JVaeibqXr5EYQJQ5HGOFuWlFLHy7DsrLMziiOHeQDBJvxC 33xIt6fIOetU3VB6WirUI7+WQlfxRolzeh5kjM8VfQ8pGVhszJCRe81NjPkC37z37vmK25utPFAa viHK2LymYOARAbo3kKioFGEVO7LvPLXK1EpWEHVXJQH3F21FqlJXhgcbRg/DlZxmujqh5VXebEfq Q+0fzB6H0tXTZaWKWPSvwuCfPO2PrD96Iu90XLKNaF8aTl143y3HH80RmFTJyc/ejzotoRDb23ld 8mXVTikYYbfmYKpKXYigcLeMdysnb44VjlgZH/Lx4r+YOnh42ztvtozfysk4ShdHOPhjJoJUXLAM Cr4RgwhOr5JA2BybbJnHoT5XEX5JGaFwSLFTv4MR3q/uY7CFwuQ79eKNjUtpCyeI1e4+mXhKbRhJ 2IU3gTtVvOf9ubXC5g28XE+vb48bQtUins0pDAH31zZwEHTpk2Ay2WclUj+cYMs+n9z6omJxmbGr 4B916ol8IkJrq8ZpdlWQOnkLzL2enKaCM6zwTI9fPRA9ansPiiqx2Cb4FHi8nTPfXOumxmP+Ogqh bsXJjZ2DEuqIJO02I47gIpwwYGDihlKl3CK2YZHSOf7nlBHZd+glhdZ6kG5HU7kKEkN1JoVOH7h8 EuI9nDrpv2oXsbjWwVLncY/XAASUZPFLX1jQz/S11XfOF+49AxGWnW8YiXPceUgAY5E5pjwa1UW+ d++rjoWigxy4KeP1H4XsM2JTmw71KOh/4YEpnLVct5IMPmDbVOVRZtA31zJCRpHSKgU4I7gFPWg9 dm8KNU1DZ/z8cn010rzjpMpP4BJibw6s/44PRMhCV8Lem68VgLGycgWyET9OI6q4M17zeExKwyrr +dJcnbUR0YkzAgUmuOld3jqfbN6MHslc2kh9T+wN8Eia8JlYy/ELykLP/k1ebnf0WEz2EX9o6JZI 0QhwDArzPnkWYlTBQH/oxjI0EBvdkoVecbLx/u1srBnkLgvpY97Zv9x/FjpxvfXHuBxOyY1vumX9 KT8OqSd+5lGj+fcOkQD5qq4tuTwodt+ePp9UmQE0bCB9E3Vl1YupHOjbFt1sTWMzahl+jzqH3tHM BtkoNCZGUs852L2fQucj7yjF5Hvwax87MDhptrtkjQdpYpp5nhc2Ke23e3hlPqeQNJCq0Mc61Hef vNIKVujDYHnCZqCm3kq6i5aGK+4Vf9WHxmvEaXroRdQ7qPt9KOvHc9HJJnbcz+tz+92ICPNf8rde kiFO6NYpdAbrwV6ueuPKE2hfYMRNu9GyycDEVgGTQGc3JPyRKy2OyTZl7c0XmuioQrEi2qCu/WJe GNOgD1Om0oQVhSobQ+PUKUm5xDZvvydw29K3lJafKdktwRfUmTB5LumwhBIixlBiAU6IqwBjIy9x +LxERCZdJ/CVQev8LKq+fW9jZ7ilnsS5uq6XWGOZo6IQmf0H2XaqBZw+LrY9Oq5s9pT21Z/xScc/ 4p81EsSYlJi9GBy25PzYCvp2Rc/hldybq5XdAnzFsN8uZDpSiHTimJYqNby76BfP2JX9pc0xVt0s 4rwecBApK6MiMu1IFktjEyu8AOOi8g5X3gEot+TMAZZaYL4PkVRkLqA3tHlLvYBJPG5uTLZWzJWb udqwXKoP+ulpZNnb2NOV+z2+FElZ2LP6bPf/MSVjn3hodKYzu8K1oDJkUWBn21wWcIc53U/s/r3H YNFWCb/hkHTUHm35hXNsLx7JeHLFh/7XIfwTZReWsM6LPtBbmpvvhAvGWEj+Bv4NoIDsc2Le8f3U oJqpWeMiziXWT9jmUUee8KzezoOMQj02F/jWMWusRbcNy619mQnvNSv9GjYy9odYubJwz1UQx1RD mfwuuvP7sqMaRixnCLm+477D9K8PHSY6Ndlg11me2HDzHFoEFUlFQKmbYrvvWEPcO/OPSJGWrmGl 2TmXwyCegFaEz75kXlsF+YOqG7+1rzRDaHjQqpXRJKW9HVA80vqSoB6Q4NtXBwUyshqPl2vQTXJ4 Mv2hd6VgL48fm7W+UKvTHZVqtf9nb8AP5AvBIdeevInAJ0fMQWd18XyiU6Us5cIiOTE486P7jYPq R/sw5qclAmZ8om1M+0AYbcRkI2im30BRYS0xWCFhC+ZFePdU/H9sYLQns8RH+MGm2AbtZN5zPAqu JWDsuAdPnbxd0eyby6WmPTdsL6O9ORVGntFuwhyRQttnlat3S/1kZtIv1RBvmW0RUAsZ6pJG2eVP dAl8oVbWSrjuCSSKAUBPlShEv442v8I/NKsIr2e/3KCsjbWv1N3fxcea8CPYiwg/CDZhrHAuiRAm Isp0jugxOxINnLFzaLoAKZA6fA3g/Zitn0g/MJ3KnD/kP4hTP3WA4gCOIO92YTJgBcF2bze4sSJ4 4VgMTzobTAAtS0KyFdU05jMXN3W9KgsRztxEUCtk/V9sKsmwPhMXKeMx3hEgD/ZTw8oc93iRX2hh zd1C6bVxGG5y8PoBpvXvx+T+222ka47y+uJLMpl7UtZ4lvGWINpBB2sRwy3RAKolRPv76v0zWBvA svKMEQVdlSv/EgCbfdk9e3ybTs5hUyzdAju2XZjMKlUz8FjM0Bnnyv1ovfPLBpvZTNuEKyPxPgLs AsRktJoAHcj7lnMKRvRx1wqTjqb3vC72jSDaQHkARa+V2Qfp90JewA/0OjbEyGD9nYRal9OG7Llt csLDV9Be7vzw+XH1pbiA6rxVfWS/oL/OsfcVUcF9JZSgLY+8+MNGH5qDYAN4vudcHjuLNlzVLqUf t5GbZOLRnOTEdNrkFdIzEYhdSRL/H0XJ8KHdCctHVk9ajo2+ZzDq0RnXnHXllt3r/HYypP756sRn WMuGcRtpjZW2Z3XMNdynDwkWAxGCYqPj5y2KNUSo8ANB/vfNyAHKly62/ZHQ+f/rKR9S3MDZE12n VqeEUafMJc6pdkmFbsr4aZRaWmT2rccYidtETV4hdX64shda3Jpjsld2+DvLMk7mh/o9ljOCzssd PXHBI5nkEbW/k8VN/7vAWOtJILqO7UfefNcnJ2/dcRii7Tmx/SR2j8uc3aBOltPyQknSCY2DsTYu Mhgqpj9SckN1zU+3HNPuNvEUBR53WWxkORm1AUsHRZvRdsuVYLOCZRa+B0JJOIEVAzUGqNuPqpYn XWqJtFGUAatQteTqcPZBTtQL/ppoaT6PQ9YAkbbTPQu/PMLQLcJpovxkUy634DwDhYvQfhPHAPmK d4oFAK4H3e1NGnTnhCq8vz3rYR3tuUC1HUErQchS6pFi8RJsQfCC7BbcXeBDJ/w3KcyEl0+6ehl4 NzBbAO6Pn89thfUmA4tdS11yUo5kD24zf5cFZTGEC2h/YxjumvXsU5whqJS9BL7Xdln0VCpY+sg6 R+xt5+bzidsXSp0uMCTB+CMexrCMWd+pkuWRYk5IVMlUf3qjv8WtxxlRfLld4pleyuH2CDEfCMCS VpsITRZPuzKKV5dc3v1J+4Pm1oxM7+hqel6Zuwhg4R2VGiyylHaiywNbLctLyCq5rfZb+DIkBV0q ZmEpZMCL5EzG97A56ytVHQulgusZ2F8qzPJx6a5+fz77Yaq33kJcqNnJDQsm5t40RXp/8VHZYACc cCdSduXc3rgae5OaxSKzwsUmwpAWJLB+A09spZgFbadSlOGRyw7xKUvxa7TvwDAZ0ed/MbsDHA4J DVZEEqJ60D8Q0A+NRzZvbUKSIc5LeTLWCLiUyiJIQNek6nAmy8gF8mtppKzI+IxNYEi7A1pCBCxk f8uEP3FB3MLYgvu1dVZmwsSC67zxMTJ52qnpUAWIwToDT5DhKY9DgComdWo9Hdi9i+oyMi7IB5VU lZtZFAcNAE+zGSuKs7GGJHZVGzNZmfNRSdJCfiWVzvzfSofxu8lZ2QP4vXrH+T96fTSycdRzoAUs lf26VXfVUrhMxbqVGSQV2hmnjtcZPOad2LDke6wzO9fxovVr/rpKK4llACaPfnsr6mtPL/1y1mjy doO6EjyzHFjIyILvGbNjuFXd2DhbDgQd4cddsTcnWpruiOsjpYDEZ4CwVAQwM5UBHNb+Ro+TDEtt VesDa1/6vvHrajQZfmH51+WJvm8T1aZLJgnqQ6s4jwUA9bQPpoJHThKFRYihSnBJinBAi/DEQInh Xvav4DYaaH2AQN4ubkU0NVT0PNbormr4o5tV7lKJXFLs6DNmiXurHYmU6Q47PEKV537beLIgj850 PneyzyzUeUsIQai19YLoEG2n5hUU4sus1bLRVRNN833xNvb7PRaxTvrQLNaaFw10yS3tsL+1lBDM fQwP2Gbzv75YhQyRt719g1mOH4TGtqhQL/+tYC2bKyj/SbW6L3v3vv4EjSEE5hu4Igaia6+T9Bzr G36e5SAlKuy3L3AJ0vy4cQh5c0huZlZLopC6P2k1zWFfdFVAEnX/ygzLCn4fScR5nXVDtL4lUM8A Qg3gzu2gK5Yj3toggTJGHYcInnSD44NkhuMWsDZE1YEfKlPxdbGhBnOGl4q0nyuhyJcym5cV7biL b9X2fqvjt5x9pxJkHpETY01hzoNs6mpFgyN45H7W1ixzTR/9NI/axPy82X1s1cBCrbaM+0PwQTc2 LGKDr6JJClDp/bESjfRUqDvgRHCsCT5La1KWwFy6yEYLyE1G55+vtLVKyHP4P8jkibr5xkRgqqwy ONEz4YPwb7/jY2+rh7PjeEjKiAcfOr8mbjyClhH2hPA0HFP2IKuOyGxeCcjdsWwO+hxxgJPAAbRk k++V3gY+eKboKpK8z1PpTjHnwSfL3eKYUjsNoOPzfsN0qhHlQwDV72t2dni8MYDTHjl4HpEy5JtF QTRCdBWZqz4BcTV1V+ogR8QY6xUcXC4jdA9mtcAS2eryaX2tinKShK0eRSjTkWHKHhl3LISd7hgu rot784X3xazHlpS4IOCyizMHWP29p5K0qqmV5uwI9v1PY1uEqvAu0+RqwxrqXUY+wOL+5d70kCvB JfubX+b/CqzZazcrpc7yaRsQLzH2JDTXCbzqZ2FxMzlxrRRlnaHxVss4PCzaRdM4QDej2XoZ/fAE jKS9RqHaciBKFT5Ez1VJvyqTHF0kkDeOCmCjEbc82dGqRQiyTHAMn3/GaP8iOasd8hU1kU0BoPKF cDsx6ax/QtJIV4k/0/NViy+WL9JzeNoc7Y7ARH9YlITbyNWTVkzxacRsd/MmEDzKQTJozmRvHDTM y45qbk1REx8k94LqO54qcTkPFKN2+DdvFGAyXD07vR8J0E0bYG3/9uRCaCzGXLHFSmGvz0lJbznW m/d+8TfwqxAPw6llwvRmLo9I52RlIulNVgAvH06TzzRjYLXXBfRa+iTuWcC/qi4MKVbqKe+HU27e zRWZ4jY8IqGgDVqz3zKAudf/uj+Jdz7Nc4IiySL+imP5Zv7yzKAP2edfd+nDmHDFWAuonlewsdAf 3sVywid1MGvQjyTsZHHT+nxjTSUbZnHSy1rHJ/tkBhDYx3Mn3aHHhf7FUd23Fc0jff1/V+dtBlzo lBLTEzUAbZBrnP206sp7N40iOxO453kGbQmj/i+PnZzrVYtnYA9QdUbFYuARZaZYymtbuR0DYUqD iBOfx5bNexi5wBH9Qu6QifjneEI7RgseX/bmdp/l4SXzGqJiKFYwNrK5OwAKk9Qh5pU/n59ALgCH 4avAqMcfx9DjgUux7w+ka89BlljA0DTDK15ZOTjKXI75AG/23bYhdrHDqdPuo3OC0wR/mPlWL4zd RA7r5kXZAIw/mhceg3RslIuc4W1Y04tw1BmRYsFpxqHGDsBoealw6JAjetD2oBAbRyeGAzs+qjnu heqBr9dBvlseAdmibuiHS2DlEZehp4LEwnTzTDQF/SBT10yg6dJ2AXi6gUVklmoDLTWc1mgwbK6x ktpB+LuQAPeC0FZ0b5ntrfkl2c5JuSqboZ4c9iUkDIxjsKhHYSXSEUYQTaHbXEKPUkszpUP7ivGJ r4iOXR1DHD4zuD+RUbwKROlS9VHTS3lFkX9JB2jRZusK8ZHFFnteN+mmTbRHdhdpBARsVewu+f6N FyiBFHL8ebv9zep8WXfZRSRKSI1nCBFnuZESDlzzDzkzla9ahXi1mu+0RTVyXkXUq6kXWREg4vWm J+qtoSwom6O9snm+6jnV4Ai3JQ/sBgmloZPYgWH2NDheokcJIetRTXrxuibiJolnFD5JOKfXQm76 6qQ0Lz2oZOx+yon2KKKTlRmQ13nW3Z3bXrgoONvu8/yMgECt0fdgIL92qYDez/2rm2pkpIMXiMaV waSUtJ3HT0E/lfC8yqHTX9u/VMMwkHNnvKcaKP+nkG2Ryj8LR0FuMzz532qSuaiZou+4GwWQpFOb f6KFlwEiOsrEmqB3OQir2FGecyoivhLleKD7awpTEm5PrpqMw+eHrirWnUMBXds5Ee828vz4Gx2n khNGBQGMlqjphcrZoHk7u/80mN21g7XiNQUy8R8PVdBrh3UYgbRwhusyf2KLaka9L+huGMTWR5WQ CCycjLRBPRU4cNXoKAd3SkFNQsKM0uE4yJ7kpOArIitC4gTz8l3fSFXII6+36dWg3zHRw9XXXz4N 6P2aavv7ZPpL+JxP5Rm+72iAuCAolwzapC4w5zjCugjMEV49vs+ThyuqCiUDOimW8tFvtOUW11Zh SILL4cHxefRloGs7EFDS1r3wOygJK8bpFa5noRxjJAENgtyU71Lhc0wgZD9WcvMXNp5jvQIlIWmV 0Grx2w8xcJNbbHMUso6iUekI+WmGwrCSTNnCnrKqH0VqwNI5aq+YRjTAlVlgtaNJqARlN3pCZKS2 L0hkCHNzNEoa3sr7Ehyja429p+kLcB1zKgvirs49ojbnUkF+S63CGHazU7L4nu05Spz86JyljXH0 BsXMRHQyi4CIrQJIfkRPM3phHfwxtxNPeWCOhM8lELAf7ClpYR6N96P+6pA/Gwt6iV4QikFiTmu+ 7DFcEVR7jz4fqVzl9wJXqqOLxJXjxJRUpkWBfw8aH+byTo5gfR9lvMqtSASZTYv3Dkom61yuPOFT m6lzW5I9ESv6hAwGM+BmQGsHwZwJDHPEvAaU3erorgSdH9uYqP6smNh1iHTSElGeMV8HQypWU3K3 S/H0GEZEs9wYs7Wj3wioSsxJ0dPDX1fMTBspzYnL036xpGcV5Y24IhWcAhhuuZc+wOUXqchmQONt wWP5zJaoolVbn5Huc9/k/wPuiTGrDBOfyc4fl2VdY5bl5t7peYcrdBW0q2DCWSpCdclZTbCZCmdv /hKv0vs2UTBW6YHRhBPSErumwExahUuVXKcTyM9rqcFmTphwF2D8QTWkQlG+do71otawhd9sGDpQ QF9yg6gTct87WYDk1g5DD4cHNjCtlzCcZVONes1L4ZJuYn3caN8K/sKlg3kddWfOX3IbBaVmI+7d 7eldlSezXycmUhfBK/5eeGeP76/sXr+JmgDlmO2McHBPTfF/LkDYGf9NzAmlmnvWWX2O5H+ljcth 5p1h4IQ4jD9aK10MyZlZk42Cn/hvToh6Cl6P+NWxBXNgE+76ezptNxDJShq8Btl1a7LBTFdPi3ZO UId9z69h7jYrFTw+7CMSkglV6xJCSjlCZ/VMHKjVoHN2SIpkiD7Op1v+weC9gISS5x32v7gF4DND s1Rgf9W07h2WQ04uL1tW9vCA9yKhomoDleurivBF0ATCd5ZeArhJ5ZYegvcdapzafj3/iZzEvGfi qN6u8s6r4SMtkCL+lF1iElmNN8iD2/EXrl/e7CxrK1sj/mR0ym22BjvgxTZKIXkYePzFjav22YC/ JlhUPQPse4Icu8wlD9jSU9y8/cvpN26s6BGcyXCoEx1DZtPH9lgjto7vdSxQrKFywY7H8L0Ob5o0 di5ymYGxuRPBbYiV+/Sr5vMVq1pvtX4jEnfZca4Y73FdJavt4QWBaX6/Hnz+0ZMLh2EjKq0E7hTW dYG46Oq0Wr04Kh76sVHhBI3FbJJtCYhIuVEKNg+eOO9Bma1QmisjGmThCP2UkV6ze7d+h5eLz2Mq iFIw2xfdNd1kzWM2rGag3lAGMDTpwJXLI5gl9+3T4ZKLs9973hAcenjEucsbIhO7NRlB8x7wqoXp zQSkzPKp+N3bfeVh7ONvbwJNlQpD3P4KhFEBaF9netNrmgPozUb1MWetgV1NGfytgD267tJpnN+K KRIN+XGg7xCcBD0IBo/vI/rRGIoULm/4DmlfTB2anEi9UX4cqo644QMGCPV+NKo+2FTXYSkhRIbx XheF/2UbFa4KeuqKWDryyghQ0qr14XdUHu4Vf/+CcF+OPrPyxVg/35C1o2ufBy6YoZUneEkwOtX0 bHIAtjnE+1/NUt1EtpR9Z+IZ7tKjIcHoQiNmNlyrsjZw8Yay7CFYaNCgNoi/sr8eUbYsi+TUXXgi 3YfwGvR+vfYvdLimDGqma7RilUvDVkJ46lRMKUcaxSzCvGmmZMRNYVzKInkoKz2DyiqJKOEJni0S We09CUWXZ/kmHPWP9LzbMTw1VQRjcjDq8hc0Pk3ci1dVSVq0NFTcPRe5j4qROspvmWcEuOjqyY3z 6lwqV0yW2KHCwrmJpdB2EiBarywcEbp/Pg8XkFg9bnRbXjETwiaMZP+BWWcemBs6S9UbORMeYKcI JuwkEVdrpQ7vp50HjeiiyYLMDPP7rfKBT1sroZhhT7WzUDyEKc2VgqQ+sg07a61jQLICuXYSVMIT rgeHgP1qMT1oD8vRYvtVZl3LXuqHN5DD4Ro71djoA6njCTegM5cSXm2HkHSPw50s1CjsnhAAJ+r4 uN/PCJ6FHeVgEq85846Ubo9n48qHZGaAK6aUQU6S5li8bbPbwZpPaCC0zsVVp96YNouhIbjwfkzU UpwH/dnrhm7CryPX+ZWfAXoQeXB397aLj2v5Q9C/8gxHdHT0H+VcUwAMi096WqVD3iZc9EchSAae KUUGxpo6pTiUWtK4PgH1m7KYwL7Lo8k/VvQZqN/8EihU97kFM2cYw3TnomXH4YRWTRM2Fvg6xIH5 GTvcYAJxhGNsL3tkpmG+THNpQr7TKl6On6oAn4RUVos8O0utITe+pTQ6cljqrQKJjoexN/4EMBFn huhLmIlXywAL23BsSaIRzz56ESg2CPxorO689Jtqxv03sjPxj6crnCVD9XeTdEiFmTeMQ5fbjzst q06MLUMolcEchJodR1ULl48mG98Im2Q4YVKUmwn7TxtZNuDGoQGuBTKsZbWKlzZYYLLzf3mmbPx3 EdYJkYlicEhhs2mLTNmdjtgwfRs0RlM+G+swlLOmHmt1zJVETj2AcQchtlye7eptSVEJpGfXWvS6 cY9e9n4VzsqaGZaifO6PUT8MP1D7i/0dQRD4Ot90yAqgXeQS7p9dApINnrtO0Ooo+/TTdT0ZuhwS W5XFcPhNsHzwe4euV6x1JQoe7P/IksutqXRbklSj5m8UfDxIpUNJ2SHgZL6Q7PFiu6Qdyi/o2Kvh uTeJ9pR5vj8zMbYzTt+AhS8bFIh8EELIqQ5yj/LChcbNBaFgqWRToj1z2wnRV4WhgqmAy3pNpb/g bPvLKTxiWd6iRQhYcsuVR94/5CnexaIv9yCVTszq/drFqG8BM02+C75IYZSl0o7br8+rhDHUC37c qrZXGe7sNc1jTG64bWgCAwAltDF92kNXcztd9sGbb/YNbMVKZjSPwGXRvxJ4pDauqSBGnNFoiwjr FULgcGpj0SLpX8tivyXYiqiBGpMaKl3wrV8EqugUcQmloDVKMeOtPHLpBDfZJH4BoipOjIimjYYL iFIGg4W0M6wP9UZMQIu+yNjqpgfZsfHOsFAwTbGLwGxlI5VrdGLCy34Vgj8SDQSKAIsCFD5fKTb4 MjvAzZ1xI5f8rqM50T0izkx5tYSbLCxiCQ34mxbHMp4kAkpzOLpkSPb1er3Co/9z9e26MLYjiNDc neOdYUdZa7JmZ0NOY97y0W3Ulvve4eNFZCG4aCGgFfzmpyasbmF7x0BeM6VgQt6MvBpzFB3XSJ2h WTNMXATXMgJHuifIjCbaSEGdsI8h5P2CpRgPv9MPJOhkx6eYqdRBP8NaSE4oqpUTtMZ//1EqLJC8 DBoJ0gctrFBKMvmfrUWUA3k8wlTtsNczPXnmLNLHRFX8QYDNotx8m7SEZqBnNgXD/1W0YI+BbUOM oKoWzGZAslFb913ik4FMxXDCbEfvTfunuiOsyFYKDoVTvTluO6A7RX28+/49karmtKc+ZJyrauOM gZ5H9cOLr1rCEouTcZa3P7DeivnBWd3JbZRWCO7sVihle7S/W/u/itm8/XGk0MRYSenwct335ceA Q6qKROQ1h09wwLvOT/P3u6hsjaXwpdcHP/8IB9irTP7tbNiPXGPCKSxo2tNaL4mNZobYdEubOt2d Ju70ku0KPkEOCeyftoGw0MIBN0/dCbmJ6scwzWDpVfNqheR/92lkZHQ9Z3TmIoBqC61S2xrqnWiF GziqJsaIMuVKf48orOlLQsWt9e9/k1kJkDMnvC9NiXDj2tF3xq/ThdbaYlPZpRdMoZEr5sFy5VF3 CfpYpRovdOtBWJKNu58SHLDT/E88XGk1aYzpbvaVaxaniO2Dnd+O4y+cXyapZZScFRzzg/pr8qvf M93FJHiLi1WIkotTZ00m9JS3+DDtQSpIvPDS81cGUrMW79vYsZaCPO9qd4a0fK4sKua/uNr1K4LY YmPRyhRRZWd6WJ50IvtbXRaRzGlTY56V7oeG0ZVDuAcqOYNAe0iNJF7yLtU421DljFyREQ+pU868 ty3PQKL76PvioM3uaUnYMpnvPMBnP71FQINuaNTmHkKd5hroFZZJbb4VQxSLU2Le2k4JcWQCNFJJ 4LjWl28j1rOSCzWkGIzPdM8AOihQKHvPYI+0A2RpH6hUKdkJtfHEhnEyg2UTuVfI8YTcHKVRIWLp H56RI/UgSCQMU2y+ikQdFItnlFvQgLi5lttMTXEtl0E2/PieT5JhLUD1SAJyiN3/pDXYsMDsYX6a 6UTgSdBNjMrsHtz3ptaP+1uvwMoMhumJMWgOtVkHSh4aeYCkg97Yte/3T3HxMqxqtUDY1N9qbmE+ YIPDDa6hqPM5Dg9TcD5bAhD+RzXLKaYROs+4RzdRci24kAqIYh5eLolD7eZwTSCQLnvgAaFTk3Ku L3RQYGw1tTD70+bCkNTKN3ZDUExoqj8XIrEVYDWlDNjdYinY7Iz/gPTius4hJ4kqlgWejM6+0Iqb gvS6PJkly385qjEereKezMT6imMxf24JcxV2x24LjLpR2vn8bhjdOCAyXIfCGb4SgXEALp/k0vEV uXwHVyFCfAUFt9jm2l/aac8kpMU8nvh8Uip1csyOprssqrk9HrkXfvb4dSdG2CciCjtyw86Or+Io ALhUWkO9gasQZ3M0BpP0CNB4DOF7f8jJDO0T9eQ89mrVO6aDrIOzqyMjg5XJWGs3uWCgcNcD8I+p BhhVVbfUE5Ik2UsXbTi1ldvVWT1MYOO0CpRu7K/9dYjyxMch2FUHZ2JooXmqWJPJ2RZLtJNFpaW7 lQvIsPh3m4ijXEn+2cmm0MdP8DvZ4b/hN7STM0BrxZUnPp9IN+S55GEhftYzv6mOFGOSrjKboHsm zcwWVOT4dFrXs5Xekd+iwrnfMxF2pHKviCoAQSYje/9ym5xJdbrc+IdY+oKYkqAoTQfzjSaS5isa AQJR6qpARdxyex6dYkpD+tkLDoOEbFAQotEMvr8AGCq8NaPO0Y9cQJdlLFfD2QRvwhiObIy5Mmxt uVFDSHZRdwQOCfpn5GzlcU415VAButlWdIBuWyXMJvhmJiSZmAs+NoqoPrs+hfdn05FGM2yMv0ew gd77BTLmGc9YcBAFk5npD0+Vp/k/TiD8qIHfr1ErPvXWBN/d7eV/geNHKmSXOdNQedmO2wMhIN2K UlayBjcQQ+1wfgg0IDWIgZRq7bmXxCiC17l6yjj5W/+PVXoW5IySC2Ehg6dpIWy4SlhrZoWgckcf 6irUJSE68WSIVXinmzuSpZy/0NpJ26NgG57/fQ73ny5+tpLjtIv1IqTmBIlzFdjtSLg2liQ3/ikH u1VmGzn8jE7ugdQP/ePVK/6fwMl3e8uYOxYOa4YRISr/K8nlAk+KfanDp2SDjiwt3oqNhVldcHfP CwbbRvTdOnXZCWaXhRVR9+yEHXINvExEZ6G0qgutCnBvZgAfROdKsG5hLkKtSA7iEG+40pqjZ6Lv NT1HsV/RyojSkIJV7QQTHSTJWR8+KqdvdC8HLEQxLYnV5p27GE/6uboVhzBktkaKqoB23haAoCRg x22bTP9/QGc+Ffbsv8HfQiIS9biXwM6/uibEgsFqzmlm/vPakrubjBOw7GqJwlhKyUvLfshUS9x4 E/bwh3q11vF2bi49YfgmG6HHbk1TvXRGrfNSNBz39UyEwOgAOoISY7mBaaPmhxCRG5D9IttePal9 r+N92ERG3hp5On+9YURSle+/oCcuNhRGicTpedAEoVOTdETbGFweUcGg2Scvd3mOUTYdX4bPHnFU HoAoXikKrP+1jKiU/fvZ2sL3oTvGPxDlSbk+FzcaUNBoVUlX3FtOCfBhL/4zXkTBR+orWPYFjNJn NHoX6Iz6A9rEsFPiC7465miBf9Re2HtNsf6sVyrFebr6HlWe1GkbZBF8r7XK2ZaXAUFfEd20y0zX tIf7/epMqgyOopqFg+eIuxib8QYtGPrrKluZ8/AWVqIL7Hl73A4rMVge4TtMMsqlB6KYTNTJmbpc VguuUrqk599l+PvSHh40xH1ahzmSdZME2+TFrMLPGRJawt+TQKWGH2Ff/ysu9bq7Pzb5kDh/BegK XUmmeZyS/vjg2AueLAYmtIfeBX+eMNcURMJjaD3c3krCeYwH3xbl+VVEnYcwfrZPWp8QTB3o1N4M THSZOENr3NPQvH87Yb/KxDch8HTeZ3JTUuWMLRExCHaEi18sy9ic3FoO3j7WwVg5Sv9+RRUk8CJH b6mlvQMgZyQ9rvOVfyMbJIxBAsvM/xd5ooP7GxR65sJIFpAQtoX+ZdQxBiSSumgmKsUWLdvrZrDV PVB+YcfPuta2yYo3luFXYVnIG38J0OVFYEuRXjfnS492cmZtZocFMZWvsCWthgGw7PyW0L4mKpVE CW4IBSLLbfanuh9oi2bTID1J7OCmpBOty3b+xPVE6ZQn2jbBBXsq54RgYgwOpbICYHH/lUZEvtxV jt07lL66RtoiysrPHTo+zrt1y213LShuXogiwaGxzfIj+T3DrXlkbfIkrIGhDAvESvkchWDJR68Q Ri9oYWOukEB2kLIDpOzERh08iJeEqB+jcG1yE/YhOeExb2zJvxE0IkA2r1s2ybj1dekF9v+pnR2J SDZnLX61Pu+8Brlrq1Zg3ErTqYjYvMq2o8SEwFdeBo7MSqfKdxSN5RY3lpVLHGJdk8HgNtwTpJYM kbSuiYHR5HIZ3XAXAIy20Pl82jRCW/VESze556Xxab9KTkJ8ys35Ra805/+FmhRFDOmM58uiudj5 48CvGRMYCtfj/0Mi3N5I3/SKjbW7jRUmVT82u9+eqVOKK0TEeR7eYJ07B9ed7CiULCdda5Qyuxvw FhZ9SsAFM66JzZDR0Tc8ai5RyMEWZI722ZfFsFQ7FlA0O2euZPqduv67s910H6Uzv0K38N84enPH VtraXe9TLa/SbHQank/QZOaoHcEYEwpKcEuD0WKeamyKy9FIw6Tksvd5XIuoea8Fhl+Q251llMOh jQwVMD+z8kqtiSgw26KEOynpBlEyxMnVuiv1yK/bzZaeYrA2L8dCwnN3YGWrcfmefwxChIVoAIwp CwRDrEwnMQq5Mp9pXwOajR9nXdbOQW4qy9vWHHOqwlVUbk5RLhgkGdDvRGg/K1wPcarPl/Z3x4Qw 0R7UxKtX1egCJhwn6Wt+Pz8ncCTdS9+sKb1JkocSNC/TY+gnI1/Dv4BjOqIJgYQHBcjAllcJc1Ft J//gp9nDqPRCQNe9ad0Y9BVW2frGZTUn1dTBnNJQ9gAqzI6Wp5q3FTTv+gBccK+gi44N+98W+E+c pExZt1xEJjPtJgQYhpBs3QEW0j+sb91FOF4w5+ZMn5sdlfyFqvGvBI/91FCWc3V6g8eDJUxov7RF sq7QlIjJpBZ+CTwnnk8zc9jRlFNahUabzlF32GZnyKU4G+03kj3CE4+cMFOf6+JclGRp4fRnb2Oh WcT6MSvOOFmiFBf8h6HVXKDiAwFReWIk7InnG+uZ2L31mgs8gUinENd4PynowoqTYvS0PjAIyB8j jUyvab+J1nDrmWyL/gmm2HNxiY/R2kAp9yl07PqSxFcBJFtA4GVQAFcDMJrPPNfGEwJeiWx37sfa 4tfsqk/ducSq8cOpgI0zjasqCXy2Roecji1PO4DFikDJCEbHUzagZD23TYaPDx/fvaU/voxHOtA6 IJIKEX5ODchGgGustJjuXuXR17CIBzYXkZNjJEraWnWpcZNfHLzxQ92OpNLivkxBzWK82g52tvc4 X1Tjeclr+0foW8uksebPTpJ/DKLkyvTLb+I46o4yAkdzsgr+pE11pIZV/c6kkkL/NpfahEidB6dB GngsJnHRHjf54xaES2yiR1mMh1PpVEBDScAgMzuDrpKLAZJpzJw2e8B1qqume1hTJczGQuFRo8G4 V5pGCaZVBppGFH6wS8jWlNfL4emQ+aBa17mHdM21rWEEZuZakzleD4iVjXVRWjR2fpQemirjASsH HeKypejrY9zWcD9p4f9RCFNVnTOru9GmYveBh4MpT4pcFtq1MQwOpAsiAIapMV2rF7rN9wqccHBv 8kI6Fex8D3W+YEvQ3gXwFmDu+F0WkYhPm7zyg+wSSaW7M37eRi1ElZNyXyzM9w6iYbX3V3bOrO2p w//bYwlCozh5FFZShFjknVEGSrflXwEiQ4BVOdK+P7pzvTd0XtDS063bDToK7qMmhtV6Xhw7szcY 8+dIttXurXb1+681NPoXFS31pInKt8xtoO/73UxvZnBmYEy5m63f4IH/wrmbGW6xAkZGKFZpGkm8 Ng63iOdauCCEvStJ6ItYjt9qnbA6sCcOqHfGNVmijdfTy45jDTCe7Jt3vBKXeURtHPAa/4UaIG9+ bDBNS6+Uz5LtQhkZLfa+JmaPZkl3D3PIB41M3YKVZ5p6vhsaj7X8373uMsIQjzDVdeSlE4N0x/13 904/d1eXrveyx6RsOm1CIZjKGRc+vmRK5mUG3bNiv5QOV3UuGWpCXJE5jsS78Xm8yEhYoxKmu6Qn dh5D5DomP8BEMrBRd3YibqfWMe4WSZYcXUEH7FNRwUA8lUCMBGfhfvkkhXOslnVln9+KQMMa+XuW dVF3mcAbas091LScI0a4n9Kn6cClw7BZThoMvQC7bDEBfS8PPMaEunXITRjYVXzI/oI5NfHAelK4 vx1N30F6SldnEpL+h7B0DrsNhITB9PfI8/n0LghNrFDjEG/03YCkf8MYHJafQU4QdPqX9QfsOg+0 pe7C97w54s60pIp2+6WRnK9GGGGtDDelNUlKHqI5YMCcqYnuM8O3pIGR3BDVImhRVUPVbmTDn5D/ fBRHY2JrTymL3/RfXDFKp9SGqrx5OCgsgszvJNlYy8kJPZzsDquh65Tb8PST4zDCFG8CPEDuhkPQ om5zR+kJtxa57s3vuAGo21Ky2vrMiND880LyUc6T2n2j/Eq7J9+qj6yvXKoDS3zeRhTCjT9FTeMp EoQ4e0m285rWUaAoS/SBHJl7A6p1DTl1MrixeoqANCPEuvwwaI5cLPSBm3ahXnjbj2HQLxVjWujT XaogrDlsWH7fxcUr0ye1qHcfePhJKymInx0UAodpw5fvME29OLgO3qeAsY7xLmvVbe8dSb/NLPRZ Ut07fwW5tKql/VCi/GX8uDdJsXEn1grGfD7hB/4jN5w+f7hX+szBnf4wnTHTGk/+eyc3+g2ZzaCk szmfA3Tyfb8doQh9BI3PRiooAtTLkuvBelhD9TDXoLBXy8463Ov+I8PW928Wt1HOc9Z4Q/gx0yf5 gBhbyHMpic7VvvG0MOrsUZWdX7uSyU/mr0NVP8VRdDrA7uN4ThLVu/Fg9ezE/P9pRJvwfMHlQGtW YyUdjsLjIqi4zSniCiE0Y9RWx4WnLnOwW1rK1mhcaShaD7zoIxuaVYaUDua52qinPBr3E5S6yLDs zPDZ7R6oeQm8T0jK2FK6+vvIc7zNv9jZSb8fZBuXoqKU7KrFRz4LiFaY8VVcFgmG+dsK+Q0aka9A Gpt0WCM9eSfHn5IhMw6bVKSwe3wDbDMElxiqZcx5s2en4AARjoBhft4UJt/Z/MtKtVQK7NgeUJa7 2AgqHh12LPkncYjS4oFl5RWDYPH5G7VBnvC1kqML5c//Dar10GBFi4MJhVSvjbnYWeng2gW5Y8Tj y5oS+/N4x3uFFtPxWaO/1h7q4F8eNsXKdnKDyrGmdOQ8pzFKv3T88ETYdKR5H6tUHqodcGgaWJ5m p7ORXPWq1kYWD6BOqhGCnWO1F/WOijIhpnaQojmoIOecxmR65xJyHwqNNLLjxdCRrmLrOrmShroQ g6c0VdWNsi8XRk0a98xV62Tm0cgwIohDOEU3gEBO5UqYKqqkMxqKZMrq/hBHKm1SlwbFGyL3ECf9 dyV1jN4SeH/0PxUL241MYcHXQRZmwMfcsXz5yY3blGeJF1Air2q+V3G7kksUTMkm8F1CdrNFBqYB suDsjPxKznb5zI+M/ai0qIlyD8c+XoD5BsCq4+Q9uc8WMl5wAjU3c37nDgLIpc+Ai4QGnejgEvRw EALupStl9FW7u3Z3amIjOJAsJ6Ys6GjTxtrRJ430chN70EY76/BrpHIweCre2paHd3SY8EXvnNyE cqqhNh3B+5fQG18SgROzUCw+wTYIouvQzWq8USAuAudY5XUQKJVo2CIWypnbMgET4wNMnRRcex34 VRqALp8Iy/lWCz+K+R5M6Pcarf9Efx/xAQxRCMhfE87mNG5jEgSjPljpqRg77F5dRk7Eri/YfdEK sw0rSjlFGe99f7qrbh3AWvFvFWLiSL0PAZefYdWOFP42eZvxYxpwTZVMfH5uZvyZgRrza1r0lhC4 5k2IDrjlbxq2RlhtQcOBfD0tYNoyzyLfxQVCUenXmcqAPMR8zuN+nWwMJdO1902aUoOkEVrAO7ub rwDewOF9CFyxpquphHOXPfLhkSmVLZBxJOFH/FW0I+GJf/iEkJsILmRl+Lw0NQCeNDrMbp+0L/0O Qo5o+nnkTiCbene3eW7OrR9MecGLCjCjaxkUrbrb1uugDANgwU6qvZk48cdXtF6H9BRySoJ1GJ7e LXsjRaPgdWaZ7uyYXz0p+6Zl9vRhmFCQti15LEfi23mSrTjFoEXqEZqKvFPBTF9Wm+RrXKsRYWVA C1jebtDLuvGoPDayTMnamF5Ll0uMzgK23XEuWERNzZOkH8Eu1X8SQ5m2ZMrquww6iUG9AbTV6pqo 69ccmOTOXT0D0X0/hMT7Yl7jHVm2/tEYOBN4Zekk21L4075ooWeP1ZWz9J7rcKd3d5uPPR/f0iaU XY54hQ8EnwD+xqmQROYDqi7882lwlsISsB3QONcFYk5UEIpVusKlNqLF3Yz6PXsoq1OjU0t1jjPI qvEr1MlKXyB+hhP8+3Uu822ftuN2sdTycxuFyci7rd7mzBdfB/HF5ZOxaubOhl/TsL6biVQZgXeX tcThZo+Gx+SCPPl7alnSV2fmFiiS8FF6lEC+Y/u4pjCjuiaR++lUDP/U84cNZ7Kvi2TWi6dCD4Db pzYRxY2fF/JSzMRVR2NoGXilZCTn/47iXAkzeTyp7446T9f8rge+U5QrKpxAc7aSUq4iZGJDDCeG Dk2krT3kofmKTorYjPhMz/+MJ69iKlU1HzkmKptTiUBnXBT9rvZ/X7FIX9Tp1WNB3pkd/78bGi0R iNxi9tjIBKxg5N+DA3s+qsOZSG2hTkLhLrQ0B818Au0NFVADS68KNO7NDrr1h4Wx8wwW+tEFQs4L CtvUF+/GaCB8lZtl7M5LE775YGEA9i5uw0QM13+oC6nAPrGQXAzcmLGMyir4fU519s5Awz8uCzvZ cgxLHnSpNpr7FI9FhtSq5K5/udBlce1k8alR51uhBnCBzBNJNrP9U5zlSYA8QI+8v0+91vhVFXpS XTbUS14eynDelR23oJ0PquaE2YjKBSadZYcIUHiV9Fm5JB3NoIWkgYoQ3ehQFaUvfAOJvWW9ehj1 UHC3l7HyqDppKjs+PGUDx3vyA0kIIjViSXwOWtvJtPTIeTRycLp3JsnKfbrPq2fqgoImVqFpZrJE 8hC73sO+G0EBye6PUr8vJerOvsJyTp09+6/gJpp/CNc+q3eqnxy+U/MbNEKTxCIUDujRu4CKhdy+ SNU9Eczk1S++KlYTYWFmVN7iZVPJipOvYrWmqxk7Zk2+Trh9NPVYkiQZnF7UtqvcFup4RZVWh3oC nX+YKC76SwRTHh9an1nfhzgzPm3hD3DQXg19ETEt5AVe61LB7vDLFbpfrdAo7dYn+XVrIqEmnq3/ FtDY5i+lNrwkwPnpsrNFU7KlnloFtSvETO04S0iKxiwW/I5ljvRMQeVEyY16cJoV3wJCSeSgu+BR oErzU65XUt2awEEA0aZURCmJV7s+EhlGvMVKqtGPjV317gtzCwfRPlVb5j+1EGNuFbZnFuM2K2O2 VVsab3E1sxqssZ3ED2alb7UT3eoiBkJMyLwVe1Uz9YpZHztrrMBGCmJrVPYGhIqOYG5GUYyFmzVN MicsVOqiiNaq4bCH1L4Ws0XsM29zy5e0ICDrBCZsKlnpmVTlkuB+z4y3j+ozDOB14n/gjR/9zL1D tJAU7TcqJ+PU9ZpQtltIxo1t3p80WvMCiFL2GHzvgutn9+Ddsz/FtJtAUOfgUwJc5p3VSGUyhTVX yz146QVYr2gHaN1B2D3lr4GduqQsUBqs0ZwYkjWbzS+qbe+Jk/+l185bpCCRLm4acq51hcuEfjxc aE3Shbk1uerb2qN4NOXc1qzbW6hHv9Z/6TPb8p09BVEjfUGn0wZCjh+aklofEDZOrqA12yJ84h2y Wn8nF8MbI3WBi+9zUof1Po7iw7Zhk5uryUQdkKaLXuuaStSVkemxc0kaX+3eGh85u/nURNjcE5/a /ukrxfjMbvniJj8W2H82pkAvIfp8CK62OnDorqqgIzJcyC/020OKgT8Fl28BYsr/nPuUFJh8ZmwC 89YMolCbkTWJ/r4Q/ejzu8gXkMHSHCLuttoFpmDpnERsn9UkxK6GpRHmns3iCVm2BIeoXMylhorn Wd4r/qbetQL6eiM/nAqwCoYobr1lHbacBUQYd6TF6EBwGmd5QLk7IpZiBSZF1Ob81Nf4R2+vTs2u W1e20GeoGMNGvGexrnjnXXD6bbQTjnsMlxTovrT+EYK0RymXeqpBhu05pafgZTuffWYXf2/X7vP6 INSVpHHN+MeRgvYYmrxE2yzSf9aWAFwEvCmAs79wdXTqEKZZeyfTsSKBjauPBDu3WfbQ9qxYaJr+ KnyrBviUAYVtOrrxJIZ03NnqydmqmGn4plQV1kREO8IoTt9ndofjTsHzLqQNOVIQBsjeZa5G0TOq XyQZ9/TIfyEj449i9nQzhw3H24Hkq/cNm+9GWP3YfmemB+D1mg1CdeYnkmM81BYss2zx+WC7dva3 OyxvxXI7922Id4uq+beROX2Ai/+x9K7omOD7WO47Tpo8GJxmliyJe9myopSf1s1zxFiupii0RUqn ZpYovS1oaV525sCRfH1DeP678LXH+LurKszcmv/OnzK7Q57aSaNZr5vzkJhonSu/J905wtf18ImS 0XJKcI3uswtSn4cBWSfhiJVgiwduiJPXz0h5TSqTsmvi7Rb67p97NLHCyrgKDvcBLarq6bvXQmyH h8wPt+caHT/a/8hpsYeI4m1PJ64PiUOAGRKKatlh4GAKwf5wnarw0/AkGMLfnoLSJMjwZuA5tTtP Shtk2SaFBFWzQ8t/k2no7Uum3gzWcfWDaKaCxZR32DIU/owh6L97EqHA8NBuV+Ywrc2vPfa6rbUz Vrc7PuwPnfYu6DWKJ5LtRFmNXJUTvw/PapRj2HQDOWa9WIF3dJgLUgqnuzmFIF8znQ3xbTyI/rJH wRqcGU2bz6TG4MQC2t8d7L4fvWspZkZMcZG3h27W9C5oRlq80NCGQh++gmCmL5kWobEHLkw0wGzP ISQ0u4H+wZ2qlkHffd2btOfOKQ9tGlBFK3SJ9oOUU0DK7bGzTbzAYtUMQkP6i3/QoymhBCYkyjOe bRwg5Lhwli6YCWoxCnx8t3eD/PZeR8+c9rHuS7eo2qgKk6EqyVkdV/Wm6Kg82JF7w/9uzDxPsqje LdtMI8ImoTmHFN6LV+XvXAN0dvuwDOankBXyqfYAUYGE5jM7WAnP8PxbwuaQchM4OccEL3+RkBMC H2m9C4y1ONhbLPwt5MKwkuuz7v2mJrx6W8gWj+2QdsW2/WVSj7I12L68c5Q44jUiec++ypQNVsjs wFSAzx/CXq5cizUCrz7pK93UmZb2Uxlhse08dH4IStF3A8CAdCKQXMcUL7EvC92ZV/pT/orDVoJ+ oRQUUxj8/QpbamZ2GA4ddbzYXkblxctkUq1JGMeVoi1CEtKSJQ7Z5fbC45/bp/xmp4iITJv+LDWo rLUMRFcnDNm6CbemtZw1lUftf0OGxJaREkRGVhZ63+4GLJU0EE0wk8wgV9xMuMuLpllzxSQy7uBP oW71INmCmbyldT2msXPSGDP4BhKQU7F8xuEs8qLHJX3xJnfGCWPSN2KvxLcSBKvjRvjrT+fWhmPy DWNLO2QhEw20H+d/2kfJQBLT1wC+aMpfnruST87PPJERQfa6TV69bNjTzVUxW2lM4IHvvG0fGsqy g/8Sa6G2RWCCj3MwbaJkgGhzFmR+XaF/dfFlzN8XKcBNbYvDy4MB+UT96dmdDPbrQ0K4QdVBjWs8 4x2wNVe+mdfInbveZVxMWrCN+KfA5Qucwzux5tfEgxyaDttz1M09Umo65lNDvzsDwj8ljKmMeHxz gEMHv2AAEg1tUNY6ZHCMeBtieBXGxfMDi6DumXV8Xh3xrRixV/AE2G13wkIvKATQAiJ3E2V7tNCk OCVAUaXt1AA8pT6ycW5ms5xCKXcET5s7IWsOyGW07jQQaB5r/xLn4DFhRswarhv3piE0Uj+frra3 ABAxoF4lsi0gDkKX+hWddWBRKwgmD9/tYiDryc0K3ybfx1sR6J5cc9MLTl7DaSUDg+SNm+r9z38a KxDSK1LB6VYjaAqqP4QDzcu3NaTZWfkqNLdbqhztOppjvJZZe4MAgs/Cc7m7sBXrLJrG+ZHiGBZz aFeSPopVlpcTSvvbgs6BT6358LnRFPnaYlfhGjb7x5lJKkzMuhuRi5N/JD0rtIs0TgsOgRYnN+JE UVPwzAHqBNFhbd3qvs7ZwmntDz8CkAJVMfA31l/IqmhluN3hA19ZE9eA9NjyWv50GSyyszkc8M60 Mf7c4UK2TYU9X6yyqazlkCYFM7hbpjBI+pfH58qRUiFICTtqqHRaA7IEo1yTOTwAJjbNePE3tm5B Y3pZT6jld2HAlpK4tR/Zi2Ehw7gO8EGYY8wR4if/sc0Ovhv+Hqwv7Sn4KbPlPk/ZXfk3iGZZXPvz yN9HqVxPWSUAypguOSJ1GP3jl7pz/6lpH6fpYFxj6ZGUoXbq1U7gNejzPbkPe/lYYmfXzCOWvyjH mXf+cz2tlgJbVtoi3JUxD1TLXjqZsWbGjwUjN/G3ruieqMQvna1QWE5C+lHbJl/XYsjrEMO+lOfa 6gJ1ltiojtFtfUHbC1JNZ5J5ua7s3/BqTdousXC117VzOx8S77LNXgjeFnAOKiQOFC9AJk6SNajx SU7LfdmkBoUFenx1NFcYLv0yVYOFcN4VdkcaNqkToZ0uNPYnb0J6dDWsBxOOCKalh4kezvTK6LsM 8gr0PdfXhoWCb6JhEEmZCaDgWCAyd66FnvydDMomb2XAYgXRYLPWsF/NKPqQv+Qs7VZrCSE7ZdsA W32G8/w0vUSqFnqkHWHaNpPShQk639pXUn4559w1UUbu399vpUuuOj00ohTs4Sdyu0/WQEgaQs5F BQJIpmB8pI4ssx95B4ObdhEcgn50qTUCJzgV4RiOOu1hdrF+DpHz/BXibyxVdBQbmPcJmtnXZibw dHYmb9Exm6M2+GVct2kHyK7vf6Kk6JCX20iei3hCMqp7y49mNdpdE8+OXsmb0c5lKzksjM//gfSP vYHvk2zI48QZZoR2TTypCQVAwRvlYsviYYmsOOvSVy3P1Ck79ELnnX85Vuq+Q6IbYIlmSYRlwqwu WjU3mi2JJdJ//GrrSp5kqgwVFSjJh9gGAJDA1vNiHLWLYe2tZbwlGgcXIbBoprT0FJrhOOFbZGgB K7lNagJgkAnt0I1VHxQ4xVqM4Lifu1tBppSVxIBOw9SCYsXCDL2cKY25gOsee019bR/V94ec1aQ3 r5e8amjmfPo06qCgiCnhTGVnQoYgY8Mi3/KiCeo8E4Uniu2i9v5WxZeGGEXBhyN2K1y5NLIR658D wm5BPxt8iYFfjCoqFxZkpqvdvaJT5WpgDkRHV0haOcgsq0RZ6x7XT680r/NTIYMz6oLaYhvp96+B HO/fLPwfnIPiCwf5Cmdah/X813rVMhgOk1J6oGly5QvRsAjb6UJMaKfNjGg1+lExPNoSLVWIebvs Vun+72mIX73G1zUWlUD6hZI5GDr3B3TcB/V56dCk/EYBnqwtJk55Lcg71BL8CQzB2pMw9VGT8Pc7 vcUVdfTgeNUrxUPf9cLRLGuQ1mSesIH0Vy18Ry6FS9QOHtjsy3tzlnJ0A9pAVvulI1F1k4apb+JS kfAim5TSXpJt36Xvoqi8Td83ytVcosaaLQ/4SPzWa9p1aAoBDNsRDdcqgexiujEIwC+Bk8Yd5NCT Oryp7E65mBT4H5rg7Sv2gzd05G2lVxGoPo5s/KrNfI3R6nftQOGo3jDR8XGatmO9WPfNOb8mjM7W LDwOc5CJh7ySH/VrYMcWs3zVHH2Agdml90qRWNQO2E0XWH71eVjMrtg6iAcs+DlMbWyaTDhORFC/ sLpzxBI4kLMsjwvwqpODObHo8Uxh0N88UaznbVl1VDjEOChrCactrlrd+TYclOPdV6svnOUPwVUb mXS4S8EZBEkVjkQ4gg2XF9dYjte/koaJ0mPet4OmvVhrAZTj03eNbjAZVzTrDCw8YSgjZT0Ub30Q cv5nnYIPqcjsBtWJkgH6SFyPNWLGNEedRqm/Ygor0ErbgcArb/6fijRYMmvJq19keVMys6T0jJBL BfRsihi664MWzjH2HUxTjlF0pF7U0DZ1MBGm4mQQmagq4A2FTO0Fg5mlf9XpfU1DsrKhyTrg1yZv t2+7DH1hAhnWJxQTpYdX15m5v1XMENJ5Ucw+AEBmGzFx3gDvoieAmniLX6YYRXOOrVotSfJh0W3T dS1dYFPaVkAdkXqNr0LwtCPLcdVqcLNb3ZWbpluS26H7V4eITioV45hPnCqau8w/l93ZQhGD89Mo QP+SuT0Qmtxpx27RKa/xGg8W8gY+76VzUNh4lrZCqua3DCeOnWkZ3BgUPgRHt7sfBHIYzTLr9egt AXhF6pFqIBTgZMxE7Szq//HZZGhdtlIFRuQr8ll3wePXGV/6FRRazrdYFKLUbdugarKWQXYCC4sJ ZMnZR7aYwyPXjeXnQOz4BiI4DXSrndOeOinlSrDGk7R3LLVAkRu/Nek5YrKUbcwt6LLKso+mScBP sZAT+TV0gZzqdmOBh8n1p7/1wFwQJQXkSjxCpG+pMyYvCMKb1knkj2Pvp+vu+wgG1wM+7UzkuwWX 6Ty/tnZr98fIoqS5yg/u1OpBom1mKolYIu0FNC6TRSXyL/7PrVxodrtGsqlX0CQWoq+kyCIL1BHs S2SjOdWpfFxcLHnoIMQP5mP/1SUUegvJfjppX+v68pO0XLvcuYBTgPwq24cPADGMngCQbxLcw2tv zeC0m5wH73p42h9WcqD4J45tpkxD/pt0lanGtUrn2wN1wch6uOiaoTZ+OdpNRqLAktDQUaVUaGf4 z5e8RtPNSd7vq22kZh0f9aV5TFaS29v6lMG03YRzYmCeNurNTVJLA8smBJ9Vn6NV77i3IKpLwSwT IPgC4+oncVVMmYGt9RLGa5rGJlvL0h3h5jhnDEnWeACDJBIPaG1EvdJkm1xnidN1mb+1FvvUv6UN d0CHnpdVAQo2Dj7ZqNIHYWrtkG8WtGvyivUK2la72OIYMmJbj51sq0i9JhnwLElxDqiP9K5T+DXF dBF683snZ80ZPnrYdOZiIbAxGzhUCgLn6c+QuEdsJSi5/7YA6Mb6Ru9lJAGaE2JVeykxIU1UKt6A 6NABnpailFFmnXnuJygsENKEFg1CE0SA+0Kogs3UhCbnFpA+pJ/uT0lCCKSUh8NO5lsFaLie/VBu 40X8Dq64J8/TPFgUd8kHmIfzsDAt67txxMYUt8ypew07arOoxXrooI/ZN2MTiX2kzTjgrPJnq1n5 JIxTymiTvYx9MYxTQRPOC0uwQz/msFbIfRdOIFc6KNQJnJXt+KE28OJENHU8dZLzPOJ/Sh9H8asL dTGzMzg/j3cO/+PiHMPvMnmRlB08QmOY95f0r+xLyHib8kldRnbWVZB9Y1BWzyId+IMVgZXXJZL9 fdGLBc0g8foq3f7Vid0hPQWi0vYcBG7tyaDoQjIg4N4tvh5d011D1mfmso928j1ZGsZZq4BtLE2A LQRh6J6OP87YHQobkRfBA/qhrRepSWAohIyv+vkxWqIPOsXSU3WGsiGzVsKTt8hXnZwnuopea9Ku FXZOgYELn5GsCLyvqiM1Mszn/pWqclFibyiOkTKSJ6RIg2svjFuVyVC6OSvTMz4k6qQfmd3EUkvj mb7zgavzWNo+Czx+I5W983meRTlxArA8isD7xSM7xmyDryv7Hhdipfqp7qclPEmUx5k5Jld5N2Tn 1QeG7Nb6M7igo+/NgubN3MkUhXKKGQfoNJRJQFxxA+3bAbos+Kh3QfOUAeL/v/XzKz/KcHWx/qwe tFtE5HtTfcaIJenQhXmfW/EDjZRMM0yVqouRKGkgVi5KVNENalLR7aEy7nPGrd9+dxrn+OHkQHum NVqXb5kBf4/KbFxwVU0cEyqrP3jjA3arTSMJ+bEBTHQA9j5ia/VEWPLQLuUeT7kU1/5LDP7gmbxX E68ATJu8p2ig77BFoDFDHVt6S75SdGlV3HAwRg/QsU0/m5gLM+lvDhuUHJiOzO1A5Uf7tuM7zGmo BjTjIjWVZZhzuEjpoXczMQ0+yl1xD2TL+RGmoye2a2Bc2+RUk4csW+vAvmJTjtWQxBwTTyI4KcB6 M1vLezYlJZ/DU+E28nZAtZEy9jDHQLllxf6pcTMZFXriLVs4GGy/nlZszIoNGSYa/mqgTZ7M2ggA dLsCXLwzTqw5dPCtYL59oXc2PMDX5oOe4rNROd3lYsiZEkA0uHfAyk4Uunq1A9AO8RbjvVVVouky D89lbr4ruQ0B02Jbm0dbGBkezt1/Mp55YymxmpeQE2wb3Iass6tsuTPLP1C+5xZj/WhyZ6rqAUVn QSkLjQaCtKg1SxK076Pnmyn9mikQBF4/NqOwXM9s/FR2qI7WpLua3naNNc0WzLHso8f6HNvtDZXo UZe32rCkQNYMhapcSfg4Au7IbXwMc2kRYt6Nhk0KmqsmMYmW8ANdilYD9J/7xCX2zVZEwq/lHt6p jP+KJv8ttIom14WO4iUIS1pBg2QG3NddGDBHEEKloZsS2eP60dEpTYtNIA03Dz75TJqNn/wqdhI0 djOCiucTgeGAnHIQn96rFdiOik3OsGXCYDg6233OHzyklJ4XztxP5eKSM5E7f1+OT14H7n8uG0kl c4XNQoGT6HDOHtdwcbV5w2O2RSQUZyWB5nrKH7DZ+tCnPvXaZswTVc6I4K9hE3PRLG/zYkpRTdy6 H8yPYGGbk93KoYsywvwDnko0NAcSTide+qsw/6iJ2TXm+cMZjSWTTUi/ISwkTQfujfxRNfVsDA8w 4HqcxuFfDjrKQ9jI/hDDufm2j5VDQ6osEw3CFeTdoLNPfXgvBywbPnFRZF5g2o7LyvKLy/jM5usR Fk6QVvhDT2HY5cbnwOlPZl85UC5B7gTx9WP4gv7YfwV9UPRoHxtGjIhzkueILh3xALMG61pt0t7w w0dxSqFN2xBRZ0VoFpWIQmtTfOOut+zYoeo6mrVTi1uuwZDTiS0+YPuxP/znw0mFrNT56rbpiNGR 8/ToNdqiQVnjatRxkn07HzUuyYdQ8MTgLIIr1etJqO5BfMCkHuBZYTCqllotBD0rWRrXknvGko1K xYzFK8vVmpViz24V0uR6aohYSPGQbPRK49kd3iCqhmazf2tjzx46F5EtoDDWC0vOIR9jQqj5nE+S r98TYPPV5FB3v2fxpmJbNwmbIPXVJZhNN/u2kM1GiWIilRLQ3SHAAI2cnivQrpF8SA5eD+J2TvqO rnj0iUNbtcUQzmSyQEK8tu/b1sl3yVWTgy2W/IZy7eoDL7wI5plzmG2TWUehpfRb5UjzrnKP+JRp vIE5C79aap8936NNjtIQ4Abl12a6bUcESdW/SdwC+1qIn2PaFxHyruEwJGuKKivhP+CzJ86G9Fcq /yYIKzWeEDvWowvbTQ/t5tuXpoA13gSJ5eaeNHLgyvn+H7uuviXgk1MnAe2qbOSJZ1OOciYWgdf1 DngUxy8xaK7xtuvf0oEj5REITTrV0NoCA2l8i3JkfRM3v5apEBALanvvjGYKSKKeZ3s3tuBiOU5h kemvnkKAifc7R6rE+hzqrs5CMVrjKoECeWA1uaOIkt6wa3+FEIzD3NK1Bw7zhGVOCvoVUPldJCur DynfsJE/xiv8FkBhoiTmb0Q6hSf+/d/fnxl9jxXFXxjGOd6C2dsc1TD9qcrq4YVwLhjBgY6Too43 9Y8YXI0M0rGxBmRWG2ZRI4Lw0om6U3tcZgq1th4LZJx4ioXt94LI4/hU69dI045536JsQ42tdJyN GUK0ulWfkjRthhzvViwbRHF+L3kXXSoS4z3SWBpm9At6TrXKHqLk6/i0RyUwCl4UX6qc0ECrRM5K aOQBE00+YwtNGt5tGZsnRzft+wwGb1L3Ce9vhTkU7/5dMjZpAVVZ6trRtCfsllT0nEt96ol9nsTo gsnjVhOmmDhWEpUaJHLHFir4JkK5cqrFP9mCP2PvajDoG5Y50ZQNdHyPqmlGp+WWpSjQ0KEJ8IvT MknAUNJI4uzpR+Y+JEK0FhVfqzyK9LRk308fAX8jkNkYidmM+GtTg1Mayg+q+aQJKiB6xQb8Ztky X/uaB0ccQFobe0PmqwpIXg4HpBFoYvaOFuy64MDvy/hOFz/90wKPxvo8E01eF3QTd2F0mVp3mPvF wrXUpaUDUAa82q2afscQV3oxNqbuh8JrFadWfkQ9SC2JqZJfCyv4gJmz+ovulsx5BIbMxaTSgzSe gZyayydAvRCm3XZ4e+tAefHDufL/Vi3ReBrHoF67rxIR3aQC7TOwMV5VHueOkblCkRPzSsTCBw6v H6w3WesgjD4M79K5p+1J9hfhvull19maSD74NkW9bXl2F1xeKsaHHR/GB9a1Ay2cVRmAWQ4VPX2M 1N+SnZKCyxcj6qlAAAkFoHfZbd73goZFq5rnN31OqIOPJ2vw8litaxEVAGNjl9kc0ULBs/BipHlO yIZLRvnLFKfy3lOJTSjo/OnLvKgeCqk6yFDehM1hJf/pYf2q/o9fwd963dFUXdSKnb4WLpTTxFDE 2UsWkrQAiecWlZ3NsrXTMkMowiHt+Pwv4CvwHhly6rrjfr5ktDvG/ifM5Ht7fuBg75MgtAKxgyzO ltSk5ALwAkLbSvc8gw8A27U6AiqEjIIPJPjP3FjIJtNslZnSk0UzgurU6sMNu47yDqmWCIv78vtK hLwRgUnvH3Qzd9uLvfkCdHjeiCF5ypOWp79Isc54fI7S85/Qr4Reg0SiF3yN97vnnVtJeJoWYppF F339PIX8QXTDndntu8X8who+P1Q74aT8lR+zBjWem7FkKLRPfmHLphngopicvBkKG4agaGIs901a MtI1+FcOSwmcHUAA2ihNzl5HogO5r5TTOVstMw2y3s+81lPU1OmqXzGIHdtQz2+GSdl1066Ln/6D iupHnx9LJ8QGiDKhtQM7D0CZRlhggy6Rz//0UdF50IQe8A37U61Wax27Cx36QR46kHKF3Y0TlXSD cJ5m8Ds57u+3pX7dYJpn5AOk03n/5bpSwPbYCOojk0ETzftIUASA8fFLQvqMrAqbk7STvDUnRp0U oshYTB28p/SqhOi08UZF/PyGUBayadTS6qBE41lFDs5xTy2bu2DG5CfQEzfTr8Q6/PSL7KF+HxzK F4OFng44iq2FwOO9bP6BNp+4oUWhm5vqtJd/yxZe7AKDfuRbtFGCthc+m027G4awEEX6QcbN7zaB Cis3e4bW0QqFbtn00ysm5Aji6KTMzKvzx2m+UodDaJ9AkwDG7Ye4h0fMFfI8JYAe3feuNVpfVyNg 77pS2HeF2bYeB8Jijb5aa5FPXSyD46VEX25LAae5jFjefrVj1Z2ovm9Q742dRZ2r5NgzMEEhIuYO wNcWbjGFXZBrrQbMixAgJWPGJ79Hoi7s0k2V+qZTEh7p8xsZA7of+0XzGqqOM3+9Pfuy9gZYcbhp 4+3j40PWW9YpxTq9xzAFP1tAoBTz1PX2LMaep2V7tqFDYoXnOazLMArOLAwt7NsBEYW6a0hxUK3v yb48W2MpyxYQIlfpUkOxaN3hrvs5hGxWcAxjyBTkQ5adTugk0133v9jPcPv98wpe+GNhnu+lmSjz lyTHX41zJTRYTF2itlkMG4uPW5XaPfYA/UdoZjEHiDeZR5EWC12I+CTtrLODEMloT/jAJ7CFJl+f 2i+GeJlclmjg30LEkA7yIeNGRP8HIctWgqD1FCkWVV9BJsarSYiCo1x0VFze7qxmF/7BsK1EhWKG J/wXsd9LnmvLFLCyaZTlCMHtUEfQlgAhAH4WxzTXP3NsSMgDSEW/czQ2d+drpfLedMkou9XrEvwK d++fEeaEB6iRL4Q1WDEm6GQhXFoUa40ZWBJTLTgClfbRx1H573BSjGcxbwpyDhiJyeCwadAb8UZy fjOdNOL3Z9NjC2ORKcvdosAHIA9K8oQaeoLjD/H1dj8d183YKH1WtmHLtJhp32rssXpi7m1EM32V 99S08GFHkLpHr3hP09BTkFK5Dz1SCc8wTnAfCZt7JxDyMjKPoSh9tzWSnD0uuvj6rInsKvG4LGnD LvnuvJoB4fPVTwKsk/hzbMbntCpC3rSUC3Fmax3oNUWvDbxdMPjTcmOg/h3yPOn1iiJ7Q/OOvkaJ CBkzG57G+kCXvyVBqidrg66tkGfQ/t6Ot2kwR/szsi7R3bNpSnmcfFrIOND7EqXR/ndV0KMBZaFv HGOtyLx1jRXnhrB8UaGNRW3Y1MuWhZZidBxoJVskb6TZMyxMfxqbMofAztTvEygsew+PINNk8Dtd fKvwGGXWUCb98RvObRjTk4BWp3mDttgw0rhqFuGnLhaMM9mbpfIlZpYjalD4yBApMQRzix4PBBl+ 5D9BUK05SNWKDYrHqrWqyLYsRsEJHEuFBWkUELOMUXTcoFX7pndmnVxk7FEiJTTfqP7bgHUaAc90 HOza5LKsgjbqgfQ0i4gunmqXG/rNLXET7qSaV9nj5NuQ84XRQE0JDVe4iYtXmuzHUjowAgG7/Q1e M3FexvEzI+I4l0+4ecAlNHqMOkaSgBpIK6kL4uCYYzupp+QGe1FAGT3LUqGnfDl9IrxNAlT73jJl oczYqQqDl2hAw84coup0Sn7obYQv34dHQfMXe0XorodN//9vjGNnRT2ym5JuG+5TiIJ7g8Pej4Vs R3TTJgkX1R4cojMvuYhhIIc7/PK7676OZmt39oEboVTQaqzJ7Uzged4w6jeukUmvujEpN4JUb0/F NOTZsZ4rzHxOkqBO6Ju8+bAPbtyE5IjsvzKnONZXMAACLHV+hom8sGErAFg1pAWijQuWeAuZpK4Z W9kb+30XUpjp+yviuAp/o2wwxA+dXi0MQ00so1AId1qbbMho8EhQPW/8TxWpNV3G74m0TP8Dy6Km AEHTuqKHGwb2fF6DESxN8tXbmdtV6LWzghueccEl+Bv+TVVBeIrvsLI9FvpgbvzfTeyGbK1mV0qn 87he0lCdh5IUpj/ODxqCk7hwLPNg9KjIK9z924PoyGIEpV6CQymjHE+dquI23aoAnNuvMuAqVGjL umn2x9QEIRsVBiepyIrM2VL2BeQh1p2wn9thgSGI6ExRxvISGtNPts9WnPUNpnQ17JtlRejXQDma iAuhIEBRVaWIG5aM8oHfoWa6MvkRTfMWOHudJvg5gc7pQkvJbvGQAKv3Bhu7VGdWwXF6YaA3xlzL +eSZv6o3pR09UhOlvIYHKknwpCUlYt9nfzSN6vMJXIFdYXxs6PffzNdAgdLIfR3eZZ/MvX8pVVyh dJ9NnaUFxFET5Ai4ZsmwyE942p1UrpmcBgVuaPBoOERIcM7zS96trZRlToxxt7WIfQcg+8Hya4z6 PCatdCHadiXlugHSggFfn63RuC56Q7RBoX96R/E/5E2tNh+x1gXKhwC9mjSj4P1yvA40SUaV7ER4 lVSGquvOXjZkFJ4HScubBl90C/IQPsVa4YyeXgYLyt0adJ9oh4RlNT8MFJpE7m9iNSJLaEVn3vGb QF9H4/aqc8wofuf47zT2Z7OhXjmexe+gJn7sqptS7D+HSxQtG2l7BNJK0rRwxqJl7ibTozhA9fTp jHk5VGWiNrNwJH+WUVPnG0hLaTnSXMlJ54HZDMwxIaKOc/A5kyaHOQgBvhV4hHDEBhyN5UX9L0eE ZPN9r/Hfe9enIAfmWw29qH4Jbj4y/MOUDdYskWjRzufsaNCpq7b5J6HH6LlqKmSTDXVnV9iHjinj N2duv2emEY3drYN7HRhbPeKsQrErZHx1ockpuZnS2rms8o15tFEauVUWNqxD6QuRTA5xMO/tBg5D rV3gS16grjC7SHuA/P6wAcWh/n4zY9SeNn+4BMmOglxPBUnjLHsIycxBqfIL9JanViD/KZqcY3Ug s+0ZXm0BKkynRDyPn0H0eK9wP9Hav/Sw4bYngAtIL30e9PorNC5Xx92rZnBc87PzjEPC3Per47Bx PLV5D7T6SV3obSM2Tq590Myq0w9MGzADTF5yOQKx+pOuKN52kk/CPA/obFetpz3Mt6/dj2WYXplF 2SBbCY7e5Gle6BbLVltCFESxo9HsMWc0j7ScJ/hW7T+NhWmucgT0Kd1P5r7WBoU4hnEvJ+NdOoE9 9XpRJcYyKfHRNb+AOmFojBgjP0kAAcqQspAG/jbJ0kr+7egxeEUccds6zL9XmvEQ78jJxQeiNFtL aOnkudtj4r9chN5qjJboQsaeMgFSjAvhAmqbVaY4tm0505dgoKeKeWzmleD6Vh/hQN50TQOtRt4W i57OsMS5jDfcg1KOKt89qqIkNBy/UBY4RQkNbMbQofyZwHgyhyQdfupRcRPbKu8e3PUTJwCUUsXD D+u5hFjYSVIu/g9L7UanuVQXyq342hK1mrZNIUVpm/VkPO6CUhvMOgcl6+bOptBJa0ihK1c49544 AJZNhwa+7YVrA+zK5FQZPrZFzzRRdKf4m8uI1ZRVnksEBDe1UsROEEw86TG0syfTpKSLPKoRWvdW CMLbpwrz1ojIZsoBr37HicBegjgHSrvp25RloIFwp/A4kmYE+RGhHQc9zjughtK5AqTlsPs0d9SV 8BjJPbtNdJ7QR8Ignn+m0Lpxw9aFz2KH/y+WkKI48wd/mRsnLCxyIQjdwbFVMLpUvuCC6ImdOM6H jrFk0zWEZ6/SINtlWKpyO14gR3TNrsLccpvSnLjPd9TamE1shxdLXlga3uTTBlxFTuEAKZHBOE45 tL++gfLZEFNjCJvpq5NH69ftmeuzRzPq/bI2gydcS6gqrjpY/doft3j6fsY9kseVVWYnsfILsmwD ub4FaQ+6z1xNsMRh8UpOMNi1h2FFMwXzGPWWSAKkSQbOqKpZzstIhIevIzJXdbug6ndbIaWQAu4R FSycR9MzMX32d5hBjiabcq652pYXGspInPuBjgUMHU91BF++rPt9pqgifPDidLHweO67UWgpU8Et gnPbsyg4RMkiRd14jY7Eu9BM90ta/OtqWd1prkSL9cgOkbbYto2FKKTqoc0+m1yq03SufP2GGTSw fgcPzL9O2hie15sj26VgRwrmTjp0xvO+sir6t38KchXlzps3LaKX1DMIFbXcEED9z/J6DW8xblsW zc8ufeG4i369rK4FDGGi/sWK6IWrr+3r1VbrsRnJEOxfEIYAhruUuG1FGVZ/lnz3zfnk964j7dpa g0Gy79VKMhhLwhriiAVntUmKuB+R3jLZxQ4VKjK8pX7JJuJiIzQKetBLl21i97rZh6j6fGwxJyvT U3c6qGivybXi8EYgmlF/oD/2gKrPN2Ne3pS91mZ998/vsbc6H1CFq2xFNYRaDNqHOLryW0HoWkr/ VirQZ9qoLF+29Vnep/0vU2/S7TacquaY837KeF2avZJLY4o/3HhL6Sg/lkmVzMKswS1dW7l0ExRp bmismHBEOhqhSTlbDuXjHP1XO22d8GnIUm1k933N7m2vVdaTcgHEaT2cSvjnDHv+qx8Szu6gsPA2 RVEU8mSfameSrwR9Jt8cpn12ao6BCShVRkh1yHiD2TFD6pAYnjEedqDXRctinWYVvv8ztWjlVRIP oGXiixHFW5691VYgxBLO2yhxEUwDYdGxDxlmd+lGDNHtWcCzjOni3Oc+UIBYkJbR5a2zokjpXs2d 6Bn71Ou7mmlpmCpczkUcStNpPU5IqT9ISWmkoiux4Ap4tilQTfa9/CIm+HG30oY3X1fJbz60n/oc OFZPRZewqMsgxcKubyAP2m69exoIe58aiRWPH08HNu4s/k/sB1SWRhQvu3g7pRWZmdZS/NcZzHEO wCvmDQEHLo5OeaP/jnLp0mhCHo2GxJKg4t1p8Amj9AOyEDgIOwxXPkc2lzoJkkyAEZCLMCJ2mRb4 KSh8DPbbJYNrAB5ShMMMuJNUzWd8434eBBWqdloxSF9W4uJKy9DbEhZ0eEL1e+fcfv3G3JrtkCHe h1A1i6pBjWhZU+7XXyl9Tk7SIeAeQ/wrgDJOMh3nqB2DAhgSpXMwFPoeps2jr7K0B2qJ4TSMkMWT FHyMtQNxNR9pIe0GhUYPc3LXP41UNw0QChRUB0NnWqe9mVF7FzBXkzZ1jo4qVYj/Z9tELZReCYP9 BAR7Nh4r2Ihzul8JzYYzV/cQB28/Oj7p+ORRsPe+P1bs8vOfpLAvTtfpXkuebnAvmeRu8sODkJrC hB5nzhih8/f6p4R/V7A3NFCfnNEF48VAA97k3Eg54pVxVoOucKT3DFLw+PcmK9PKvWdPkXDH4uV/ z+fKGd4vqFnoqLDzs1Wy+ieenWiCsqRAK3Vn82xMQSwCaGXpa7V/Gop0LPEvzwp9XvExdB/UUkr/ EofaQJrh1iUjRLC45Hz17p0p97ASyujhIT4DR5oap6U1iYkD/V79jPDJHhwW0Gza9iHJoCy88TIA PZK7CzMuXsBTZqnrQuGfHdrH/sXb5RHQGAcj4J4UT9bhrB4hMeSNZGM6SckP5TJBsMtqRm5HuVtf 2sRD2rJCeGcKHrSGnkmDm4ZzoftTRzmBZ7+jhBOc95cgZ+xDhTlwdnsZ7q5JmReM0h8iJXA8y7wQ vo3fxl6xO17Ku4jtQwp81e5BkxZplUOrt/6HdLcHGjpSQ57uetEu6ttABWAw1X/CdXUuNZ/sJCUw eReezF+7SkZfKZiFp4YbMGKCSGFYInXwZvyvO33U7r2ytYQ+hJGQHQh62/wNWGjywVZgl5HYXl+x +90SGFXPgKrtk+Vod0juLBaaDmg7Abn+bsemZqHwwv+mcShhBJ1r9tnIeey7ZaauMba2iSJm2PWe Ycb4pHnZOdv6FQqtKI62ltdgw2fE9uL+ccrURptkrlQQhVzry3P3xlRaP6haOWxYC7YBII7T8rC9 +7dan8jBZl5SLCesRwuhS/JhTMlNvOj1Bwbra6OdyRG4hU6og10NcBx+VyawDoITNdXywy41+Fxi mCwYsdzXuuVT/d6HxhjasO4n73Cnxhse+b+saPw0emR4EyqR4Ar/dURisKGkbUIxtQlGS+Ko/8+G VPvEeFatN9gLmg5Mf0J2tL/LdEmJcrIZRicRWNuQcUkU/m1IXYRWyQ4Oya+nlugeDxdArCq30s3s 6lgb0+3vbJ+cxUhs/te6WpZsD1LO/VkEnatiGkhMschHuYMKUCTlYvtUacnMLSPbXCE5gcbux5vU gal5lEwfjn3OAJL18OF/1ogb/i1xmxBfVpp9i55wc5ho1E3Z/ZENpHwBMjaDXstD59mMfa+XEkSO b3H2K6ynGfQ1o523fHHQoZ/xsOJD1NSlxpVQMmO4OQ8Uw3jJ0dex8pEC1bHfiiC/n4Xd9cj5WLBI KqYDNPZDg9ZVvfYhIBpyd1zW6pR1ueAKxJ4iaQFS3uyYuia/g7Nx00AsZG2JEIsOvaXqp5Cxlv2n SMNCRkM73zOX7im204kMB5VIMeyc2FkaPjHA36gybIOB0ofcl0XxDtmf7l7BOvFGdMYfDsS9/Ied 4CrB2wPkAmP8RGmLKUsnjFQIXw+5vnVR2vWOy5+IVV9mxpmGOmvpSytlaKFJ3TdiALZtxVMA/5T1 COGfog7RCt0caB0SasSaEAmgesLBZzNm3mJGhB4iMaah96YFPFkEo7X4vHQOa7o4E5Ih4ELvUtuX 2MH+VmFcoVqVJ0WNNla+0GMCeHWEcVgkMNxDHF7qFfKfyr+i4asYCoIYIysPoN+GrYxbCvasuh1f lDbRG65NCXmaWqEqLej51VrFTb4XMG3PikDuxrPGq+RKHRRZgSHo/jiEU6H+iYH81YtfjFDQeVIx X4jr5Brve3Fsrp9dX766RgTaFrWmBNPefHS2/1sx166F1izFp1pBv/5BNGxRXX9bdENUEL/6wsOl QmeHPEFOd1MN9cx4nDfXTqjKEETFLI3izP9oYLqooZsyhsasdqtBelVa11rC/TjzJ+pXByZyfh99 BzF6jIMrXr9pnMwF3QRrMYe03cg18KOLIrxpXK3bc/dkoVlWa7t4kMa6wKy1PzBkbW4RaVUXLTgy JC31yxi5LcKUF/SvMtrsN0aIObsF6xkZZ1M5SsaBL3mroGdeWkHAN/Vq6wAvhBwBbs5Isd8vKrQk aGu46ma1ZI0qgnO2Js2rnMocDX4TVELpUQ4cPQqoF5g8IaTyoBZSyUwmLFh2MlCYqx/J+LAcXTtP OWhLjuArqbzxXHHkd5NK9t9YAtmwahIEBnsg+jGhQe2qIVcpzLPwTPnetc4ifki9zsrVaVutBygq bXPECF4rsp8deKbYAFmKU5cDREKPMwAKmYJRcPoaG/pkkF+t7VlMPR2+pYtGWem56KYs0oPl9/0e 71hPxMqPYIwgXHZUtj2PdDcOX/hugLnzkdG0p92y8ABf4NNB4wuWP+vDRNzGYXd2pIB+BeWyO8li 8AQl5lxdooeDiRyJtLCrBPvmxdujMJ1M8ZEGfbnO9J/4FF2HSCUTn281v6iuXjG4+aguUbF1rFns NwPuuKNkocXvvvicIh2jrDcEGo9D5LjjBGDTxrRRCpikG9Zkw8mPfhe/NS+kXTIiGlkut0ZdMv9J OeL8qDre468wA4GKj1LJTgCOziGEFQY/JVHRHn9n8eX9ayht6xJ87VmsZhArqEKdaiQUljbNMg3I UcXBUq0aMsedka0zGsrkaW8xVxkY20PNjvhpcqc+EtJ0yTJZVmBT2HxVTTaSflpTT+aqRjsKby75 O2SWS/yvo+F9JWltJ6txg0gUthWtG7a4/RixkejDPljNcCOVIPQ3dtLUgAd81vHntBRR9zYju6+6 Q/kutTUkH9OguU1xuPQ0CM4iS5QN7uxtRmwDoWBbKfxYNyR+DIPpPgR3pDr5r3sZ4n9vUqq6/gi4 dJr9CyoW/hnk5UOS08dmE4uiVq2lUrAMXDpisANHzYGzuJqruFXEGZNGdvKa4Rd00RyWf07Hjjwl C7PLGXkSeZ6xyIAkj7wE26TX6Rocave9V4iAfCwaUQx7zWo4tmVXEIpg6wxaHYEv4pkB5tU21DhH DOJmFJ3jGID4lUUYbqHSI6feKurnmAJs5ZoEejEwmPPcYKj0sYK29PRzZ66MelFqWFNkWiuO/77P zw/r9wB+hejZBb7ZQwO6uAOy0udy8R7/wYwlHKy1+is4H3zsJIMZ6Mx9X5QRRAOO4HMki79zdAlU 3asmL8kStHZBlG64/Kf2YM4kkGcExYrV2psfJGS6cIEaJtubBlnalMYHauEK//zyI31DLWDAwSdQ MqS29BvfCYMiyISdBnLagLf5DG4bea7lxIPN6Y+tA9gcsZb4kwN+De+bpmzg30yopCBBQilQaBxY V38Q3aA43vSXyjApB1+XpVHHna9yE68TVTS1iMNMXNKee9f6GoEI2efsyqPSJAV7drDp2TYwczXW nf3FXz7D12tKu6TAozxIsjrzABq+ddN7u2vWINjeP9+6eN9aT2mAJqMXxI+8eri1DRhnPU3+z3zm EAdieWhlxgPpB4KWltL+HPl8/ChxaqObQUGoKXjhz1b3a3NsOF8jjHqEJRFYBz6ZgRPDdDzT/wdn 0Jov3HsaYoQhm8UwK8Q17wYYYkiDA8OneoZEmVAQNIHZ7dSX5Fgzgy0Gtr+ImdXybzjv0l1ilXcL IJihRDWdYMQm9AIRo14viKdCvb9U5K56EYGLKpDS9P7HG884FYewac7pfP0B05E00R/0fdQ31EgG Hkekw0GXWXLBiWE65a5cQ/T9eO9E5RxqzfP2wcAYGYxfhA13DF3Lrilsyy5MYSzhdgcoeRqDz9Ft kMtFtJ76+J6fv1LSBpubb0v5PcPCiMiAuY8VRlf6WrD7X6YXF2qK+u2lK2IFLFsEMOMohxvrUYV3 Kdn7EmpbOYcL+GbyYXklpTT5bpk/oZ2O11tyauoGEfsf7GH0WsHNAviSy41KkANtytCkpd7wFwse YdxnTu+SK5cwImtgXgwlF/LOWqpMecXNwzeTFDoJ6fRzWEIqGK7vVcHBY8dAgruCiU1YUZYMCy7k ob0LqDRUNEzvcze8sJw828l2xrU/zuH8MgY4ZQ2VuH32cjGfy7faRHs0TJmVm1VIuj3R9Kpaj9Gi faKw/2LL/zTFv2KkTtHhLbKiaZSN5BL0T2BgaxxN50fS3tsWrnMjZsr/fmYr2GSfOTWpKw9sWn5a KC+tsgyD4vc1EI+Jr0vj6d3JfXg+UtR5o9pQ6cRlgaUEybKmMtosscQpIOamNoEOQliAO9UUnx3B jestPN7/IrgZkVbFBkHh0d7hPcZq3kNRpH+xDg1ooHt9P4VRbsDBX4F+I6Iyub2OtqHEf6W/vrnw io9kuc/zdiFlNWDjO7pVyJNsHgOY0PVlzbq05hPt2Zhe11vvAWF3ZPAysqh9uSFmBn+JywiCrBax /rzSyA/6RKc+aZIR9AozBYeDqNtIkZxWU05mdsg38FcGi97EZ/GrXSyueaJsPZMgRoJuIaeZ9VAX 3+tlZFJiDPVQK5m0+KM8f26wed9AJueDhazYa9d8Af4yynihZmv44GoYl38EBWNLkqw71H/hbVjb FbHKuLwPpLAuojGgxu1sjDWqV3Ai5YR6s/4/9Axd8Gv2J0hAkS2RkLYs1eekMyYLwg6QbPRcPFQv I6M5ORz+6tFoGcWARqaTLk/R9Y9w402M+HNyXHdbnuG3orQBaB2Fw52CnzbTixDh3B9ON7bDSe4w cz61r2jVtCkK0agYirfxY4ru39WSMijWQ2kZYSzTCBGhWkzOxGzXekrCQ9FLKPhsdCfCMtgIz24j W61O5q0Ts25VDPu7no84tIG/RQjrmnQ1vN0c4RvKVeefutqUM+9zpg5bzLrjdBAqRP4YjzoUDpNl 3Lu6e7Ktce1u7rwnvJXVZUtTT4sXMDhdXdA3Qvb7Ty1Dig0Z3ciTdmllyRLVTI/e2tj5hXUcnDfT Dd28nZDHoQLaxcwEmGL/BNmM7UJjP9Ad5+EVOsuQDQ/zYJLY1Ozihbz9MBIon6CDxl9NaiFaIY3E ns6qRN87D4w8do7TluJx+Rs3XUnx467ufRtQ/jjORnq1vZRmx5imz7FzNb0k2Y4W3IcpiKGVdI/c p48VmcL8G1oqcYQERIj11ULL3srUTTyKwwHHhsYR2nALiuLZp3sPqEarvrzP9CG7EzbaRhoBpD2z 81PTfd//q8/I8M2/zQvvp54mrW76QmSjeA4ZAqRD9BAOzCy50lW4HuUzSWqPkLV92Z3T2pnDhCWR XKlmB0AtJxNyJs7i4ZQeGu2yqYrB0sACENBDG7sJ0Y+Q+klnS+SSInPiRpFZHm0JyCn1sW+kR1lt FwU9RYoaNGJ8RYa8yDCgT3a80UcK2Gb90HQ2JPbkqMGY8xL3awP943Qo6c649EIOWYgFaOdr+eWG 34TJXcETRpT+ISiPEMDg+UN/Pp/eP6TRidhzKVtTRJTwFmSExroVMusNiNvkpkN4GEnWMoxooNIh fZKGvgwQsnfEFDrm3vTdPrkrXOxrTChNezSgWjBYKfB7p0HaUnUe3lmjBaKFr2/w/+EPWw2ye6Ph jlxMZHVGWBhZTy8jESvG6HOJQbOUzlwVCidY8HXs2qWn0fqh3kYhnp+qfTJHe8gCmIb9Xg70EdKs GDjoJFvuHspHiyZqgjbn3A0onxGcOBC8BdutE/aBSUte2jicBGl+ow+f6RIejDy4nrELdzoYvrXD hcRPYUx5LC5GywBD3mCq5OhOG0wh2TnFN1MLHHM7p6ihnvSJ2jW1yG5gdkKVeXpTL9xqGu23aR2F GpNe5Du3i/DPV98HAKm/A0ohahDhsXaL29aSANpET8CnxgzmdqZix2JM461YsjS+/Xp5C3mwpvpq dM2MSkboo2eR+77pOcYt6codCoSQj12mnEaJlIORiB+Q7asDr8CbdJnhE69vDvOKQ2NqHueYdsyS Rhm3xY6IEKXTVsB0tXGqwI7c2xEexpGab0XKSWRKn/DFM/5o3p+6vZv0wVreiLgaTFQc1z/AwcoJ tSe0zlUrVtduFmmvTXWxaEKGMczPK7FfMWPEIA5SUHOtvcV6BCm5cC0rwvd1pJcliZ89zMfkIN0d L3o6QHrCXSki98mzOh1cBbkTpZI6ybqPKhe8MTz7OTSleNWOAz8tClZl0mXGi4CC72u6oyVRJYPC maz/K1oazM+RJoeHygHcUcZJp9VnhXeM/i3kRXVl6PeJwUp454ZgimNKTMYG9YadaPtk8pi6Ybvm avKnYPYs0c0DVXb3MuZUuKktt6dOkplzVTUDLNefjvJuqCam7zXmZlvQkF0ICke7X9sc7o4F8wLq DrVehuqN59HgyEtoGx87esa0xxqgEhc4gAJBFXwqMizdPI8QAcr1qgOLGw+UAS0hd5L+Dpo0ie87 bsY8nk10JOfNKln6Gv7mF9qdMdKaF2/yOwQRCitQg18VN9TIEjVnuVFWhKtNaMWhY5Y3cdUFCY+w gEvkW1xgdEHlNTphpW3phpkffYEcakCwr97xn7VN+ugexmvs1+2ubUCuedhRiT/Ai1XYR7mkcoi1 FGRxPQOb+h5Q6qCjp8NTQlPA37VoC1pOZYEpfoHZxq6pHB1JVO0kXMqzgfskjhiiCIaTcteXbNPY hwby/JwcThYiqzsbLvVSzDlSyH7HTThuwLZIyZ3tx8GbJO1Feo8Hv7loH86/mSGf4RztpIYctTbJ XAlDgF5eysLBZoXHhDY6jgO31w7Pb8B9/JCWxpg2lkTasPg0i2hNotmS9lplk1YkDp44t7A/FZFW shyYaCr+5vewhP9qTj9lF5lTKHL64n6wzSuYqCQ0VP3kEffQDnBQwCA4tTE3fPG/f6GdaRuGLqVg INtR4/34ByjuY9hL0yIJQ8NlRi6z4PQZJ+zVwacZUG79bXVT6Zp5gfj4DYsM6Rf7j3vgsSm5ItqP bFUt3k2rFsZ1RYuszTOohq7pc3bDaDbIdpwcRrwTFPhERj79uy/DvwB1s7qQ3gsMUig2/iX5pEYX al7Xefhtqk/rjdnDONfBDX34cMLX0v3NSeyRxAq1DfZ30ZjMgVspxM0NBRhHV2rYMQMUt6abOO+1 qXJw7ge15eWWDGIlI7q/aEJ5fyCxMqrKiVihtplq3k0bRp1FxSCiq8vkdEiVgE5vOUCJjLos3txt zN1BXAzoJ64mua2MqURx75er+MMEYb9rqZnkQhtbMzRWhsyem4jOlwVut/hO8VKsccNbLMcr9pUI ekxWEdXW4NWuxV9dNi05NDgbz6zwxG4w+kDKTNY87YpzQmxO0ZtQLt3t5+IxIeVJ4mtn3TTEC2CP c72AZ4w2KXhfZjNgYJmfAVGkyF74O0OyuHCfyVL3t9zt2Uyf/QyciQjyeUmVHpELVUHqo/ebbYKP 9jYc+LqU7Wx8UfLYa2UzggAV+qY2iDXFtK/QoG/JgMzkvDwZ2PwZsDl7lZ9EFxLLtyCWYwHOt+5B F2ddUxcc8unQokxeCjiXQwclctm9qTEuDUE3pD15k5UzNpV2z/2tS2PoWvsBSeLp+Bf2Lrw16as5 xJ+zq/ETO/uTXoiLflGpi543aaAmVrAKxfSdfZ0QoRh0IxcKgU3001IfEmEe1R275CP0vJll8hZv VJTiMN5OpWjS7r7lBMN7uYwPCydqwuu9XI5DDafdYAexWfBXh+mXvJMAcUoe2vRdApQ+k0+6rvZz QAnWiV1TJNm4p6koBfF0GVvpcnH29OnHmHdLH/GxLfJg1izdAUWEd/Zk9kAr2o/uW8iEGI5xHRwr stG03/UcHR1Wm9ukPmyvIXX3hC59QqVwQMKiGp1uby+LW22+GKm73KE91ExawnLWRMK37A0RR/NZ WNt1KOo9Umb5jBYEqAnAA3z7N3hTxw12bQjqXaAXWB6OE/GXP8nX/im+iYCzRFkiXbb/YKvtNtvc obIj5k1/ErLbgEmCuY+C7KsARPjTutPlQXQGw6VnAuGmAFfALIbmGYG1DgDRoOGNoMTnFW8Q8Wj3 WQyX3vRlDUH7meTqh/hH8IUQF8FI1ocr+p1bBUPT1L2zkO6qf8ubKvBl4tC8lKcMwxAPjQcAfc78 9u4hSMuaVyadf5lddjHlgqlGw10HdPwuPXSa+3BpHysPTCwer4fFKfYD1a8jyxuyGuC9yoUN71ia dlYiLLEiTCEnI1d7bXI3f/3+Cbr+RnwKOyLHRE+HyTiC/nSL4F1c+sL5QC2ayLNvzZpAUa/kjYaA 6mxRu9I99U/Gbj5gc8bz8u1jVOF3ZBTbw1v4VsLq71nQhX3wTbjXnxxH+cp4JhUQLLpVzV7wbr1B WlHj/oCVDkgMgaGwhRRjqS9WiF2KhfMG7Zp18gsulPj0pM60NcSmk70ByEkvF0NPgcMZ5B2czmaS cEE6MM0X9UzSsQ56NDqHyF+4gIPP8KBHK1SQ7YwBAf0YZDM8OVr02h6aCn0z8VD2+F3Sf7GyRy6i vVLVMMW++GZmSqS0GVgoCVvKDy06LFRd6zJtqeyHthtmMxKoYbQgzf3nHMbVgSPN7y9XVAFcSxJ1 y9cT2CXYh+QDJCPhAvI3trSfYi2mA5uNJ1JKXf9AY9MLXemjEuA71qtx6cjIxVRKt0x1mqn666Ki artmafZaS2ZytBxnBwj7b+1BWawvX6nJ7EWI7J0doaSQBqV51J7/L+Gy5fCD2CiIOUNZ2M5jJX2F X9zpFTOkDL3OfgGuaqf4CULOCc9zjlInCDmr9hQcMqyUxuY8nKrJYIVBAyGQMp7B0Hq0zjzVApj8 CRepPbf5dtW8fpijop40kdU25TbbCtOm5vgVh14szuQq53CA6739YfkDc6zzctUv0XumLj93ATFJ vNKmiQAsbgJ2ixCI/pMhIjs+aZljG9t/hT/yI6v1XFLEZNwDIl2qQXgbIN22EYnYsaKfOUAk2PSI RNOEiGcvg+rIdJeIHGtbXSMhJQhbt7/d+g9Z8HMcMfF1/4xCJxGS8t0ALG0DJVTZO9XLRXpqcVDt Hu3+6Px/oo8erBDB41M+MoohCM3oSTSHHuZ8ZN7OpNTamHwjsKaX1v1N+JbmfJBxU0BJsdoo+1Nq y7OjNaS9H6KEG18LGik0VRzuT0tDpcKTSBRWFV58LUozp8UbCcpYWMHVKHVhmpqpy5yKs1HwfQBN oz4x+D/F/cBpehEblPPf1VgxhcejHIsfsQLbsbw5A9MNuq/OM5eeopuXc333s++WYN+5EZzjx0Bc WRshVjtqlw1ywWqjyEX8yc3ouitrGH9bMp1igOfuqu4Mpw1ZzhqWolyOmEXqJZaAXqTI0TIgB3PY nyd4WnwaF5WdiOWsIewQ+qigqm9surP1jYkLAirFUrKVf4HrRZQMYGz4mfQrj+WyD3nnZY+v4fIB XDd6OHIRyI1Gw2DtX5zRO95fRCSOSX4a6wXqFM1jQgdUGv2oTQCiK5vR7pZbh9W8S2fuV9hdm50h y0LgSbnnHyb7BR1cmb95oGttSri24Os98uZFWtL2geQpPiBWF814VBanrYYWiXFY1pJ0rZY5AJEg 0g1CwdOcEDBGFt7e+W8yPnE2UbKbuNix09JWIeoiC51m2Xdx9WgdMV2aE5BErxsozvXR8M9PDe7O GNROoban1duzx4bV1PLb9DWTF6MHMsY+YnAaacTp05FtGWbGQ3naWGssb80/O5ldi04rByBvEptK 0zHzB7WA35xmKzuao8C0jYKMPhAnXU0v4ICLDzjL/uhPyGnpPyxwm8lKe4dtFxl1bFhP0AhSVkZJ JkudW02B0n0e7mT4H27TT+cNGKRHuNUzEKp5LmZc4MfDdIdGnh1e1HC8uoZcvIQce5q9oJTYBWIQ kHPCbOgavK5uktGfOLjWTFdgiRAMaojsrPiIrIJ8ZPWtU8FMW1fQ9pF2f9tTefYVA59IK+wU/8Ea D/BSbXG2W/Q68uCY/1WeXRUr5NAzUNhkk8ROZz1BxVyTU4B432A5T6GCYmSQruVqeswlFIjq64ri tKah5vlStdUx8eDzkV/TwYcJxJOkxOUYUFc+nOzAPVB4j2/QtTBFKor4nxH12SD4Vv37lly32gTX kuQqxouMgMsZGelkg4CY7KtOpP318MOA4XGdqkXzdfoDfVCHNHWLpfrOvDXRx1OybplF2QvFTHSm 4MzZXJ8f17iRigxSKz6smM2aElEvaXII6XVPQDdtlUDqkuXoy6vlpI/2YZ2CzUu4EAaY3C8h5gmz 0WbQ63jlOCWMti7a6u8ebmpmx73UbsKNgZLtpAseVUUfpS+Z+OT706QMlCcvk1vZmf0yoNvk1ixI YcjdKIseryxhKtkDcfX249uhHnQWLhVrQqNKLDjGwW+mD7mpXNAoP4pDFrsVsn9cNyWOkNjvjl8n eCvZ2v59S+xMgN34fe6lGGSumLvFeH2NkI20fMl6a5LhnPVEjapzWOYxFSCFt7pg5VK71LCg8gl4 /eXrp3P54ga3q+r5QiXibfEXarudw7HjilnNv3jW4WGu7pX7nW7bYPuVX6KWym9HbxrP6hUG1w2P JcW5/EvOa7NZ8zFI8YcYE8d537G0cSrRNSNGe2EX3tAVTVOn/58zqlW12izwVegL3ECdrYUKudvc zAqUNanDzmg/8ddEyCOv0nE3kwIE3K9dPuO0n2HDETm/HjgQDUmbHSX6ZahONlfS1aPynKnh/ZbJ WUkIKY/DHiQKM+1rw8WZbfvauAAyx29prKYOnQIf13jUuTw1UlJlYLt47AbVbowOoreDp72WitQy dwc4GCqlxdDUheFi0cNe7LUeqCADeSaSSEHXO8kiLMatjPHR4sOlIKlm978uL/1mvMZUNsd8Je2H L4WnfotZx1lEN4vQt3riPXFA8OijInqAkRGtPXUxGWR+so0EtWz+tmdCUG+++3NTjiF2ckXAc5oz fvBG5EHHg36ZRli9hAUZ1lCOUuZvDiEZLGtdnfMMODUxoPjgguJN+5xscD7Rb7ZZtvCD+xx+4ff2 OZleqYRslx9wYh6e5PMcaE7z/+dyFiDmprfD0CVz/AOHJ+PZaca1cKA+iquvspFhEgKZIta6mx/z yjVFpEXQ1AfFnFwIi+A7C8fI0MQDRVj3ND8TtRzRGjoDOBmi8nVxhtNKhvg0y1m0wUhqP9Ge+9+L 5rNSvjd5ZlfoZPhZBmEWOG1L3GbA/hIzxnPNaPWtHVx3j67qN1eQ7OxE3qEX2zpeR+O+Dx1QVEhZ XZwyEWgH6d1v7dSJrNr08MdESUgVS5VxArHXnVVezgtjz+mmsMNleBwnmJ7S0bThdUkHG1A6iPrS p3aGlxlGpJyJ7e9BQjr+adk766JsUyMLfuqkl5qsF79sQScN2SDl0NC7DUhCKxneV36DPKfB+dzE QQOkVoCihUT9eeFC0ykw7r2BdTW05mTP/DG+FfXisAYglxW16P4v3/Dbm85asq6tSXcq4JR6iYP+ i9O689I1cXXHyBrwqlqNi59zSIr7GT9urco9w0I3/TrPyzwK+268C5OtXr7FqlblF42/t6k4Z1NU Szk1nlmUHy1ZdnxFOJm0ZkDR7z4sDbl1Vd82zG3pM8AvOro/oFLSa6vPzbkwe7lO/1CgOzyEpe39 jTGO49IcIR6x/+9JZIqewS9CLCymcB4D5LtLImExwFpKhupQ2v0++wKvgDASgAoq9dZXV+DHy2MV L5vGgBUWauhAkFdUK+rmo/UNpmM2PvDeShKRnBj26cWCksAJI+EJK06kcJ2Dj4fHdxu9l3SkGIYR 6BfBtVOvZCgifVPmoOHN5LdmavG72sFQRaK8LUYNgOytIT8v3q5qgV4rGOB8aDoepji8W+h/HpeT vr2lbkfwMqzvmQlscKImC14i4kns6nYhAs4/LS5Xq/KVNeQA19E3GT59eCysec7SUvIdXfG5BLMd ntKXwvr3a4TyCvzG0aFy4MVGSKOaPNwErLuMIB9gbRkPHuTQ5YFj7rjgpRoUuxdtafVFYiZPgiki UoBZrz2qm2XvmDO4tdCgndzYzGU0EftD3DyHdBrAKLLXnBeAR2NEl+lketR3b9+94wh8WTtegjZM uQ50SrCZ5qPNC6Xgh51qwH9XoiIQayBAB2AfhyFkFykgYSXWV7wiVukcHcs86OpW8Sg4ppV97rw0 lrARtdURWeQHy22kEeoKD8w1l3PMBimxhSReoNJk7vyl54LVB8/cGPt8bJuG7L+ptumBIWxgrP12 PvwQ8aX/rhnxneM/BY50TjVdo4CElZvSd1cbIDhEwa2A3iooJbZ1+9HRhg8+bOECsPknudkOBYRC 6qe4yNLjACfZbVtMhYAUW4f8mIfjQfj4oz0siRihgxdPR7IIJXuK0H3nbodB9FyKeBrKOoa2hpGb DNr2tlPAoiGGHJACCLL0jm5Hf/F/3tPKKBShvH96Oh3tx4BTjTxIVJghI4+wat73GM7f6aGLPHGX XQq1p8dIAQZeTvzUBaXy8ncqr3TLWBkquxJs02vWJvwEXd9lbRazqiNhINNy2etiCql+774gsWH5 2AWV/7dL7STD+u+IWa3Uj4MLVP/7Q6GXrApaS1Unr/a9B7KxJ/W49trLTxsp/xROeDQlKCfFrqcq jihEF/4q9HXFy6oFAxZjlpjN0ATeT1g7FV3RM4wxFrRv8YD4MXYihzSafm+CzI71EfeeWg+c+bkd ZANGvhXpDwKvzmrh71hhxo5KvNIKl+Se9r/iM+2g6dzzsbvrNAAdeBQ3Myb8mGhmzeGjqSiIE2od WnqmKDbstCky7qXqbUf28Qg0QCNgkC3zQWSeNUwqEW6A4ueyaRsR6bPydcHLnTvUPx1eNykCLfLU s+cb9nUvV0mxMylpKJTStyZAWnycxkL2Ubk4nCKz/fBZWBSHn2uD9X/6ILfh6Ep1XAF1i/F2rlEx IoVmhzROEdTqSZ8M/EIFCXvQxAlwWhdln4ikpWdr4p4BYUWfeCW2aldDukSPwrHNMWc4Vt1doJk8 pNJBpvSdY3SHpNmeD1DJ8ptx7PrXJGAPipU4Bj+/1M4I3BKQVq1dzckSmmuWHDSBUrQidHbFyImR P6+HINJXO0nMKNQ4ymqGyMcGN2bASf5D+K47RmCgxxugRs3gwU6mkHlRlQh8p+UorLn5NBiOgAIG s2Y2iHllKt015svuLPsmb2wgIbqiF034+vzKMu+vW8rbncR27UG4HZpL80FE1Ly+g6l61F6M0YOf wId/D8VOznCjvVWYjl4WXr5A1t/Rvi8OGnOni8piseAj8brO45xvz8vKmLD4EN2BTgVC2BtZzVWd Bn09w8mKmxSFVv1Th6lALsmDtq3La1igLFwwiUiY/vn3MREVdCW3xSOtYyNrBaRhR7EFtAXYFXgf Mtxxoff5t+Tbnjk6l8HFxohOlPMPGg4FDu7t/qEByn28y25DpQMcubj4nlV0MFLBTwVy3YUKGTsE YoZLBlg0ctxUAT0r2jgbgNK+Bo5o3VBPvv5GDY6nhcz+c4lhg6Dr3cwPQQxo1QCLeoWfsGcFV0M5 G5O4IqUjvQg8xNFaul7INKYxLL4sK2uIZvbvchT2TWyQNiKM/jHmW3M6mz93sDfOalYSagrj+rOV bjzB0rXlZaCgThmA2GkHOTZGFTxa2qjzMRvx28tF/fbKWQB34CL/atX/0f3RtjlZkWFseTJKda5j QtPU/idN9zHCgNb3t8kYq/srK+Om9KlBLoIstgEVpfqh4hqoMGPP7SgmCC80c2BJidDO2aHrloO7 01VodqD+nwrLNBv7PBN4nLJDZQEFreOTIQN2wrUZydGQix7WuUGWJDkvfPocr19LDc90L9kS4c4Q CG6QDyRk40eLJI9cea9NuDCMT7I6GIXr9y7olqXXTwt5gWv13Jb1PmcM4gc+YA2fSrm4IKGLkd3W cHWpwRM3tJyeo+G7IgbH0YvIh1YuD2/0FIsf5FniWLFGxPPxoGVnRQNVnbU00RHHxDvRSIE9rjMf LjZrIx35ujvd6niN5MpmotzIlQkB+oUavNKZpb+B3/Rm2h6yyk4vRC8SQJNJU5+lf0dxenq1556d BXRjjuhxiqcbrKUDJSCMrUvfsn4ZMzWL5m257LpWNjnIt4f/68hz3MGzMIlJRCML1ker4kR4nYhH hS21m3bYpY9rCIiljYGWR7EW9peSNZDD0O3EFwTHpgvxP0pUmVjmCnCIUpmD56O2MsNgh55eisUV mi4Ddrp+onADxZc5P57kfR24tyybIJ1XEJhaahMbbp8yRHLNalmnY3ksPsYcsszwy6wrdi6y0Ouo hWQ6mFYrSnVARK87xP+sdkPeP9HizZuYBrIC7BpWstPo+O8o18ipsXg+3Anegoj3lr3UfZ3JBzt+ +4kCb0sHkGGQML8/qM/HK6rTvjXKqLjMfvQ5OvldnQjISPOi0xksi7k2KplUoIRqMUgYfoowghyZ 4nWe/hlarie7oEPZ8psPq4jSmKaZASkd5qpBbLgKNFnPP2pBhKa9LKe1LnCrdD2RKM1g3krcY9pJ gGvT60plwVEJp1ezmf2PnOxIS5o1GPnrA4GwBNZ91nU134TFot3e7SxyYfWE00o5u5wzU6AiM6Yi DKhs19oUKcIwQhEleUtOaX8IsEKDPwpcajhs7ZpsYdymmr1l2dl/mXJdLAgWKF5+V7sDT4LXPUy4 OZvSK/UGG1PjtCZmuEmXya15zOQ5AVXtlGyMR4CMmevMuOe8eH5OMB/z/FIVOJdBUGVc+ldfjxGL buoC2tcvgn8sLj4VPEE+Fj49u/XsIE5zpebf+WH3koP61ZEesinc20egq+wVYP9iAAZfoZyEvD14 SvfhdYHFR5/jL+wOZDBItp0egGlAbcDes8eHVLCmM3Oup+KcBsBdSAZVGgTWvK1QyM7aUkH5hsT4 LaOmxzQLC0Jd38XPE3uL0E2Uxw2Ps3O9bdV5ZZ483iG91FZRZTuAOJkx9r4yM3ZF2earRMHIGo+c m4Z6TJseEH0GfrrFJSYuKqjHO6WnBPvbPJHMc+dzeXaCoELxMoTogRwNvekZvz73eByGCfwCdi4U cbdsR7IeI1+El3yFImJyuGJ2JUUzpTE/mUMqV0kvFJU5jLpqUdPDVA7k5Jf+vGCFnI4VTwpx868w yZZu9gfhXVjx3YQi0h2nGdoZN+m3XUAEtWKf02ROHZz/AevlW1wnRAmIhX4nG4KK3rMBY1eGEWOA o3nPm+x434voTkVqXJSWz7ICYQnSDs5Rw81Bq5l7eBEBk4I0SiD4SQRTkh06VJh32Rcu4jCeo0mz ZaP2c7gnyif682dd4sAbGw/ql/kkWXvkxrpzE0viJRNsiQBN4/kDsNcXXt+DnjDv4DKkvMrXrgl4 YdRsTglrJi8HHZl5Eu1z+Hs2oe9faTzGLno4l3ckz1Yw9haXNlJlePnmwPplQNnxaG5hZU5MDCBm Su+9O+BRza6QTpyAXubC4QiRv+9PXXzgi6l9rB6TuUpeL1haE6xhtSuLfhFCFuH/65EPTciPAHja f+xo2TTFHnrCoQtqfBhy687G9oGznJEQO9BAPHdNzHBzPU578c/Bim6x5nV+CsNA3hjeHlBFHeEr 2h6Yr/EmT2XO4HRjRHALvGS52UQvJaXAmEyiWLHVQEtm92NfT50E3Oh9T7czCCL9ryMmjuAiq+rM jZ/9KKhvPK3lbbeIvzte/Tnv+KC6xmf8xHfzcFtPmL8u3PRnNHUFJjm1LE/hzIAmlO7q6bTJv8y8 pMxcai+W1/i0hO9gSbQ8EyX3ebxjF0FFBr4IVnuqYtIrVlAYLFVz+8jJ6Hix2D2LSLWmjx2pBu1P clB0NE228ZUtwIDIO/GsGR5YXmCGNj4iEX8guYdacPHq9VsZzSvOobDdrEVz8jxY9b+i6kfr35mJ 6qChA0ZN+ngr9nldHpWmndxiHRY0MiTP95V5nOvqaeKx/8isJr+iBiX9fVEvRXu82TzyD9X8YtYG euHZjHoheDW7F89QL9hGfoW+oBz6v6xsCo1jUWvGNVwWDKppiLJDaYSBQCJmIHoi0vSB/hMeETnj ef00irHgO3RI1PTkcI3c8vaLzEhp7jSju2siH5cxQAcSXZ4FwRHyqT9lLFBkAq8K+n0mVuN/Vr7d 58DZmC4MEQAUMnb7/JLKfVyads6NiObqAOnhNe7u+FU+WI7s3aLxXs/KN1QWJ/GnP+uhgr2dsdjf fbNLB98g3kqdSZp353FZy9YRTCvJYUMqX3MwHP2xW/s6Es8wQUh095bPKDwyYjYWiPw15qjSpR5u ssACwFCHQYOiEDn9f99r5aoMdoIb53dbbPRN5KLjfgevZbyzWBaKg69Yy2hPKoXsb/H3Gdk8fuvi dzwPBHFsOvhZJaUwIwomO+sT+E4NY7YC/Y84M2J2dd5gh6wIQhOe2l+51q02Jnf8m6eeYRMTuIsx KtNM/ursKQWlDsIoIoCbSfzEMVoM6+C/LdMsj+OCqu5B76ynbvY6SWvx1MA645zYvDq8lEvdHplc D3+Nhp+iXveNc/YRczI5TWkczq8ukfNM3TA48Z7009bB5J3N1j2+G7RRKRWp7Vooew0bxKEtz03u l7uDFBJpHvf+mjm0lnwSNrCU2YEDhuZywzRJto6wjEi3cqbC8GGFllIPT+CmR8d88X98h2zZDiZ7 D6Z/gH3t2KaGcUCMD3EPGdQsp50hLH8ul5wU2HMpj6HLb8T4aU/UB6YATzyLHDytdaRUWc7UifzW GAv7WDw49zAxKP7XNjJG4VeG7mNu86GxacUQi6VU5RZsqPHuuxcjtom1/+H/XACAsfQtp/KIv2xD m7NLFflB7Q1F7uGfKaYpw2VNl0fkW/O5BJDCLKo6jlrNpl4bQNecwZ/n0yWrTEuOrwZ3hygAI6nc ts3heYPXeNCi1tozgGCQC4oX9EohylnwJkmyWVb8T2oOCn1tSDJdayCCcLXCY0s9miHMPQoaNgp+ nL+JIdNZmIgnz/i5BhI8PnouscQZYcRlhykpLVEBs12z4gu0fXenLuEP8+PjIaWuw2z+m0hNd92p 4teC7VbXAqvDkW3Gi4PUiFBaDSD8n8Dh+WngxjwpdWgATFA1E6Xx0Pe3S/FSYhomeLavJz1PB0M+ ywj7S+ddJf9WFj55pNbV/76s3E9GJE+0YqogltJ46BqRDHV+r/Dp8BdUKdriFmSzz7+XUmgIRGZ/ dmAz2yPyHLriI9YwNqQ0ENZTP39+WMM+q48RQRHdeWWsDX0DZVcDcOCASoSdmYMqICmshhkUkcIA zu28Mf8m5Cpv6xQzzk2NFJUEaxOvT45cXvgn3GiBbJN2Rls6wXg+C4Q83wHM7RZ3k9l8yx8WysBW fsXk8Z5UXCHggxt7vEIQ18HtCn/x/hXZWPKNB+fN6RsmFrgNkFd53JciGCmGE+eg7usQwxbrUzYO tcWru1+QCF9FVitEgy4KjGP5boj+BMrYKCGdcn6dbnw8nY0POXpr0mZYWeVMUsepy7hyvKGszIJa /SHuCYUqoPhC4tSrZR6U9V/lB6m8teeNFJ0hX8QFycPuKpcp1IMl+nzEODlReyJgWwxoaMT3C8n2 fNrxfuYu1VJpQnXLZMEVJBEL84Jta9rYPD/aYp2EX6NbGeiUwLP0FMWgYV6e1w+7XadjSn73AY+R BMqvvNll+18w3KGXXQ9ASpNekP5HC2nxUm+xfGPE1FGRl43jZyT+yY8xSNeJzx3spBuak7bvP8zw nd+00on+Xb/mbquOts30Obik/hA0AAiFUxsx/i0WHTrJww+vdyDCFpnbjCLP+jQzVYY7lnXxHjZc pEw692j4mxtdy5RTR17bNQELsK8MX1zihHUqyFUNH/8aAbvQevZn8/s8Va7TaoqI1GK5qsMAXvWy CH702osF3QY5MkbInHJmmlFijKNhk7QaFC7NucrXwrBEoncT42YEVt9Zl5nq02Qoz/Jtiywa6IVP vJS5ENk/PGrH0JF9MbwlHfLAkVk6UgMbBrSXiPTvpK/aASfWpTwr61/vZaPqnT/1X4X6y4iGQpAC I70JH661Fcmh3ZQ2vWW/zjB/xmvSeBVWNk9qKfE5i44Ph8hTeyYFYvvEF1j7cC7gv0PiSM5msMER XVeMpo/t7KSjQevls/TSqLaaBRwsKYOwTW/oMd88VzC1kjX6Ya6TdMf9ab5zqND/gBYZr6Ls0Ni6 9F1URISUTF9iLVGscFA2VaJaY3kKdxclwLdYru8MVPt5zv/mZtkpZPhkbiS30xkNrs+F7WrpxLkl DYJJUWA7d1jampW4N09znPrWh8DtcaOZHVn80DyeY8oT4nbDwa2Z8iL1AdYMWUx6Wg/lXqmIrm6J vlOyAA02MTJts4TneS5F8VltSHkLYzZbB9jyFd9rPqjqYfimJ3VVke/v9cSCkCfhaMxlPr4EQYPh PRjVRgbxaI4qNqw1i+dIIOU6T0k6j8ZfU1j4q/Kt7l/Qa9jMGjF9HRwDWj69gfi2tYycK3vnW8Z0 KSBm3C/uW6JuE2eo1b0E+n6NEPhxAA5AcJskj7IKnbz826aN+XuvSrmAy/Jq9nzH2lDaAxNOfPZo F4Q8UagC2kupunOuS6gZ2DsNKxgHvAvbJ9lOBfyy208v97aq/1qFReSDyt41/3qELdS98FW6f2R3 U2+PVfBPw6QDjjiceNmoQeXrBGPP228YW8WLm2UChRAq4jrmA164BEucUtpzkF7T0aeqoTWfDg2q Dt5WSD4nXsD7XQ7Vw79JnaRKiHLjFWrw1j+GekS0H5UUkQR/ST2KfLKnhjdf+epHZu5sQjH2TSTf y3+fw72ZOTNJMKOy2p58fan97kawR379OOkudjvhesitAeD6l5b3JVOv3/06zOQ3S7EiGv4C7Ro5 LRlFofsxbbcJEYURsZQPrkQOajlVOhmgv6obIEIyI94WEQt/LKSlZrFaEWe0QEYSsiiglu7SoFIX jwiu8YclI40eqNK+OeQy+Ag8gbA1QOnkRcXB1wGulIeoWJMKUPZ1BP3f85Bz1jzuzn8nQ4rvY6V8 1BaI/6DpzFWvIpBDhzKkcx5yf+D6ho1a+Nn49hVrnkqk2E3JNUWUAmW9j1fBg49B3Zg9mDPYb35v pbkR1658/2ZtFcw9nnrBy0ehIxGep8YJxfqaV2gpMcV1XXkD6c1HQOnPm5WzxCZjRWP3UhYm9yt9 kpTCot18XP70LeuSqOeOnMa9U2qrSwvGY7kDOFOjxbCWO2eThRPwYj+hjSWePN24wWUnTxORLaHI ftvP2M5Jgd1Drez6BKXxrH1UXXvQHFeHtkkY9HB9bBCOiFuyMCuJxIsIDVUDiv4Vd124JUDliNWF Q79/CSrxIpax/qx01Ys9qixNuDmd38zL9x4JMY0y6/Ml00MIhYQJmV3+8yh1mnAsdzyHrWLIvU77 0O9yTEc0oGK8bZGEEY2mQQEudgzb93BFsM/aU6sk7cLTgONjxDf3vH5GMiNs6pSTPkAsBtpm8KFf zpndcxBaOB8PtbO1ssv9UQLelfWiV6aEEzbfOu0Z3eS8e/ulNrm8AA5NM2tJIjFD5aPpUIbtSyx9 jJRSXwEsiPC6JS0e7whTecvpddGx+5oxqk8Fo511PpqHbhOmANQb1ctKY+7SlgWn3D2ShSLU8Jti rLd/S6ffK6s8U3Jm3lTBC0mUSOwpXlcnKbPSGOSHL8PsMS1EG0P4Js/X0EQBRUxMHXsQdcG716Uw g/4hqrrKSSNEpAVD+EQ1DG8wmOP7TA1OzkdCj0fAESPVO4uTaEX7Q4b6uRBYXdY21CnPzDNUEXc5 oGhxibli1PfLpjQJzXjvba5EnNem+p1TVN4/nQhWCZI4g2InSfRJn+fHshEry6pvuf42TQuD4PRI dvidZ9XyGqnQqDbOekM1g5GXINjw9Qd5d8Nxa68XhFh4GBDcpA5OgFzm3yOy2IfrIOZQ7V0ELsaX BG9pufhxOAXQy+BKF0tGlf42vPMKm54+Jb7rN4BayiwfzXHXxURj177xzKlvLPzcckjbk5cnCTTa k1EWeaJt58DtRpS0KFMomrU5zZh32F4DEanrsvQRCUY1dJ61LpS/PFtIiegbTVAlu9sW/l4uB0VB Heq+wXkbgWuI6UjTHlBVOBvUepNJH8x6owkprMry8XxUg7eu/5IyKHcRVyabEIIXyd8/MHifSAYU I9D/xQtlAcz5kYp00/ZmVhtbYv+1F+4gWEmzi1Z4oP/uWO8g0TUF56uPiVQtD1oLUqk+nr7nhdNR /4EnkkMbnr39mlxPfCo5PMb1AuEdUVaYovVWSykaT85lGnsv5tz6zdl0QcZaJljPaCLeHuACHbat YvzodiaEnMCIqRe1DvjHkVZzgxFhtXykNp39CHGcMTSmt9KuprVEtL906PGGzqRiEdZI/j954p40 bZSZQzSCUzSMtn11eIoHcZRidLe5n+Z3I7BcKfh6Z8nmmNBAhmh1JOq8sySYk3mQL3bQjOj7mlZO EGGjweuL56nJmlNMWpUsaWp0cBH0H7k729p0pvU8BNU7saFaDULCL5jwIUIP5SkIn1uXdYhb9Zys 676JuFhh+PqI3NGYCrVx22ro05jl05sBRflJXrMcPrhhLMemNNs/3hEsOfgtEth1mgQ3GJdI6Ev8 sHs/k0VB/LywvXh31QZdLxofNcA9F4RWMh5Z5zR6hEmHtp3J5XqWCktiuOVWFpbazcPg95oHIFZx /35i7vviP/6szMGQIjqQ4QnluaCUGi1U0U94tVLNPpd1Rnxov5SH+eJRc+IdDC0JyMt6Y32kW4Zi 5n7PbHSHpEIvbx9qLTXTVvYfLwKuPBYiT7P4QoUX8GiptrCo+8oAweKNASLlRk6MMVHkoK6ZK102 h51YDAaAzqSuqStG8TdnE0zra3N/lFw2O2ZCQGa8tve6bdIRcFiDTCSSZieLUo9c07zYMH4Ukcst ii6p6Cn+mU+PHMtishwgRlBvMqrm5EcRnHoT9JWuRNTtZ5Ls0ixk1Rss0R96/VwPsDT69yAg7oEP nOGes0VIQUp/pYab0jfJtAzztsx26jdBm0wZV1G+xbcZf2/2AYymie5ewzcFYwdiQlRr3ZUffYeL JTejsse5XVnV3YCe2KvLQtsFiKOE1jxQ+j6gKM3CXZN7UwtFYmS6oB4Ss9Dnam47FQMjXMPJXYYn PM6e8s1xQ1afyiJygyfPuEzfoaeYgGElXy268svspaKPZG/2hMzzQS38pcbpiFzqYp89pvwi1zbU HIeB2deL8YxVjiDWuTmtk1iCFOs7+w4xM/+qKQVp8CeVfHTSi0rIZKLoaSS7SNCc5ainWNuVYc9L Y3YtD4hLiJfp8lfwmOlO56BxBXAx4OG8zlZRGXBff4/ljdsHlevsdgGjslpU8m0HQW2Bz15dmMOa JEw/jF5invQaeRccUxTlAD7BMfcUMRgi+mxWLqOXxPD1MDncwfju5/rNFPamxBnHGTXadt1EQjRB U27fy58uffVFjADfdWQ/mt29WzCOKjQ6R9VzHNLIg1crmFTB2lSiaejn0xE8HeRN+jHlGJL8S2Af A0ybAJy9NQxA/0kkTCjlw3tCkAgR1tmELkMgXh0bXr6hfSNdsIIRqy8wHtRFRKi/2X1VJsNqmVyb Suu7wRqO8RwI21UJNW43vo/mtFbb7I00PmvbghJCx3E0P1AHsCadlifnDC/clGHQ2lb8gvilMmb5 OLwijZUWoFkBt3mofFO7YkU9nH/GHJCdt7Ed1Z7I0GQ95GJx/5HYo2bf1Gjt/feim/Vsepr2RKLs us+5ssq6oZjebfExo7OdIhtUUW2AOL9p8Ptqw9kEOtnzwH+9gGZ8QKkgUY8vJ65gRC7Keb9DQ1NR HCE5soAi/V3Mg4Vu6I9fDYiBMutBvHIR8NlBOd+L19aoPtrLp9Bdjz43FzUZKVAkhTRE5Uzc7CWg z81wvEHED36qbWbpniNiCQTlHqJWF7ejIHYOlkZahYUZE+w8LxpUGra1+vVD4bPFnBxYOqs8jcKb nmK1wS7mj+pfBuLSV+SY65XTMhkExBU9oCtDRG7RdpPbivriYbhlA2S1lYp5JcztJ1Ri2esJTv9x fGIswEbER4PtaJR9o2RbmliQbdfguXUfQ7hEKCHQbI/lWEIDm0xpDOTXkgDNrk2FxPcyWGcS4A7R k0g27f0UfFsdv/BVcgFS52grTTJePpVlcbzLsIXyyCJmQM8AIeyhvvCLaeSpJJ7/M0DHalrVY35O pvrPS20EjrYwQRdldtV1g+CFG5sYKYKE4dOsr9PJVXa9QrOGcZaw7xboSB22ZYyvnw+POvRSXAA1 yO2doVxzgHZxlUAQC4boBLn2HyfbuuoIe+fzZ2p9lH2JiCDMrhN2gGwWIx48kbw/9FkdKx18swQJ kFMM1AJ+fnbLLp/YKzKEYMd12ZmpMKrLDK5Jxx91zcEI+F43Eghd11Dq2VBikC5KZApGwfNmtUdq t+kFZryT/oTaPKyzrKO8cdVus4FHtokoR31mxU26dzzmhsv3AyY3nsiB9YJuwWYYZLGHJEvjr71D 4L/uSPHRTaF8G/tSK5jgfhErRgSvXzUufOVqiXin1o6By9ryEHSIFKDRmnsBvsf07aUx0sKtzBYy K1HI8uzoJbfyJGX4rqyfVPJD/7YxPBOIctxw7hcjO6N6j19S/TJ11pVC4TnphJ3sYidqTms3P+CA uq8VmzSdkTiMXISHBMfA5ME/NXCe1OChSpQfT4QI2zC8Kw8ctlAIgQVWEtH2hAMjv+o58yFWtdHJ KincvBo+fydegzQB7dkt6q28nTKZhY5svnjeVUl9H6L/LqxwKvcbQFml/Tll0pTVsX9NUABQ48D3 RPyOdcO8kYlNx2cy50F2CSw8N6jnovHyf37jMcfcHXCOkTmig5zM+AIX979289vhn/H5/0lgJZql pswMWjpghxDKX1jBcFDPvTU5VwuraGxq12LkbplRdfSWvgmFT3ffQ23QuJdo6VmBq7qGwnvVDKYm 7qMNh5q2/IMz0M+PXYFsiyl8ZBqU4RwNfa4771sWTI2XtU83tezxaiQMqBIq4mISYrXu/W9HkFy0 r9XbdrqkusjPiu8Hz5EsqKyeQb5FnAD7ZuK7gFvwYUo04uLRmWUQ5R7sauEXy/8/JJXHBaN9FKFI YjvCZPkcQjO2z8ygMLjsQefd5MzV3KbuK1zaloXe25sx951yCCcDoEICKCs7PrlhqACyiv8fbYnc zfQvD2SmITiC1t6d6CBrcX2DMRJ22HLl8ZOXNeBXhQPnalTbT6iUprE5KVNPfW0EXOdbinnCVXlI /gSdVvSP4yid4zaSzYQq5TT4okmW7uRTNuyG/dbttjswPlUDmibcGK7gmUXv/iPe2GjXoQ1UuVsu jnc9SyOFz91g5Xk14X8q1eNU9AZBuAx+KwpywVuftkjetM0GdbgVxtp+VtTXLsXMXkkPve1n8XkC wUoHPl7hf+lMPercFxawX6Wp90kE9tzA2bdkzJYIczrYTYMcJOv3oeImQFZeTJOi0GjW/NQTFd5z 23BAidAhtrmOEtmAPiPA8PnN85Wwylu+zGtULW5VXcCbtHDFQm3jrAhBeuxdAGOkHLpPrKxwh6HD 8iCy5xFclLMW0Ke8r7cI/iTumbQvgCRnDGrSVU0MGnRajRbERdc2RSuYXwxgPWP8F4mpJjrdPNol 2Rkzp7WSvTKJ3UvU4ZPa7ND/IoQo2l6m+zfT3J0JM+uUFECR5T/Xt2phwNd2dY+NKMdaWNggZgEb n0A0cPWPI+e59KC1m/33FI/rfsI7sB8h/rHeyAokPC6vIs0lk39OlU9p0PT2EwwabU6OU4WXgl0j INxHs1blZDRp/BusmumXiOtg+aIno7UlrIThwAfjz5NLnz0nj5ebsvNf/sxD/VB1viurHgMmQImX cLINP4avAmn2AigoYolaqqwozkPsDvhuSaDDMHkgnQl555icgzUPNbFRP0COjcqRBwqi2eanqsbu sEInwToaVyBnIScc8YFuwmPfouycacQcvgb3m67XOd64ubdcP/TGrD0O340l0AkAai7ucPAZL/h+ 9aAfMkNb3x3zRjDpCMnVgpezbwBj4bp9WQFB0unX7S00HBeRQL+I7lrE0OXXkifYk+CaNtiegJAc 0jVdSEgRDhb9RwAFJoAKSqIo4yOkZslc/H3j77tE/lnzsaItyXpCiWyaDY4LpUu4GZlKkIIHbDGH PErNpNaoTmYFDLd86OBz3iaP9gXHK/m38uBygZoqPBLP+Y3ft9LUZsLkCd69XT1HYWd9KDHdBDNB v2L3sWDnv9hRKtIKVrap2H6C+N5Zqv3DgwuzA4WIEFGTiqycQnlqRx1vG+g6Am3Jl0+Nn6VdOm7V BAe+ndbTPO4FEtdmdoWNWXWoaPnWyBv/2jIP6Z5j8NNpui8hnY29XDtxm4vvxm6+sDLj5ZuH1ofS HNs8JGX7in1aOLOqQ1miDJsO4thEsQ80Fm1p2DH0GSFu0TrOZnmiLrs+05ftl0Rhs0DgpExx9cp/ 7kMXaccYAs9I2nwrgzUqgePQjGsLjA79xH0GKKdokWbetJ86nXzgIxKcutD1bMiPqBB/gP/Yu7I5 c36aiyPkMCF5N+nG1dAMM+yjxCpQXJJNPjfCD47ojx4nStwG8uG8stQ3uY3olJHfdqxO1DTW38gC qWSmzYLEGUKi6xA6tNNeTLVUxMIs04ir9GBBowXf8zWMHiGOlUXAlri6Q4YU8QxJX0nSuNK9iyHr /A4bg5ef1yzN42JW5c8UCYVwSVu39Ovco2+QQoonTOYkjv3PCvDoW1idD7sRoh01ozBtMsLsm2q4 PAku7J6bH3kMQPCJpxxl3OC4jZYr/0rGYxkpo3fxCdhllgMb+ITH9Iq+q/dSN1UEjC7D/IOLRXRV JdjdMKb9EWMQ+GjJXyCoHSHRwh+vdCyIWdentbD8SmswinbhSqmORj6+taZeaByAcVqxtcDU4Ijl Q7pEX8kf3cvnPrVmthxYWhOgm96AymWkJz99qwcryadOPWZEjNa7cnnQl7z3lkMZiNGXh8MI8sXn iw9udXE+f75hrQ1Jte6ohZLG29U/5xutgSQ82bmiIwAyZrhFhZPFZxog6v6VJ83rEMHZi15YqCvj yxUeMtpGn0hZJo0kh0Ec55SXWIyzJVKjzqxqCFiJeJsLNqwU9IV5wWIoRdee4PRq0NVK5Z7AJtL+ NTKJVF6qHW/dhoOTgcnwOEWnRO6deCWaXmtl1+IXMSMWO/YVx8F4qPoUP4eY58suW1GE2fzmQ+/B 1yYojpS4DGkBKkPNW0qwusBwsFk0ciXBHm1LeV3dZNTHcXy+ZJSYozA2A5aMfWcSdHOkfbmHrWY5 odTNg/9XcaX5vjZ0oTGfC1nyO94EsHGcry0xnfgGEEEsIlImkEM4DBYTvgFI1hdRZgVrfN/6NZVx AoXKsfKI1zrbyCEga+T/Q0YdBJrumsFTx3Z3OIGzT9qAmnpJKfxF+/7BA1XBXlN080oqebGn0DEL WiPMgCLNOaK8qKBnnRsJUdy0u2RNfYKfLvjFD88CYv0NtKeBqtM9lesUsGOj1r6TzK392nI7QfaX AYTYbIuiX+KGlMISCaRU5leIrPFaDKNaiw7lUGEFDYCSrmjvM5Z0M8kmvyhzFoCZ2ZaWMzRL/tgv tQyEHvK953SJFWKSpiTmPmsDPzytY3cfRPT17Gneg4IbCGcLXQfFn1dZkW1jq5K0W8RV35HD3Ts8 Fkbx7TtBe/sGGm3vSoWFUCHXJVOXpU3dppg5JHnm58oK2Gh0v5B/udpSxQ8yPZtSSCKNww7RjXaS aoi86D+jpdmuKSgVoK7pI1QoX1Df2NpCSD6T8EuDu1BdpdIsrGMgRnvS+TdAH6n83E0deCAHbxXO vVgV8lUttlqmtKhQMDFx4hbDJlHUS31newVF3xyMzGHVAxg04frGK3EwT3th7OPyGbWePNhyPEEz GIGcBup2PGBesPqLgiZ9z/Byde35fpQ06/wKsCE8zFBbchqkWsfCjhT9ZEjD7357xSs0Ig+eFcp+ kznjo/u4//jBvl4HAqH8Pte1RXLIqSjJ45U8AGU/OLKv1dK7irVZWKEognUV3JABOPfV2Gdw8zmu CvWxufwexHUFPmzjqkfU+Dq9ShivIWcNFk5l7ihkkGyBb4RtXCounZnEPPDUrHqrH4nMmw8qtmSI iSMJbgrIuE1F2m53Z1EP6etW3g8cI2iU6R0x0kXg8Dxme5oqoizKwfF4mu3wJG4dJHnJH7tdlNQL M6heCCIYzoaZLKKELR3foIGzjH63znCEWyE4sLovfh3sLDQwIpKh2BuMqjauIU5+K0daWzu9q+wu gQPrVMQBSSLebu03TCOQkSCuxaBjPUFt5lSOJ6U6cGH63pT3XAEU54DoFDTYQ2Uo87oSS27Jpf6Q GSV1sDlC7slPpQvJWm+FS/rEtQSUVvhiLoUWKBjWUwjw0KMhX8/iVzY+pP0lXE9E3dzPj35JHY5Q 5BtBNjZhbO3boOHMKHFb8BwVRZ5iDAeYSe0/MksZv9jY2qVJ3Rxom1y1TfzJH5Asty7xDmPqCVMU xkM9gux/qwXSzDVLqwAukr8wkNS7YTWm11SWUeemg9eqIa00h2RrbEHmEGZOLQl7sAcCSzL/+5Gd xFCjXqRSz/7gLnM+sdtLLfnCk+j4A/X8Du7eVNwBqQsLw3drJq0MQURH7AIKNhF+72Nb0EJH+UXK TCqEzr3Fc56ZswIYpXPPf1yTcbXhOOgJg8C92pHOpijmSn4nV+tWsLZWqRVev7Ie5Pq4KYO28ZwC +xybMml9wsbNfX9xDJsHYq8F1XLunrkwqMjnncHJUyEI6xgA/PUlCVNHYfB5+3OC7d1zNJqHWaSz 91siqOIsnA3MSfEXRpSPwpWRtYPqO42/zMUPXzaBgw7fYW8ocX3aMzxppVZbRyATEySekcIWcKb3 Z5DEAuUEPgeztxYCm0doqGfKcdkM0xxHrLAB5EO4IIcX/2MS3RIcTrOoWwsNe8fbxDg+Ch9393d+ w2S3uyvszR6zqD7+y2NfjxGssDbY5CJph9sAjHy1e9L2StrXi36yZIFAD4fqOP0RkM6t+78/GHR9 ybX+laQNsXuF8qQZo0LgaSpqYYCexd8GZZJFqBq6gOg/0rlyRcXAklP1nREXpNR/Qv89HLzYwVYi 4Uuo5TtMXA3Vyytfsh2GY0LLnRmz5A1of9dhy+SnezZluzW2u6gnVTFCGuA9m3+y8F6xDkEMbmP+ hkJ0UTeZhISqYk1sArgn6IgtPw2BZTnrrC3IgrES0rGZLmVhW4bLKIjFsy86TN2Z9cfZdo1L/NPk bZbPPbc8ynvRxvgG5mppZeMEWmlvzpTGI/SYqua7et2Sadljo7smaBwSHAd2SWZyP2balMnxOWJl 0ypAhxS9qM/MnmIw+ARQLP5uOIP8xbNXrQch2P07Lwli+bm17MeRFoYZse5lmZ2n24rB/DUKq0nu DYeSXi7Ts9lBwqEQ6a9TaubbxttwSJk3dmzMr+YgvTctDPv3tMEnnk9f1piPfFDBqigtDbtkSUA5 15iK1OnS0JygHzVmcJkQMWpuMrB/tbDP23s8D871CIXGWLEF2IvAgEIc2xWpJXUB+Jfo4bu6aEaY IAYLjZK/H0cgTNSEY0eicPE9SrY7UF+8B/V4HajptyjWCQNpMSr3leSVqgpyNrQG9q7ZUZjYsnUV eLdVoQdeqjyr0y4lN7l0WUkqoOwzc8YTy0vCAuW0clhogcKm2wnm8rM9VpxtDEolSpQRT8IMKE5a KcO9vr0E808nb4kM883BWE41D6gSiowxNRLtpU9bmCw4ZFed3BLXUjukXYbeTB5rIg+K5rKMKZKJ 4RL6vbU263cX2VJ4KLj4cQ2JmqWd/v4dltIHuOPDq93XGARoDsio5UUPW76LpUPT3t+aRqW8sHl1 lTkIWvulMpSUyoKHQpmViNmojcovsS+3wcEQUJRiZ6Gw8bWa7/8ptRHVXnWrKQO9rwfQHwUc9pL6 ptr5jCiuxD0x0cgAxi6FCXcAoDUKdw7O1gD64nX1+C5TGjHjELVvqRt2LpJiBmJEf8A4mMRKn7Qv itXxGwYRxIRg0vmFuTltrdwC4aUTE+c39vaLJn4ijQ2takVehAevItdE8GgsiXEC0ntpE+gpRY4S Ym/5UVr06EtZOcaMC0i9iRSSVjMdwQAidODMLo9+25amE6z4SVgYOH4PNpDedj7Sy4uNDmoan2Xb 8ZXSlaygl94lugpmLx9JPAI61+H9RP5wMoOSXMsZpdxlx4bQ8WXTkqa6qZTjQ2JgidFJbNXkC5xE WoSP3wk/q9C9QetTh18MP263udOIDS4lPv92MUdYXH+/D3mIOdmxYlgGpO+pXurVABa9NSEK0NDl 40wwzrNAybd0vV7IMoS5QfiqRSQN85mn6BV/cqPcG81OVcq8SeKPLiNvqhCv2l4azb9NFaMMLlrS H+2nZxo8wN2JmmNwy7i9Y+XTWMpvTvpJkVMX7MUDRUFCsRgS/nTio5ysE9puFpoJ2vjEcAiB25oM U1eTEos2/4Ia/CtU1aj8E6FSCyzFnS9iq38NB0OJK8kEDElwyuiA3/+SP7EiCpfadGp9aaZqCOG9 w7nkw/9+sGIpXrplf79SOBNYjmflRf7rUcQDeoOaVVpXzJ72sk6fbkb1uZgawiQcfnUY7PT2OchU pN3BDg+9lE/ABtJHSGboREhyv+ZsKOi+M6I2WErpuSSDJ+jppVohKhZ/zGtxvJJ0rNb6kBrv3ZZq USEY50CS9Ovrq1ZlyG7fslcXACkJ1CoRWVjykVi70y5Mfxe0qP98C4QFdo6TW+UtlGeTPA7mnTzM D/Tfr1nclmaVkam7zzLtM/E3dhecg+/dPh+5bZOqoUdNAfp+pgZphvAgGg5pSV5ll8dfkIKsA+oy HUkTkcmet3EQIBjxDKEms+xtZwJ4X1e/FbfLhL0M7DhD9KiJrkuM+NJve9vBDn5pHQeu896vPkjK kUoNiy+iLgiqItklCTFkzAcG5Cg8plFtuidqG6T27c9CQ/Ou/Bxj+sK8tijHQGZFEVhVOyNn2QVp enFD7qO+LimK8NORn0AIpYFqLiGlUKEdTdfNH1KcVl3PGzzEZCVFNKlxiUPtV7Igp+WOTzjkNvDI V59CqCIv45z39tPADGcJ2cjoGhmEBD2uDRu2uyYgV7wKvY+NoIL/CEvtVXuntYXdC1Qk6llryAhr HS0kgk8IzWn6eec73h3C0UESaVlCafoXnNORF2ygeVyx0jEvjxEkqk5hK/U6K8BO565k/BCzkaeF JbQMCttyUjGgLemZl9jH+DoMbBY0Sqt69mqXuc4WpnxF/1u8wAAiGdLBftv1yYWG77Eq0xmtb+Xh Oyx7vshiygbG88SJ5uEhls4gujKZV1xoTOvRL5UW5oG7Knl/ILdcYVVcF1zq3uPCU5r+frOOpyGd aQS/9bt+euJeLS4OrM5b7eGl5lSEMxxpY9zc/CDBd8iT4jQ4GIe67aoLpbaXWHtBsgVI2spgidrv Mk5seVnrHXSPzgR2hddMBgxij/kkBy5Q/k/g3dsE2neuQNw7EfevGSIUem6gZTaln2z95D7t4+0v ejx0yIqKam8/1ugNEBUskyKIfW5qlp3g4HtoLvJMOwzOYCmdFlXBCMWh0JV87efbCI/YIRUcuX/v E7bT4sus4aRwHyAaWvRJnjNtOnWZbPbkxOfI844CpyTmCnxWfTBln/pYOPska1+s5ONH/2Jx3j1z 8E48OmJ7nTEZxMwH3t6WdTnLVZKVHMDs0nTVVVS49hkRoAEyIZZyV1drU89PBhQ9UHVcyT8q9tWA 67n2QrH5H6SpCYHfbDA6+CGy+6N3lCpdiO/N0eCOwQSLGh70WaAA1xB8fpDHqtbWGWsH2T8JyYLg xPaYQ6sQm5oq+aFV9P9H7zoIMlTA3W0gvA4r//s5NkvZ67kF9eUZmG40FfyRBqDt1NbkJPysUdae msCc5RknoNyHZlTdyiomLDSlTvFfZcv0+l/W4eOx9+UTwTeMh+AHvvznQE2N8d9kGcaSmJIYKU81 6XwsizhFJuhZaXty+i0oWAlg7Muv4x+8nKzOfRSOgjFdd42tWQW8jChJkFg0bGEeF1NMUkrel9Gg 8uiAuadSnUClVkUlyA5AvDoTOn6XfFK7LA793MSF938kDc42BcFxGoUh9lRb0OmJEFBntPAOfOPV xoGUyVBRjP7Dj5+U60Z3D4caqYWaS5an5boI5kVEuzIfKDVzSpPfGxSEw+LFHhbXxekHXoe+QZwW +6zcxXAr0M5do3WmIV1QNhJ2fkcKXUsqPNYhfvi5197ATxy0qlImkLmd68/k5pkFXI35VcaqyFw3 5usJicbaPym0KCt9jWY+YcKHoCIcESRGwdx1SI0OHNzo/0duHWwY8LE+pWWqQGw8+yvrUUvayApW lUg5sACcGafQLe64RjZjvWwapVg1ip8llrTMoHcEFggSiWPY2Q2tRR/TyZ0zp6IkazPuwQpcs9h9 NNbeGgcnL+Qo9QHMEKlnBcBajKrS+sEyEooRuNjOxOc/yeQ3TNXVLeqPQGhYE8W5Dk527ijZr1lq d7g/yGto4ZPnYMvhRp5mr6hRkZJ7CYcX3nAzwxHjbRLg6bQ30XRLefduNUFCmljpvQZAJKWDy6+2 Y1eE3S+gT20h2XfAUqsPqkAv8rICWdfo6tkJoJ8gRm6XVkuaReiPX06GLuuENDxqRx2ZumKxtw4/ m9Q+tBMSPRrw/LRdEC6xCKsvBhARTNmG/Z+4oXtb6zJegKdSAOElJ16+DcjyMoXyEhPkNzbBzjJW inAZR1K1dP1OFGuyV5+2wmW8dLDF6su2fnF/MYKW8yAjwP5gfailL8jQH0YgdCLP1UivDI4IBEDD 2SAhEoJP6yDKXiFwSQORt0meiKMO7lenb5DqO6jNJve5gyiY43OCT9uyZMc7Ft1Zff4yGQN1dgnJ x6VrUYStbB6lC8lVdsSNQ4c6iD9QcK/wrOa7cS+1QIV22oBT+P+2TR7JfvjyatE6X0ZIgRhMe+I5 uqlAisvE3iVsc8KueU1bdGoP5pnCvXTm/vxqQ2775aJ2DUk9p0V3swFS+45NfZIDmkNFUE5QnTaL pTkyb3+WLT/LEPNVGjD6CJthoHFJTDR9Wx/pblBfJZ9TUXk/55euZg0/F+ITSJaGKPkDa2ijPLX4 xwGukAAsF6KIuzFp4NpCeu/ZVRCAb5W/P4Npi6Hx+Lwqs5wWhHbcGUW3MACpw2U/2nGtxpmhXCwy f8pkfWYqfzL9elY0oQRIAoJx8cz3ojIXBMyTwjlKnzaBJ2Hcj0a746mfBoN3T7fnwQMJhaFAEY9V Heu3x92/YtGdQMZX1LiIinz7M0Y3AKLUXqU344EAoxmoqlNbFq2Fh9OjRgo3otLiALi3VUCn1jIi lpTsRVUdN48j1oxLOlg6GDE5ZU5/JNv971QblkEcXz9PKsb2qNaFiJ8guiIhm7qrmV1bJvknwt78 MqwX1hUe0AHavtKRqANlrzAsUtq7sYOwUYkcb5nF/lkiPWrcjdsFSOBo0nGBNaELwbHnL5tdVw/g nslNN5PNXo5FA3FNujuScFonoUec7pqLKkkjgDuLihOqOfpovmEj5stHTppu0XX5Ri7dgqiE8PXE 39ALheTAROZ4AvfZWhgws0E097lqnapplbmW5xAAffBt3zEcWH3IhLX7iuVl5l4IuHeip3vGmrR2 ziQenwLQnbU5GKyruWROqAIlCWefkCDfTE/9ktW0hkmxrtUujkfLv7D6koHUUk4tOXSIoLleMNtj pCXcVJmHlDNyvzNrekfwR+yqWJQyq6aunDxI/p46W1XjKjvOpEm4OqWCLkaJsSexj2s2fqzmhS0j S9y4JAyIPASyc63WMvVXSi/jwFtDmktVG4LuHR6INTelE9Z7i6XIYLvYPFpnlpEtDJwe/NNNClW6 ELeBZYXkJtlytCIRwZ0oYFM2m3+vdab45bzEH5N4B1OJWIE9POfIwa1InZhEzpcRBCMDeZ/JU6p7 +3JD2iUYM2/buZdqDrhG1Gk8IS36GzUwbhueipV4BOb09xlgezb/pTkc8QHLEq8n4EXLzb0yCmuX TrHxfbrruQ82OtqDvHnFsB5gXjqYs+X2lblWyJKE2b469Sj6dYUg62coxDXVLoRiY9qD2sit4yJD ANyw3dUu2qAV/I3hx+B3EnnH82bTQlcV/FrN3tLmh9x6JuqqJAEDJZLoQUyURp1/CbfOvhGR47iB 8zUmNlv2ZnaJyHsXrxUWruBie+UJW2JFDybnKQrU28ILd86nCJ/1/1gUNNNVV5BsLhlSOPH7XI3D zPaCxFndxt6gaE+iPjTYj5nyupSgmfI5krmKkoRvzDS/x6dWb5T4pSq5rrYmKbWyGblWAqhZWAlz +X2y1p4LERY8N+x+Vna7MjNY2YxJe16qfB+PVbmsShMzzt84Q1OhjxbwBlf/JgK2OintgDxRCEP/ hffw2BzhEFBIT17uGEksVWQCSw7/czCJ5W7/WgXEsFvgbyTJCMhHm2p0+Z2czt7V4t4Co2kJZN6K +72tt+94rsSYMQIoMYruZAU0g7R4NXPGBDn63Zo8RjYiIjTnWu6AoPGsHq+bIwW/xN3ff7/Pj0ou 1rVvcE2KEZVBSS9SScw2SfNqIICwu79RlbzTBFq+M2INLUXD6SgZ4K3PKb9udZHzW7e3nZJ2NHcj pASPG1/Gp7KvG5o3NqY6yeVFWOCzLXxDV9YwwnKAgpXVmeT2uOZjQ5GOyUk2fX9sGtto8+6V715t C3dxSA9+vXPxz3lnKpHpVyIAOAluW8ORzHsi76Rba+wP8bYbCnna12i2WkVBNAhwTJynYSZJF7nR I1aYAwDKbBFsd3H8ssTPZyva/+/yLCCPo6Jnq0wB1cbk673MEqFn7R85k69in1mXnKsEWb0XxJ1C z0YebfuK2Ow20nNKholroLvTJQrE1UnZU5x/y9snm9f43GGhUNwODnAMJjCnm4AksVg8r0Chxb08 zawf36WW2cKWDudkgWXd53+aWo6bQMHJAqLjT0N1Z47QX1hJNArlXQNYNN9wgpbMwL1naLJgd/vY eM9offR4gFa3mC0YgB+u+QSqqxCsVUHeUYayrgmDiGI5rLoGckbYw3QbZggC39k+lkEv3qZxmOkX sgoOl/q04+dYXxFsG147Zl++yrXX/ZPdlH+n08Jx+5omC9R7+FI8Fzj7OyGagAJ6CbuXlHQ0wLsL HqCR6pCOUi/p/6xpXNOaGDZaJQG2Z6YWU5IWh06BVBkG5ODsRp4gDeubiqhG1RrRqokbMKzeOgJ/ iVRKn8T/JAFT85hfD7SbAPb+8aqUGE11sxXEJziCTJZ5Qlpsbf/lWfxFbH0Lno8Sa6jS3jGOBj04 14vOPETeqJLYA149Atksis8tETPLn31Y0HvDRNRiDSeIIhDxsxTBdBTX3sFij5WwnfOVh+rhlwEd TCb/asYFd+8e1xDSw5kS2tg1sjc5XoyRFqcCCIEYZ3nYquh7nVTimGORO6l5efm73Cuzs5bYaa5C 2CX8D0d+RsEoz7DGlBNdCweXbH7OQxUFcLgBPTXfNBU5+sJIPS9oKr2nn2pU/pBV2onuKslugJsI YCENtcMVBvb5kUUIdqds1oV6mCMzYkdt6rYiLo8fiFIpwcKY86bIcqNWTjyNGPdVrRKSAktbrHAL ang3fNXw49eKr3FRkc0JyOIVeAvEKak306WD1Krm7h0WHDLrk6fjO8OqV/HBzoVc2oLyoFgdH5Fs uuHv1bEnMjHDajiNFM8wdWxME3bAxHknpSKaPvYIQDdHrsSw1tvasfj03QL1uqCp2OPbt9+MytJM EzcnWA3qr2aZ5mqsYD8q1datg3p+q0KCjVVHg8/SNISX3W+POhUumgm+Gh6pispQKObuTv/CWtOo Nyy2KDAbJpOuzYDoipcDXgpqEut1Pg0vRJiRKyQjvraMV0iysPNZrabmz3H1OXLAwxnhSzuUTzUV 8xPU6iCkQhvGrHN5fXMnnUTW0YuI7Izm+JsYinu75fFS4mxb7M3IVtyRBSQyrjnm3YfhloDdBN1r CTTRy5s10Y3ikHKuKDupdxi7gv1yadPY6TUIC9KR2eVHnuI05e0Pr0uI907JForMlY5Vaxgbjwk5 YVoOUbnLMae8RBmTSm67/LvpcSKy5XvAgLuxVI+OyR2g2CwsgNFZen792hazzvHdXWd/x3sPWg8N tNJ1bvalEF1XLwDhUnEEVYJ2oN/Hv4J+88hEip7kZhFr4cD2PG1qvbLwdG+I6C6pfYdU7awRMLsY gH8eRTtKDxtGOAeQs1c8aYZoYVh589Q9EhbUcFV0EJ92dk0FkWP9eYXJxcz/j8Yrl2pFdSsktoCh PQMxwYTUbheZbFl/Bl4vnDFsfpXW9r+zSjdtvsneDAbq0IKro771S6RFGZL5HJegeEURv0UdRNsf UZccfHgWEN+nOw0irSJ+V9iOO22ZmBl38GDyjY2xVen+M6DtKJi2Jmi2R94fK73cip1w5+l/Q8eC RR6zbEGdVHPGc/PEBVG24zMZmody85NPBmYJMNYXRam7a3Aavry+Nwyilw7ArLtzbf9Tm2LQGcJv Jzt+xmncGrn87yBWRyVO0f3G+BUiNAEIgqYC4PoyFWE6kNL7ZlVamam2cw79nkFZ+X51oqcZGv7z 1HCk+mi8rcOdNFAuTr/rK17SBA7YMZNqX3pTTX2CaDhEi1lSeoaoKYUrP6K2nzAhJFxUriw9C1oe b7/iayfm7Wuna1hIXj1i3wjazM1i3jsa9G7rK+khase+Olacmc0CmrqNvbwsyKX9Ucw/sttWT/ay NRW6MptJWhHJ4r8pYOsowPOf+XmdPIzUvINdT4XvzwR267g73IQD1Tkge24zSvOZje9iG/MehmGL 0LQa3kIVJVjUHpYwxij4ao2C5sSRc0sG02z6AwZVNezNqZwjsdhs442fQl7ZFAGGRXgKeia7D1DT F5Cv27ry/FY6p5hBrOGFQEaTm5jX7cNfx66tP6qoQ04ts4mWB25RSI9STKIT442ogDbWsVrJCbL9 OKeKxFmw/HXb/9gd/CsFa53UpHHF7XGRxqZuolieTIq35HQwlXrc0N2mj0e3oTbXrEm1nu5SmbGf bLRvd0Qy7FkGwtcMxI+XGdA8SzKL3M4FeTbkH6K2qsd/yp5L9hAdkSDdv1TlVQZeYVnIyYMRqR7W IIbDSfWrBvAEBPnn8ZcZ6k2oOYl3myzTdntUXa4gSFL3R0c6XEttGZ8Zh0e8wI2kMhX30IYBrfp/ cwDby/+88Q+UEOf3EzRpLBWLRiq6mtiHFN/DULzVPRmUY/udsgdalXIqZIql6eNjh+APsDCmuQ9m J7qkaFPe/7vNlhYPQIMW4szb3Pu+ORQSAHV/5PmbyWSJ49RkLPXvDru9GrRaqFz9fUxuVqjFDwkQ 2mQST9s+VCp5VVHU+AMelavLAF+XO/PZTsrzr6ik1l0oJYXmn/I2PDOkDGlqc5AnaX+A5CQCqcUa 3aEK/vbimyNsMhPGn+6lMNzIQ3q8o7nxSvkukWMq5FQzlUQpCdSzmF2AFQvYnYglml02on32Hb/u o0/w5IUUPYn/CMFbo+OMz3N50BSSHTFozmD/RvOxTIq18j2l7aCA/JKGMHdW02UQNEvCgtiJeXHd SPi69zZjBqBqYn5uMXC6DGO8U7/Mt1WpllVfZ4KEUGug2BfdSDFuYJLVf6o0/4y5omOLY774lrp3 hDj8zgNouooHzEwDnU5J/PHM9J2QNdr/yEb1toma06Pk70yS4e5KrYdocm79IDqMCncEZYE4MJ+6 0vUc6ieAgQOfZDS4Per+Q3WNNhfRKx3Kt1Sr1JzoeFekOL5DOJpiUsZbjTSMz7wB+cPAEfs4EG33 lmzkpP0E5AlM2uMqk5CkYXmOgLGMbB9dLgofWrLoK6ryya1sQJlaYP5sHN8SL+7yfPhhTpyBA+xH 7M1RY94Q9z6/sbcTVATbRi12Eu2D0LQa/FtWWec5ruxTcZHEXIGGDnm6wKZuM5EToisnQCFqUHtt n+E8zN39KoYASWVP2W/cCxT8WFgrOaLfxHn99zi4ZYgerWfBUa1uR8oTCAbTXwcoF/WBsNdGYGof VwmhADYN2B5w6G/jbG0Kt/2kjAN5V2sEXraONScu1DBWLKN9H6GlmeULCVKy+9JBex4oOw3/d7a1 iSpcs52BRb6Q6vAUIasxFiAIoq1bhySq5ljNiV2hNIHrR9g0nze83AJIGxG05rZIgwbzWb6o2QvM TQe++eYtGarn0dC1jgRGqNY2oTNlIXMr81HotDvA0UBjMYpilt/P2mRe8aposBIvYoAl0JpONWcq bdEEBuG2+HXLps9y6AU8p0C4f4e+LsUcpFeLpjznHks+dN71NWE2RFt1a24OXj1+QOiqcwI98+8i qW59Bh04oE8b+JgAtGjeu1QUL2+03qv/ztxN1p02Wxd0i0RzQlxXa02gjU7DXsXsHM3nyVpJnjRq MENjoZSOGR7BTVb1Iny0i3pyZ+2nnUTYBWC6VkM4s5xDg5g8WV4An40O0qDYZmhRVTKKnI3atAcT UwwSDKim6oTf0sJt6peiIAd7gWvO099npaBHree3LZt3gZogQ1miTryJUeouPdUxwT2GWlxYkUWA 8IY+sg5GSYD2Yl3iPAqUMF019wC+jZlV4M5/E8DEn96d5R8UW09mAIQTiqBS/HtXA+7jHRjVCVyF N0zxs2Kqeh3nQpHD8NlEaf732yUrnKXeYbzaukCPL1hYztpkL+2GjSwpQwLnxuT3OX8vGSUSX3bE TsVGG7VHxzMy708yPwtmWsqKCGqLS+o5zpvypanu1yzuGDv65is2EfBf2Mt1IC9pee+DkMENCfAs s/wvKI6/8dY3bcotb/DaHCKAwlASn7ZGsTCNwgi5UhwZE7xl6JSsRibWCaYGrYh80HDqvbhiuUxR RN52BjWi4e610jCSi71Gl2AHwK/GyQzAoj67lV6xeoVZtLmerZSKD4MezjTW2PzjxK4nGg1FCJpe ImaRnBm+dqIq7g1Lx0v8hIEfvzuY7oo8gRgNI71VE6oL/0EPxWvfbfjlh0rjceLj0IvogETRcvj1 j4nf7TLLp1Nt/v1TIjZU85JS0DYO7gLAbLRcr3KQix69sjbVb/NH27OcI8tv33HNzhxvGoKFKzat lGh65w2MlKEodf8qD24KSe5xInAMNx/DjHditeb4+Mbj+pb3xDEULo7uCkuSDVCt41Jdq/dDcUOd sF0G+b9FFRVDnlfj37GAwQdzJIEr6LYt5g8two3b+Qgt2EhiDmoOLfo37lhVMfdI4llFXxDjTdFS 5sUoAW8t5CGnFdHgX/bl2UbUaAyO1aoL7yADPdGtghQpNmeGWF1md/SVoMPrfGs//lV96AB5nc7x u8s7SjvgoAMVmulYzovpedZIBowkWzN0rLEsqDI/4h7Xq+b1T+glj+oBIvtvinf+pHE7tvlCbjLl JNPcv/wIitxYb5vlT4O56Xj2rQhXbh0bUxB/TIS13lgL0nwj5FRaTxA0dA9Y9EO/LLcx04m3EOpZ AsEZOYuoZ5APZp/seARPPteoTuqLV+wvMJ/cg93N+CmVfu7SEYfE0O6z1XFiWqR3gwjnsphFkjIl jIi2F+f5IC63l39B/R1DDB1q5/jlpCRSwgJYKarRrSWJNA8TH8HJSX+HRm8T81amb3ZsDUjnN5Cb BNhKoDBOSJiMWME7GW3p9oI0qsqecFUT3zK4K6eJRa/gICwR1qhRxNAD8E7a38RHYxdFnx6y5jr1 mJJkHDn+HdbPW9rDu0gtrI67g/ZRuYS8/cBmJ026l2NLD8bNC/F4DKKIp5/nXONQZngLBq+7GR5O yLsyrNm6DZogoj2axsTsOhraAcXHfsL+lKlCt4KdgNKUWXv9clW73pSYtA0V56ffA0qJw8T9aMn7 j0DBUBBAZI+kRNmAXensdWssKYLnmRR6CEyhDL0PPcmxcievnn9KBaoV9SJyo8hYnPIonxA/cAlh Xw5LRORCS/FPjKbQ1k8TTI7E9sPmkEU2h0DEu3PlkiWFGPDzzVMpC4rfZm03P3oAB6VHsXgTFICO o68vfv50dzyxj+F1T2AhFImkU6sixvADT2uaN4EwYJ7iIqBRIHyQvISrnIG6YFTD4jCFcJcI/NI/ TKVt2zFUbgmKWiM88K65pVAhhWnD6nfZw7zBlP1/RFeUY/9X20r1Dr+xE4qosfktH8qJO43Fys1v pEbKyt8o8NEIGaqqxg3TXsenwKMnQc/qOGJrkY30Os6C/Vz7ozD9HRPyFgXvlWrYa+8wa1MlGmV2 bT7ghi4ZShCAqIJjTYEpXUMU8h+VPTTcbbSwxUI/uAv+RgsGHZQEuhqbi8J7IxSZsLM97GL2oecz YrKskPACcDH7r/nrAQ4CF7swU0LAx0UQgV/tOd165eyqTi7yaDd63xhwZ9cccBU5ClXwweMsuFtX bQfFCmNFfYmDKJ78V4qhKIkwvDut03Q9nbibuDTSvnJEMBtpvys529fO2nnJN1yAvXe/qLy55hY8 6RQWnZIPP4Lamj4HjrunXPgRg0NbDAN1pq/Qoe/EBiJWO18KJLBbnyTutJ+fcV+Yc1g2lh2tkwBN WUSUET1YU+1L6SHcCmYiE9cBcWVYHMsB8NHW1DR5L56zptxO3ZyxIzgVrVUxPf3VamdScbH1N8eM jD0Z60BohP3EPcvhR6nNyu/Ehdwky5dohHDATFPsPQ0k5MiieWoEmK32oyuoMWEMgwhuv5KTHgnS Zm+27EsDBX0msZyafQObBs4r7/M7eV0p0fgXiZxv8wx1KjZptquSLmhSl6LEX9iBaRsmcmlmEFm3 SyiNUr+Atd23iy6zvZjrjSkAkvb7SpaIpNaPIsV16LNXGYkccQ74nmgySmHTYY9JiSPHKxcKswwh gbQmMFH9cGdvIOF7zeS9qjhdk0W5XKK+pk9fi3pHr4Mj3Za+F0vmrV/Gx2YOOabWwSxi3+IOvPTN xGHw7GzmLRoR8ADaQJjwsjI0OXg9VTbRV8smczFWLDxpNq2PVnlY/FTq02sW+4te4n3QCOcb89YS WbssyWH2FFAWUdDxCn7SGv68/ZOpblgUJjQWesYld2oMuUtrcB4Js93G7c+xN4j02PPtL4fswPtu nahJ9L7vQpH5DLf0braoPCTmv1Iet1XTyJtUv6xaVb6JSj2ypWt5a2k0etbswgkBaxa1WhlbY3uV qkjXMDCVnLi2qLOGG+9yBuKYKZEQHZlEkYg9JBBlzdp8l6o03cePyk2vxSvtS8SrEkXZw//W68dF Rl1NKE2b4ZAnu7hb2hBjqtzfFkVeLKugyyTiv4GxA25YXVWQvYoV3S4AZ/4A4cd7XbJlPo0Iaee5 UIrKoOSGRVLmFmw5ReWlSKm+r/cOT2qC4ghiloXdbBc2Z1ATnjEObsXFdxL/pvIFRpGJ0ulaIZoF /H1bJWbbfeUkGeCWWLmavdL10Jw8H2dFwo2VlqZpHRuD1geE3HO84mJICF+oiko7aWsB0mMaTGsl oSTC5QMRyYv0db0i1Ddhot/4LfnQK2fyf9fsRMIKnpnxBVF8ophlsIz2g3gLKKLTtXrqNztRHpch lPt1YPcQtillStQRQY4FmYbWxSUJBnOEBgkbsXjmUw/Q9yJfiX7GoTumMy30O9QQfkU3Vc9SzcsM 41+GB+ea2WGksL+i+JF2FsHUu828EL7GMYTC8PveEeuAnMJXo9tcOu9OnW99d0JsYf4XtO942pz8 xKw2ZF7hC6M179lXYz0sCyscsf5L+2ZTCaGOO+jXBK/G+seFFyXrVPbPIsamHmkNiS89e8qtgGzy Ud7nCN90+GtJdahfPHMTz0Sto8+HpkkkTIf9S1Mfe2Dn5JVSsovTwfqF/slImBFOsULhzFq4V8NA h1rGwukSW6RoOkXiSjrkFPlah7Ie6l01/0A1Ml6Xkw0m5G8pwnO2CH+4V9FhEAICijWIIhYSUPbe bV/xqzj9NeSD2z+6QKqiTL4vgBFeQR3wyJkUyZy1nlnliGhheVCDwQXDsfUu0cbhllb89P46w7me 2eMrL2qJeWFdl3JwhkV8oVyMas0spoqoOGIatCl3dI93swEYsgo69Zz4udvxTNNdvzjbUI1Te26v 2FvXLZzCD0cpUNSAEzaIW3zx8JPw7J2ZoWoE29iupEu3vSrm7aSWwWIjUDN3CBeh/vwSUIH8bIU0 esIN5Q2SO+YwtQWY9+LXlJnOxXMQpjVI1quVC1kdHOYJZszw+31F/HUbx8fgGfWbIg75Pp7NiNKp 654PQNTNTtFhpadM9fwfWaICxaf1MM4diq8Gsr6nfdf9bcrPC3yYJXViL0NniXRqlLa85zakc+Em 9j/eNuB7Hrfwx+ZbD7c+8TajyzPDp4EyHFNSyxVE/vy4zB8rWmbWnZfITOdv7eELCwKMnLbijSNs L6m65VNWmCqDNLl51AiA4SYYhQcAXhhgGwGoHReMx+jEoYacKIzQcz/erEkxtg2PQCGsDZN9jnUD MWAVu4rRueVP2Cmg6bJNRRho0GwuK0j5NcapIrCXTSUQcmJzvSPL00B3PYZOd4SLsXkRBR0kUHIP 6k6vJ+ffJIyIBVd+Prr3o5RWvg0qFTM7HptcnmIwudY09Jnrz+MwXF49vMzpBCDXJf5hqT370IKn 1Vb+su7HHb6ctNuS7UTOvJPjn6Jn2VVnSG0BERHwP1/ZP5IVrWsslegcqht2whyMpftor3TEDo8p z/OCJFbVW5RZ0DVzZLZa+Z09RRMaUqgErtgAqQcL8ICyMYd/+x2CHtP6GWIcB/iKE/LDm0LTeQIs hPcXeSNHitcJGMTUc1R9INciIUYxsP7Btj3rE41yNhM8230gvMf7YjcokuRssF1dsCx/t51Vs3sB /O03hKOipOvZ4FUNfiP1heoFWDrgACAihMs+i+mG2HW4GFLHc7nKxmTHuz6Meki/Kng5bEe9DaJl JIDkXO6EDX7APJpyvWqF9Ati3DzERZuO0aEfGdN9/buddDG70YhoBSl0NT1YXQAHfFN8JjqNK0+2 LCI3pZMLDS3rD7cIle9bchfrfeRv+gdh5wuQ+GOnlIm4tCXzIGbidDvNAzPw2ri8cZ+S5LqdxPct RONVcnkTmvXspP6TFr4RqDv3mbgzcYbibwTuOXNCP/EbKvkn48qBJaQ41NzkWCLlY0XLFfYI5dDZ 1OwrJ3BcjQyBcQDmexWwJbbFT5M07yVDjtf1NOllDf1YcCa7t23voaN1VHHlH7T/iPucJmiRJs84 lxr9agKCMKPOx/vgeDGEl6uYWUsMLN21Y+1P268YBTS0zUExOgyEEuo/19Q+KGjXt/38lKAfmpwN DBeTnSv/3gh1OpKz+8oNbVUQzFahYou9UfX+9+bTiZUTX/DPTcIEKx8yRgTVPDvt3eIf0V58oocU 43Lt7EgoNZKSktlOr0lcdnKjuhCJyOtbnNiGWy79SioEgnzEfpO1u4bfGptoAT7LhFKgxG1gtoN/ jgOU60nYcbujr5jqwvXGZ3keZcMhrkp5Ny6RXVKJKJn4Yva3lLwKYyBxYAgm5Z/FsV4VnQnWf8LY yok+bwT6HfH6pz5Civtq2Iv8CcoOvCfQsE5mC91zq2rJaE+HuvFiJAjEVRj/hBCqXbB0dzr6CI31 O818cgjcSdpvwp6eGjj+vFIzA97mW9iXTCWM8sTdCDrB0rRy02V3YVcGO3PB1NGovCNmlyRco9L/ Yle+c2vXqvuPc3y/Y9GFCmrA3hfjaDwDO/46soCpVy4pejAR3+rHB/mPyxbczdYKa6hYgmK6rBZ4 YlzM/rJMpREAvXuDA20iIIu3W/4hpk3XDsehxDKfeLoZLl1djZtxT85KsWH6UFpUQo5FH5m7sysU mjhFTFu5R8+CpMw44Z22CF0qJ+eWCwBSUzwwNVXD6h/9edTgJmnt5JqMERUpQB04qQGeKicgspt1 vTKdL0Xt1iuFRXdB+xhwBmrXAGBXE8SXnSP2iUWCREyG5FdJWurg9raemp6WkjqFD2UPY8/3XDWw GrI0OmeQqYLXS9y0NEMs3O+TGuaU2emvu7a6Og8h/VF0pxOuIRC9qYoO2mL68y9MgmKURTQIifv1 yoblKzlJTqXOIOfVpN6tXJU3pGBsSVjVnzA4jiVoSz+kzMTYI5BfvD048DQGeKuWZ3pR7HFMTIAn 001XaMaZatK3gQZ3MkfQHHmObIrmT19HOPUXDQw465NOgO0ie2IT/dc171jneZV3+rQvhQttfwIS ovkY9v+RWoEcGgRSzTOOhrW6zkGyx98/rBjpDwVxDYizQ+ZxuETZveKfputZaaxYLUVjMXbXGTRU JS9Vu/PkLLsoDClI2olARNWtiRb4ajy4/zCkFVs8MH2qcquO9N/ecrZNGUNob5KlM3lv0JTcEMfB l2AEcuU1dy+UYqviJqnfYiBK2XTmTW36IILVQo/4+ArBsUwjFOi3y9MiP7PqjWsf9j89+YdkGaws B9895x9QN+pQZb9UjpzFHYmWM5hUzQhDqIWM8OuM5sgUJ0IIRLxd+h83cLJ97iXaKEN6Ne9uObjE OGxScNXtXt2Xb29Djv1jC7KGXVatCtS4uudeht0F53xWnKkoWNRWlIlBHbdbplD9qzT25NwEqxuU 47bI4Te51LnH/BzdNJ0LvXoFozQMpRZ9dMXD0WIKP2EdMc8H89/7cR/wAolqEAs1V8Ax3I0YVNjt D8r4Z2CibUV8dTc0fJi+R95DsNEegUOWllBPRRoNnYR7XTgW5ZfYeeLj1wN2fQOjOcwABb6LCInk gxPsQUGzjNUrsdYPpBPhRLK0kjCcQ8/Zv21B4AdH8gchAPx3cQ92hw58XEZJtKSfPy/yFDej48GW swbXTwMPRrCL/ttvd+N5JF1KhPhJucVdf6iP1FS8PLCLN6+nl8ow5aFgjjtWG04ACqj/Ma3fld0a UiAmaxURKomj7GCr4jMlut9+h/r7tRxJ5oJvHPAOxL8rRfbT+HqC5FzyrmDnDtNQSbfIwpKC6Ijv nDmOixOVnquQinLHquuYc40mHqNiTZLoHSsoE88LhR3f9WNF0nY7rPGRBkV4Mpto65zqQjy8NPbK IZS6r5qEJBUKq7tozzBciXFEX7UskT45K9jhWhhQWcq8YTtNmY9tKbAf9XVzi53lR01U0RBe0RmD G3c5sR8Aub27RTnMEuQ2w2moriMhzLKVxcPUG4e5kEp4M13gkgWHAn2MnonWBfGVwNTCcxXalgKH TWkt22APBxomXMFEqBK36VUZ80zQcS6nQp+IN2apwUXreTOu600wOHvfswDBlv0HzJrN4OPj1rPr fiaDd7SmGEId+pxEiiXf8ZBZx0/Gr1RCz6YH70o1KJzp0yq6G90vblDQHUN3irK0OiwCLgruwwCH XUrnlpvBwPUYlaAESiRNH3unb2Wqd0TVKe9cu7KgfvvY6Xf8I0HLMTcNBMODwabogCFvIoaxkrQh YVLYAkmGuDwTMDfDRVE8qsCEzsJNMvcjZFmjpj6vGDtbgwlftTyppQbGn+BaxnF20xW8uucl47/P JkUPPMEvT3nBMUWtiijVw6nPO2nF5sdCqWPSKhZjbyq5kXCHgJQlwF+gVl7ZHfj9rCp5vomgEizS E8z/gkUNW5pYEiL+7Iem2CX/EmxH8RXg323HupARvfelCyj3Bru3f+uXBRGyZ05IZUEpfQY1YFIj TNHjFew4hf1b+bgSx6kQvOZ0LgSsAPYLcFuzZOe9Zk5/fQTw2Bhwn662AtHwuJBhqAQUhy0aUsx1 b3uBN/4+ntBT+VwlQrpaMvhoeqdXdKOCclvlBkxLTQOaOUZFc6aLJABLxBs0g7EcqDkD4Xbdmgzh Tw20MKki3LEz5buhlC86Jfwdzf+ebx5zevdgvAE03YkhmNitEkcqFKExsHskPK/to/lvXYmTCVfV 8hr8sT151EifUsRgMHz0HIWS3IrGtMKn++oPup4RyQneM3/Qe3Fc0eb0qtg6GpxrB/LDBsOnieZY 69/8crnvvQ1Yzgqgp08tTTnVtGkDsQlILHLmXwEn9BHaGzV8jfLJiiw6utnWj6WButiPu+IUTHEu 0hW1/Nx/igjlS1EwfqiDQkFZLJ10Fhi8R2As8prClFWtPBUmjNToaMwqlJIWODaJd+ZI+ITdSguX AxITlMUHx1IZHF7UcV5YlXzzbIKlYDT+U9DavrAtcTR7y4DVw/YrE0fpHma1gHXJg+FyRk/asUGq ayxRxVYkdDrBKGceCvjLUJI4rSRtoAMY7S6QzmIc6QgHWq53zQZIenlf6vFe+g149MwmhguSRkl5 s0rBfOzBdNCFKdOf0af6jSdNJ/gd/YgoM0nEvDq5czEuJbTsVjGHWth0T+T6Nf8vKALBUMpMYOba ddVXUsKKrTPQken9ocSBOn1r8jWX6ExDMrx640NrCLqTAfOxId2jewaQLyKWnZ3+/ztSaBJuqd4Z iA5E4LBW3VNDVcjwCG7RP1vY8794bWnK8O7MwXrYRMhOhQk6sBXo14ozgej+c9PWWcihZtDShyiK PBZQSXaLwxfCTLnm5SgpemXpNnchTH+AMvdsbioJ4cyT4lLnGkRvXQrXrQDgjrFuEnqEiIA9FdfX T7JwQciOPXHkazWwSdeaOA0kO/RZNZaqEor74Lyr97MqTMlUNTUlNFVz5URIQFC6WCZOsneyepaU F0VU9bp5CH2jQGHFYnxv2cE4u8XiMjfbVvNBrx87vPplfV4Xwa38am92FF+VbSO07gqykXRLAQ6N kUQJRnSQHgg3677UnEE617O9JqaXSA/QRWMNHtS2LEcYSznG27ySE+glQB0MIMlpOmoDxNFuLGTp zeKvFuwJ3JZX+1vlVO4QTp1xcrM2hH5p0eeg8xqhsRdfEbbs3FNIStiwCMT2KoXoPoGUOBjoAwe7 SqL1eOOVnrrLvW/8/abshcvW0sz8cjWpgmRYFcQzOkIex7u59xVg2Cd0e9uoav1P5N1ma8eqS38S 6W8x0jljMRW0rwli/YIl039ctP7LGf7GitJwMKbs9gyPKLtF4X2Ww1ArCBNK2ySmvc1ZtCQHB9pD rKVaXSE40EYF8OezzYF3WfiH6VaIxZ/FtULHNL4ATAbWYSwpHRj7aXOGqSgPM1QaE+XEb9sHQKdK NM6s+6KYYLR7fVj9ozVVk/OYThXihQwzBmdhNQvqkEj8vO2ZwsAaTrmYSVwzOr5R918EJOEtECwN F5h9LJ7wTiixCoflfxFXStPiJMxxhAHC7JGMaW9agaPCs3ITd7zesnMNrpAyqcmkfqgBe5PZv+vo XdSMV/62VNt+yrdF7j2CImYKsbjewIC5g0Z0QA5Tvez9IVg71lm41SMWJ05nPr6RbLd25cN7l8j7 TLFqC0E59FyQzoYnT/MSBAPChTftW1zBhXpDEtsTYx2IkAN5VtzGraUpzLc42Jnk3I+2uN5NAAkl sUOlzVFi7MdFKauHwLwzrtH9tFuqyZoivENADoqjA++XJK3PSiGvBZzhwU6pdGslbc5mPp/F9FPI qr5uDg9NJgYviKBKzByN0G1TS+WWHr9KN5T96J8AGIsZHmA++34UGOSdeh9tclivtWkqgIpWH+NP H5rQPaspIxP9YFUSuAN57KSJkM/Ie5j2swO0rN8Oel7LRuvfc8n4bwrE+wEouMFWYXCmLgQAQ4UC 1397Ylc8qCNpFbEEF2HnI/2/TOLiOuclW3gBoYfwHFO4s1reEYabls5dtXsN9pE426kVvI46XKZv IbjO7HWOla3DrU2ojJJQe716twgD64RaYZE+q1xLkK0hUbiDsEqNf4cePEo6TBhsqOOurrbQ+ghY Mzf0Oezxh2TNPIpSvIvd2w+uQlV5VvXE6AmSq6iJO8RXxU81ds6qUxdtBXRiitCNuZU7OcAecB0w hLUC6xWzBSd0Jv3aslDfk8TIDPXV9vxc3ugmOqIh6aQ7RRyOQVK3DpzWY7S5//77SpmSnYr6xJfH rStr5BgasYMjOGSY0bIjMix6OEh1zjn2MBt9CV7vLgXckMmA5jXjYornCa3sRdyTTP1Fwl+oLRsm ODwWQKfCnyXUm7uZHf8QbOzSJtI5rs+jq3q1Ysu7Nlgl8RejJM5fFXumeLOIfiJ6UKL618sXX7vF tdEvSN/5KBcyM9YmAvqGXkjjl2tNn+pSa9zglK+WPRRSnEqA8D3laaANiDZUUjISNRpeeAuYq2/K Zx3yJyiTVj+apNQDqLP0oGzP0qJIqd1fkmuLlL03zw1QwWkwUwdw9AHXiH1Vyo1FsqrMLzqBlPaC DcomSqOrkbAeLJO7RH1q70ShtysYQR1Cge4BXyJnQQLU2TdVd69pmEWBh99TOQpDlJqKwHKUIAY3 H6bUPFQORRYwC380BfQn6CGWQR2oz1pB8OVl3asDGNDwWhCaDzLipMkrlfb58tZBhlDaduUcSfDV u2aaEsAvLq31qcG5L7Qky+tpUnPKOPPP99200J1HOICTfuDs92RsGpFB81oWGG/xIGxwCJ8woCvX 6OE0x7aWdq5jn82BgCMT+A9LYChh36IrSsEzRs+C9Wwfu/zFpzF2uGVVP4PjdCi/voCX4aXjOX5s cDFZ57Aoq9aaYEArg/4NshL26dP16xVyOoBdtrOxN5BFe2c9f9JXyPbxV8/an/cX+g97ltl0FYBV Pc25a6aOcmn+xuhg7nDBtJ3+I6FjX76scBXp7e7udrBb4TQ1KAnVeU42Rs75k4EzZuBhLUcUZBmq Bc09h3Jyp8nA+oYcsQoI7FtBikRHwP8BCaevyg2zWRQuul+t8gSYZdRfuhTv0TOD54cdjJEQrq2+ wfHzv/WZyPZbxNIdKyC7Fh12le2HPl/QiEbzLETd3lCqszF45bMkYutAtlrd6zKrfC600ysQ5Yei 0ZZWsmxtwOXU1eZJ+PsZwaWoUI2OtR2+lTQZWS1+Ee/BP/+rcmwePM3HRGARGV9s3rQNs3VbaFyd Ca0N5m1MfwqKRHWtOGGFqyhtUNPzBUTS9ef0IAh0wIBTBLYWxg5TDYq3XGs7EnIa1IkV1gh87gf7 3GrqYI6H4/HVLIoOdwoy/O7FoIxK68/hMgH87FZr2x0DA+HVmACd2VqKMk5UhhIhixrQMEVIQfSc PaJA2ykjMZY/82BmdyzXnu4d9PK1Z020ZznoICH+MGNzA3Uym6Vz62iVHUIeRMtonZ+gmwd//FI9 iPBGnEefOiHEz+h0E63mz8pVyXu9da66Agk9A7I2Yv6FoQGLMLBDzJrIR5Q6VSWxaOjTMFSNc2rz pHLVtWMAusj3TMlvAKsqkmpHiJ2kGSf+j9sPPLBadknug2S35bfZXi3WNWhC1dgKJ3Fs1YUoaC0T w00P+b7eWaR6wuOsSnoBx9NS5qt8sXSIVGsmLwB4VSVwu9KFTPHxwwD4qAhfKrSHkorPedGYeuZj wzKJ2d1QpzxBiXKyRvCzOHBsAUm4FkkqZG3mL1RYfow7FbTdrKjadK605Q6lLsEXup+OuEpH47+T I7tmeukm7iStmoOv2t42bD+aubzJRLW+3o7Q6lTbRdQc7l6Z2ZPRR0G7KSftoI4vRenVirKKx7gY +lmP2rreqDxkLDYwzrJ+qQmFM+mAWBX4C4bu5/tF/IvnDxUTs6UfxrTA4NOdaMP4L0sjakKHN2z3 E4NgPfmVll/jV7N5sVdtgnc17yX0sFHufe6DGuOFU6XS+1EL6/E2l+2OyyXFj3HPCLBjmFcfxoJ3 7yBfOng+vbAMnS8SOhOEAa/Ke4UBRas4pOF2AnRgNBogxBMezCf2cgziQ/VJUdOg7t9aBG6XPOak oh75CdgrfIl/wBnElwe8HqjmTS0Pu9Kv/dHn5UkIKXfuSF6wP6jREviNPbpK9FOpXL73gAJOtskM eV2AlpfJCT7OJDP8PNr/Nax9NoYPXEZrBkTxf0FygbcGzKOgMCX8pjMqyzNBD1LwKODO9ABLrk8D DEk4x/D6Nh1JhQ/suV7N77Sxv6g0cxOA6FVREI3tLfiY6U7twR/plk7jCnHtFELNIh1/KQ/Iimqg PK6FHMQ3oPh40okiO/uFPE70xlHy9dGTssD9S7sVwRuf5cMz+KiPpCnJ9u+JzixeeXbiaFZxN04Z NRJTVZBEdngmzB/g+N2LtdBymGZA7LwnaYqhNWMeqWLIhCAW/dZxI6n6ATM2/yZmZnEtCu/Acza+ iNbKiRr4zcDbT/f4ocbPDoNvIe2vUYLw26LaSJiEc8ibIamMFfsk5FayGQq81ua+DTP/Vzq6LmUB MNkNAjeo/AxW+qUGk6mCirndlITuVfHb10EvaUI3MwQIfUsBeerG8uiPHl2E6lqtzV6QiKMXiLOn nVSYjQHsNnRmenfb5ciUfAR2k4i0Wcuzbx8jwNYAQ57ucgywUUuYlyDyzVKFQc0Yun1VnfqMTW4Z /2mmPKkDKWX6tYNwgnCl0r2+eZa5zckHr+KW+Lb4uOjp4zyhJ8T4V1LbmOV9h0P0brhkdW4Pn+fz C9BjhvTmconKLy1A04MTT16lvNCg4RbQxXvgQm5QUR2MIobs15n/g/JNpAoAfDzzyR4kudxsJjFQ 7a0Bmtzwj9g5IDki3CcNsEdFo2e/LW9Ga/zWopz5ZKfwxslVZZtmm2+vYQk6LazNWMgsokLLYJX1 UKnjspY3lMXTaEp+Bk4TwR45AUlZPH8MyD3JIgk+X4u9wtD7UGgiCIdkTRnQJh2McJZmcj+5s2yD 7jI25p327qdFiYaBOzIKX/rW9YzXla/jYqifrA9x1LWHPoJxSQhu6zjOgUVAGWi4c7peiYH7PtAX 6xyki2RBM3t5gW8vOYjTesIn0yw9/CWYc4/g0AqzXpTV5/sHFgt+qYS3kVyEp4eOGae8VCl+i3CF 31BNDn/MNX6GNH+NSiJ16lC/n37HeAZKozn9ucCnzCDV5Mi1jjz+YrmY2aYMY80jpqE/Y0/dVLQ0 2AEG6Cfx34P2z68WpwLepJGFMI+eHInQJJm5QJo69r0widw/MRzLA60flzBSnD5B5Yv6CE10Bcr+ hQbJNACio5H0Vtw0IJhI13Eo5Fc7H3n4pw4F7hAagt0iGSdUFAyAkHuhr25SGqQgwzzgeWWxHkmD cC6JwmyEuws1KVIcJ2fGZ6ECyI3xHOAufsEBMDz0zU1yGN1a+5t9E6A9GauuqQ9dO45ErXcBoqGR nl7xUlR81KjgISfPe08POIw1u2kF92+F7NO9X/ZmTOHcc3NdYatJkGDhn/6OFWxFYxeAAXp9ZqX7 v4tT0YmddujQMB/sWy9YbQ+qnUaFX6C+hdMXDzmHum/p6QRAN5Q+CSwt0acmibL0ozSnGYlWs4Cl CapUiDtWI8UFdGxMU8mSx+fOl4YfryDX3i2Er9Ey3/TBrH6arjdYKq+2Ib2zWu8LCBgrDTV8QkrT MB8iJBH9ltATOJ20k5eOdcgxJJVjXdWHuoTbRQPiUGYl0kVCyeObHBsnQXtHq401UHhmWODOGFIG Z1BJY0J05mHVFLsutKW/caXwRHBHyqOWZ+yOH6H4NPzm40iPQSGGhQ1w6ciK3XfJCLm5LB9VnEXJ ws4v4O0a+Yf/Tcx75KigSGiWlX0tH5mnCbWYEU1BQvCqBC4iDxkNJewy0MnAzoEerj1S2jRcOfkT gFVLyKOGgZvYSuw8t4eEkPScBzC4OCCmFhDxOSMc4TPi0QggPSICnhEXKywEl8ICAeWrD7PKkm9L mxjWz8Fe4FW0pj9PwvkwhKotPK5QNIrwhUCUQZwTNIt2zY+NUSiq63XlJY2fndeOSmNbbGa431Ju 0D+KgNJLT80+6nb2669ygvs6PNQjn2aIGcNRRUoIfLOErybcy9PRQoUlCRJBAok3CK2EW9X1Wd/4 8EhEzgzC+/XCOUGOTNxuLnOAWPx1KK9Hx1fa2/mIgW8Uxz8elvJ5XnQ+fsiXfyKf9VHetOJ+M7YC YUOeTPoIFvXQ4zQaqlvGUuwnKnfhf1sM5GvBdRtiB0Mw6At5u14hC9t4KjA4DdWHfDRiSlrH/58r sN28XgvR2FwA6t338YW6ggQooi8RAxqtWU/KJwzKr/mwNOMjm7RmmbbXFwcuEe8cIqpFVlhjCckf 0kW1dtzG0XYus/NTvqj9ZfDwrYZvB6A5h4A/B39aGOWOHx5FTdy6qr9mJs66dC9hMu3OBkB4CX6D x867pyc9QNjRlyHZvyQOym86tuPYDYLBTZx3M30ghOSvaekcJubHWoiragHzj6w6IDL+vgqNrPN0 S29BxalHFPkXL2rCXGg4i89AZgvzoFphLzC6KTU53x1o6wZo2c5QYfT3uno6WBopgiQm9D/oNJzW z3AGXnlVP0x/GLLIttuPx5ET9RD8DtiZUIhgXEfUws/VGE1tF0knOdaeQoMHls1RXvvVgSiGUCBU 4p0CApiHwGZlGiBPtC2S9ws/lKo5NMxorFXmyJbl3Y8ntxIu7B4hydHg7giAHYuD6lCHuB52U01s PFb+OD59tpryxB/N4ELno4HqO96hZYJXneyBwnzfGgnhoW0qnAoHX1uhy+5P+Hp+14QEB+RhigIw ClbNwhROpNdA9pr+cLGN5vw4e27E4Jk9f6QLeykuYaAjRXrg7PVn9bCRjqJ556JfeZ54CNW2Fswl vPZdTgKWZS4MTE+qYaB9jwVOYqwdwzYPvC81WArUvxg9jgVyAvrA//TQ3BTb2GCvX0e0g6kzelDs cjli2hRciJa9Mztv1nuecZ8Qg3nSbhvdjkBRrYMptW/wy6WhRSdayYcS484ut4VFPY6QjTcALtT7 UBFodwlnH2kFGNeDsaPoxoXqEuZ1CVEeY/YU4TfAzn7GJEpjn7/1gL6fymjAhrKoYZwMFFiuC3sy 3j02o1yRUTKzQLHLqYSy309cqWSJf8fFjIqiH6XmIlYZiZ/P5ui58tyoas/TBuHX+Yr+wZzTQ/+h 3wTwrrGTJ8xvWpt6J+kgCWWoGMrUpS3VkGqnMNUMYheCFj7Fe87T2178BUZPbu/DkUKBvfC3AwXx 5Gr+yhFvZwdW9BNnF5BR7E25JkDCkApoA5Flo6+wwj43HLu01mqAFtN1Lez580Mh8u9kES/lFCJ6 ZZ1IRmCO0lVh5mT+OnwNDsk2CZusDBSOq33rmwGQZlP1G3Zb4VXczRRSfXsfTTB8/AK0UPuaPciy oD3kWL2XJtrUekn78PcvIk0agAm4iMSI8bGsRKI/lzgiCzf+o5+OG+2UgxY3CVlNvqWy/we5Ek2z 2kXXu30V/E+MU+WgDVM9IenqUKr+A6Qz3CpxmrukG0+GVriZJNOSO1Dj6hLqt5kvVkVocIv8XFP1 tYnE5lrVs7DjwDqksWDulujCoTRz+6PcIieP2/7zajfNCKgE1rlQb9++MuBrD3Q8Rz/cbIemu8ph pXkbsWGkl7y//oFHORkZbi8rNXOHw1hPgjFsooetKly9EBFwa1nBtJUTNdRqtr39NKbYlU6MZbzl DXv572/nhOL8/L8AYyalNiapwZpVzIVUwafHUfHlBVwrMp/ujh0t6q0N2NwgvtvFDN+CHstFdSPZ k9kEYwEdRKoG5CYVj+iDf5i38COjgw4/4JFRbuu+ADstGyJMqnqoh5vRjCJVK2x5R9wf49pkBXmm jOaeHmOpLI8KTNXnT3tDDg9CNHY44zpuMFDKXqVZiUGv7b3So+RK02w5RbZli6QGP/y9GsYvA2KP U3QG4MqJ6Ee/pL6ATrfrL6WMySqbb4oihUjp8zEgxnLAjBpQ542L8s1raYWXqDsygT3j5m6mUlLB cWSbOIjHha3ll0dSqFet6NKQxQrZr4kk0yzewS03jpHBncjxkDajYBFwTGyxdXWd5CDtLkAXlIRH iRTmM8/W9aisG103nRN6ItCczlH//75c2mY8dblVGamB+6auXJ/Op5x7E0XrqksGb/DHy/MYpDGN 7FA1nLgbZ1anP3WgYMNhfuPAcOrz7P90HwBsGuTQDdEvPLBmHMircQNtjjgjeVTXx3YNWvG4mqQi FUhoOPQk38VALwcvBIaMlPr3wXW61nc34cWYxAdio/cQDKxCKvSPks4jowNsM5kzN5mIGV4sk+vK C4p+e6HGFa+QAjUEhdP49gr3PmUSk+Mu4llwQO7quDjHzUG0adkZQPT1y249AUFCMA95Q9W8bYpc o0h9qOnoU3M2dKKXDFtWIT7547gKRwY+K8IiY2iHvNxIIr++4CvjLtS8xr3eZn5cLBOlvHbY23Vn nAY4VxihBJZPWGMHpE/LswmcYxiaeQgFG6hfPP/r2mD5TcbAdjNDKI6szrQdYp1gfIdm0byypqnl xfVMIQ0CBbeomrz3YV7SP3xHeFZTAq7lBel4WJmctp9UJmYfwAYASm9HNQoSHT7tkgoR1l9HxQx3 b8N1m6P/DW/ohEOBT/KxqqCKCNfpx4QTvbLtex9iJe+P8azLTsF9mg0Xr6J0I8ukT8R3G0RMMwZE vVYsxmkuozs+hlvhpQQi2YPW8Z+9nk2rzzA3lAGU+vnd0+u3/CqvYoumqgDMXjPc/xjRDHkRZ88Z dt0/ud4OsRFJsMWrZ+v0J6B+V2mqpEhCW1ZM3c0en3F4i2LeIzyFb7z/wS7zFvKYk9kOy7Z2EbnA AYn3J85WLq8qlzcRS6BE5pNW8ulnNM092i8+6QN21UsIvuw8Yaz+migq2FjyYZhBnD2zrY9SxLMs feUsakQTrW2muL2cskJOIO6vjtHNA9obVUPzWhirK/Yxv78ZaqsWTN6XEgZZdc3dkq5X+Sl2ebLL Fspx7hAng+UzU8KSnFSKrpDgQyM/MMJF3TBN5zFa55r9CMlI85Xl/lxZ4O/bbpksiuWBgVndrmLN CtY/Gi8NZc0UIWvQdI3mYVLdoYWrsqZKwMI5Tb2r+rNRH1xdd5KL5nkDvzX0QErOVady1+PLa3Ah 5qoaCWGZRll3IZBiUStAvcUejs0sVMcpM2WBmW+wgd08mr1A+XvkWyEIe9XyFENXg1TlwY0t22t5 Vmj4HS+2PCiiBg3ZAcDxjLfnTXX/S8aljc1W0B/XdWl/+cdG9k888yVkHGt1lq4IygNCqNJD88Vv ow52ZRwrNSzHnZljJl/8bpBzScNPfc4IHM//gpShumWn1uPaX1AGjyUUHwk791FvnsXGKCIZRim0 8altuUyN63kvMPEZWLstRvOsw4AP5ff41+NfifxrWSIg+0GpO5sfHV+7bv0UsiQGWGpJGp7zb19k XVVU6e6AkxRoS/5rBYMHQyDAthLUcvlcDJ+4cIhMBGogpkjCu0PbpfTp8vHZQyNIQ2pGIEghFc/9 ZyJBzX7V9LYmem7caTQVf85k+73eZSwG33qnNiGhsdz939KXeaBYf7KUMVqQbasRYMiWJ4Artm8j Ie3cOfW8mGvlY572GgtClF5Qk9jYQII9fpFt6FyM3O0b7oc+Y1tJnDnTs7MIjmJgXm7Gi0SHJ8lT UnYo+FQwr+nMI2cL2XjpGD5hqKQmodDvG94jD8buxS1mRHTRFM/+NzXWCacsjA+9iEpUBcvmLQ7c DLg32bS+OlZ/dzkXFI5FkN8btoCz2Qsdkd62nVDvwWd95C34sOQhu8U19g52B5ZmXnYkja4rHwsq brULtLFScvCqdQQqjz1DXuYPhFo3YNrkMvfmX7oUoCzClVCH9QAx+fAI0INfVI2n0wEJpQZewd/9 xHelD4ICnbvDsIRXCmpM3Mm4Fnmf882oQ4CIsAEf/USdINHDd4gI0zN1vVJMRSW/tThUC23kvUH8 tZUXWichpU4cLxD65mFjKjCXvierBc6B0hP7amdjSUauMUF3ty8H80dMImRo8W+dmVAp+6/4ZUmI dvXsV36XnavBfsGV4ad8URamuLR+MpF8PdYwS6ueBvRJsX159i1zECSWXUdHiqladqzqkcGYCLBf TxzCxn+YandfDNBWvGwKM0dpuLrfGSpy7nFaqa3t0aUzoH7dBDCSIQTiMisYK8hJBSm96F8r20eV EYsFFMurO7tb/UTMSFpkCrmaVgwMwxFPd+p/JwhARAg5APZQVFqPCk6Ec8ASRjHJ7vWEM3AOQss3 LJD4L4xnG0Aaf+ZUlufeoqpUy94nmc6vfR6XP3ZHR27cpT01UcrdI6AihlA20rj5+OgoFwBkFmnm eEM4Ft8X6Nvc5i2Dxs+/WlBGp3E++DGxI3GXmj9BCEE7lv/1Ajc6O9syZa04aMi7pu/umCnwpxJO WC7cZf3DajXSWEbRi8ghSS8DiwbWrvF9qZYWdvw0Y8NrQ9e9tEJnE29jrxwwfA6KYudLDtl/mPC9 nJmCBcg2Ifouv5IuXvXdVcTjuCvJaffrsfHigSUQ3Fg8qZOQqVkMuz+hOK5AqT/a5kxVJjG4Y5X4 lORD6x97VBl/J7Mm2i4sTV1XLpX2FTjrqXehax7LzZyIOCWF+lXe3rrS1uZS3l27EUyurtq1je5t ocJS0flUI0uW0nwIQIFiCoEQbGmZ2yT328wGRQrJ/LQoDbkP58bRz+NIixlEgNwbDLONk3f7g65F pwIFfpq/VGwjivvMFB5IxPossVyOeKDf1hTlWLjOdnckqTgwCrXj78fw+uPqBjBjalhfvO9inagT rWuGHscmtbBUeM70bnaYdyqPj2qIbSsk46UwQcrQEBIg/onJMMq8w4EuSGZ3pN+pnrH3DEuXM3hQ nV09SpbM1QeICEenV+2zb3yqSTWpwI6PlPI9i+Syd1d5M8Fn8MLaiyeWdJ2pc/ErnmHkeKDrsXPH IIcPbfipFQvjZA+21Kl3uird01jD3lLdLVl+q2UvZPh1E8F/nvMYuoZZstAxa6vHsf9sCf/4aEiE zFCwhzi7lbkE1GI4MpMrAY5uPrQlJqOME03W0otN0JEBzktGyIMW7ZPZoQvTRYasWg3XNtTuZC4o SmGhyvn+eGxChKqT8Wo9KCb29f0Eio5NfM3HBU/uIGqboQav7+DwlKA3iMUOB0chFY16+/eBgbHm YrJrZ3iWjqV484xWLlgN6ltMfo+eiwg/wP06swPhwdIJqOD6xiblb6t0PjlUJiG6G4gQwyFjNS2c Mlw72GgCAHglPZVsoHF2d7tKWl344EYFClJwvAHhafSjPnjOZ2Ms1ORpSVgi9LZe4XYa6vCwgG0I 9rus1JjPHl+exsPaR81yHxY2YL1hdpkphfvlbVI3AtcVfbhMX1lEDL09s/cWw3rBNJGTz798Pmey UK/o6/hcnWR1S1QPlegdyQ5TqFj3QLv5MhAsS2iWVn8qjeGdQloZUvp5E3g3w8WkN9CfxaQ++9dN 4rdqUPdw1kcSQHesaosfRyOsDsCe7FZozJZL3178r0ROnk2FXeJhb03z7BrOlz1rcSJ+GlGaNI0Y +rQT9u6/XWxOtTpywyPpwe6BDLZxfA0ssioD+ratS0OrMtvN0KRhTT0ejielgiZYLxqEstorugoB 54QhWOGhZdPfmbArE2f4u2K2p6pkYlBWOkZV3rSkeXSr90xCCvgqB7oGoaqaBPBQa8banA5Fv+uc OntEZlcOyaHeMtn92ihvanw4Qd5QOBiXJCNT+S/d5v4inkdXp4MhMHEZ5i4wuw47H2/qhjaN/DMf vQ4my7PtJJSVK5o7MChUO6D/uzlcSMU07MocHdYkva3ifhh9ByjSYg7YV5aPX+4kCC3MM6EclTbj lyeXmpXLbXxD52zfPQ2nPsTOX1lLiin2CyL4rmNWBXKctB+Oj4I+y/rBMc0P46XnWNyUVl9qf4Gk eaEnkFStBpWBmoqBuWKzammdZMxCOIHQYNGsc01rjee8FUADAn+TyGYGz/+3v4y1IBVZACWobQNs OXqF8vQV79I4uz0IsrT+XzuM2OdtUfVabEARapwWCgKWhgVpGtl2PevTOwlyOR2cts8nM12UAvon 7wkY4XaZCPDo6lzQw5ZoJSpX6mTPETVZLV5qWP0fvvHY1MQCFjrqv8cwg7C9yIeXScF4kHmVbaEJ aebQPnW8fYtUjLPdrDhY0BwgEpVjUOe/pvum69AGl/ekLmTD1j2Us1E4Mvot9ucN+0mFKtB3xPhV CirSCTmDoEZ05rRSw4OF+jFkbdHn0ymR5AoOW8M5QrK2r0ZuSg7aUxuigtLFRQsiOrc/uSBiNjPz ioFP8lK3CQsGJD7wgmTs1mLvOP8O6rYEKF+Q67OqB4TpyosY2/5qGVqdADq2fy2kGrYhrl+11mAE ARIZIg2v144EtbcXaXzMdiPZ0ejCokHLr5RY48CRGNztgMFyBXWue2e3nfyWSWUgLKOIkWvWXnba nGJcPQje30p/Vh45cMBv08tw1DXlGQgrtV0ZUZkpQsNRiKiX4cZ4bSPMmH+9R5vuVPbifzWByBa2 aB6G0tDtDi3/4K3mz/IDHLcaazNkG9MdCBtCatattvJG865k4rX2tBDzos9B/NKY9COeXvYVTpxQ LLpNcsPNVGulsOV0cSY5yq1yLVuGLK/+1oLTuNPgF9trT/45qP1mfDLNf7nGr6Husx7YbY4V4ljE 8FjAYMpzmYGrSgd0bxG9RCJqeuAciF67Gf1KYETsT2VLkzeu9np5uElV4MFpNs8O++1Xh8UudVjJ bvhD1hrtFMQs8O6la0HcrdDQLpP1EH+oPz7JKKyvL/WDqmhPyJXODV/85zc4AM9EjeVNT5tA98XT 5p5BxTgBpFQRIyB8Ps+Uy5esIMW2jF4VMfXMfPxr8gmW45L4zCDY18TIU9h1APiwJ6wTZo+CLIET 54wE31uHP25JQnHnl/QG/YvrmHVoi2fXVXP195W1vgN7HcGDkW8m3fYIdfKTa/gyeBf+9MDxsM8M Pn76yTVW4RCZcCmJMKby/ExLqW+Zq++WYvkFrb5rgBV6CjpW5gk2EDyZV7dhOkxlzwAkOlgFsA7x dpaTZiPKQ+OZentuIPx3HvAiMw6BwFp4sGvejG9W6K7SXxVqkgxVMarNhRmAULtOZCmnYgfbbTrf eX6ZJRe/0FgxevAMASTp8L1Ekf1RX3Q5nvcI0C1IdsBtZhnAffzZOszLb4YyKFUwgglxRdX9qBrj TjwLpQEeqc3Z/s0FD0OS2hp+7CCxpbaNyosGZYnDWMab/3LLVvTiPFp1oOUuxT/6WwtSY6YDmYVB fK4CU0llgADN8OU8ELKUwjsIQ7wAlrcUdYtMB5YX50ZxDkFxYXMV3VMXpzsA/K65YMYxQRu9bCmr G2Ot4DfwHfDkoXLWWPYmgwDKX54JOZOCbJhUfRO3SSvUk4cjreKE/RzglPeykskTCucJ0EegeCgg qQLoQb9cRvoPG5d94kT7U6DJHNzflw0g07ZofgbBJB+F3/S0R8hGuWZ4jRxTER/ENirtZSs8avDQ aA64HMoK/XYs8d9HqBK6xaBbZddnRFA1WM5vcBcQl0IShwPeaQiTQJFbzYOuKHVk8D+N6KACntnE MwsuaBcDPECOMoPXDWEHQbtzgROFKmRE62baS4YZtjIpcCCx55Uaro27Tj+CpMu+bcCcD28MvkDm X9OvFsxgN1O1+sagzcXH/RdyYf5UV0gPqxCC4Fj+nhCS4gq4t7B814+UaCVv/JYxw8Xqstho1GGL 7qu6f70OcI5vN5V16POz+zWT1XLbKHqtj1FLb225sbvha9zLzu68brn2yx37119C/UEyDIVVPNEJ tx2KeqExmlyaKx5AYOY865e1LZB4+ZEt/m733mpq9gRnc3mg+D9qX6J6tkMzMKuzB2OWbCtrebJ1 ZfEvASE23XtTfIErVusAv/CgWBIY4ku0DlAF5DRGSCQwY8NTVp8TGPGkiA/Ku5ubZAWGIUvFlCg8 qe3XNNhiBpYdmgMqELjcformyoqg174ptZe+Ye4o84x8SKdGvQLXABw4543Tkhin5Y2g3ArMwi1C lt/TbcKAqYPyZNCFegdpUCdNOkNj4JRSIZcQ0fHpmgd7bxlukpdtbdP4nKuROH1YJHsgRAyzgvEN O7NHVnexfOwSh0f6bEKhMJMonMBijmcmUBiUfHq7B0GMGsMoOW9j1Td4CGAwW9vmaVmCSP80tzUO Y6sL39ipMzAsEkeGb+s0AAZwdaOYWNpUYMuOEJqj2GgPvCf6BATVc9+eqvBSSjby6zUfb78El8G6 DYsNVBkXEU9j7y3nPDuptSlR0YqHb0lsVIeoPTwEzL0Ci2NbrKyeCLRirVP0s0F5yJAOFvypDp75 DMdLp/sfIiBi3VPvxGSSNTYlI81jKWa46zIiB4bVs+IvNa34cmGsaaSEWL7aUamCo5Qsde7ugSgi f5440vg/B5yppuo7sdp7rxu/dPJVgL3q1bRvo5u68MBl9f7/w93nF69VmVQq1L1x880zu3KLOGfF dXgXJkPy3rawoPcxp6xnYR7XYPJ4C8/g5bg+dVyAt38GpLgBSlS1HQmmaGNpccTTo213MX0B2tUY 38LHON6jX4J4KSJ/1+qj0ugAjmC0n0S82ThGH6lSl6VcxWfiJusuqp6iRMEb9aaaI2tY+Ulu1kg0 kRDYfNck7HDPt7Btfax7RoGArC0GIdGwKxu07KH+VdWjQUc7PmCPggUXS1rZVUU4KVP1AukdLbro EKlr955jwVyhD98H84+KY/pLx1rLkpIrWXCQDXNaJD6jF2K9q4BXbyx4aldyGhMEuUg5cJibKupy Zwmn3RomIz0zx3AWVrkmvhEVk72NCizKRIZKbjCn2ATrZXx0W9ahf2qTt/h+fqFVGRvwcTc8Fl+W sqQhsrcsvkyNkUUtSJ8UDNj5Lenf3+e6gdcbuFQUZXq1Rp6PH1KI61BqOqOx4hkWs+3zBbyGTmyP vadP0vtSBgBFXxM4sdyBnCj0muuAu5VcLYyxTC32FPbzX8o9xsxpkes2MBlVcfzEsLpX8tcaixc5 aQyLyh6FzKjPt+gbubHjfogIpwsK91mI/N889ZzMn0h9hyfiC2j8h/FL0IK81sACkG6wjgAkg2eG qVPZ4U01Cq1U4xQC2N2mbBruXErgDHfG/L2so4KIinQJ0QxLdf6iIAz4LBdsBKzTJxUmknKd+Dyc CnYLjYHZ1l/umBzMGw6CfsT0B56iL1vLgkqlBQRg+NBmq7n1NW6st9a6ngNJYuaQTEwiqsjRcvos JVwMwaeZEP2qF0D4Ay+52EHVr+SXCQsm5AHq4y2IESOs9TEgCBfw3l14K/oM4pWQkfC3oLs4hJD7 ChjAfgcMnhHfvKICLBflCrF267nn3N5WxlLi253WSq2YVJuZauHpXVbNfuyU4Oi6RnOLAlWS6QxO Tf+O99GPGVeKH71QewGjGMCIOrxBCqHvd50JPxGMZEtJC1sBoWF9C29S4voOkE81S8Yt+o/DTuZW RZtDtu4BgoRmOk+5RysJK0Ch1gti3SS+6Oh8fB3nKDY5HJ6plqH6XbXMDYMpp/w6qFVQYs/rLsdB s8ctTO+PWJuhTMcbeoaGj0uS0usHz1L/4ZRCqR7yS7oikIKOoKdI1TIxMKBVFBnk41zPxAjAI0z7 DVOnB45S57mMocY3Ib8zzei/Tgm9vHfb9DMjMWG/pFJb/KX+2hoTrzmd/jpE/soHO+G2hVpQXUvA 0k3dm6q9AE7JD9GPdOVTVdubES7tK7xaIsuV63WIt2VNYL9ssvnXioT18z/sJWyuQowktgIlabIu qwNmOEmbaw76M8Fp9LF+gjoegUmXZoqx0ka/M4inMmq6bNNhVtIxAuEob7QG91rDyqQGk+H69dv/ 1vDUWTIAaraRYlPmmEZ6GfvnqsFLEMfYx0RfY+yufNjZ7hWGZ0UM6YDQmTRmEtG4ZJA/DWiKme5B BkK8I7Sm6y//QrmxTOr1et/7Ag6c3eSNgEcfyhJT0pPrzh2mIrZmACLdRWjRsE5hl0qY/wIir5dZ xvolKk8pWUUW9o7Qg8Mm6WU2GNUgPEInZvu6w2iqpCnpsOFv7DS2EdhlbSXTERnj4RwKh42lO5II bNtB2e3D7QharvkpK6XatvrnYKBOSQjkvfIVXQoxQAU1gvP0CqrG4x+QUhmuT1fQJPCcJB9dsv7/ 1Brsnzp6ewJ77jmtMtjpMO6MkAyHyV2d0BVoFWASzCsV31gHITiq6gwR5nvk3UOKpAtABsMo/r3Q G2vc6lN9tXnowPsVeGL5buOa7bclGaq88GFpnGRidR1QMlCXQmMujFzvE/ptRLALYjzge4pEr4ox 9rqTndr79voa++MdsDFbjWWY+0RvBKjqKxdsQK511uvJ0xSVlGs4HpB3jPFz4VUv8U+vt17qFnBO AHdfGCtn63ldmKciNGraqXLgWtc26iTJoOLBifGZ05V4ZfMxBiYEFCS1vB8cVrKY/eN0+7GbWEAS jgTmSPlQ9TVG2JqMKeaARHXodvx4oodz73hiQSiCk/J/NsWQ9J2z5XYG+kiOZrzbF9d4WdtMWOt6 iwiw7EUuSprxQ4tZVxdIuMWIpowjV6rF2mcWbx3PFqn+bLSftewRc9LawR+rxzEb3tZv2P+6aCuM c9w35NSaWZw1SQ3JV6bBz5Q4hEda8TzHCWbkyAWWvpZrwkx0E7dYegsjReNNMKTQY19QbpcjkVVI 2KqP08GMYeltuK/PmfeMoz4SF0nazY4hDO3E875zi2Fw898uzkevVB2+zyh16p7Bw0lrSt6CSB6F fztD/V5Rhr+zUDvgtuGMYb5kte162tAKUQynnGTXFAZ4TQQLIDgPHLYhDyZ/cA+ig7FoH7vv85j0 J5yK1kr9I5SLtO+g4McpK2wGdj1TM9I8knIourQqOIMDw5PG6TBK3g501rsFnE2qDAj1wkDvOwsO cbkFchUl0Thp6KUx2QnRGOiWpU7lLAqZvHEoViAd2SDrOaYEKTMr9KB06K9iMGcfiO5J7Vg4S7Co bocIQRltkMwPyyqshtfqwocmamywwB0KptkTc7oOaOuVIa09Uffky1UnQgagaTN59jd7b+R7ynnZ 6CgivdliH5hq6qHwcvrLnRNJ/ZDrf5Xn/s5LzT+stzXSZrkukuEvjc+p1oGDqeR17ZaPJMoV3ffB eOgKKolgHaQ5V0Yn0uAZFDeSjCxu/VwbW7kivmsrXYEuO9j4JigDSWsCFvfAYTsmUCsMNWqvZQso ugiwhKu5LSjLJL8YkBzKhC/UncqN5IvMIQTSCNz5uNoUfvt0O1HO3GEQYx2YgQ8c/j0NLTQiYrll 9MQySvaaQ4JaCMJbAdxQ69WH2D/qp/neEL6AVLXEvyUTu/5ZyZZ/Y9G2kQResdWvsJeEho1eOFyE 79htuP5UzhJKbFKOjf+B4GaqK7miTDQagwiHsf2KTRBMi0I933aLHIuvqd/RRhdR3uQJo8nOldhg UyIi9Eg+uVbR5mNgHmQIR2vePKQ/1vB/AsrFCNvCkONuhHWyYhdMxrQPj7UIE1lexrn8VGC8rmao bNX8zGcLNT4nlBT5DqCJxY4QPUU39dM7quc6TRhMkij8aGqYNi9KF3UlUmL0W2Ex2A7TsLY2yqBt yKyHgbpMVf48cJi4LnSFQcbLYVMbgORoyB3rXzSyzQWMk2O8IW64i5bRhDsQOOGns9tvWPIRD+uI 8lPF62bAmkD0kSUZWJzwk190NWKokW95qw4rGwQzxFckNboLQHJXoc0BsOCexUGBvI69JjNeezXI SML2d+Kx5X+LKawIhgFwNtswKVpc1d+C720zKeBDSo43SSGovkh1TIai7gdSQNksATzE3tKqSgPC /d76CkSroEfgJif1nVcy8X3Wo4zGiv5/2kduISczCpgUKuXvo81WMo5s6/Pm28OnukkzZzdcSX2x YoD39WgktMNRQtRX/8QLHTvDnGPLxmDTpY+N/A6fEyPTurjkMawF0D6KNv06UCDNLI04k4oSec88 aT3z2Eg0RECZquPeLF0AJzLWY0Ue4klJGmgKvWP7R1QtPDppeE17KpPWV6tGRRDmAECQdcHbl6Nn IL+lorKXceoN4IgR8WYKTL+D81gPsNJXX7b3h1vCobDvhIs8AxIscyjuLBAozYVMZ/p+ogiCzPfo yf2QMl7iAQnA92M/c8tRuWZQrfDIg/04AD5GnenlT1ASquvI8eZe0bKyMnProUwwXcRdMMO/5s4n DHGQfmJhuVfx+JZ7hQqR3ERuHzBqgEUq6jc2rqQMl3c78h7gsYmQUEm9a3Fhpu6BOkRLWlrcra36 VWmbloShGiAYvLaXlZR8cELEApZzn8RFu+EYlqN/qjHyOgTefoodfQ8R+U6muHqmoxvhSETcSKRh 0BZwT1G98geHowVZJ8VxZGpBrdBRxwj0+UfLxMxh2ww1drC61TMlj6l57edtUgvIEHsWvO/GELbs UZj/orTHJruEScqDDcwdcGdVdr8C29hXbEv71wVK/EsZAi9zhCxBlHUasL5fGkaEu7KsJWv34kUP Ay0xCl8Ov8Rim3koDsg7IjDF708SLgPm1hl5sSlbSSztwvFgq5DN+s+SWmp3jpjNutgRIpK932Qd Sh0VhSyr6iiZxpKdToHnyFQjN406+nxFz8QW/qwSOf5O/+Pp1UUqRI8JhatZQaedthHfHTPyb94F NPmGSfy/a+vPAQkxpkGbW4kTnGxO6ENU0oyDkRH2OApBwf1qTnFVovkCemPjBzNd93s2hFfNW4OO SfbGFGScapHtazruH93/gEimFos2G3LoRX7NDD0MPTVvfjYwPc/bZZBTVeT70AU3+aW+Gz4ZpqOA H+FIKPAvQvC0mv6yWoi03RJx6O3srqOtrbM2I64JFGD8TGdGybaK0zb1Qg01L6tGerI2duX22p7b H0DlEhTt+Xg2I9r9cr4mPQ5XF9ctqTkdy06q+8KiHPoP6IM+Rfto7PAi0DqpulEQiU6HXwBMnoTA m1Cm3ffezuLkq26pUyV+IqyxlGLd6tTDaPAyYpOYYH/wrZaLu1CUbs6vLmXgVDxlp9DaTHJjaUte +ji63k8Ey1/o+V2rSkNs3YKKaSD441MVJd65mAaWEkc0xPaAQ0reKU9V34vUlwqjsTMXeJxiHCkQ iK1w5KQxXw6Gguaby/6FXddv815NJ4W5Wnz41oMIxSsd5UzSXCenZH9k/KjPzQCIsBvxgmcawPMx gsr+1OGzV4noX2lJWwnPKYEoG3xN2Z3DuB4e5tKMxOQdxBOJ4NGwQpVOVOjJJXLPOGSvJ0zSCpl6 Wwm9lOHvJ0tZmpazt+kMBz8QCKBkRAx+zlqVGXN62OQlfRStc8DOIvcaGSPXVSeDzaf4Nw5gD+yE qzrR637fwyKxiQEgdDxsTrrPcNdO/spPdiNvUnT4utHpMGdigvhuInT0Jes7NBrmAkyd4puQiVyG MevNzeSyqbbY94EeoGRQ7uKIMI1AuIVf7Wt68f4j+GaEarIHXpdo/4MtA/pLYzYn4/HF+ipzwgOz rHtztJ5dAgcWBWdTRbwFjY3R98Eyozfr7NTbAPfeluJHffJPushGX5OhTlwi0yHdA2spOWVDVZt1 LSXQMo6IQEebJRLaZPAh2ca9w1O8VWRj1q8fLDK88Yb+KjVUiVcwdqgf98ZL6ga69LosXHDKX6c8 Ii1y8jWvIa9ek/DuBroJYvRcC+Lj0ehLWceAex3ZAYszhJXltyW5k/TZ2HRZI7oB1RNOyU3etdrh miW1qpWuuDuzJqSTxu0j54FJicwSLD5PQMaT3CCrCfpkBemR1sNMJYaq54X3DlhOjY+oetlw+2ZC oZGstCiaQc0q3SqpLS+zjD7qYewuQNofymE7wBfdk8sU/ddIflpKC4syZgrG3GxPGgPfJnjLZ8j6 0Rp9+CejyQpRIQoHENSpHFSy9hwx4A9nHs35PEXwqcj7qJUrHylVF/QII/2FbEykaHPKj53pvuNV y3y7ukSzM4Oob0gnvU6FPgstzGI9VS7DY/q3+/0j1MN3/zJ2D0CPyjipKqtZasXiQLCeOLoQPT2w 0EIJ+W/REnj5m1Dnbj9d8gZEJG6WFqoTfTOi4gttkezCdha7pwnUXKZJGxkcndQd0G3pinLgXtJ+ CVcpAL78Q4nS9BdiVlxd4shgXUrz0ECGn42g+EZtIM46nED34x8MBpmomfIVOP6KrpqDnFfR7B8T 2vtZKXEFSggdIxpsgEAwZASA67gtain/UIuPzdOT7EpRBPRR/1Fg/R8hUs8/ZessEpGuj8SzJ3J3 Gv7PUbZZGxrDLoIru6azFpRP7XvkSOhp/aRapWZHcVdUDxynCc+L9DT445vtmlCHNvdHakRMu5Mq D8gSNptxKE9UUCOpPd5xfcY4qpaUQ76Hfx8Kds86mh+2Y7zPjJwNvTP2mqIt25lefNox7fA8lyZW 4XO/ckw7AefoWTmZBoWQRiZ+srBXgbG+S6OXLKiEhXkoZY11m0sCQ7Qb/+jm4mmplnf76qTSaIX/ 8Vda9GJ3Pp7tAFIrDuYskj42rV8Pu6nKuwgIQ/kXhn3t+ur0cKWELUwcz0fqNWopjqEbMuli7nzf 3P6dNcuZQQnfBqNWfeBht1/dwwAjusXxHdhvg9JpelfSrE2CyHg5s5ik6U/iX601b0/YRztgFs1Y bKcKLCbDePeQrp5/4JLYEX4ltb4KzNTBt99fJatg1JYw/3kviuni5OSo91F44nT9WTBSYdg6NDRR NaX69iWfyi2TWvh7bytJC2m0qSKE25DWkxQqQESMLR8EtyclHCtRvAxIVGqrhMWbiyr12BG0tYkh vg7ayqKy4wxwfC5/Y6Ij08bCK0e5JAyPNR+Np6es5aXr/7m9vuUcHg4R9kV6fQgrOSEti6/0dSHZ HR/6qHMRV0KCZW8qpeNqzdkzc8dUL6oFvYv+7POMKgCkiAmbI6Tm1bZhkH1Rqwg925Jes3BGgNoD IKssz08tDRc6Gdo+rtX7gtSWuS7rfDkDffJ8GgWdbUhYSZRvfFYZieLtDHJP7nsEWa2p8ZW4a7VV ZWSKJpGdkYMgxoITBalW5I97awo/lqa0I7SMSUj15UAH/QKCW0fQsJIb+DWl8Y5Mu1Q4HtrbdmvA DmJK23m6HrEj6Sq2mP2BkivYlX/RRCZ0ci4GtXOH+46vjqaHgi+lcDLpX7YyWxoIC7T0FkuyYbtZ n1df2yrTr/NEH1vku0gkqC2yv1Rjegk4ed+yLrzlrGhRm1RCM/QK6RlKkHWG3P3AJyBg9IP82MIC MGPsf8PTuKvzZ7ZH2GaM1euWhaGQkmRgdBvI40QH4dA11k8Y2gk/a6fp0sGpmKqmgrJ8ZDqp89or TosuCjOJ9ojnvK1iOgjLsM/3gYm7MfL4dKpzrF7Rq0vsn2OS+mWQJLDDK8gtsD1vCamTVjZpKcLK l7ObPmDsy49iAONR4FJyoeDWsxBZKNeetSrBNDLI4bKslDq1+npQ7pXgFUaa8R2tZLL5dfnkDlQ0 BWH1RMzZdMIOEYIa+SsERKfbMuqFbzONuBm9/EbKwLzico2YXt6IrPxFFqHq2I6d2YoEd9fq9KYH vR5c5vbAmDHmgTmVEO+r8/ckiIUXJN3d+DmS14DSwMnydH0Y3cCSm7ZDMR9do+hLCCSefvz6Im0I vFMQw+ZBuXxNMKfOIlqssOBdeoUSVLzayeEdwCTtAKl+wZRHloAXbAvXY04f+qghIoTWYf1O7Jjo D0GWMs32tn4/CQH7TuhM52U3zskKkAoqOeKSFQLL3YbW5h/i04ZITPyjZtXP+LfX9NLv046NTzhZ Wdf4RS+aIDkpvS2FOU3urTD6utgIT9z21aHc9kkvhlOzDV7AeDR9/FaXyVre4cpvwbOhvjJJRQdv nCw7JXvatF5+X6qmRsc2vbFYlWAOfmNUZzJmiR8t7S1803REnHoVSxwd6x8LSgcqcB3zHApLEHE9 HDdLesdBnMUQqJR3LCoibChDgbGMsCvLSI1er6Ph3BK6cJLeYDyCpQcmY28w41sdtncWpuZXDkdw t4jGAXHOFycHpMYmfRmEBAYiyoO/A7AbtFQSMzI5xKi1hU3W6wCQJN5vc3xs9/kzGqX2OtgCuSYa FX6Wjf2/N5Z0vhLuRBxTTu743PP3lV37L02jNbu9DDSM08UfolZxntwmG/8PtIwRPwi+RmKOs634 rPFR5WBR+Cp9twdbjO/qxMyhhnuGd4Xed8Ui/xNaunci47MMG+T8t9L3WKitK+umJ8R1hewF/Cq+ VjanQqIGpGgVwKV6jB2K8BBHKrQeIqn4YkU8TUnq/Fw21jdKzszUM5DdvfN1bgNvUVMAyVzP6EfZ NXYC5HV/8/jC11+yTAKQCjc+RDJege/nVIzm6VsPU4Fh8Jy1K0jiJYf779wl+xnv5faRj4dRObDA ocDoE4a90L3vftKCIJnvcQGgO5Q1rwEJAxjxDW2/Px9/LS11281i2jprRwBFB6OYR8oV2iNo9X+s WF6JRHRIXNG8W5k6PtrOpDkphhHYjDI2j7javdv5CBIokbpnbfVfQZplGLLFp9avvWhXxuH7Nqk5 L1Gk0FwvkqFidMgUk3xeF6whFrlzYWoGACqABu6emuqaHkgCb2GdGUIBnLd5UsliJDqMxs4iLFZ/ fD39/WnyIgdaMO2z315l3fOCNOcB7oqAOBJSj2GVnq6BWo7JKt2dixGpP1bx1MKkY71+gQktM8EE wT7gUx5WUN+BBbhGH0cAAjcB0uEijZSc3Nnq7S6f5dFi5et2TJjwhDRZkL94hSsvxMyXZHm+1y0v fFmdCOZpALFYUF1mv2nBH3PDGBrt4rIYwviARpQiLFbeLXY6+O90rTOUOpTr0nCaO5pKlUbP7ebu DkPCwh3EARXD3pJVV18+f5o9rP3srLl50w6d22j1G8tf+/R1TCJjLuGy2Jdf1EF0Sa063SLmZ3xo AHOq/f79mmhqXBvx+f7iQIAwhIFWCzX3XAp+tw7e4NwSeDWX/jyAP3MJE95XizERhY/oXw0x9rwZ g0kzRiRPPOHmsqgR1BXp8AbDTGgDyaaAMWvXwHouytnn0cRpmtII0hdgexWnqhyywOSjcYU1KyiP Po6itdJWsJOx5V+RxxXdZR15bNWOiRvgupOX8Gerg/MfNkEk3HLzqKrD8PrIMMpxnuW1TI42snCc BaVHPzuJsfp87LrqvZzkFjpqEEmZHxJFVRfZlur0wYoOPmdHSL5AOLzwJ8PFt2O6wkF5KWlJ+exW P6Lib0XZiSFfFahTLqaXoCT3jANTFIWBRa33loSTVE7zn2fu6CodUQ44Z0wrjDDNHY1zcTsZnq/P cZ4Ptn2OfwiTNllZlFaO2PP1FWqG0iBOBBsqpjCYEy0FYhZ8uoDsp/5UYVoRIYzCOyiNJgKY7RN4 OGeUnxZ9t1T3e6ty+wRpCFZbnX6dTCgGuYJ6eBTAbPm2ZCujyvtII/S71MfxBTL+Ch1gl/p6+W1v dWoe05L+/QEDBC5uBiG+I2OVN6P/c8ds7TA4c/LgcSod8UHyjWbOt+cr3QGTyS1/iVRwLckrFPeU cMk/4ltnfraWvwW1AcXzzKehpSXoafUbYByQt53T0v/nEe6LEDlNcbu4g9Ke4PgJKnanGS9O7uaz d9i+XyFVHKQl8gw2Eth2RN3ZdrhUVUrscBViNJW3yfMWqVYJAW5dFyfHemkk4p/iV6Jz0xFS09/1 CJ+hdrdLv3K1WeQJoYGGTrY+W//TFPaNf1uMZq4LhH/NskfNAzwe4hqi60k0C7ALE3TAG4dgYgx/ tuucdzWcau5ODnJGYERwZdXACn6Cod48S1QV4fKqADLW4k3CA6p18c72YlH8pysMa3ydGH04S1PM cw+LV8EzSJD2maONz9YL2cGMxFYnhNpD7XAJGRic8k2BgZq0XPFLMrdfHDkbj5/m/WndyLldZ4hM qVLjJgW0RG+QPtxiHZMiNKttTVvlQ4yNujNvYdoWSISdbxlIVN6k83gYP8J+Oc57Wgq2oKqM2CAf hye9k5nvfO3ZXq+t+aKjGjyymil+ZYmxJauY0YoJfBWYg9nMCfi8bJZwIUzRE2+vE6PwqI1wyGy/ x2yWdY/F/7L/mL96KT4mCgj3JPSUVJdxqYApQE54JDFujYhiQHS0cS+r2eQActvlq5A9GDyuBF1v CKTeATHuC2UMNnzB+SaJp8csRVkRlFxxh+bcbuYgZP3n8wUMBzJ2suDk/MXhvTpvhZbx6JiE5DgQ ahVaSezEIbN0cOiHPJ/TduZlixiee0mO8UCfx410vUyjwqkSfEoeca95KiMFs6AjwbHlXBEJN5wM ut9vlYfO/OhyN94/w0xMbk5pIB74fhsLFrlHfucpj72ItVgJ5ctXLIb+9qegH91zDzWUicZdmV2g B9GFegoVb2v3OUQ7DSDTXNK+Ix+e2awXPZ4/6iu6alVmAxTwXnEj/12WsLTcThlqhIVteMjeYNRR 3J+tfQGu+tGmdb55fdFWN2GXryTQPt4NbSWzCFcpuCvd/Ok9EyiPxTNsdyhEf6Yiaaf9qb4qr5MV V+Q5HyAABUzjaQ/jDQO5LUu9adrid45k5UZKmk21VhN83D8JEu33uk+RJwTQZBErE4ehOc4OL5AB /PxKhGUE9h31rk2S7pngoWliFrVGmAcCvqD3BxzfcLlx48mtgu6PIjugfhgiPEuLDJTTeldAMmYE yqsg8mbUMfe56yC/8TD/9N/OeTMb59WcGuRkkqy01ac8iXrXX3JpmVCfz8BqNZ0AF3Dv6mB7q/lu hynrOa8ubbyhgtha2cvTdtxwS0AEYi9S1hhE0fDad9274qCm+/oRR1UAlqZywq+iNz98cRmE8Py6 hE7PFjYB4X5zjdE1ErfKlfpK7GBXLdRoiTv8vp0g17TruRFoOSkrcOFO2OLE6D0y2+IlYusQ09fH yPsgB9c7oCuleNUm/xwKjpxY8kVtx5x7vYW6rTz0XTsKvn3NeM16gzph2R8vo0gmY1zXK4gEejZB S+TC0y98i8ePY+pEEvKGtg2MW210BJFXv2q+yYla6VFdjr43rxJdPMem5gwcUzhER0d552euXtdU d9EzJZNma6SFHJeL1lxec5qdWqw1l87Nqk9xKrn4OhCXVND84uSIiYF2V0F4q867fYG9H7BaoDWI x5j0SGYHtCE1WVU1+f6rOjBoWnrexmv65HBDQesVkqBimL8R+7G2xgVQCNo/4Q+WHaqH2cTtGdAz NKCahfok5mojGipZpjth+l7SLZwkABCobSzNl13cCJAjz/pz9vtsHgYcwGeMw90msCoFBxi0beE6 KUdl9lfw6Vdkq5QdDSrDnheHA80gotZLKKNKDGE/OdiO4FF4w/1VqVZPtTxyX6gdYhrwv+kMHTuy /tEKwZ7XrRHfft8QAy5LifCmwoIW+cKCjfilZchXwmJZc1PSjufOKHbl8PWsZfIl7S7MYXwyP/tH fsiUmzXHW6yibIfTcCgoynQ56kNdk7H6Cl2QZ8ecBqBuMELcnAWuPDoRtPh+/HTalUiiJWGeVvk8 XeaSkJLa8961uDfKKPpu3QNMzbY8Rq+uv5qbUZUoZHApoVkZMdCaiK2EWhmYy9iKtykjgVQFpJ/m K+jq5cU8eRBdJ4DIF5bvj0VipNMFZdH+j5/dfTLoAs0r9V7dF3+FF8fKqSqdPXpVLIi1ZVM2mHwD w5iRCIWiaY6TH2Pw5GYQIg1ejIMIBzPkvuVugKUio2dR13fdFq8/82UQUXsEW2NP/GYBV8croq5b BZvsCw3vqiHoi/lE10Keg3DbhuekOnCsWr+QjBQC4tYezE1BP7Rbs/Je3poMGFe/AeRR+TsQufUT NxTA62NyVmwCzuo5fqHMKGxPsPVVnZB6BMktOnt9WoWMZXqz04lodH8MXrqXWOLDSQJTxB47s0eA R/zGu76SyYBK7qftGjh3YPdOTWEET+jh7EYrSl/FZJIZGnMOELyRasWbf3EqT9d7TAjaoEPwcDKe 1B6SMx0GD1C/ivg2qoFPCqQxaEqo8B8SUUcNSvXNA8YTBT/GXgmLEKVniRcv+MZC9vFPeUGbBmP/ afMOCdjuBR3mz10RNW/c2Nkv/mzDzWjJfzx/CNsM82gXz6MUSZnfoJ0TTVnXR5HrEuDzZ53XZIrf td7+e3A4XujxK6+Rmuk89/7gb9/AXeUBzWCt8vbM5KTUtC7JKgy6kPKzaJSIr50egvDiUHXUl5Wo ZnEn1SEEY7F+sY8nB5f+yyMnS1W+edlQoSi/HdnFbk4DViARUOOWldY2MyT4dVKRxPzeL1UE++lH Qh4AtuWgBH+1gzMkz53drwbAI3gZ80v84V/1cuKe2N/Zk+MThY8T9paB00PYB/bWIO1BiZp1wZ3p ZpEO9YLeC3kksEZ282iMTFTpMlb5q/nsvCA2f4X3LS68w7QkA8fy21ILOXGenc12kiEx9BNoPQKo 3nNZR2AD6jlH3R2zWV6ZvZo6Z4iSWRMOTGXh5j2nv4OFAPX+apW18Q75ztwAbxSe+RTedRk34uQ/ ohBulfdNXISnvnm9qVZgkVT7BGenNOfSZeG9m8mCOGvoiKRqxkPsQNguLLlB74MG0dpENLYtG1Rf wOtFtPhW/cjIZovV2NspMfDxTglIWRj5rFIUg4ZI5DMiJPRHHVWTj6scOhXc8lfPsxGo7Q9iN4II TMSsoN0VSOR5bbsT+K96o7itbru9g5/h+4RIOL6KakOVjdyf4FVC42CAa8R9cr24BEwLTmaUtFqn VcLwhp5sqbMMQfn+8XM0LIY34YmN/rVahZ3LiBl7/g6VVuWR6I3xWfOPEvHunApP1MeKM5jrdF20 Vc3BLY0nRbfzkEx+hcXqIXJNwnQPtxFIhSIsw5WyaPD3G6PtnWWeEW0jgSCjSqPzanlR9j11/ynh SzFPhp7v7+zX03/3Ie2n7bXoq3lXQYror926c9rRlrla4RkFba6FjWOBPcmsKYhg/vcSWCOhdywi kdiLeiR06BqELVnQsXO+zp5ug8keufWSH7QAuxJrv1MkDFhTTkxrfaK5Mfd+PI8mheX9OUuoV7ZP iswfm0r0FBYDmi57I+SnuIGlLk2AluJ28Nf2pjq2nC6gbcQGqx24GvcqpAxx6wKpWYxznbq1nEC/ FQBoxr0UjwHE/3zH+QylG6x+cUqHBqI93IluZqqpBYlF1FL7/cDlLREjXyUa1OTU1U51tDy1jeYM xQn3fUKjvAwQ1//sMfluFL2jz0DH4yTr9Xe/fLmhvWHsjNsKU8T+qFfLYJaPQytZEAnwbAR+Va7T FtrbUGaLhLcUkROIAReFjrrSJRQX6JoXr11LiaB9j97hXsnB3FjyGcW6ja6mxR+58qQDZrrnTPr/ AuS2PErEf7Vg2aUb2sZjM1SKrTWG6c5fAtT0GFunRS8KmO+H1aSILAdgyp6VG0vjsU78RyqQaS3I 7DApyUNabFAgSH8br9QgHMXXqfcqqZ8vteUeR8ApK2s+vMpGGiqe+cjYvEq8Lg4dZXQa72uQw0Zo Dw6ulaWGcZn+daebmc3IBe2KE+76iwk/HzGy2jiYHp0FyhfHKip39sLChCHvrbjyK9QmuWKCSi07 DaawBYNQZL6GEv83Eaw56JMnyXpAhKUE62jDH/P76xi11htbBEOVTEpQY1Xduhic+RGtiXPIQudT VTR5BCXRWH0lQHvyhi8bAbDEge2pndXXpEEMMB2W3PArG9MikhEV2C2/4AIayNIH10+INCB7Z5y/ MKE4wYQttqfuyEv636pXN4H17WekuW16+OkfvbsjLBQ9chU/4akMJl6GnoHlPtq7NubYDTpN2yra 5pj2YSQK6jzAW+6d8CDEIzHwHBso6VqJxoR+MYAdmnE4nPhHp220MFjBT0nH/CtCQRq5k9dmxvq3 Zcw8iTLv35MY4n2eaKZ/owz7WVzXpzPSb05Tf05ogCFwO804YzDQ+wDz32f+SqKE79pk6iyOxSiM kxYrc7D8ard1ianweZxLf2BRt1CwAAAFrKsKyIJgR+a5ijYJWC81NZvQI/IVUlMHhRdj2etogHbr 36OgI2ed3Fu+Vu0F9geb7N8I4avDSX+MNQDb6UzDEEz0Nvfgl70ezB8rzVVe2ooGuP3B9rx5RXv8 mSRE5HjvdjLEQZ7aPv9+jbPHkvKRi2e2nNROAoDzgHzerJShSmmmNpzLyhXCIsU4gQKVmVOTOVGL CZ+0qd9/leDWAkHBxmU1GUpaklztKTbZWV7rWy8K7dgdqFgYubB7lpYXJxbeFTxxioLMt22VTfDU 6Tgap7Jx1zmQFq710bIN95reQmKWECg4IUrYC11QKh4Xa0NWRziC4g7HQgW7EhDmAs4jVIZ5bT7D NPz/Fc/Au9VZN5H/kOdyDm7GOEAtp2T4hcbZUY4w2dapfw5lIUlKKf0f1syrHVvgxFTGIudPgZ0Z cRz336utd3o3XtDzBKjlV393nVlyrBtdQprzumdKy5OqRA+tVS4ySTK7LEFa0GzPOENWdfKlizr5 G+CRBTEc7glnAPwc1SAOf4AVOyHHcaF8Ehj9ds58RY3A/+EkmZZ5KczDaCl8YnuSwHdpumzSwn1Z ZTYzJowhRiWQsZ34Kft4BiNAlywdwH6qZbd5zhx3K6XinLyNheRFDDPVLvfI3CO/9Yap3i+RSy9Z gMdRlxTugC5x+SjaLd8g882gGXAJLLkXzCyuhcUNuxDsM8GRURN+HD7UmqkPEXOdOMkRguil7Hg5 Wh4A0KZx8H8NPmaNgVRfg94ToImn4bvgf/xpE0Rzs7HmtczKM7rknOPYEVoBjvjcS8ZiUEREpO45 5rD73Gao3nNs+zvwn5ThAvUnDnf5iApYIaWhmpuwT2OVHowEVJDt+vkJGuIX84KbNMfMVW0E2UkQ srjLn0cZLPRsLXWL3ZlJwVPzsOlRMi/oVqQE3y5vDan2qD3b9O2ss9f0Wvo1jHdSdzHnB4hb83EJ qTOnef6oeASnBQ4UECKUU9nzKGChyGKm8lkGLfKjv9ujUJLa9CA97+ju1pwq3S2K2cHQxiC8YmkC /7kVXExsqEx+2nWyjhU43PrkurPmP1SgCwHc9uvlT0/WTuCnS5nHDMvAyvEPbm3OArENugguzhLO x+QYcImR/35gO98Z0WrCClk19yUeLW1BiiHXh8RlWI+ReMZBrI5XFLLS7rp45Gnbdrld7PJtj5a9 MFXgTCK4Pz4XzUxBMf4ITutNlrYvVay2T67dsqEjtoMYIU9B3mKk4l6hgW4K55fkoAj2HCZY47rR 1zFHrcur6LImj9FNLvMlBq9/hUYLYCpb4x6TM7wopp+C81E5YrOIj9fvHYByjA8vfd2LD7h+uaRK Pe+BELh7Pu6jESm1Ll24Q4r8g9ZEV8VU6nhUZquVmn/hTxdUzJm7C2JK0cx6MHwoKrl4UG8wP2w6 5YQZn8W6OpwGj5RghZvITDqeZOG+0X+axyJERJScUt7IZoQbvlXCVqG1ONFc8jy5/2jV2/kn6g5X luj/jwOXWBdZgtE2IKl8UCmHFbfq1bDEv3Y1hjxj3Zf08BlJrfcU2RFJLNCX7YbuV/xSTG6nkECe v7ZKhVcjoXntTapOuaFHW0sSbqjU19Dsk5mZW12oVsgCHRWKeystKouItKmYpKQiRcVlR+RYXvSv 1afMdlC2mFgV+837xzW38T4l7NsVT6m5XFMW072slzTj9bAAB+3Y6DhDVnUaEDV7xWD2BXqpCwRK CVHFYPZbWjn75gbFYYLQtnvsNeZR4xoCuS1s4Bv/vGP5ZnU0MNvVxUf5LOs8poDoX1V6iSEHO6Zm AD2DfEdDTdNACofqAkiK3RkpNov3KDqoq3X/lkaqfq82xBmr3Pp/FlYTo6CfsAE27R7b4ZL5Cd/Q 5U1rrhsowjg5oanGjsEpE1nfQsiXUlNsUmzUOYmn+7bzDydDIIvBfa8akM6o3Rt6QUB8f7CX4RbD YVwCGafUiCijXOfoSC7V+A0iTDjwcIVlOAnsDgo0lL6FZlWOsXVmisN420tFKt3CsfcyZwGN+S6n JNEDDQ5fhZoStWrYtwXtjAPUyd+UabsStsINR7PaV/FsZRjn2y+caKGsmqIYXggef2gu+6Yw52e5 BCZ/AG+OKeQ1x6zS+0qYgFd3dpcfF1qEyfDeMHkaaIsD9I9rCfhMFQ+Hp8JinGHrETOaMWchRI+D G6phwBOHmGiMTJ/v9ZsaUFoN/Z25APGS0ogIP5w6DQJpohvDxycqPR36Vrsyi7xyzHJ5PaG3AvEP aUyPEM/hzfPD2BZw1HcRtGXopfC9VymPqlXarSK1hthn7llSKXROGxe2iZl7wq4mfk5sQoWQQf3/ Ndfzf9Ifokmbxhl9Q0bHAWBJb2H7MFiWA9mCDfTVHbqkNDswzgzg1EccDU49/mw8CeUAvuU1gfag 87CQTgLvtsqpH2cY3RBZoy4GoG1oifl5BtWeqMMuRgnWHbSLJ6hmaty9gdfJ+NTYxvpD+NPfZ6z8 XQ8nBymcWzA+v3rgEsV5W+eFrc+SLlKKUaGcxmNyaSnIu+5y9fd3rwCg/uwotGgDJyeHKusG2gGS Hc7HsA5iMmtbxt65H2EC2RH7bN3ycONTTlNwo6SnVZDfpzADzBRREfp+PFDdzJrtpNlnzIK5onsg pBBhaavMx9u3nvSM5d1SY4sVZSRFbHaPOoV/z5Y20X9yItfpXIE+KpN0Ikjs9KwYqvVcoKa9R7iR IoD/VYSYpZ+PUTu9yLatD1MbWA+MFwo7eGHHjs1vyp2oAJWGbzlmoYb/xqvDWLqrwxfyTbpKfKub Yz8UeH39FaQ4wd1Xw+ybJL5exo2ciL4tP36yJKlZ4or9roOZrOeciRKlg589UH7JX04Xah36fQT0 h3RIf0kUhzpMMDojZj/TmkF3FKGY3EhbQcCR2GI48MCKMFduNafWZaM4mcQp0AL+AdJEqm+G5OOt GDGw2WkttMkVLLVwgWNp23qFICZJqe+aZ0N699keK2at/S+dK83BwHKjvQYQJpmZUvfKJzuYirV8 V5JsxYMq1d68n7r49NwXzZx1ZJr5sjD5OHcJXiQ0PusHcrRmGO7I7vLcPmmK0RTYrI0L2VSjj9R3 4pPP2qFCSe4+Byq6Y8Ltw8YEqg9qnm93RvWUA0J/5f+0QOWU5tlawLoRiMOt3GL1vmwzzEGru+pY FvvShU2A5CNHYKaQPHGCz8+9nXtJYnAXJj8Y2PIf1XdhWTybQdOEFynWhaAMLgDoAeqxmj1SDJtG tGp4TDglsWWgw6joj+sKe5/wD0gMa0zkeCsErNo6Qefqs1W1HYmhyl0IZ81hHou7JTVYu7ty1/ED U9TVdBN7hdh+p8NcIhaDYyzhp4SVMZMzc+/1DTLRs86aVeYSHlahBVGuEv1dh4ouCJvVjVQZztFE QCEVouZWAbVZ1FYzgznE2nNzv4jo6W4f8/QnG50K+Y47iJuROXaBItteMO6VPKS0EgtPgJQafRh3 ii+y3+IuSqvWeD4/k/QRsOSCHlyHOReDmPjy2HtQjMnLZO9JJfHD3McPZgHzs8VZQ9DMZ1e6Kfmi wvENNiLh7F392wdq4y5pUzVtEPjxitN5f5CaW5qZwk8MIX8wVLPHxe1H6UkoYlVLPtkNad6ngdsq m7DiSnOv0dneQdE4AOCymugUR0D7SZgyGOqwUBMFXKuAZ6USdmq3CIzvlBk0tIGwQCkgJe7Mzwqq TX5fnVbkp7+uKkneBtzyohJ+V+Jg3dGpQdAjHez+18kqnBuJzeFkNYllRaW3QyC3zOLhxW63Zn3b DSfDvRX6ouqwJktSkrZjlLHGrjejakoKDqHIyJBWCC2CQrylPm36xzGjtMbWY/K+X6IeUo+fuHaM NeW6PaFabayPMb8b8uedXnA1iU4MXL5rfqWqfL47VYPIRkvugCp9qtLCDB523xMTc3F/BM+hlq2E 1YI6XTeTFoPdboN2tn0h61BB/wLxMgMvvFXvGbuHI3bEeKdXpnxQ/ugKU0L00jKS6P92rTXkmqPd jtwT+hkCvlcaWrmU4SIcyg1FOpaMO5PCGrzPE0XHml2xncg9g8Wo/dQLiDnrBr590bX+Dkkyv2Vx N7eC70o4k4ngcMWXIDxcr8vU+cfPvPy0xvVd7geK94TOwHjlrUo9Lb80SG6stdXShLEf/vR6eDqp TaOmOCdHqLjTsWAbJg8Kp4tbFxuCAfioqSiaFKmsIuIUxnAOEJWpy9IVSgPb2hYFr8MDbeRxYJiU w0bkigdYgIWduknomUg2vMGhA6zPH70UjC+z88f4cXKhB9HnOKv7bEF0uZ0XN7ZpIJHyiJJKArKx 65uWGQs+HJyqdXSRX5ZR91UYWWzR19/IQfbKqjL5ZPxLltTbssR26c0aYWkpD8R2fTsuvnoUcREe qBYAMjzDkFGs9sTNPWzID/dWXIpzssrb8EpQnoCn9X8oDzVeRgi1mz+59Qlrc9KnA3Fsk1MMVywF g3ocUiYrcpg9g0Z8e0zFsqUWitIJ5+V+ePHQchP8uxXhkOlD0EejNNLwR1SIuB+8FK4+ASozJeVI zX9AU87L9pKtfSlDueE3xtemzKeiygBh42Ni7+IwMLylC7GmM5GoijdV3jdqtPOKolydpvC5E1Gi +2GxdCzV9O5sAyqlf+LB7+uHecCss7Z5wTzxRe1T28dE7tl54viZMg7cL2CCsEFGTd1UuTnz8wpx jnFRqSD3U0uKMBcRBWgSns/Vr5MgU+1yEYYOdQ8DFpPMxZSHviYNwndzgjfJ1Lsq5gCyM1SPBlci NC07omQ8YO2nwi4M8eZIoE7nWxurB8IbWD4KTU2sdsENZO31LSMzuHvEqIT5IfvQwDN5ynaQvCG9 ULiSUSzcImqWBfBbF1uNaz/KWwzrwtwKc9X6brDnfkytacMRHomP+lqW9s+o/n+QYdW5yCjAqzdd xJD0XvPlUlmQRjuqfuuKT8gHBYplHjkpcw5Mji8qHPSRei5nyQzJU1C/ZTbkmtVq2lSdfAUZMTQ6 mUFLuY/j2QRD//TPK6jdMHJVo7F5Zum/iNI0tVVhrJkNQCmRKhg+yNkBD4L/yphycquZfpx5u2hj O7p7qpU14IyD9yYJAdOqtIBwXtdZ0fzBnlKiP2xZBEZrkmQwIe9vj8d7E5ymWVRKZV5YFszCqeHM V4kqU8JqHmR5gzYBWwe7879dehbGGmTP7/JJbi+M+uoU9wZ9RaM3ZsyExKA2eoNrPmCS/vDWY0vN AbGEeLId091Xdorzi1e7Bt+DHJuk7noxv1EvH9m3Uur2IlIII4J9Uqr+ZrprBuCoe3Yz+XcjPmN5 nFIJMXjkfPe59UZuiWmhPcg7u/t/WZbJ/VKDViVYpgve1FDk6p2EFTfKpXOZWPj8snesg8126yi1 +JDg9nGbFhgxggbiAkrn2h9iJM4nhdjiFxuE/8A8GlfTJTsG6waYRBqqmkJKXLlhy21tUP1PXZh8 qX9kC0DuR3kN65bzB2VPzRGQ8ciEMDZBbYRiJxtjbfPa2+OBtU/kFwGlopwfnnN4XvvcbwpveJYu AqI6zmHWrghGGgt3bSsNq4wKR4uSN2xTUYpctB7E8hwbZ8SeZLemiZ+D44F+hYgAn1PCzn/hP9HG kkxqDTzTmyTSTmIiRMfOCqd3SyelG6w2JxzrlD3Sfikal2okX3WxYSuoKikokBjLeUuBPKucfWSx UTIz0klbrZlzgyw05nMHiYESJB659lFN62LsRxXpkF6BES1kyhRuSRwYHeXlMqVlALQ+IZEVlOai aLwm+5SbETtANgrpfkRcMFWke4mm6DgvplnMsOdL1aegn6wpQ6NIJRf0W4mosZIYd7EH2UFWSz2E A8BCfKBKS4zWEz2AuA2Udqclnc1Lp/XkckG4jhRLrwM4Fj3sQnCZAldBnay8iBlxMA36SDqw71iA MS7kxVSDub6MbddAyTpOj7IzL13tUoBsWAbXT5DRCxtvmYVQZ8oINlwyCb+RfpjAG46KhPr5gEQu 3xqkwqkY0n7EXXk7YrUC0Grk/bII7cGbjBhe/Wz4xGJOdIhm1p0cuFI/YYD+gLP4B+2yjFvGJbPN 37ynsg3m0SKwDI1yPTWm+wj8u2QMLHHiUt5dvXPI6Wd4vRbk0o8lMyzpjfRjNz7WwlKepgaLI1HC 41OkVtwcC7Xhl7uQQxiOG9dnBNKnjPv4xsLmfMCH893FoVzRgUgXE606o3HiC1rtowSdsmPOIAwz gS5MkE87r8+IeG1jd7n/Sjp+j+FzoEyDqnQ8+jxnJlMio/tW46/Zg/Wk5t7fuJ/lhACfj9wUDc0E 0X57aRS5oy5Hxy8MQ7yyRjCv+M0eME3Kp1Y0BR7bZdraisin4/hrIrzFS32QoGXk1zIJAqQzfGl4 vAezx2aIWlR1epzXCCj24Qy5mDNjQviTc9A6Q+yxyQDKHPdIWJ1pIBFvrPet0T9U7yQfLdWDCKvs WbHnuFiJzMoGF7UC7cE5LMa33Xep6xRfMO67bqT+ssuIylcC5/HTGdioDV+RYwDXL4kRZXulQBqx R7WL5FJs8K4DGy5D0igiWnHoKeoaWJJRLuDTEQXTQFhr9Bv0orp3nCVTl/yiZZ77xdVI39U0vsTy yrwQfg9a/C3iyf5rldWuBKz+jFysm99Cp3NaOm22wDxM55hPpyzu1uhoAKnmM98E5LUcB1p60Vad 20Lrz6NM9Em1ur0Fh3GbkgADxtM071s79otGIRbWiyC9SCTBZFEE3yuWklpm6XGpxYP46neRZ3zq ccf/INULaJZnT5NhuW7K0bL+JI3dujwq29wBpuOorYao7bB55ytZ+7SaYBWdmDdbuBhAEPpuGJSQ OFyA+JyFVtyz7ADtYXAjmU7NS6miPQwY5LPvkbiuxL5ZAvxqSKUelEnaD9HfEI4sXoeWtpO2FZPa Cs0j5cMcxD9DkrEmbMISSUabUR9O4Dd+XkeHxiz1r+Je7JAnCBvtQ734PS9EXh7kkTt10mJyeLAu nFyvdYzkw3s4DCXGkr+7E80sA1EjaGUso1yGR3L3oxFBKFV4ysDbGVNGVC8VXqyPrjIdm1iJBNzS dhT1uTVzWmXH1ou9sRWpWMTiTtygAt4CMX1gmqEjqk6J8lqbymthkrmWuRqt1tnoUuC0sMjl/EPX PuIqyLk1FSDT13oLhTcP3ey8K5lfba6wnZtLEcL3cXav1U1tXAhN8bMGOEYenjUtZETMVdQJQ7oG WvESLpJtN/oQtqec2ZBwnQudb1JWK0eikuAu9XISsa7LMnlmODGWMkjtW6AIjha1TafG39jsin44 imRXGTw0lbtym1CYoKp2CfkjEcElrgMKcmON35yrKDu9esvt7yaroauogTe5bhMaIWzTaap18Vaw REyRH6XJrGQ+8DkFVHbwmHICPGKBTZ8iVoHVFVlkVvPkPCwLUkL9gyv9pDTrRc/fQMEvB7un2F6x RiwtpoH4MaSyNDZcHuD69JNubpzNZZfEYoGCbckmjke7tqOH/M7QqSsuduQ8rViotw+gSgRm2hvh 2ftKXwBpeVG5cmeXsFtqIQDppRC7knnmChrqtvyADPWeCan/25ulqALL8+4PLmauWsuNcIdLg6OK 74W1GdyXwTLiPIwMIfrTHHK8y1rRgrKA+byED3NOSKk13uGqwvTyI1zXAD5tBFhzrRjj6p5uTy66 tTPlhe5Oe+bcr8CNcdw5RXuJM2aNnYrUPIYtaZSZ6/cKvC9zFPTBJypTRgO8weh/knHLk8D7FYZg C3IQx0p+h0gU4saCfGxHqN+Q5NYFRT/mjZD0Y1B7S1dljX8KzSXSRYvQGXy4nD5RoeiopMrQ9rTG KlZfptpPfuJE+4F7WC8Bx1pIH7dcVGemrxclAyk4ruIO3eLEpr2JnPkMfrhTi5Qx1UE4FI3vFD54 xuyqwefIGYCYdxWGZ0OvqfW5T3BzCXDLNWnZ/5n3U1gqeYWO+YmpZ5F2/t0dTm0mYiJ2Wvyd0V2X t20Cwanke4KyMjgdb5MyVAooeIF+er10z+dVrLl7h2cFjgkqUuGjpquRLgGcDJef6AEb7Da9I0NU DG4LswdXFX8MmDhsGsPhjcxT7zD2MDuLHKGdW9sHzio00H0qgvt/K+zo3UPfLMwd7XNFmeUfgt6W b65GohwTns1mlMRiG2KyesNqkaNTLzVNS9kRfzvoZEqseYfjYOHYRZiq85XkX84/wZIrW6DafSKX es61MpbGTGMlfwxB7W3F9UMJilFbxyrCPh9NqKlHu0MdB6GLnwi+xSn7ENbSv4AfZ3B4UWpSdzdp i1X1LIE/Xa/WWtGr8iamxbc9cb4RshmyzvulxkvDKeDxb88Djamw5uh4CIDJgtEa0s7jCls29DUg 0elageu5KO22fpM7gzcT+EKcT3tsdcQd5wVK5iLPt8daWr5xw+UwVV2V+kmdx5YsYE9SFTYZ9kGQ D8yHNyD90pNcyYppOuYXS78Q9VcXxiusuUCEmbNZWLAz1fYSXrYV8fV8t3SM3D3EBGWZ60uycCDO 4Z/NSRDi5zF7WErlFSHCzBaWhvz+zHXFEEF43bRY6JXPnmUzP86cI6Vd1TaL4SygKJltqJrsLfa1 2UYUeK3E9g6HB80smGIGT3alksKSkgItuC62a5HpFsFYA5EB5ujuQVs0LFH89JHIeNTdh4K5aSXa xuTsOckimGZrNatm5qXuLAJeYYxa9glCG2aGWYAeb23gL/dh0c5moAepBOoi5SrZanZL+YH5hY6A bt1CHkc9/M6TyC3UMUvbklW8Yzxz/KYtAeMudWv0OTFUlO3hd6JexVJ9qmrw2IH4VxSN16M0+pFJ c4LLscIbVPazvJhzTI6OCWQtmJHv7r8oBmpfwEfjIcUeTBL2DSQFBa0qnDtCa9ihhb4pOPcdJXtS SGChERxoyT26AAoB60tze/tlxbjSDMD2aE/pJ3DZAsDJIzJKcScGg2nKT7pmWoonDi+sNluyA85r 8pgIrfym/IzTOV97K+04+uIPMDdG4O3VVQQQ4r2O7qcSeX5rr1ywMJJJE1SXIr1MgEAgKSrQnjxz sa3jlOLBD0q+jxPxq0HYTsg7hFBGsI4QX9mctbFQnLFPxDcx4PCi5ZivjpvQR9y2ZrXkwt+2Mfgf sqfzQHrEcx3n/5c3OZpRAATkddQ3YdZeQdPe6QMKGMDIdsPM1ARWqvSQSPe3twrYUDgSzqxVDdxM wrovNQ835CqMCwKmAtdb3z1LKsTaH7oo0WOKCQBW7aAMvUOs2KoOtPMy2k20WVw9XxJwWI4AvrHg 1WYptl/OVntCLTtMFEfB/RdN6IEF7+0216lbP7H1UYjbVNdpiEA6o2cRZO28osYFfpMGM9+qq7dW 13ADogQmhb9t7hKrTLaUFsbrQRWw5OMwwgqPkSPTd+ZV96h4ucUomj4Mr7REPyrDcOIEPY3wFEaw h3xxzrQcoLU83ijddGzZiwus1IBCoi5LTBBIV23rbRE6kpR5MxfEDDOsYlAja6DR60Aeo5cTdOz+ kNyYvqlyzloCiH6OneroHfUBPuq2of8OkZIWkaOGjdKOdjC8FlvjrjljWmEVoXrnqjdqZoyfzvkl prur7yCT4HeWSt6Z2N4pd4BOg9GyBVu3DzQefTYtbojrbo7r2qkjk610qb8IPsCnnFkzIr3k8/oL a+P5XhyFCFdCO4lM8/zW2InbbIzuVDWjLamRokNg30AxRWNco7JEULkPYjxF63BeXoJdj/TnmKPR Cx/B8+mx0OPz2owGrpXenZPt0P4zO/RNj2ofdSQqkFBimY8ByPUWNlUEhQK24RbzD4ck8btgFny3 07mcig4hewhUDwZFFeSJuKNxEEBKjT5I3h0/0qgEBsk8ynQj5dX+eLRPcXp52nJgoRvFVvIUefh3 fiM/QlmWdJcxayXOOIUxnhtQsTfpAgeFJ3XuUHXtzFWo8orPPMwtCrZBjpXWyNnDaJk8ZDUM8YrN DROnkJYizwUXwZnwMSvGh2ARIM+Lq2D9lGejpUujWR8ApdzNeU16VMkaV7lz8i2AA+jtPssVsUV/ hNeol1fEioZfkMNEbiOk/7g0Q6jd7r1tXKc/zR1yeGIUcpSitFxRR4VI/ZISgGf7BoNMnzgnyv7G sZFEXw/13cMnko941WZy1GmyhWVNExaxFU+NDkLXIo6tQ+bx0nD4MH8Rvce18iAtZwDmxXiVqoIC 9/CjnbvZO4pOlrbhaEctLNzYP0S1LZumZHnpvVMKKFOEOmbjvhpggfK5QpgmRU9NJ4OqT+RWFgj1 04illoYkZ2Ue2QPZJxWnmMSoVfZAIMr6zsbAY1zJhyUjsazJCldJ8LYdGhFsbHTb7AvwHNqs5yH+ Y0M2c3Ws8lrE4kWkaLnQZfILuc/nuI/61wR7O7/YXPoXeWNkVRf/UQmzxE9OT1yZZdZBO+jBUIC6 58ME9YRyq+hZ+AzKJdPIxXKiO0IS+D8opoKZkMcxR22Xav6S9AYkbHY5ZQ4FZXDKKeqjY3aJ8EIW fmbNHb+EBSS+H5eASYeiRoQ7CWx0lO81LCkXaCCXFCjj4T3SYK+ZfAYuOx8Pe9rb5SEEwjLl3WoP ka1BDjMMdA5YxIkhff9R1pgB/xIH9FEk6vuhjkR6PMsdWoUvX3hiwMN/ABdCkujH3QalACsgh1wR UPF4GkU8pTq1EcjGB9g43ArgpgIXBRbiR9+Vf8MSoFU5zYW9cBsSBICb5/4ExHIeitU2s6PljY2+ 4loXeHTap4i9VYuk1Lv7pKzpRzUVGL8bV3TJ0SA1eVQha0/KlaGQTcRVfmLdDOK6drIBZlH6bULL 6Ozd/ECAk2lLhnPtkcK1IvgqfOd7DZUYpMXm/vSp7TquDHjPe92kfJxNaI4KyXoGkKac7pwVGcOa RcAfZn/d+uB99g96gpfq521aSmcEkZ/rkJKVfLJiSoRMBKVguxt9wwTq1OB/CVt+7B1+cyJt1biA vmtPI4tF0Yk/23G6TLSEPYoGBNZTcS8ZMGVYVcUOS3VK4nLGj9Fu71lD1GO3/DEv7ZGXbUFbmD5n YB8PtHo2M30f0MJ9TOo32zI0Emoik0IPuQFoOxUlytDOwhTonu3JkSTMgmmtUETzbqcu/hiEGPI3 wSueXDLk5ovKWwBSA7/dVQWgxlDv77OjEQY7zYIB2H19UpweFdJmEF7cx9RfkQEdFyusC1AWdagj 2/lryD23j1lu2ZqEnwlefj5QRGx/bebheEEfB1HWVHIYGKaOGRFTpnLIiXWLmqFDsc6gqAkZwojr Nt+ZPPCjVdMDsFijt/uwJ0mPpqhQHqby9KEuXpPYeegraUtsMxGFEmr6WA7jioguHhxMis+n0PZB qo7cV2P1FtMtUfYLURlH08MTooeL4OEo0zkCfOl0kM+OEQWWPwDi8Zx1ARYO5SeWW4v70Bm4WBA3 MpIvrGHWrW4ksZeqcRgU0d5Blbo24xxlFBbtkaiz0Nqfrk6jZt4wnRf4zzsbzGVMNsVM0bqJ5yqu DUKdddNQST7npgDQj/FcHtbgA+RuScpgQ6ys5KunDnw+3OA/FbzpSCoXSt6cF7rZoMHpCrYT4hlZ 23QF1peWKV73539IPiiyYhGnTfwmYLDuA2uWpgzIs9my2RrpPjHtALzAzMAXoTQlEnwMlZWcNGYb oFvp70UNSGyFHO4bj+J6ZH13V70qRLO4SHc/503YY2+Nd68I06osRhhgW8Q9tF6Z9m4rBnRZCUKA 1j9yJZqn5qsTMuVC4mpxzceuhvETLUb65z7WfAsLb0zElNIfpxW7S/DhYkJTt9EQkPZcCk7kEA4h pzdAjQXLxjDj27jSP272wjdGNQelKcXiieu011see9H2UOQWsJLVJ094X4yK0XYNAkKk34cR1qYa E20nOPmTdRAfWGZeO4homtg1P/9w0ZYfTrMA5DO2il7bWeZHoGV5n71MzxVyojVxD1PFDLL+uL20 j5rNwuBHMQbckIgBXG0UT1j6VVO5GBgyMuSLE6UDOo9Q/7zWdjgHaxuEDHgwnhyCF+cH54+03851 +FHs5YmIeYJLAa+cngFbvCwMgjWTxbSyFTqZDsN1E1mblxNjRmA1NKd4JgTCfoIXJbA0CS6caqYZ OHNM2ooTIFx6HI+NFRnPWHaoUw7kolLiB5lVtXyPDLKvJdLF3wrOxBihzwJZfcMeoVfoLYbGJF7Y ILkHMaaZFca2793SQGMMCNj97Ewjz37KYDotpCDSJnL7Gw2IxqQ+oyJRo5qS/b/f2hNnJo7rQfvN ipEUB1V/OPr8Rm600cj8dK6ryqUoOxxYxB88JXsckovSN+K3qvh3RCbA+isVBRav2JhqqdUTwfv6 2uO5m0Kf+Zq4CLmjYsgMS4ErH6bW9KseTqAcTkO5P35ak/z9FmZD4NLkR3bwwkw1EohB1DWCFAzw FD+w5DIC2mU8WfM0bBISA4oa2LuCFtA8ZxkVLAuzf1bMHoSfYTiwB1RlXZdIoIcIDv3Ql0GLR1dw bqg4ejUTP1OsnT6FhcpiSG57HUEhm+FO5YA/n4apUpZaO8fQI5EnZL3niSqRZu4HaEjqodo0Q7ui QbT5dOZIXMoo1itdJ40oR1Ezx9kWMjZoGi+Oogq2VOcLgnTASoBrvIstX6mjDCZ3Fs6XcnlibqS4 WArUwvHmV2dvYWfguPPC5gl3XChjeWbXuXsWpK3NH4M/wlIY/sOK/Ns0ZvW3rmEJi1BHXNA7LwtT QKeq8EsDj8Jz8glCUeHL+Nt6rZCWt2QmwKaiS9Oyx+rU/0Kq8J7Qyv9mAjhSrgUzglUGdLu9gqht 89HiG+V6b1QiW4eYHBIqwMtC9O5Tangwsi57AtTwa8GDr8sJUkyjYhNMZGtzZnyqWjQ6gqwJYBKZ iOpfiCQuuwfx6fWGJSuNz6JHd9Q3aaC90pSK5413SxR8uDeJWpDahoxLtKHoKRxB+tfoYmXVlsqp mQs2Nu7F71ucRAM4QOCk9hXh6WFBhmSFR6+fpNx6JxGTEkeMjCqB2Gyy8ktSjhNGKmT986i9qHb8 oRqgo9ohraQpPEswPamue74sjBqSI+/6ddWZvLLgGFFUowIC2F+sEx6rfnqn8Z1iV4L5O79yr2QW jRcQLvJKilwUVclhZhW4sEoAoAJ0w8mAMffbieE8ktgl0F9ud5XmSCfBwD2z13J1UvjQ+4MMKQ4B yqLM2FPBNciSYzOKdZy8hVuRFMUT9L3cSila8TuZQ9jW1OPMMBy/vRF8RchZ77q7HX5+Anh9WV/9 f3Hn4kL38OuqhpxzUzPMp0K2bpDSuD/1AlfEf/tYfQM8zTMqzSWFUw83R02Z63A5GpNZCCR/lFVE XnKHa/+V5+G1JvENFJ5uJ3RZkmvEH04PDxAbJQT0Mb4Uis2W+Tq8KUAlEWix5Xs1XMHuy7IAv9Hk t0TQ0jLf0Ie3qN5EbdEOybhVB+K/KkRonmoPXi6xTPvn48keYhyG2LrioxJYXzwtGPq/ZdxocKvS JPdKhk/k4M5OTsnmtVXOXfP4FF+cWUiE9e/bMP0CGSMysHS3fyoq5SqpqONohAq4SWe8jEE8m/x5 kST58y8rJ6skZe1DeTB3hzmSknHkiR606JbIg5quLSFms0ECQNfy3SHH+1Dl+aOy+nk+kfyMh5Fl Ajb4v2I1qDaBim7gSc2ZHUxNFOEnst/CUkwjGXTI3nGxs3Cvcde9uevYDvS5lDeFFenJ+pP1VE2V KRYEDpW0mZK9gsdrF9bMUpY6RKp9Hf2NtX4mTu6hBypYPwHARjwtrAWebBQSoSelX0TzR+9JTbMz GAG4lvWnnCVRdtLkqGGvgMhT6qhdtnkll7AcJq3amIuY984EWjTb/CJFd5m3tjT6eMXyYuux9FOJ biOvGZbTwzmKzFX8FycozVm1APAI/H2YVi8xKx1yS6X9KkvJMswA5K7ddPn85gXvk9L3BLF2KAD4 wrK2G/P7bRryJRiunTDx02e9qYifUZWCijLSXSdnvTaKwaMuXtkBdpZqLn04nQdO8uQoO7yYxStO EfcyDOS4pS1K4UeIn8nRgVX5fYiauheXjOXaFD1nGdYn3ZV8y+5jUZfRm0c8he0DeOCXVKViTMGW dpg4P4jgGmkN76L7ttjR2rjrl9MrjGTcpaJ17O6WCcS3FcPAmE8wEgKmH68hjaT3vOrutTNHsz6D lUetije+flA7FYHDTo9I9xfmoET8hk8meddWG3o2Bs19ZuA/x/+Ccqe/yOixsXzWMlM8HqwCYKL8 dMzNv3V671PRjpzdrZ/Cho5ZqFlvWdP0qt1LNuIXLiBjgoFZWtwoRDiijOksw9EWEjeTRHGaOvEF RQtaGxG1rAWmhAjFT7DfFToLmxH+HtOjSODvg08GM/+5j+vZNYtgIeDixK79fZ2R+/Ez1k0MCLT8 Od+7yvDpMzMmZ96KXIHK+cP/yWdIUYwbgIjuWqTyAqZplflMmPhbN9diiiWn25DEpWoTiWlpMmFG IvpVwJwQIH7I1z3qJmceLyV+7qggmhJ54Q1hVwC55WJVWKSeId3QPZ9nUmCUsXNAS6Cj2JIL4elq qF3oGMkprH+Q9hSzqk7bqr5DgYz1pzm9Yn+YGJ1/bgrRqItEBqWjIWJwEgmccpr9URLLZmgRY6bX bw+MslW+5zDYxf014mubFXpZd4kPShm42rOkONU/1sZEzhKc6dPXM9u+S5mIf4lXxf78PzxzdYhB m7rxuIZM6nTSpaiCs1nqDHlTEWxY/ajJsAOZRus86kOTM/2PVH3In8PfrwdcfwYtNsMVVcsqswan oOs3DmO36aSjJqlmmUT3+QkHSXAZavz9O/X77pvJC9b8DxPdmq+SNAz+lg3fefzTFdQEOvSYxbG2 XLSyziysie9LsFk8psC3u9IWITvWyF1LUG9O9NklphGmLYBWIhjohE/ck/VlkU2qoiz1rIyzShgy m061dvxRr6oRitsYslZDRvj/L4U386YYQLgiHD/Xo5eoszpGmRAkukZ53bDbhMntx8dpCzWI/TH9 Cui8tahrO5KGK21jt1DpzyfOlhhobPBRaj8CgIn2S3KmWHybVi83ON0kw31s1wsosNgVLvsZqNEw ZSn3U3mHcRa0XbiGNtPScdaPqTV+mMtDoUBEPMKlAGBaEJSFxYvl752wuR/XKwyFz/8n+7WyvVS3 quwCDEtP5fNs/pfWvAg8sDhW8IQfplcGfwwqx+obEt9Fpdex1o2A2Ipay2YhpsnUwVudFw1fZNz8 DUdCrKBG7w90S7aGll4E5RTGfp/5CoGlLDvPXKkPEL1LnezP8ggprLRelyhn1pW6WeDBB9fVpvKf poYF526vg3VeFUZqjXrkXJW8vsEkMZJxbuqNmyDCtTjgscD2OEs1y0+MiLlyLjVWdvamZy9P0x2p DC3NQ3XUSsxpDGWqV7EWz+agycMtlpJVdHFpVm/DBjoG4SG248efnTafjoW2InKfLunAK5oyCtDz k0GvjWXtrAWJcp/ra7YUiPO1vUT7pSy3UkycePy+pc433i0kiQtid5ZD7dgUBJoe0rxrXMPvJtxx 9Agohlb15ZHC8Rr5adpefBzcubCGVCCVaoSWG6zGM/tMHX/RTM7+MBYKZiCFmg+bJ3CfgtOr//oN WAeTMpScfwT45MIcreuEvWativR2eBwJO9IQyksCs243DR6OxrtPnJAUFiGEMm4bM+UfOnPZweF1 V+smRqMYUyAeZHZZzlalmvjV/kXBybwQgqTyuE+LiTMId4JBQF9sPF7l55A68eP2k6csSVZOIp2V eft00VB5NQTSrFta3azoAcI9UxSNyUs0W5O3XzJ3nOAlnj7cWhvRKwAFZ1yzTZoJLCqLJqyED5iY bV9tSc/nlp2PHrjfFtYiBIY9Rl7uHqbjSFTICagFkd/tPhhR25WeNOH4776rnqXy1ETDpH2TEHjn rkmz9Ujf/HWpv+F4b/E47NWXRcgGEZ5m70lNhdcvxrdI/FqBosQ2qVvh1ZU/mrAhWS9BI9dPKrv+ KLa+Y45TImndImoFTvAMXxbCElEK4dOaCBBwlyTVHMdpZsYQoke4t2EsZEMdA9j6xLVEr4JESDCn AulmW22Cs1p/C9lk/bLLjwM9fhYuTbsSCPD58uSVAyZyFr+3HgKgcCZ4zbKa3WmKhJYLsD7oXUBl VVGl2SyrsFVXeVJJ34rG1Yj3qttRZLwhjEPvLVv0WhOcTiCQclloIlk2JdSdd2wFbATdwJoDi/kQ qD0ycU778SLaZ1PvYgYaHSLtNvWK7Znce1R4DH2xvSjBBRJY/8j53q/ZQ0W2fYsKanRz6BMty33z YNsozC7+WOB9d+MofyQVXUHzYrdqtkNOAFPLdF/Eh1hqnFCAOEpiVk2d6kzAaV1lFMw+zTe+fqb2 8W8C1GOlUzWgOn7k15CRVqR9pYSLioKwCxhYXwQhzqGhujtk6Cl54HN502dhBVoviUNgL/oMb+CG vlWEn8w0mslwV19erWo2fXuI7p/oJawquDxYc8TjahXs9wJvKByVMKCKFmonA6fyFvW8DEU8JRA+ bVE9ch33r3O9DpN0vlkukknk3u6wmXwu/bs9tlXtxamW1R5W+6xk6Jw8E+cumP/z0haamFhdBMLY GoqTkNO110n6+Ii2VkVmjr1bts1LEXvCMMCKqV7HS4wjkUhJINctC33wrvxPVFRqP/Y5s11oKO3v 35i5M8fRp+QRQGtS6ISbZ9q8Ew29zUFjL0MY9Rp80cP2f3jciUP5Wo+BC5deABedNCUVRXAN0xPr ktUi3fXcMGfJvNUoeNR7fOuF3SNp8saSf35Ss6w8Uh9HiU5N4erIt5c3x1Zbnpk1G66NampJlWVj DRLp13KFB8WqZb/kYpqJtYw+W5KO6/6VxvdCWdScnM21lmfB4lDNfT0igwPLZceAcVqu7lKGTkMj WVmXAdsTmXktTF4utaIRjpFJHtEbaRnOmgDnBfOuuIjIDO2MdfHy41JjkHSaz2fAdX1IQ89LIlrH UQRVD0vXeRgNA9+MN6xqQ4q4FFzY/YxTpVKLhnCxsZbbiOJFonXZW1SvHUrvsl3p77P9yxcO+qlf VoZEJEGfN5oHQfYzS2qSWJOeZfUOZLJQ3l9Ynne9MqRX3FuvCcbMcDWWwe4fUADiPDFBCcIeo1GU GDzeaVTfFFp26hjg8Xb3JYRV6xj9fAXC4k87ZpQFCt2iEvzwM8ZtV0+5oNI8/GsgGD+YNvUFjLf+ LB9kJ7OFyV6w2JiTtg94e6kR8xppwoGNlQ3rq9BQa44LDDUo1n6CwCxhBCLNVu4ZxipiPurzTgBq iAD32cTdvoQO28qjQXRvPMfV0rMU48re+erG/fqsLaHM1IMKMvD2lOO4Mw9x45ltkCNrfN13oTro fXxjxMy3a9rzYu1WwWePN/l35O9QouFlniEUq9D9dglQYz/w7Ab6cvlrgY8dNeusKFw5xl1u1Hlz 91iVd1fWeAIEGI+GpCO4mbHlPY9UyK+zdkXSV4VzSMvaa28mmbBr8h+tdyTEfc2GVnvGnASKYXy2 sHqnO9pzo+mKrkiVrJL+EBiJLQOsfMHPJCy09Qs3BAXP8o0rgL2H1nLMw9UzubWO55ITKq/dGyBT XF3iopxBFEm1r2KKpr+45CasxMe9/VYmehe8QDe7bmzSQChq2B3ju+4ZJfCe1oGD50LW/2beZJjy /4b76hx1fbJ8ACYG2XucC0ZEZ0d8w4cZg9WsHHGCdFQzMWW0UdPYW3lAQ5cc9vvEW+5jOwsUj4z/ HBQPOrOFJtMw27JLosLf46YlndkY1H2xZgsY2bKmwMzpFTxv+mug/r60nMoKiWcQZZ4mbqBeaG/K jG9OTFgEqzLjEzpJhk4Kax1IXWOZli/+PGq8WGS5Bm37xEj5Hk3YDp1f1nWkXxe2fWcMSXCdTxEQ lz/9QdBDonFjRPzoJ/iJKT1FwuhOubhBBBtzS4PoceMv6S5lMF4KPzNd1xkWmSLzCbqv4s1luxkQ 9lvkFLIRDQSqXnzqZRrjQkWnN2FE3Bu/HtcGMKjYJKQT8OTGKdl6/a7gYMPiputxfobifCZfcqCK /E87NTuP0x3qRaoe0D+WxuHdY07e1tD1NqtvVLHNxTUmCXhJAqtv0ha+WAzmDZ6ShxvyS1XNFTYp ylTgKMnKF3MOyBWAM2iVHo2FCa7qEMBnrMxJ+c8kpW998S+ukSHuUd/FOJUuV/xGH5ELIxMDXsqS CCsw5xOhXJ6xsZYSAgdLZ9yN/eR+4GNmg8itSNTaHfKuFeoFfJRjN8zyoCWFPhLgjVcx0GHQf7YM JPECnH7XsL6Ue3ianSqza9PXaQ1ZJFqvW43N/ceJ3gSpNeRSNGu7+2ojT4WBS/Vp6ULXxlLH48lp iPUvRjnKrMYK8AdQ8n46KdDD06oDVkNpYwHYrtOCiqDgSkH7afV0vpzkdcbB9InXMss7tq2GZjSC L5vLpBxOnFPetkY/wTMXjzjnAhhQZ4nvz/vE2VrpYc2RrJh1EIVuXT2frPy5irMHEpmKDXzjFP0G 4SoUhHcg0NT629OdPfMZ/ogbE5OSof9ezZ0P+5mpAFQhWWSZVGLSwmdsuwLGVby3OWY43tB31Ruz EDeMTtJEGNK2pz+qF/LYPokVEP2CPelvF0pRT1QrxLMxyBleyYUYFm0kkb+ljdbYeqsMFyFzyGqw YWkvLDOBvAEJ8Et6RttVPwqFIQce4aMLGu2g7r3n5VIRO6x1CqcnZZu47WOKkRtTNprcOhioDoHy DkmGofjYhtStR4SdUe2+uZvITIl5SRqjJO+VPTPAvVCGAMWxucJ1fXUttHaDlNhHRnK9bME2TbrI OQhZ2n7YByKWG+2nfJmu9nUlaLjlscC3X4ADFRiQlBBb9BZzu9LZ1HCWsPQjrrceAnyv2SFpKnrS TecVCh3ZFztM+BYQl2UT5en4YIpU2JlBmKWTF1aFgAafJ/RNegT6Leqq1ffXtWYZrZkd/SxVGsRO hZrJvQ0WaF6dImyqldjjcUE4QIcfg76wzdvkqfRz4CM3gwxOIuWqCMudtqXsNWZb5/4kALTYAq0k Xgo9XSvkF9rvUFtKQIa/8Gk5hui4bZ8+rNUyj0UwOl2HLLUQVNR/O+Q6D1mGffeUDd6knCWhKNZu 5ce3jfrLxELIRsjlNu6se57WO+pLFkhgYhKM1ISukL5EZlGzaX9rgyFdg8w/FJxPDt5jFApw2PDY +koZVz2DRfpRYwM5Kcj2IFVsy3ip2f3WlQsJgcC6z4OuIzV0o56+7yb2GJX0iwi6EpJlNXDVyIgH A/ZSTrgW547ina6Bg9w35aDN+uwz/Kbk06JrDv+9AGHGfel8I02EJVqf2mqr5CoVXkUvedKHozRW h1G8rJylrRRobGIJvegCL3cppgn7Ffooi1jVV1FUMMxlfmL2d1xCkJKr0YwjKG0/VSWZamPgu0We VAW2fZkOck0fUVTtu09Qok4UVldHMz+9GIlvrazWDu+StTH4Yg1e//excbOFGX73sjIHwkDjRtMy 9MveDffc2c3yMCtFTXr1aMeopT4soCOUQVRJnkmhzRfBDx5Dk09GvY0DsHHRKYcAj7GUkVgie0t3 yxzonowtrLsCBI6alw70ViCMUyTLIh9Np6gJ4U5i5YAc7ybxy5a33CwSlcTF8L9MlH5y5SKBYo2O 1lGuq1kiMsR3kOBKMHaAnJpUEdt5cSBi6okzPYdokzi0LAijj53UdCaCNl1qXCe3gRzPjMIMH4ZC aB2NevSsQWoF+dNZHTboOEob5JkwBb6Ubr4Gwb5z3YeEA/XX+AUMvPpDCliXBzQTBBw9t0CHdsWl DbI6NitSqIObUod3BbFowWhMEimMAJVFZBgSUzjL3r7rVH55kVCSUH6aQTaBg7ZjA0X93ks738ah UIM0Aoz8tkJvmSVk1JRqRMKC4RLhSTtqU6AATVfoxbv0rEIjo+P+nL3lOWfM+CiznMYyhy2p92yN URrB7YBcjT/+Pa1fAWGTINwHhUPwNV9W/j76uKCJIP/MW9T6+72qVQ8kyl1M+Ve9eKtW6y0aEbTQ IwdsUkJCKdmvaTsCl679hq2EQQ40pz8Rb32DLzF4rGvbqvTzpGNQUWhIssJxuMOcYgcQSZWgIxaK XeD85lWUvZsOxv366GpNUMSVm4Y9c4M9VtOklqIlWodshN2/mVW4WVOK513VOLRnU9BW6EpPPhhV 7AlRNhuvn6blx8Dq7OGCRwOm+IijvuwmzQAFgnOB9JRy8Tqv1owxyTASRq01aCC80br7LHSzmiiv 5GTaWbKTmVdxE3GMBfDA74PGSHBaTd9Id1yItbXvDEBUoh1g/9e6F7zv0tRUUxGr52PiOjKn4A2c +Ot/ceMhLBs98QtFjMY3ouinVSUuQ6QIKFLL07Js4ovoUuXzDqKiJNaF2kM+W2D30nyPZaaM0Qnn 8peBep9keji23REgXirezE9FWwxQyFGCa8QCrppanCLYivYBSBMvK2oE6JDgrbvob6HOftpKTFs6 bEIfhMKPocgDiNQhahxSABYWfcY1++gLuSQZyE3BMX7B8Pt1iGJ+v/XVLHftxYTASERuu5CpyGmn KuXaOpX1AejziFYLyJz79yyS4wzqoKXqwKfY9EKkuaHkW8jRMh59CsGV8RNbPk/8vOFrEUdnOurh bXyPg6wVrjskXYRucsfDNRx0fsBlhxsagaJtezP2Ia/zIZsofvCdDb/62RLk5iw6BjzfONInFzMx 0vnGpUYmX9EuB1uy2ZzK1WyImMsfFiym2nJkdFVtfiKPCcD3k1kt51SI5rKZ+9NzMcVX7Z3OXdzg DYbG3wyLe5sA0fhXZJdUwQMpiNszGEsvvbzkFziFjvjkVmT/lXenZhDgopgdEE2Sw+aP+p8QlYTg +G0ZhZzA3q778pvVBzCd7Zft8Kb9jJqAvGAlFjBHgV4XHEEVU+WsAXOPDuFAyivr3Dq2YmQLLLuB hawZESFPIH8qbsyCPHCZHGS4NuC4bEje1E8lJCCtHVDZLgXwVHr/w4/c53EeER0L22lB4U1wO+e/ kXpNw8v2NrDmAu0fsASSZZC26vqgS/XEt2JtKHfF2N0aS1wRrEzNXox9uxySSHzSTO0jpUbfUhYR tXazlBIjzwdS9pjXZ1TPp9wbF4oAQt0rw5aRg7at3PCCf9aYSK7rRmnMsSoER1yEHfbnQYmYqfpz dXrIpid8+No5y5nANRZFPK2SJ+x7XozG95fEjtKHXSscF+g0qaRG5h3EvQJk4ruQsQXUOHUhU+/O n1mSeOTCxlFsTB9ttmzGZ9F8pm5GNMkcpozkaiGvJpmJjnOMFZyppkShLn2xz0bQj/+CNhyr4oRe JXO78wQiMkFp4ilFn4M9buZuqds7G/qUgn+d/h6ZbbBrsUvLlTGG6j/vGB0SehEqIpggNo0/9i9u b8V79P6Fi8ptPxLWHMjKuH3crgbGnTHj9wWf4waawYridLwzIrlcaTW6epHPFE/u7+vh1RNxWvb8 u0RCQ/ibME5E5l9o2wpfMvo1HI+qPPuZkX+hzfckgzgNFOfRr/pmi0cfFmHqdVHkzYk+hEGMIcpP bjoIxiC8Jlh6lC4dOoRtle3rqjuDMVFq/9NKRIs3jSe8Qfy7q4+hfkAaYLxwQodH0hupUkrP69sI G5SPIqxjIa0oyeCNBjygiXUShU+qJ38dV8/yOVqEZBqfuD0qtJ2IeUDAM+++Fqa4osnSxKUjXLs0 RxW627ijxLLcQprzd1XGHB4x8YDVnwd2jI72PemY4p31WoI6iax0cmt6DrO8+N6Oh2lKkKQ8ly2o 76PzvuF08s1rFPJVOj0mepEmtXe/Y7G5jyMXrS0E98tmPz7WUajWze1DqzkcqQ3Zq05ooVJBE8/6 4lgR0RKwExN9OLYZxo5oTGqKcXO+vNachYHCZL9cXs0TKhDsmg2QJ8x5hdWTR22Y/6STzdMuEgXg XxsHmIRMr+cxgbQuT5pTJMrSH2MAQGUm/PIXtRPE6Z8VGBtMUyy1oCXrCWiNAc8dpnV8zXPaF/Vh EgTTKy/T2O5cMh4gQ+KDCSJInXpv1gT5Gu7O+fXrdZ01hv675JriuvfitHxb+6e2DeY4K0XRsJIS /HDfLiMoB2NxTAe1WG0aN5Ve94FeEs2jQrmdArA6uy+5qTjk3muXfjEMBKykweK1AcqAjtqM2uVz W7t2KaSkC0DJnlk6jhiwfJfDomOL1e0GMvMWLu3lnBRiAOQp5Y++yrFNTlByFxVoGv+ik8dQloRz AmGyD0nYyP4FSADc2WN6GiQMKoJhqsYBt5UlrJZgexrjmkp3zfSrN1UkHF3s/dR32DJj35cEGEh2 hh3Xsqcyw3DV4JAvKHb/7DYr3YSG4fvz0apzG+XAdn2rlHCliuOoZQC4GaFTTr1h4S9f984hlXWb TW5DXvHvdQhV2u+zykblJHOlmZQkEegkI5GHIH8kMzmKsHizWNyBVcNxYKCspfX8sRfcYr2xLtp6 vQdASZRg5fkEX+8WtV3GK+GSIpmHev/g4JswQyxYF08eObrJDyelD/4tcEl61yqKwrSjuKNIIYvH pdke0HUZNQDeERoeln45ROw7pz0GPMh6o1QKoQm1yLmoB+H1t5vWvdajJa3sWPCO8heOx5c2/1cs aW5QY7I6lkvMqQNnqghxi1GbWZ/6T3HczPBho9iVuZIY5S3rs+AZN76vHgtlKMrqAopKF6GYKEWt gpCQnkUfzZNnP8IcI/7jHqw8jMsrstDowb6SHLmDFE/mYMNz0Vr6lDy09xi8XUlYQPAT0FqnLvu+ uqCOytbMuHQnuzobSUZ05bzuP0hWQ0bP8lX720/0qHqsWfJbtW19eJEamKiMn6WWz/kRKM9A6wfM 0SK2Diza8S8xNnq4kOhucYvZ9QSopAx1doWU2uwlXBL2bz2NgV+Q7XqY5dqd8mFb0Yg+EMRPC+UX VQEYLyW/ndnjbTkfIwami5CY8rpLb/PdWM2Lfftbup9YOrpBNZxozyh3KBqESCp/KaFu8taOqgCc k+Eqm3wvZzXam94giDo/lN7euw+8xEbsSvRW3Db60qwWIr0jeCBuoF90lk5NvPkR86PURKCLfe2X +zmwSBxcLeBG9P8oylGnC5mF3zTt5tl5SCzxWA/tAq2MkI+y3Y+9ilQCYLVJMMfVOppMHeUfmgo4 vCMosnyRLp1ToG1aDsTGbnxk3z+6dqgLSQcJXWS285HOLl/w7KjJtcD0GNqQ6bfSm8WOFhFDfPxV 10uB6ro2oe+DVUL7lfaQgwhVsnpoUGo+2S/J1GnH5Px1GIcz6gEUmKI9jMI7Nal3sPRCUC+AU5br KkYAeKhQzrLoz5s9ghqjXt7cO84SYReeu0VbOBnpTEEXXTR2uvAo9Ni7AgGCYdQInpRAB5k01iW0 vao6dYzo8AYcg0WJTrB0ZQ8jnDBb3vuTW/Im1wd2hJWSqGSIf9uKvIl8NhUHprYGx8rzK5aS5SJs C0YV8ECrTYMxaKDodmi73W7JpPXSuEM/Y8nbbejnEFNjsi5ReIt0w6d5vJX22O0pryB+oCBuY1xb 33sQMwZbDgxAWpqbgvoC6FS4f4zhCSPqWYhb5ujYQZG7Sxr7zqXd1CFwHqbU7Jj9Lf+eAZ2DMot3 Eb0TG4ChryGlMG4kZ7/2wRCD/gEjIastmZe6J0y7o06EPUGnFapt1IlnHPxPsmeJAMiN9q8gQKhM RKeQ27QDjHBDGo9nvQhd/GqkbKLJ2P7A2hjoQLmY8qjuCJhlY5Y7R6GW8qRL4Nw5VKj5ztpDujdr YtgKanCOoZ9vi2jxR9I09NJUAZfwCsQDcTCVojy04a9HV24GKxGbzJsbZik+zYubtunAF2IqHssA hTdWL8W/77SnV0do3kFDSYuEJY3NDL+9Qw30URXL7Tq30GxuV8W5f5hEPXwiprJER0IcgDo/CnNw VVKo5gKo+vacz4mW+HdmYUYFfiMuynAAjhi9q4rmOQhKaDFljfnep+Ikv96SlqcCE+gdDltR0rtS cmw3sbf5kbX5+MiIzMCfzhLG6dvvFY6niK7rH5IvzZdDHnHh7W8jjXVMaHX3P6PTyWVAGfQk9KOS oMp2UP5GUyiGt2bejiNjNB+q8kfEjHBzpGDbgIdk9TWQrVv9nyv1pGD+5/gn5WdRqwxoSAZAM70p r4H0wnQSMjx8FZ0PivyX418QkSbI97B6mgAssggICTo775yBJ0jDldrZbRG93rQ87TGDWy4fplrj iT6eCzLlB88mW6FKdT2A5OUoyCdTpfWSfZQgtlo+OWg2RWwbvUAzKu9fV/YIJhxRY2t/eOjnaQnP 8g+ThjxXerO/llQlzjaWC+yHBuOkP5WUwnO0LaOfFMaVTI5HYH8lxAqLncuXY8BcPBTJxODx/ccq 39yiY2s4mZERvObcTaYfJ626DL1XwytNZ/t3lknZc+E/fNY1e34blTNPraPYLp1KivJoHcz0xF11 0erX6IbUAllEGkwIfoLY992hYnm440Rb0ATk19I5+dayasd7HOOeiEiT/Fjo2ZHUvj7R7AuFsvh2 NyarTruTP7NyxJTMRsx5Q6ZXs8achqIrDeXP5mmwQF/bM6krRQsx8VkEFMw/szh5iULqAbtDmIlp JsA+wKFVgc3Y1GcxpkUmEeVimZn4CjGM7lPJ+MtEsWDgLB7VKi4+L7ITzxcWzplpV4gfjLPg5XB4 UbYEbX3tshgtBHbkfpBe8bgTPxnKNEUVFvNFtqramWiZeCRhUQqYk2iIrr+mZEtBjY+f7mJ/fMMM 6aOR+rXTbp2iWAvADIi5A/T/UUiYYC8lGrFwJT7+wM/xfPwbwlQjuq1ay8d4IXjY7iJ5J3Ch+iTR WgGl0CLwXgOrq1/jX5RaGL7MSixDf7k2jbq84vFHHFU2tlZMDqoVxxwcDezpxQLAELZsGCAaIQ1O WXYyBxN8Vz3ifTptUNXaOz/odhh66y2rMsbeHYcGrVFGIfXIBUXOfFnSicWRkiwPOrvayQImyAy6 qfsxbnLofW8nB86MCbIbl5hqNT3wGaP2TClJC7tBSB8NByQ4XkfqBOEL2+Tmt2Fgyfb74lahkg+l JEml44dJMRVAqrZqePc1MeYmOmvJKG1vCS2Wt4DaVV0Yjd7anOWKnNi/ei/eo+x6dtnLNAL1JsKF SS5A8JlzECdlaCGs00telsjAhBu3mhm9l2dm5XvPBBjjczQPMG2T36F9l19RsVRsaKt8Xf3jn+yA vuyN8koHrUd8vjPF+RsF9wIlNkw4w6ySmw8YDhelmCCJ0+sIB654cBlallU/pdyWZHrcdIpPGIqo nNkURr2TLVWbc/ubb2og6O4+bUhZ78Tpx9/UgFRo6kkfcy0/rd8mNEE+J6/R0/bxtMyruxfo3G5Q eGeQeqqFZp6qlmdWyMUzQcGWwuYKm1ol7g5IXVh3JOTVODUC26GyVi46K3FyM4GsF9401sS2gWMk hiL5lV5GVY9cWoZc45bGQk7SLFDf0xjGzar5W59wOYwCEedM1oQexPM7pwL0GvqLWH/4fHybbmdK 1X7ZJwAFRJgGN8PRNa0Y6VWKi64dlUOfDEXM0KDWIRrSe5w3NIfXfI5y9ZGlMhdtMHwf3TJFIUCD Nsjphht1vtn1WqDwZeO0JbhjWboB4nh1loNSE4lCp/6RhAX+K5C9lk5fvAojsEwJ6yEzwGm5gJtn zBmQEZDIjNzYorE9PJNSESilkgQ0iNSdqLu/A9SU02kipm0nHtefOq0qzoq9s0CoQ5ZxqNh3C2D6 x8ld1EA+pdY4zDi2Bc8YENAeHMnLhyWGhces81oLDVCp0slQ9LIfTEiWVbegh/WpH3wQKk1Q2jn1 mVHO1ee5E0+bAObUiF2cXDvm57mO6GcJu1MNDPo3GGGxUdhX8qygkXdBQuq58UiJR+EiEf+OO+xm 8GDcKU1ixH7uhJZTiui9b4jEKPfP2595D6rvHEV+WaqTDybK435M7FFywB+DBelKaV/4LYwjhOUu dy+I7mqnuFTuRkHSAJp9ZY52wKAYcF+EPMLhFJ67RHrHmqZd5k+/ewzZF8wXOSD4Z99yXZvWY5N3 GbG4/qPcMRtSQ4Ij+1prK7V5BpE/EHzktitYklZibptHFpik0+a4s4BvXn6dqDkDbQ4jrxKwdq4G fyDqaP9yqTFZc4gkSh+AbosCszMmZXHMhEguy9stVs/Gxlb6hmFcD8pmH+aUeS0PaQY6yow3pzMd giJqf67F4PV8gJ9n39fP+H2Ion6waBl6/QGJAk0h2VP5qE+ubhE8HovAr6N62j2y/o/qpu08HDg3 DYbUydEcCL9xUsOdh9a8yNFbOufPLeFcxeuIQE9s1415bBAkJGCjonHVpp/vGjeXNu0EQfqSK+nS UInc1WUbfC+ndnonA0OmvFWhzu8YbQO/qf9edNanXRubjeksl87JDB3ALYUb+JVyXG+KHHh2F430 FivizfJLadZ38+WM09phb4SQzPbqZf+oniHuAqbpppje9RhEpV9icxy7kaoAXQWDIYAojHuN86dm CfZz39vzFUvFiGTqKdydSE1tCKAPbvDei+Z5yYX1aCHhbth4w5xyTZo84yoWRZIXjijB21d504Q8 2p6/rwpr5Df/bBrRpMETZuA8LfPp09ONpBrvuTEll+HSG3JfrxMwEsWlpJUx5wtNZXJb4wPw+odC X9rjfv0kcVTH2UVkOye3sQrvqzeGoJtHYRb2IoXhPdSQPa+2Cw2oOgPbGFa15S2OShKFfWu9JCDy Bn6pflJk28X9r1/lid0JoJwWLMYnWpnunOggBIl004qhC8TBOGfX0xe3mzzbObHARvYX2bjQ5ehJ zHwVa9jOgcQ2e7IyhRRZXhw2Iq9YCDaV472DGFH64RCLJePpTvBkIMPoxU5BPgbDDzLLkLeEZPzg acMcqwOqCH1ty2AhSW3d6dMGmnIxJF8gXXUmzw+0XxSpeGadZeIHpym6kPSrMydDFsiK6hdHLfNQ cnrWD3TZ5JygznrHAVTjo3M8KJ2wPtE7GiQgDJoU4bW/4SgTvvAJg0YZ6yxr2a12HoH13P74Ylpq AFhPxmjWaFpHZGJkAr/C9mp0nCCSSoRGbYwe9lPbj6g7PvMdAUpuWqg2Adz/Fk2sosFpsz90QyWb xNuVrkKhMAVCeB+oMw3fDzBz4eOKUHxn2gvYJYeBT/akMlQn8CADObCdEWq26t06drRMWntv+qKO 6MR4YVHM6/IsytAn7Pd+x20e4AijsLPE4BSikpoVkg17AdLIsAyaCaC9vgPHEfzk0+Nyr9f/SKif L5QavXAvDQZzSQgpoArQ7eTTlON9xzDdKQssHHooYcyvna1H/70HlVD0u2YiufFsBgXPThZMrP42 JqvsO9LcVE8OZmiRXs89SjVq/ejPn5vi7sIRnn7bHKNwNPuw/OxYZeqa0dGLTCPW57AiEXB3E6wb Fw7a/sf1IuOZ5J5RtXy6fIeIm+LACip1EaGuYucCzVbQJjwoSTstP+iVz5i8aTWuvkhyeRC186SD i3b+FQ6vE+ZFXCK2OgIuvx+OeqNrIZUzVcvP6pwN3nK0SuKJBv98ELgxK+A0UqNR3kx5oY9nyhCR G4feg18S9d2WP/Zo6G6wmYSr43Av2TQtn8fQPiMYSD+GBawizIXrP8cD+W9OkMx3vcEtetz+30ej kx/L1D8LIdiLn22ITonDFGYFV5r/qxgLKGukNzpjMVMp0BrsucWMRKgGq74fWI16Vn0PnlsAEHha H1nI2crtMVpJxJpW0t5fEfvapAIV5j9rWe1QKpezJi2LhTYFIArT5rZDujENvkFFcgxMgnBDicUJ pGo40ffAnoPsxSBf3XflB9GRLCf25M9N5tMvdQTjDROB3/UADuousgq2L3J6PJtxfTYqPXlV+JWz WWSi/4JldsWLsnFrwL56LikBLACCqbbB9K0v+TWiS0dbjW9m3VbLYpCIRYFmm/H0EcuJJ9XR0wnr DE0dMjeu3kZSCFeOiWfAuQZadB815nRxl5jawpy251Ei9ySUgCEW3+sJzuSWzJ6bKkxj/FMQc3G3 bhaN4kKww+DMs+3KtWRj7GGaxuUzu5Eb+xxVBYSnIRtbhg9J5aRkspjhZb9vErIBgzAIl7kFLH/7 yLYNay2m4nles7nN9LTMH+12tpqRZNtO8ZE9qXBWJt464VbyqW5yVeSkQH+AJjMvPzOecKUcx8zW F8lnPckiYkV9qMerSlYEuwssD74p2NVi2XgWFKqWVG/lSq3QTLf16GQRvAh4LtvD+bt3Ab/aRdGI JyGEDUrFugcuMxvFvOxTkq/6eJ7tBPkYV+4BIK9nnhbyrIC6Fri1hE5pf4DLEHmIMUCeRhEaUFGV J8P79YJR7MrlpcX1jJvrTKxhxMjzYPZR2woG4e5XqQcwTV/DVHFecHA0u2DdpXjANsorDTAwq5N3 GvQeR0UEOcq1/TBDeDaA5A/aZweFvXzGecq3bvXaRlbm+Kui4EJOcdoCwSHIxn9wvcbn+QIU6zDQ 7gmf+IQ2Vkp2Kh4zod96m/F5o1k9iTnJw3f9RJ9E8EB8gjCAvymGB+bcn4xShHIWh+G5o0ezB5e6 v43ybQYo4Ho3RzkuKxfOCsX7zHlZjtfCtiFRKtMmyCYSwenLeBIjJOlnXivgS9C0K6UJjKsEwtpK e24VrWr71ePGLYjGkXLrXsMrPy3Mf93w7/H4+ucfY4K1Idey9/Uzbna1apWpRVSQME/o0ekKf1st 1aMqBFrk/zjasXAO6VqcXNZMFFHUo8nzRI6Oqte/owvXakOzY1AaOHxyd5wO4QjC9r40xZfRX3Bj t/4SAsG9oBdbjTFVIOapxSNNlokUy+ciIW6cKL3nCvFE/JsXypfKofb6RxlSCHG+cpLYzgzPd/Cn ahxw199DNgGD5s0sayI1npGEbLo9Nj3WMtkcb0INaiigB8quD1x3+pnNA2n53CBs+f/5kv7EhviZ k2aTtVIwi8Pk7AWanfzbhlZNMK2Utxi8q/UBs1PqCPwK4wGckijnbLUwmsiyGXSOlW+Z/44klXRJ kCuEoathi5kqCskorOIpFRWWoMSwON3D9NmyZpoPjIA5DM23CuEUQHuTDEtpZdJ3zGvnACfDx2HX 4XWrnaSMSYHrZh2VW6ccvCLU8PLLIgrsSxy+99WNcAsIKct9zlgGQivoO0GoVIZUuTXVIG/Unq1f cbj5BB+hx60Awd3/llh/5HUOClpQ7MD9n+b/MyUfEN+9ysMWg9oyzeknJ3zBD3J2k/qw3KzFcqSJ zVR3UN1Ip9vQaQVZKt2UIT60p2KFbEfsEW0h3RNX0Mky3Gcpt1kB3gTS5GveGQgR1icHuBiS8wcK DY76HgM0B37qxvgsf703vMWpyRmtLA+EYlIedC9l1Q00177dYoj55LZWowAWu/C5CBBS77bOVwLu SqZs5lBffHuZHdHeH8laNnpnxIAMfpWKzEvsMysKwQsuuRDUfjMTGa/qudNxzJTBd6aaynWqBqhM DmN9Z4B/9EqNxC9SQffmJI9k96qOfj4upPsX5/EeB3vtxfJXjQxv7+OdDhNvJ64tkCCX8iHeUHqd aq9pSUagUbdVQ+UPlkOvAw8m6pVfOU6FXTEQ1mzbR+XfIZVwIP85llBP/YfeyMrdfkJem327V4A/ U0uPBb3FwoHZ5hr4vueKxV6Jypx621KsQBCOCH8rAAIRjUdJDGbWbpNNjpxAvogI14Az2dCKjIWk 7Lo8JJhWtVrCLS8zDDX4VAuWMPequFrk+2FvVrG8t5e8iDqOAP7NkPAx+h2AhvfEDsylBc7m1OCl Boqwo34xOxw9wgt/KwLn5YEg+9OHLZOEC4zmQsuiLv6JCjpjmk95I/8QeuVYGVZKh5DxWc82AzU+ 1IcPebEue/pftzavrXXM7fidPwnZTcVQa4Na/U8jNQCxG6YmOzYthRNf4J5FtXpn3t7PfcAInsn5 vNZBt7uhlC62oK4t3UG4Z2sPdvrOma4Wj4Nodhz3trmcSLBB2BR2sRmxPo/SdjfdUGTI4u3ZreFG 1GDeLqLUW1iB7h9xpw2Ue7FiFVSyaMFvCTMUgf0h1T3588WXr9MyOfQMP4BBwi4j5ABisAQYTCa5 GBRmtUmpc4t+/iRQkIuRsWYVeEBbWrGhpqpzc6Ei2NJhdCDHkrJjV97KR1xANZ5E9/TSDL0Ld8a9 dPw4u73vGksYanpknZ1evqGtyzfRV+oT6VCg5vmVNqAjpP5Xo5lRlefOyJJzb71QE+H9p2IlPgdU tCGaSSzMMoI+HLQaFoGrKiJrp/O5+hZmyvR/DV1W9mziMKAsYTSKAXsKsHH5oyOg5VQo1J8Fxpyb hDWYxbY3OHllw1mF0+dimkn9w+YQBeE1ZmSPX65kJjGd1OZRMHq0C66tjeCcGlsIMkue8kEodNK7 it04jYfbKNpU3OHQS1CakJAA4DrxH/Hl5qa09Af5f+qplxSfK01wPjdTxcXifK6wSdLcD1bOG84O 1Ymn0SX/4TdirqPQx2QboDHRPXHSbbxUFIumdR4cvrivzQii7r1REr4aAzxxwbdR/HuW05yIbDV8 K/Ow5/CLiASIfp/fGBzQrToZVdbrvGaOFlMqaJKmUeFm/aVo7p0bfLcKefNfASPbxIOvPKUCDhI2 TpPg4w11Opb57mKnCWwzWwsO3dVDCH88X/q92E6zkVTJpCrMn9JunlpZlNn6eXUqwVFCk2BG+o86 zTE/Y96isSaYUPKnWr6lPwHeT16SZWR+AWYPc5TWii/lkSfxh7SDmaqpu/yvYlQiQRIQCON1uX+M wvhpAZfdqQT5H6a9n5NyfIwMLDshcg8IMmk48vgW9740Gt8DID3MU6Js9oL5nto6C9fiIWAfDEN4 E0yllTWmffyKO5bY7zGuDCVox3K8f+IVBdUXS8k0BdZUxKhPSgi5g9+7AoB5dKWrFlSWRZobcfBK vOFcQqtlngkkFrksAf0UQDXP1CvzEQOXc32vQI/c1D7WqhGQPuysWRJceqgDp351WZ5BuTmhANGE l7WxrTRUHaj+nThLiA4h/Jl5+/jsANXip/Kd7IY0sGpXo9yRqiriEvBlKDr5SJ9i5fy4aMVS2HS7 AZhWgyGFDVWaoNwap3iTV97oMOsEu5DwgEvUN5WdgF/UBUuHGOYO9Qf88x7ziQqEN/UgjbEZF8ka TyvPfjW2vw7QAcHCFrP2ASaIH08W6yi+m1qNK/NaloJTQDISHz7EhQQBhsTdTjqrA+LlkjF5zncW IMb1Dey1EobhSpMOIUnD/Wn78UJtmcYLUShOLH/3tn/8HpHKm4IMIU/g5U3qHyoduS40T2HZuq2T JcVCyx1eZurwE/lVGiZjuqPo1GepiZQf3/y98lXxVZSsG7UUIte18ZyDI6pIcwuWs+PqwMCfPkwl obSybDD5spgUjSaHrp62SF68JDAjtYnwkhovpuiNIJubrdwj4kvcAoeVjNlpNFGC+iFkryPXoDGZ c3s/QXQ6pnCNe0bii1OMF45iZtrO91/h2zkjtc1EdRb3xKVYaduQBWVSm85I7HG3AxHsmtdnr1+Y hHtxL2nw1dhBdWnyXmxt1E4RnNyp7Y+4+3vR8h9fVYP4KGujqWYaFbWCQAE2soNbQqMiBoufFjCC zHPP7tF0xf49QY4LgDlRjGFeHuqFhl/B+16LbpofsaID7mYD5EAaeiqXqmiqAEBSpZZ4TkA96dWt NI/JVBsjuJI7SQnRD9GBtc339ADY26l+glKArb7kflXmmJdEa2IhHDe506boltswS3hoAx7/8rez Yyt+y6ymcA5+I7drJUrQlTDGuT+BBj+Y74TapDyW595c0NjZm/a3WjWkY+RbA6s7wO4faIEsRnIh 6L6ORilLMBT66waD4N7aeLNz2lK3vS+o6bPcmlRk5cy21TSoa7i/mNQg3m7WiUnM/1W4dVOi7CPN 6S/TcepZuoUVhdkMdahW+T0lAxoo89lAX4TtsnAf88qpIUl71mLzcYQOvSwal2q7rUfE4GmObWAc 9jJ00zBVeQ6R5Y6xnNg5dWYhNkjLErqK5A76qaOuy8ZI/7YBBinMpe+mawitkvSF7m4pnU8IbUS+ LToh3m4wnNLIXHWHZpnXxno9xKUtzB+r9jk3zkW88FOEhWwXMGkLliTzfnr/g84krcLnd2lAZdFr VMU7nruXt9kPMS1Y5ok0+f1awZqvoRHR6recsxfJX1LdPbY/KfkDg/aVaLh96bz2Z+9izqCyMRJ7 CSDO6FalxbzGNzCFfokjhIaLmgW+OAJzYYkP7pDF6ChNyjKLgXNpbIwXqyvocWC9zHNh68kifibI aWPuY1SgTHrh8WNwt7rENwYIG1s/PYUN9mJWdIEMpTRKV/+LVAqdGMPuGVY7bt/8J7luB266j2dG nqk2b9yO/JW2Y4vdg4obHnCcw+9FQ94jZ1tPk5ak9nL9JpJLnwbU8+jpdtxoyEMxdmNd3Ej9hrYD IGl/w/hHUnxtkpI6Ude/VthtukMb10HHAWjcxXzcjWTL82QEfqcaFR08CpitdwmHMdeqiFnG0YtM wdVfb+ODt4Aw0QN8Y2chvi2xcufLizJlel+qfr63mIvpQYqdmlcB8FcF2mHa9wau3wg0KDV+VCaw munSWScfdViQZWbY4Ft0O4uMYOW59tkXxaHGbSGuhWpzmlpkSQl4u7fFtgEXx25E0ro/3A0j4Bzw 2QtAk/RoSz3NhRRDDMAay6G9Th7l/O3zc/679pcGGK8vpRf/lEH1W5jP+OHcxovxa22kdH3LeI+x skBV4A/9DTQdzx7yCk1IAqSK83YveILS5Ac17Mc3BLoRzGSmIcCQWc/EciofH1rhFt7tNLXS9dnd Eu1yuzh5bNkj1yhTTwBbyxHwBp2nnyhmO+pR+pBw1odT5NclR8ksJhjtEAh/xV/N6oQwE0d6oC+t Oijg6pYGoqnRMXyJPPuZIE5jN0KfLMaHnw1UH0UERL/06I7MBkFundXgM/uYVoEE5DjjSC/BI0G4 jyUANe+54l3Nf9mM3gI3jYAu6hxqPf/uiUJyMUJBODOmyykXHW0ZBBuCzQlGzMsvaOn+hCnHiaRK lLNq/YUbbdJZdTt8J1lk/aO+5pPLCXlDsMtO2TnHVcOEcVgOacyOp0630hTQFaIwhOMyCqrMF3qA Eizy0OrmsXkuOtevkUFnnLtSI6dZxlKWKFV0RKWAaJb3y1fc6eGewrKsvKT6krv6oEr8Ge4ukzFT EJ9+G6ch7H6Wxr10SlN7ayXWBQQpZw68/Zu+JK8NX7POZFSByctj/qG3CnnzkDeKXiXSy6Tgb1SL gyFgFIRlPvSYv0CbIxXttqFWQD0lmOZz5+8T6IBNFr6pVLTQZZ6U/YOTbSOdRpVbSaryG37s2V+8 9FE0P3oQ/N26XbO+B6GaPbFPORg7+EmjKGy4x1oojpleMixIewXahCzklVQPddr3tBHGxAstrUrz IryhlsFBfMUQDxWuWrhrzxuZQdRuI36PEuzFGHX5OL1Tg6LbIkNE6N1qly7MKkSx60uvK+D2Wued BUe6CzO5nH/8Js4aeJgvXTwlB6ISNkerViOJ0I1NMmZeikIuCuCPu3DFqC6B6WdRgCpY8mwq6KlC wfyQGhGHJBwRxuBPSf4U42AbejL9oKLETaZgQxB+adWbI22S+6bUifxoFu845bp8d2/BH33g4EGw NmqREQIXV8Stm5yYC000S0ZdJcMjJxV26W7iQGH3OncMDonLaMqhX72qd2vu7ytNdRky0bPyUfTw ivLJ69dlZEy2wdW14XcAaazm0IrMnwqidDUfmYYTPN8to7r4kak69PZ9T3jJqfuBnrHoJVBJgh5h hIo8TBQPFUzFzD/n5KJo24QAJpoZTcBEL61F6hwTKoyP1Gng/K5hum7Bur8NYxMhtuLBc2KQRpnF Bp+Dygu5WBdV3CbU276ykQLLtchGlFjt3uRIxpwt6Q9t8FJAlNZTz9+64PjNzIKqZ98fQk+gX7Fx GtCXBkiggjgP067qR8psKDBJ9TS31bm8/iZPyhVkHcmcXyRLesaGqn8X8aqR2AtpP5T9Z7yaeV8D VYlI+Wiv6rISrVAgN+riU5Hbxm+lhrbKwvRUo7mwJlMGZX2U4LQLvtfFAC6vWC9gkJNbcjo5mTox Uf+7i+GCFhlkF93plSJjMSXRMdIQKIaaKI5tKFSBAbCQoZA8Z5WbtYVpWT0JVFfztYemx2ql9DgZ SEYqnE5jG3EZIO/Hjc9KFDJ6aBpUBMJAk8GxDB/gpEVE3bedbD/Yy8hX9EY6Ol9QEfELDdjrT/iG 1p3B+XGakNaQhaGwvvo31CGAXSzRaNEs8KO///OUPCOd/Eb5xMlaIykhzocCIGFiy3o+RlCOmKnE 1pfInl52TOKmnyrEl1idxQpnwQL0d3itB34UOASLniaSrkDamcA7FlU7mvWJK6gDbC6fzyF2Fy0F bSvaxW1YCMxlGJ0IPcawopBAnp+zyDeXvcAbBT8zkV2YzmNiW+LZHA4n09FriZ9Q2XAf9hHupq3p o4meyzJewyYgAlHnYhjNByg3Dc+tkAmNDMyiduwC0/+LwewQVDlgoy+8XNqsYuLk29BcTGD6kiQg QRgggHNKMZqopjmB5mKrF/ev/wSE6XxdjD62GIG49BXslblcX+Tmp+qSz6+olxscKpb6kuFunbG1 BY0HOuyPZe7oT7vu39FYDM1g9AEc4OgxS/vPFDexh0vMnMwHgaSgR/8jq8ersTIm7DcdQP1pAdS1 w1+jVfe4OG5WL6zrYSLexv8mQ/l/EpGpdhA33xYOLbghMNH1y4dALd/IaSXgNc7VqPs16JpigCHk LPCMSE5hmnjm3iCJeZj77RwI4C0zyhKmue1mOt2fXSLyG+Pe/qXtf4OcZGoznSPpbv78mvCz6sSo NeeYhqnw/jiH3VxS+cDcQ3NMoPkzzsiP/Mr/YDA9LzpJ+knevS/LLtgZhsUprkqEze9ExZVQnpBG VYwnyOvL79NLQoKM5/mXKMQTazYH8hT7mO0rGjwatqhNRm5/+aVv5Vh5Urm6LOBhthYOWFYnKwnT GBlgmTgb9Z5LnCQdTuhXRVUS/mC83VP6DHo7opokJRTCXW6M7z0gB2n9Fc1+29cFyMTXp9ag7T0w tLT5zsDmC6NIn3111pN/yCd4LXDw0WbQvlZtcc4dq2dQs36gLFOXFBgQbdrDwwpfSkWPjr5dn9bH gq5WcOhbMB57uA0OCQ3HUEN4Jmy1B8IJHEk/yMxsvoctTVmDClv0jTLYVGv2ONo/S4zx++PjWOSW qgy+QD4IR9Ccb8E6Q3QgbZhtQlYPgpu7fSF/nntreNMndFrpQ3u+2UnPRkqOHEz5C5peufu/nRsM mkXgAZrFF7lchtzHufMG5InzwBFT9MH38NRezijDrCgyizt9lSlGJd85Uorpl9WgCbYu/OlHhp3A OxwxtNKECUIXn1a98g5htqinpz0X6X8Ab0+OsZZiAnzUppgl0Oh+01sX+6/BM1cLV6RqEOBZcKc+ cfNND9zxjTOeK40VKVIHdg5BZLTFN2MLle34TppbovESQ9ft+vfd2MiHvVhAwdIEMkSeeVP2KMFw uvjLWeDqLcWT4KeS1zTZmWXD4RXGpmgszk0Szk9G+BSdbk6lw3V+Arvyzw8GhMZEP4uGuZJDOm4E fWQyanKQLnjbb4qnJO6T6MyNtVFBQ98PZnlIBFvydHgC1l25+3jSgdmWaBGqcx6VwKMdG4qGeX4A RD7Jp2irq+l+PKJdlowKjo5heKHq1QQZJHOm66uyozHg44fStgTVZpWQi5554g25CuvBZLWY32wL afkDPC0jNxcP6Ntq6HAbjAclUgbKegY8T4CMumaxZJA/DHJWdSpVr+tGAhNPsApJNuEY0MO21bOT nNJtAt4N2S5icw0c3GdkCHyjMu41D3gMP90Kpbn9B8E1xF3Beck/pHpOOZHJM59xzPQD2Sz9FdPN +bxYGqN2nP90okxxpPhAwJ0gB8fVR2xbgnhv1FFMb0WkUKTABqlvHdDUxIHN6k/UCp9jwlJvrMjb 1ZyM9fSGI5lyDop1yWaIpUKOYDzy5YcTDojEUvs/GZi2O+kgT3X4H1ipkqU6xlWCdrB2AU4HGtCo XSr7Ov8DHdHOcm2qq673xN3DrWM5yAaKuBk4zjQhakgrrBl9Wl20ssFW097gRrqrgI4zjqKP3Bu6 GHeEsIIyMFmgs+4B1RJ8Xd57rF05ygPa7O6I32+jztt8xgWW5HrkHrI5ODAmAAjlTFBBoXezFzQC fC8+fAOnoaXbD9DIzg/E/Zhm8ZnqI7bnZmzZEjF1Z+TBb0IFce421yggd8EpdvXgfPyJ2KLx4Zxi yhRGEaT3lg6yQbltQNdJyEcxaQxI2I7WnAEsyjaDgS3oURS9Zo3Ws3zuzwOeKu2Ru0S/PTLCIZ7q qI/vXkl0dMBOMM+QiZ99nSme2hiECb0lOKRD+eNBXxp+reGLtEVEEMQDCCzz7a1gG/Ec/bV32RMm yxbinMEMmLEbIay6N9y1OHTEQD0guDKKqWCiyJlXbLaXggV/hWqxLNXy9ErdNpl+SJGvW1L1XX/H ETdLEk/wR0gY5D8E+/VUxmFY6UrBdWuE2DoUUpgCW9m5ONt3X+d0nvX29QLuDkEy+POH6k2PTFVZ 7EjbFGsuEAcBtkTEBzF52fTGRVV8tuzc14sGtBvy1D/3BV87MjSk0UffC7QjiaekMiXYKlJBAn1h 9A4a4Y3SxhpSCQs7QMZ2VW949ylBRgXKOf1ufF+aSbDYcIUM8YhCn6QYRNJqJ/A/g8v5CMV31ygO gj3FJT+33aJEMN8jkVoOAHuoFt2dY3u2EdWrmVfd2AlIWMLAR9LR9IOkmJe8eMLMPx4EGOfqfI1g 8DqSN7zHeTWY7QpjyN1oKFlc3CMj2lsyd4lPRA2iwL3lGxXTU9QjQbvJI/i9phoaEwdlcQP8oq7M tSwK7unkoqAmvfd+wLPu+im2l3UWs+MKWIFRRK9lcCUygoC0tx1tx7Fgdb+qvNKAFdLPQRLnsmAY ebEJEQ50HFtlhVHo9J4+4oSneD06w9IXRCcmX/61oRCn6lxNjtPzLTtYzXuhs8q+iQeEVIkOqttN pWnDjbyiPQNKuGETXMA1cnxPU2wnY7966l7H6Bn3i7O9w2KuG19JVdcYYLPhoOWfeHEqscWgKSuC 7ZB8MSNgB9rm4Lx8ZFHanjGb35u0vU8KBlk6sa7bYIexCHKVYBieOD11wKhQ7cTYixia5T4pIG5Q fvZveG5dlsSivJl1zuH0Gq90bFe9DBkQt83eaVgwkplLwX7hmsknZ9LkPWBDnenGmlA8pta4pdzq 7ICIbsWG7gNRmwooCP8oMHBrEt63+zYBxrQvfmqJByhFY22dKhklWAtUo5Bze9RfKipGeJ6zR5hn CJFkgiob+jYuFmmZMZjzHwG4IWHTzz8KWuRhqZk+UFPkcN057n7LPsRW2iyvMcYgyjSo78HCHf12 hiiJcLAKvyPNX0+ZGbbj7rvqMFkgwmzFlF2Xc6+QO5FrQUUQYqhfHV+Y/rlERurluB0LXgWxEXn6 nCC+olXT4/xOds3tJlDKC9/jY8r8hgVayfLyD2p/9Yxmt2Y1CdH6x4cZJaLrCslaVDHiZsV4G06Y cLUyNRo+wGgkDx4s5ZQ3akFe33U5qPyeRcHDSfwIx1l8KBCo1K+OCuUx0kssI/+sBvxWaO+lXxfo QwBac5msbV8kzYE6CYW1I2S/xN2I2k7TmGG9A42Zoy/H9j+q58g27UHE+LgInhJRz79zTTvJ0zTn dytl2HJO9zQirTyCw+dAZsCjt+uQHKLKassKvMNo5bqmukibbrlnHL1KV0xljU525ewDYCDaqQq2 UegaPockkSUmT6raGtMM0k6qiVNA543LsR13yUF19+XI8Kw4L01KrsVK1se2LNVbpv3Ri+xhKh2T w16KKbPXkRkGjb1Z4GafhXEOz9hfa49ICje9wJWgwax3StjsJR40Biluv9rTxNvIkcuUxg2ir7Ch apI/hzNKzUk+wdOl/qD1d47uWxJ8uqqUiEbaK3yrYwe481caIag3wl9iWXmBoW+bEtDrRk/jzdHX 8r8o6Dz1YEj0y8Yx+tAjL3kW+94QI5zaLBehPrK+SR/B+eTFhQIU6on/krGdejw4ZGnaejqT3IdT AZOWcAS8QIbkTpqPy1Unh/Kzz9ewx+zABH8LRSqDtKj9DysmMO7omllNP3IoWJjHCSUkWt36g04+ +zG14Kh+tMwZ5+BvU6jswDBuEVnRq+mOFUoccvItI09Dn+Z1lwnbnTyhIOzqzdveAOluRTmU5L3F vi+/7L6/RT4I7QaDN6OWK/ppEGHarC68A8bKY3Rv45LA6/nQn0maqSYsNjzVh8OeJqLqk4jt856G /BmDgj7hFnzeV6zz5a5S3aibJWLy4rukcUOmLNFF69vZSqNl4Dy/jd9kX89dwD40ZrchNjdn3lTW eRzKlII29wGnHqEmaHczYAaHX6AdJZtG++5McBhTbcTJsY3e3+li3U5kH4NlgibvAK84tNFqaAu3 7C9X14OfUY8AQOtG409PYr4QTpHNJ3kyuk6W0RznJGGWo2HmGjjzXRjYye5pdTrWBcwy5KhCow6X zCaSFZaqzIis3Y1qpw59DSnikosWbAkr2Quetgwl1ROCN6+xTGJB50WmIGGw2B+aQP4yivhtC1Rm IqMsZwSRenHy1OiO/8ipS0RekdOs1UTAAUiyfXpR062Tq7WG+ZhSvPZvKGQdd6vSMQrdajvvcDBQ ctpT6wTZodysy5u51KOG74+vf8fdHwny90Ln/ZF4aOHX4snnMgQ61ICfkEjeEXExPcKOD50Se02/ JSZC46+7o9y8PeT07TTjWzrPuKfaO2cHfTYiH+75dFsNxQCJFnOzpe35cZweprsE4DAO4BMZithd EvjeeDLVRJBaUN9IcHEfS0N78t2ySTDtw8HjqYraKX33hiy9N4NjwsMnwgH2eQwxCTdYbtsHzPiT pqzKKhAFHQb+7C/RCLWyMH/Q0tL+k8ku+6KBFwPD1Xy9xCaXocVWO7nNO0DmoiAVmRKTUOIkdy3z 5eupd9Eu3ByPdSD63cnsp3FP4eSS6f5y9d9dgbHJ/p4d4ZsTyZ2FQu3KzfIyf7l3ys/Ny8LM1/EY qlGnrXv06bIhEizOqiy7QtMWtSSQl4Q/IjVNWysMSNmvnQQjsyWC9oFjvqR7x6ysL92SYbE1Gzfy sjQIzBuKK9iOS8Ps5oYdzcgnDNkgqASEXV6/rN4Q3bZKVIFoUHi33I0phkz21FaIr2wkpxNO18t9 qE1qavd665RQY+4ZgQZswoDL4WDNyHkc9pjGQIVmxLsKp//BGqkFVKGDJdDpKXunq6/x0jFbBO0k /jGt+Fx5EiIOyMmx0Wr3ZmqbZmiq6BprD2nbvbXgYznt04AoB4W8eLLDDap/+IKy1sv3R7DDe7jA O4qm/Ibd8DAPWUaFvkdx+Aw8e/W76oAeT3jI2nwxmVK/zGdSTbMZCUfI+KfYwBtcS0L20W5EHlPY +jeMnUs51OPLDycm9/BSEaQ+G5Wsds/So7XDl6S0N6RLqckl52e0F0X7PKnzaco/edykCTCivUD8 AOv43WcWczmACs54hQQHcbJnhLo9fTUydy+XqZBaXYvbae5sE9o5a411tWL46a264EmzZG2+8pH9 UlfXnkoO+PVbdtI4wdn7AwIzs+f6P07aBwJn8LplaI49RaZoPHDGJ0CSKt0dUf+J3mVHR2NheYd5 B3SmlozwhvLaPlfgaQx5Q9sZgPyi3WlBsprwnNPHp/oLlrh3g2uiKlYnP9e0Phq4vyBVjCiKaTDN AlGwRzJYjAtIYXUMiPa8zPzuQrGoi3QUfTeh8RY6DAWDUdfIII5ulxpubEYkMplw3rZQ0t5fdht1 Jo/3T4YiBKKZtaW8IdH9RoDJUoIncN1rZZMa8mMDKPnX4pezkmk85jAL7ilQ8kkSs7Ndno3eHKse /zDjPDrWTnKdsHW+uUp5tbq4V+IvctQVuytI0jrEHrPWyVfbHtiADInXwgFQ5KFZhXx5NLiYhha3 TWVhcIuaOvpNwciCiu5vYE2v8rpC9CWV6SyWFTCwjc+giwxKPW1uKYwm6ZzNNfM6tVxOy11yvV/1 WUm15/xLpT2PoxbKEoJiuzFQN8j+qzbpccp1CiYu3ot2zxyWHCzxOu3QQvETc5MoK2P8xIdWvHzf 0+43oJSZQ8SvxjrvNNWpbDTPuPWRVvNn6elj3l1KD4o4olWO08lKVjNy+2P2oLt6/arZIYk65qOn sKWOaLPQMApYvv4MKD+h5aUP5fRWJ/0pnybirdJVZzVWReUYBJiMpySIK3GbIEtbI8YNfmFdbldr 9WFGld/+pUQGtb7XFEDGKsOeWOaXiu+XeBNzL31A1jbrHtxqAplLMR722vpP/S+TzODGFMua9gxx zGz2/5GdLsaqoS5TGCE2MCCWaUEwzw835p6+EbkA/gHqinDo3S7FZi/ghxFuMnQ5HnHzB1o4Uii/ 7bShiTOrFOrLe49VJLA9z1zcsSyUU1fsHmZBMBQF3XFp7uvd4MaNCtMS8l0zRCw5NLQDiFlJ4Bdp Qc5cRPAio/U6qgKLwY0WbvEF/c7GXdeqwyWg//OZ+MPxWMEh2J+UpzDDYjp6Z14GBy2Tb7cDL+XZ ZjtF+mWnkJPmHLc99mZs6yIaEx3KULU1IVnPC2LIVV8tAo1k5P0G2FXsyVtZbkyKICr0mPr2PcjW v8S1zMLMsrzNlXJQwxmeB0zulF98VLhjcv+gINZlB+bxNkcbqcrat/s0Aocfz8db1s1kXH9tESGG /lBQeoI7R/w03wXAkNYGZHXHhkCTas5ZdY5OPXqDGtOmiG3QwdczBivnXNwTPxIb9A6zf4sldyac rBnTJ4MJmdAGel0qjhtJF4d21xLjvPzlIL3ySU1KF+p4CJ2Ul9GWqPSexHvWx1/mnGVWAQ45WAvI WMqPNt3iTi33HWIq4ZRkWXfR1nLWeHNIskEo+8MnImab+Y1ttYhdhx+O1OfwRetjS02kMrojMi5n iX/kouzUskN2PAGviH0jpHMWl1XZFX+At+A5KwIY60qhKe9fi8xId2+GnM54dJAFbNg88IBd6L1r +rV24nA4/yeSU4p73VP6H4M9LkiL/6fd73X3Z0wYPT5sRw7SkiSnYBIX3V+P52X1AKs2BdWRqUkK RuTCdrwl/bd8LyeQ2NavyyjJiUiySIIkz7Qp5EEEFSdu71Hb6Sx5Cf6ykVhiW7Nk2cHZ2mGvJPBn xKK2GZsOaaQcHeRF2Mt30JwqSV58clb94CDO1EteISv6L9anxsAP0UYLGJAHodJQPqYDH+g/csqx +OrX5FpWMxACrFRaXAxI9n0th5RAL4yePH4FME8diL6OOVnf+ogaBU3UHdFs5a7L8RTcNewcpvVr bKkMAXdcKRrq9n6GeN/mL9WQIusF6uqZGWowWDlnxwOUW5XzUok5sF4fjFvpiprQcHcmPOYIOMlJ XWI0V+LZqsQyrRPsarUrDLPWZ/cEy01V6MahImfiZTUVclSom0cl1kayD/yF8dLQvQVQkcvHiHUP hBph5Mr3Ytb4Vz7rl3mA7cpS+ay/e4CWvhLVDfLa6en+XEqh0yMu9Jk5i81EmRHJJ4JNUDwUEQv1 k4fuNR0meDgcV3yV8NJfHuzwzT50OleJoisemCjLl+QklUDRYI1cSnmnST6g5ctRu6EFsHCOCKnm wTIedj7JDI7Y7AiwYUPXB1+Avhr/CZRapqNOrLPc8iqmMXA8lM4kM25qtu7EBi6ovdVj/FIpQN26 bVDc9pGpPnBur4Hc4TP+Ti22KS4HtnVsxEOmWHRFDQ1GMcON7pCqZwm5HB5ljPD+zZAul+UcLq5E E4+wjta8mL2xfNxJ9i/oRbqxNE+n/+nbimY78MwqLjSNie8A+6TkcxDTNtVA3UI58GBISC4ZcHCf MXih5Y2AiPrLSInefY5k7qVLlDtncj5Y9xaVyXmjfFT5GTh+08Gd1iu1gR2eNkQnNXk6hxO3RFcF pzn2xD/5QHON5NmhJFSsQ8pf3NoCXzZ96I55IOAKMCeRmxJ28j+P/tYMin7hFFcV7yo+b1yIMa2Z 4QbQmn7wAtgZHoanQx+NfTcQCrkKlrbj/6vuzuXj7oSNF2pRIEnaO4N9CJ4PotTh9sNR0gUk1wd8 JzbDj+oEHiQikcAGJ/XcibrVb3QXD316O/ulmxlhGV7dZLqGXDqeKJ69Hr6781mSg/8MAIjENPL2 NMyRu3JFA9tfEIuoNP6BvSv5SMd60frmRf5KHjgkL5ZPALqTgQrxYoMlZPciu1bKwobReD8bKYKn PTqhWCvRtdOm4oS9BAKTE8EIro2OiAmeVc5PmQ31hNw6mzGvxXRcjnqhznMrTGHG3SSVpvCWDGKs 5Zbep0PEEAQ1BL6q0B4VqFPjSqA9S7RtpAPWBSbrXUOoSlW1ETFcN9pT6NetzlJ3oEinqh+ImhmS anDYDcv5G5Z34qpQo0Snj1dPbi8LStYqWSNTQOaDxkK/eGnVQdZnKM0xr7LWKrJCILkUkE+pAtvr k6/rAKCB5nU0ocoLjcp/zev8UeNqRXxWXYnqiHvCyZkYRwp/10UBTwwRBEs6rVDegUcT8hZixYlR 4Y4MHCHquokLrKLXdsIcF0BWPXVZe18rjq8ro3ANpWx3MkpocyEJWBTFIQsG3yHm2e+nCtKBpWMS qE+BFaIfW0Or4+r5IPhGEAK85vetze+K65JwbpZIG1Bpx1C72Di5kUhcSHYH0u24Vkw8AZkiCjFA h8CaP+G1sjPgvihSsJMqq8mP8r9xLivF/IikkMb54Mj3zAhffKivi7BT49NLvgJz4/6aaIY+YOh3 nNkRqbs08/vipcrH0t5XTpcgCDoihquxKlmZNLs1dV1P/JhsD4jEQ9mB1QsI31twOJ0ITxO6ngu7 bET6eM0ZMfSXfSdsYW1qigGRYAs9kdJuSIqGyZVd4c+LCM0iS7/OX4hPx9Lm54Mq7XoMqGi2UMKx 50wdyiF6oNMP9I+8InAQ32MRmeeP4+OzH+YQrv4rD+TER2kReu9F+g3pOBIbe6iECRECDWomKRr2 y07OydErlMKN36tv9LXi+y0a5lQgHvBMYqowQseIgpUGl5SwdnFK9EG0ZWsSw2xq95MbIlaIjDBH RSp1uVd1nXxGgroqC7YAIkciJtj5Yk1vS2vXM63/367pO8S23HgnFPXfbfgNpgD6l0aLiRKzvYYe cA/JjCHon8+0ilnE5tr4LTZ0PAG/7Mv1xwDOQVoDzmQv9zM9BHYZPUZHXsw2/dN9Vz8HEQFuCz29 AyTmuHYP53hFBxICUpJ8cy17Xgyh4yg2GP1SV2GVub2r4pujaVIUejns1RiObJghpQ+Yz+Kf6UG4 Yn50wZwSnxCfI1+dV9MTH2GMRhAkz0r3/Xh20nlbIxHCM8oGtSoAoxWgPWt9BNz420Pm77a2eZcs 1uBblNSheO8Ky79ztS2xb+XIMO+Jk4LWP3tFkmzQgVVd/V6h8tbOcmhWoEq9ijSjYYf04I8ayzj2 dO/tN8PJusi6chXHyRJv5AiKha7F37rZ2FVZbUyPFPCflY0qG9GRQwJiuj2XYfqqkVnbWrygaZEA XY8f7kJdv4BAeoT9waz8xK0hYx5YN+Zrn/ILe/3mWSA3gyCaa4plS2HScwZC78ZaiA5r1hEo32Sr Tt1/oiqhDJBKdUxLSmIwCERylRcu+U2MqVkbV3GC/0wCWwyLTBPm5LPJcRtryq1/kBdgqUov67Pd RMduhspp+sXRHrdnLb6U9w4xGjZEIvJl7XcP229Miw9jvOFUYNNmwemPgdSTKq0QP7rjI9ajktqv 2kDDIMr4ND8oupZhA7Eloq+fXstm9YKjqs5rR2WqzZtqv72KHlbYaOjKxRQh8+lTt3ZrP1Odfqb0 VUrJH6BO4GDX4gFkijLVsoIdjOMmz09a7Ef5TqVcs9Fd1aVMqeffWREXK2vVO2sYqbdOtoLshncO 5e5bD3gelRuf+xRMOQC4ghFOitpwJaUfk7DKo52jYnVB/zRcgguISHveZTcBLlL+j7EBDelb5pDt lrqHzieA9LH3K9YD2ywBGFy0Kpgih4Q2r9pjQqkVtWhp0r1ft+6OW1v4xRsCmR8SBIyVLI0NAR4k jo+qptUtX5TEQezKkHAe43HrzEUGkpLrUik1d9Wco22+EFdAXXgqcu2ee2YoMhEmeRh3tKyFh27i FNNdvCVVkHBJ5o7/Rnw12az2OamdR1mOkZJXd+NcL+CTy7w7mdwDLMgdMM/07WMrx2D4RaTtnJ6J zBBMu+BawhptXyopfpGYt89di+71OjzZ5VKvKJNlbFufvp5akL/QyoKA7AdRTrHyXB652DHoO3Rs 5BfJC6RXh5oPT4wmCj4XnZYsiWiyv6GrnGHuAQ6wfaF7/VR00NUX2Y5lJSXRBm7N9B8BzlqcFs5V j9SAo4kKB2hi5X8Zdm6eVSRgBXRW+ouIT9YhgYSsPgwgoBlnrMHqca68h99R9T54yH3EABORgdrg hKfriVNLUcg4mgGIQps6IphAWmgNzmylMXdC3hsv6nFZirQ1uAxzYVyxALq3OtKs+YErjliCMW/I +eyQo3UV7qT2n3zQEumFSQjnJTdR2SttfJGLJS+FpAyJWxDiEzyvXoNXuyWr4mEoNLW6aK8y6tvu ABLSSx/DXuMFhQdnL/I3jHUeyA+nvosXwQ9caiANXDgy3THkSKM5CFiPR24QVQBS3Zo4OZtOkVl2 u/qbgNSsCRcU3+ar0ZYGlwyy4NKnHsW9i9aVRSDXwiXDCG/wYM+/H6hxiGL2Rt7mIiPbyd8N/f3z B8aCFRtUJmUJUNugJKFq/hhPMZFLuAaxtjO+y2anvlBS5frel6zdPcSkrssqvHdKdDb3Xfgb3pR8 R7jWJ14zrwi0CkKkjVMy9DS+fFs/iFEU+ONCd/OH9+CL2GdGQbpBDEetSyRizQSrza4F2p0YPYXc s5N/RHFLRIxGHnaONZQglcwv5S5WfGkWfy5NAjrU2tSyuUonUSqXcHLh6H1CCRzb3/PPCUy7zhbM RVRg2s+CnuK3vG01mK4PjZTahmPLTGYuyLX1AZm8vfX4lvlmozRg5j9jCBs4dOj2Im2nGbk4Jg7z Zev7HlD7HMT/p8Ho+HF9kPw8kOcN3oa5bevs1tX+ZkZE2gHSM4Jd5MFKfS75iaaZEAmxb9rVyezd pg0BsN4UbQVYVEWH1hyHes8IR6iznNf0UyjnFMuWrXY57LpbrO4DxVYjA2xm+7/46ayNUuZ/Bs3g taLxaqTxYUJOsiRoxTp1dftUqYnHII1SS7aSlxNRnc7zX5yDvTWBReVVEfJOTfmF1fZ+Ipytq3cd kAUnZNfD8KT0PS1kNix/FA6TqO0MagU4OlC0zoZcnYG14svVW4+rSHjNuFYSzVByp6WZCtN76jcb mKFT94IN5BzS+KQ6ngqyDjzkGI7rBhoNppXH5ilCml6FBiqZ2diWDY5N2IyQ9OplPEGFUgoEYDr5 oaM6id0DO1fGH/J+Wr1g8udUv5LaedRsssGXJkjO/7X100hzLAEjPDT8Kag4tw16qf91W8lwkwsZ bDbMJnZWCAyyop5/Ec9L4t+E1FhqeHmsWy1uhx09cU/B+lJ4cyFjE6esC0lHhFg0k8JHNebUEibP 8Y0trp3qAP4X15QVIaCXQTGxhIHvIfPCQ6YIUnS4pfFa8FDiJ5mEsnZi5X5Zgt8u3y4KstC5AM5y r/kooolEb4R4HUt8EVFMXgU/RXiTi0axhjfN5HxksG+N2F4Rprzb/32hv23JvDU506zl5VlTaIKR wBBemkHKPmAMODdd2TGVZIdOzWheEuFKcOgMIB9qFNnyv97ppP7UJlkDpf6u8FZJ/sNSz+rhlRaI ZQQAzf+C0KYTSc2Wr46ukF3kyE+C+Hgb+OXef1KmnGTzvJOxAq8slkD3EN8GhJehtdJDSTIlRYrA SOBQPEXyTIoQu2izVfFehFkmQ4H5533Cq3yqG+LFcMDsXZLRsDyCaxhg1opp0VmPOWNUcyoY1JRJ Pk920oOsVgOTvtjv3FOzvcs1ntweRqAZNLVcqKr62KKAgSqmETIDFyzks027CGeAVrzUeWMVgUt5 UlpgUV4WIMRXLH4dFlalcRWyXKEEqahWjLqxSVqseUeNmQYlrw30GqS8WcUQu37FntTO5jL8ynkj 9P5mZJ0g+xG7y1Q3CUp9O27/901yrlbe47HmQ30MhRJuY4dseZ82QDRk+HA9LtabPOSCuqHPGf/3 MwKOPkTVV2zaaHIj1j0uBCIrbYoIXUswWWuS5t7Vc1cduF0OVgl+xAgtx+yjZB8vNIagOWCSXJFP JHISxpn2x0cO3ZHC3gkoC2yrUr4e93YfEqumczP5XBkEGrd3w3uhrNGQrqJaqOFVh/dz8DBwpbDQ I0X+hKUNn36/FIUcuFArxQY7uY4cjVgRZL4yyvGL9nSk77PEXCqT2AAAIrGWcg2sBviz0S0CIUMl Q0hoyxz03+ZcVsJw2TTPOO4pS1UnJ0G/hwYcg9H4SO6agXAbZVjpQgaK8h9VgEcz0zmdmhtcSyMS m58xbPdO29nlAKUzLSLTUsz8SCxWDY9ZJcPLv09CSfD2r8bQBE1RdONxtxZPs2hhnqmMy+a8k4UR bLZi6wTTWUimPQP1tr+qMVgqlp6h3BtiKHYUUkR0D4pdPy9yb2assTTsDJrixFTsbEd+Uj5WOgB1 yKtl5yTJYM0PFGFLexsOsSCom3jERqH4zTukLWE4CDOFiipjZJJu8V14QI9xC36KKJnJCBDaVEKk DhM7BeTDg6dMy/PhWK3WsBmZcNZRShJFbfCUEgTnZ+64rm7b+wBH+HSWsmlbaHTMqE9OmjeAAVre GSYYehJZgeF/UqB3dKH65bX7157bU3nc7xVfioI0pdQI96hE752CfsyWmLXzBjMpdRPg3mwRbKWs lES6fnfyPEBDqJI6XNtRAPt8UVOvCqSxQ57TemFlCt0AeJxu40q1rjFwzYkSuqS8IqX9+ZCHEBC5 YXR02QUMLqCUYeS2xw11YJ4tbAcrqaAecChuKGFHxXTRG+g9dvATwOP/6krkP0AH1nRXYLyVElGJ HoYdrSThmE4hEDNOzWE6O1u8S6ICJ/vi/55MYsAcp0/6OHV4PlZcLtElLj0efVoR4uMjqxotCi/A cNTH1Z94Ap4ifSEjQVkZda6DZJHjSt8iVuP9Xtyc/uXB4Q8C0J5R0sDnnnqMtETC/eLF4BLZ/kvY kqVxvEWRGPCngbJlmZG5jv5SUWeuSxP6rn3ClBCT87/e3YOLK81OfkTtev8tPlFRRhNkTfMcwqJH jQOXdb9THvHztNBvbmYmTaKwtEXZVghY4GGeT0NxNEEfDFHzNbM3/nHU7PKey8pbE6baC/mMTWSO AOy+i9HZAz4sQNzt7IVy7EheIlTBAlhEoxIPCxXLoN1kr8Wo1gzEAbkC+krxERLYMyh6sx00SRNe n2R71iknQNDHa1PE6Y14Fjo/s71KiS/SM983Shl9jqsNImBkbO8g5Aq2wze+PqwZwqzw2yxX65CP RRuCZ3FLgBLVPwxCA9BbossuUH/1WjC/uKpeywmGEJTY96CWoLnkUwoqRUdn6I6SgXM3B7+y9MSd /E57vXlMi9xF5uRbDg7FINYED5eAL42We+RVnBXCYOP8J4aBMcOZTWYH6fVt6Ie84tGd079llemY t/GwxpkSElaCNgrHI1VEDTVuV2CYYpdiN+0Man7n0ZDXtG8Jvw/pp95wncZBOtPSzlK+Hm4zF5vq lku8EMF5Fm73E+bnlYywzOcMLmIDLvGPZCmJBEU4tc0ra/pbI/eOX5SWQFz9U32zcVE/R9mQ1pg7 5deQqZatYORr6CWe0HEofpfl6gSfYpBmPPeAsyh9W8tF4sthkfO+4NSIjugeV/JtE0RLn7roUBQH IA62C0dOjVQLRLdlqrKUqOutn6GwlbvUv6JYGL9LXe9QfMbb262nVrWatu5ClGxUt09Xuv3fgeaG wXkzlAypIu1U3g0O3YmBuqM3SD2+83WNsPjyRdtUlXZDeFlMPivY/J0nGr4wB89LV2WhBixPZCEz d4SA7+rWBaeRQAIK/5tb0dzvq6RXSnFvwyHhBppVskrgJDbWwL3qz6Lvrqp6DbHPIB7vvLJ8ROSz dUMtEpJ7nMp69pmXTOn348wuMaCAeX3s8+QQuv+HVF1YlPHDFWO27yHREPFfpgqpvv/cCqLj9btV UQy5/uJomHHsLX1R79Vzomg2L8xQr+suM+2iZykB0uJiWivrGAelVGx0OpiB6RqtosifybT11dnK PAjGIwk3DfELhqe50Y3sKsCyRnhlB1EyXjbsQRUsTYp2Vs2UKkMwHq8ko05Bwh0Fq/QAJUBTXfJD FIAGFTlOtlguB0vVBmUW6B+W5NwAHF1JqVr2ZrnYJDjtcGLqjzzvE4YE90GOf66XLybdulBuve1Y SFqBGScLBHjRzzLhEQVlxIZdysr+0mT3TPUeX54NJcQEtsLFsf1kAxwPgMbPlDpcfKVoYKz+64M1 gLdUTCIf9EpBCnlHorcRnSd8h2vu0Vw2DoUmF+4NnZOyPNS9oJ8po9f+tggdmkvfa0IL6/DsKdi/ cRZskgx2AkvcLAP+Tm0QAoSt550IJNj6KfOPzvnSWOMOL7ufHdYeZRGKT/Fvb6FAkO1SHQb2OTzQ feo66tVT2OezqklCAur+SInjvpir7MIUewbVvjFbHicyvVWcXlPYY1t6CfLJsLCZxYzTwVdRdxZX kYkZJi7HOqVwIKh//GrmEBvYzARFcmLmyc2VjCE8PmegJKdifHdTAyd3nyrknNFnaGGHHStmgPlj Emuu4JCNeumYbR+71xmNsAifNGxGKIjm3bjUJ1TAwP38caKjvoKyhIenEil1uc7EZmaNt1cE5gw1 4FW0Owyk8JOmLcLOhI00x5cP58kWc9FgPZeC8thFzL5kyqswj9RqXDymVfldUOS143fHGde3WaqR RqG3YHJRELK43Ri3AUB4LHBUU4jYuMch71gST3qkv+HA4nNG3YyJgf6bCvka4WlaoJ7yKaWFxhcj hYMpIvs6J+SfhX8S928CA46fAcw0IXq4clsCROW9HYJ+nsBgaBErjNNtEBb2M6CSf7u9PQkpQdCI j4ti3dyGl2rLGpmPUJ2x8Uwkqz9Qw7BZxxugwiaI9HUjNeY3byo+iXpDCTpDZ1mrDAPqhfXmvouh umFGKyJwLLQu/p49Ms7kAQ1XhHj6BH0rne0gt9+YK4blkVzG3LDBIJTcoaoJaDu9a9/O0gxq6b+W GCdS+fs0LL6+L7neWR9F0hKuedh13S4Gf2LxymwFTWIGvUEfxd5xRzpr/jtdK+3f3FsewIAtPIRY 26fiSUZtl8SqNC29oluTuRMNEJPczP2qoygESHvAmC1hDiNBOJ5+qPYQy3Im5Uguxecst4OUVP4B BZbYmbB6CIdsY1FNIRn4PxncNviDTXdRPN98neGz4MCxppF07wSz26+OazXVQwlH5OHSGZa/Tpro /ohijUxs36DiLy7Jw+BPPkmI5Jr1b4mbfGamcfVWPfDrhTBIyeD+YcRbLofzv5am1jihDcly/OmE ViaT972GqBhmxoEEUEj2L6PCY3emt6+q0hJ1vgg7O6UCDzsgOH+O2nDuSoxZA4REEFiRewykU14O 0KwOVcyLaWOFHJPBHxdaWtjxolY+q3AtxJHMeDCogt3qSdiQ/A+WpMGVg8COF6r1BSteMPdkL6pE p+vhdXmuUJZwJg3/GdNcz+OSs+78Aduqmzw46C7fyQgSlyXSc8pou79Wk+PHs0P5fFLtHB+fwvkn lahXMRUj+lvhKVgWpLk8ZPSUjivajkMck84q3IgXiL6ATwhbaDYm5GA7aCsJti4ll/Igm8VHzp68 npeiVUGqzmgmm1WGrl01deoi1e+aQT+HbWqqQYu884fQfu0JIICIY1BWPXzoqYQxobCiQdBHriuW lF9P8FJm+BwWvT23UDIfId2CtfBKAOVoCgKvCiqVXTGm+Kun9dHmHNMja30TtqDNseBW3C7RSccY TCEZWzk367HGFOrc6ntRbmyrmMeAe+lQfr7IFweu/ycbwT9kBqIejWM03D/1xTEqCdI9xKTqJqsR /iyQK/gx8oS903b/1SFhxNUhmGQDYy89GpYkWhfkz/hTT8WsrqvQf8gEkH2uAo7I8xLsCg+lMxEp 6F4S6PDkMsB77vO+QKTyY262L0qNvBmip+l2MY99xd7S0tXwLqQXz6pNrOqbEV+o3f6Yr9WwYS9p L8L3Evxvby6GJVfpkOD0IHuVAxg0mMcEIbh5j6aJ1dI2/YXTaR02sh4UPPYQ29hCiB2l45UMtem6 aWSvPPJyeOYSrdAvmXqsGU0641aN9trYp4Gfm9POvXexY46BHB6VQ7Q1bIq+uLM60F2qw0XP2DP9 o4MpwuHj1Qt2Sd0G6Rh8MkaO/mv4qbvLVAGqX+OSTQEh+KsAM+ZfzOvIfm1j+itQKXFjIWoga8mS GmLsupkWIqQ8BlHN32b4aVirORQmQ7pXd/dlavs7f7jwDwVQMYO2kyHsQZ2BOzBfmpvKY2yDkJGm smqCGWFxZuJgEctwQz3pmtTQrHR4MrxGGIaknugkMh3fe+bYzlJCGje0R3TtYgPpGPPEsqMgiNqg hVJnDWmDfoyNrlZsZ06cl9Jb2vI6c9lsNyecaQ2EUU43wVKu3SzjoXNB67j2FRkuGqwwmY0uFLq9 L3GjU4ZlKUM0+hyrwI8TZDdpjeZZUQoFI0/QrCFcUy6+cAgMNTdzK71oXTh0CsrbvZPwSNOvPYA7 rPrkLxeFNlx5cobNNuX4TxvRB1Lsy/i18xoizXEW3PhM2sbZCwQF2gDDREPTx1rlm6DWLbZE7kxO gNAfgzXUN2rVEa8CQXR3ffotRrarAMVFf3FKRFKDyWLoKiKaBixxlVW2dKXQ9ckYSQNF/9dSGatJ fe/po6j9vCbTDf4biDnMGPzhj9QTermByUKoaMZOcHZ0aPjAEdgrSZDJFCw+JaBJhS//UD+CB/hb SgJMLUEsqKmQePOqn5FYO9wFXgEqaWjLFq2Oj+4DMC1smzZ4S/2SB6oUJPOOHYctFPFIWEnErJf1 2O+rMmQtrBb7mpfHbbTM103h7yhbarz8lCUXt9Ub2pc/kN0evENi1sqS1sE3PJL2Tz9510+CoeC4 S+Zfx9jtdRv6MDVydCkGG9tF3LVcgkFS9F2jwngUnY9VVF3BFlO1qlSHHCfFzqtHMiQrruYVYAfT 8AtMdNrxQh8+0BXzDMKiOU6OGiN1krlw1v1bGcoiZeB2/TNnPlaheKw7WJNM1Himq+9Tdz8a4mJp 2Sj5ICb8iyjH5gAjpGGKvFX5kJvt8BjBw5Wa1jBkjxUBW6vOmJfplATvowtijrYXOPxyhu4IXs6J p0GHu8+FbmwlVV50E6Gperj13yR2u1I02prI/fUGGVhYDJColmP+27iOj4FCSPamde6gk8GefOvs HtSwp7Th27wO9+aoibDFdAFqpyS3hbOXo+Q+Qdr+GIcCJ7DRl66c8vWbgm4wbmCoDB5uPSLENemS Jn6nwBuMh5kBzrzM01qvwcHUHXjsxLA5Cw4HH9MXanZDXz9lN/hn5x5RghNyVxAOJ38GSyMv0ggm e4/ytAxBi2fgONCl9/g8GHS08NATV8zmRUb+LbhGHS8QlG6TXFtaJI9zFeld3r526urHSqpvauv8 0zbQ3hkP4jSos+mP0niAHMfw6Cx7k5ls7H1vMVM4/LeMQwEN2S7IbR6JwGBjqfX0bfNv1jOwME5v NCAVBM3SDQ4QTe4KjpvfVS1zk09suCd3zRDWqHk/Q2zYjaeyjzgr/URhIKqtwv2SYy7DRJ0qfceM CQIN7TALFgJ+FfIc69Azw3Tusm+UWWWO117ft9agMX+mSxHhuf6236QKWN+tTrXwojGX1dntQdjD JUatQ6BN3IWzo3aqP3V8XuE9ejHB4enlVJDph0J2RWoYZUm0jbXMB9YzsPpGdgpa3W21XabxFBfx 4Khmg+PuNy2uaKyYcGalVy4dPZWP0kHBOAhPM2QujbwUkowLosv5scJe8iAyTTdm0i+qMUIpNxJK Zm+WHjdAmHNMjHXK8LwQtqFuOCW2Repg4CO1PUuZExqpdlIQaQszhV6Pb4ENStv6bdkMbTnTZ1e1 dpT71mgU9Wzx6+OOdC58mt0f2zigs6KZw6mi2xUvswS/+m8I61GJPZO0HMhUeP6sIOR27+VmJTZa 5iBBP3TPIDjN4kPOo3ZHmuAibPChCRcWV+8vx0cX3UO6vwGbfTdvI7CessvrDSuutqTaDQG3KNxS 1WlmTE7/hb4X+U05GpNSoj71YTFm3pwilWIqK+XVecKxAtstXCdOUmPwpLeJ7ll5HMWVw+y+Er28 vApBCJ189WMUzmRtOxl94kvnUMTVXjLyt83LqAT1E6/NDJsBRBXTZlEAtozcr8DH+Un99F6AdEue glpafI0P7zvu2ZYg7F2AADhE7aZfRrARFCmXY5rZltg+483pD87Nx52gU0y1ELP7snoMnFNqBIy8 3zde8npsGZERf+9IF4lP1rD73CPzfzyw7UZUq+KvRgyb3eXlRmUbV0CbyMUh3GM94eFhTThhJ/Bh cVeDQamAV//RvKoG3lwZsv3CM2B7I8IhTIvQUyDqIfRN1PuRRbnVhJYUl2kphTtg1ULB7LlTz8D+ 5VfnL6IAYWRGB8rb3wXMPuU1u2PLTEhoxCnGLTWJzp58RBlwCoRYOvvVJj8aieXy5Wp5CcZd78jS pYCajhRWsyvdykYdFHnvURaPmU+DftoSmFXoP5BApTR2f23/o4+xy9A7UpmC2ceFfeYU01N8ndnh DFBFhdCg59aKu57Jvy4wOvnWfkiGget06wXU1vRV7Hqw82KYnyY9PclfthqUggUBisEQuH1JFq9Q 9eW+M8pA1wGHdMDJAZVpcDgWqiAa3VbT1wC8Ls1m1AYLMOhXe+2Ptq0qXia08Z8UzRUVHwTKV4FE cFBeEkaLukR2MIuONy68cxjId+7kUzj94wjl+04LSZwXOzGlsXx9FRPYrESvzrwicimW6yU72w7z U7lLMkZMFloBFV85AimF5jZFsaFzmOkXlmp2X1zu2Z5iY5x3W2hpEmb2rl0xbN/YAgy9TK7KovYw Yp6aAody5xFpxg2651yRyxCiDwF1lh+NpduI4V1RBN/eApGhXrCj6lc7fh638PWWPCtn6+wGQG1t AMs3d2Vu+fx1tV5oDywQDG3WXJSNKU0W7XKbBSOINlGmNFnaWmiGHNzoXJwfbXQht7LP2v0UQcZm ZeidUHpspLnlVmoDmiVtNqLznPE7FhWHhI6po96ERqbb88sHHYgHzjtSmd/q/YSzGfTN9pCqxdvu F7aQENdOnQdmii0qlDgcym9e5jmymva9YLxnjMpG7DqZS5dBrYHuoxg4tO6Y5fKu3onD4nLTaLCu 1G1EkUt5unGcESEM0JmeH8+b+2kh7s1sEcjSSFWlDVR5FVATNplCIDomh+HgQfttMMq00/sM4yZm dHTEJyCDkOk9BUeDc+rBK7BHpg7ZHaKdlP6E9uL05wsVbYEY8r9tfr2b9n3ERkAesdj9TPczQrhS HjrOvRnu7fVmpSU5b1IXevGlQjd5H0YghVRwzuaLFLrEYv8Wr/wyjgB35Hf7Fw1ZhRnsgCe+h+FN am2FsSiE+/+7nsWJszyD55Jm7cgvq64YEqG8Fs2SXjg7Zr6XusdhoBGtAfVman7L2iYnPrRIch3k 65Avsm7QvFV4rKohbWORPsQ0DFQhyef+O1RgCCjLPx0TLJbuO5gzU2Iz/WPIMlzMfYbwlijZMktN sR4J+1H728XOrx4zPC7GWDg845DqJGu3T6jiMXWeb5BEVvQXdiuWVn8xoQEiBvE91dZtcqzAREgw NjT0n+ulaOqenZ534KG1OCmGx1KWgTx0n3sfaFWxExnVS2StUYzqOQ3hnDpZ5t6ejQ+8cPKvxKTC R7CXrkwF8klwLz+Q5TShHTUsrHP9U4QCWKKk/afaRe1Z7x2T4UQ2q1waU/R6HToq08xvhonuZMRW FACYIkgJf6aGCQhJMRSNTJYQXyPEWB0sr+kxYLA8HKjisCOD6sv+d3PKSZvZqUtFHhroDglxUOJK kEeB4YuvFXn00Kvns+6oirD1Cs5OmXi+cvHjIuODxL6Nqf9IISfIrThOH8bmYm5BmtU8xWle4Gc3 R6GNLuBKWMFC80tx/GjE286Ro/b88NfNdjHn2SUHFT+zq1JzAmlQa2VeqOZAJvZ6FQnLKPI6FHVU ZgOE3Br0pMA7N3oHaLiOwiiajsulIHM4ls9gL5Yiv5DeSBTDL1Hnylz9i8kIUNgO6U/DG+Q8AnMn F2nOVi/K2yW+maMHmrZNP9BVqtfEEb/UmF2tqvJPQh14mD8HT6dhPlGYJTrpTiyEtOofoecsaCgX hCmuDW1npWyVMXN+c8z997XGqbDsA61UxUbIdK95dZSYyQSgmH80mFd9lMl77+MV5V4HIl6ToTOw sCZVef938VuNdIBFn4hqX7FaPKwzBK7wmMXH53M+pnztRltb9L1Z6zLy/KMyTCt3IvVXj1DpzIlj cHdjaWsHAIw9MneHGYVtbjii7h2W3tItV6IGVUqDyE6Ev8TX9Trig7HFVXsg71ZsOd5fasAnPiFv tQ6I8jsVA2nBGjlSV7/ydfxOz2K1362S9h1g+cnEz5Mo3CobT7CMPpZI98MJb+b66egMML9rpRUj +oeoubdCSXN34hzehh6BfwFsgmkQd36YR7LSGO1+aKyRYfvFZRYxwmeescslkNqU60v0dKmOyWmS H/d0Y0vnMV7bAspWweQPYNtm1ectTo07vc2CRDnRxmh2uwN0v82jQ2O3ja4mWsbrfD3zJnize/pX k60OP3kuR/+kRXo7u64583DWvbr/pR8y3CVtZYCbj0VBZLNFvdcwgbwdzqQ83tKjU5kyI9Gytyds vkrbT9rgRDHd0S3MHn6v36SnFmmgobDAxbq8W5nrGMlQn6A94+rzOLWohZWD7QYYfKVJFL5G4jI6 8g/jEORy7wLu+JzjgPfp42qY2y6svXbwkLCEKGK1ioVCNmqA0dlkqBbXcYd9vTSA7jYu7OPgWvbg XpEw1zIaOaU0NM5y1zANtiphRnSxj7Kn/nBuLuVsc/oDTMTooSOrwaE8IcjzCprp5xzU5zowLBBA 9lIfv0cqXZxr2Xu0zysDJRR7CHbO9lOa0DOcvBNoujUUDTJ2h+7EeCxBittk0gyYpKuW6GcyJSvT PxlcdSBb4+IVjUzUIJYlxjFqeCT5c4JCG9so1fePzx6v2+XAmnNFrowo82f8o83GW9VTH+bpeuQv nemRlNIffVB6zoSDlm6awGzRIF8yZ5GB9Gvpac9vlx/icCy5QXsWqCBfju/A7WXyy9eAz5v8BiXm SPdmn2J4FuooYZpz98kk5HJvc8HRbLCfv7ZW1rQqFSB2m6I4t6N/M/3e546RGShgCsZsbiJZlL3u d4jpMw6KBhfPyglrd4SUOGBWjlnjskJuGhoU6EjyT/t12hH8DAu8SzUqSdYTfCTG7zAdi4FoJtnA VS6+ARVlvWfkB4jfXrCS+UFn1636Faj0LsKacsMjzRUWr9FbVpQkU01AmVJN6cr6NLn2qe0Y9O+N bn4aFhtiA84KEzeRGj3ZrsyG4H8wJrHP8gXBOb6MZ3dhXsAsZewBPuixRWDB3QPHti/0ZXjnbJ75 DSfsphBP5LqPY41ZBh8VooRi0noO37886+e0VVh64W5jrm8o31CV10zZklfOUK0nkqtD9m01crzV gFfZYwUDbZX/+5Jz+C5aNVYKOo5q+qrS878hryzSn3xRIph/gw3LOD/v43c4tdzs4IemwxVMBF7l Ti+M8bpV63hexj4oZYyyXe3hZPuRtqYyt0kJCMH8FuIoHSFkF+244CVWmNPCQQZsC5oStpXJe7Cg H1a6FvMfNx8d+ejxsFaAk8RiQi3sTqY4kTzngLk8tH5w0LUd1f2QCj3qW1+eIPrTe+5U5FSZ/rxL ocxNKaVG7mKTUY4aRtz+VmsLzQ1UGh7k0hqI6M9BWebLuNrJ+7MfDGcJacPxZd6TauRY3ZJofsWX gBkjuuLQH/Bj2bvj7yoWzZwvFkGMFHom3/fzXNiYEvv69QwQsWgb9zI6cBhhiLj0GgSBKjoo/G4s VOM/Dxru/pBKR3pWbjgVHYCKSKgm72TvxD00bl6cP+4jITReVFV3iEUaf8J50tyI06qQS/p7S9Er ZtDuYQcaEmbYVmneWXYZbVv7+6enheUKgKmDyc4gfVsPdKDCPki6TJwpg+gbioSuFp0I6Yk1aqsr tbbBNEVb81vGaxMEdbeB2nGr05AXl9ix5co6sWOfeZs2pmMPuV1awq2e+IKk06V9dkZyWjai6wTW hiSPxNDKAoCHC9wvIpto+rLA4piRPtqHBD4da+FoCvZsRJtO7kI+2vvqzhA6TwirjDuCQ/A8TOdX BuPCAD828hqUeICkHY4QSx2l1NIu3qCrjMhyfXLVFDoIv3CYI7EsHEoUJzwzhR6pb0poyOtPv55v U7rSAnomMKpYFpD3owTko1ugifLYDyLU2fnT01T38pp2SI7hvve689mof1LzISinK/TpwZHXu2cS J0ZVYYGHyc5r0RS1UGsOi4WlDizYyktDzxcG0XFE7S8ZltEeOx4Dqz5Sw2Jp72R36EkhrzP3tBoS jT2tPxJuIP9NqV9OGP3OwBO355gF8flh7i5qjGDMfXIIOmaHiLlOLYuFDzhevu3F4kL0DqBy1ym5 OpDvbCwDEzVePiWR9E6jOOfKmn4xiAFMZmY0fAxMzTyfic3+k8NR5af6sBuj48JiUJq7G4N1XMDV 5PliVhYolFNynLgZE0zOsHo0c3Ynl10XlVNjbPRJmIvJa0sx5mfSh23CY/zIeGBdTUZB/VCmefEE h0Fhg1/SMyeIElLF4iRtVafiMKsZ0ZNS2sDe2MuHijw4CEZiMrgQPaRUgJhnceBHmFD/oKb98e8p y9HNBvNS/emUFrmSQTjKqdGi1pLEYwtmaXi5N/G+IehstP6xUg8kgEQxDPcd2ItcsIB8SROjLqlg 0cNkAR+NhNSu6tIV1mgefeBRq/LabEeda/5gW0ZcJ3FpdJzi61pWa6kyOKttdinoe/OE1GNHyiv4 0Eb724vYXesHDC05RfWokjc5gKmFEjHtgIgoqN5B+SHz8iDWG3Z3pXA5nLqwT2wlS90QQ2o1Yh7v GrUQHf1tGfRE8eD5oMLUTA4e2EPtShUcoj4hnIfX2yn4Lm66yonHbH08tYt67RMaWQgnZvQGzjDK 8bXT+8/NavHxm500n8+azeVN8H19D2Fv6blNBMmSazEGttd+CnDF5uQE4xXKS8CXnxH5c819hsxb vlvEo7k9XNzjnQptlDJggg6NVW7oTbQvsOvtucqTpG4grc5JXRWYigDN0epQvZPQ6sBAQ6VWMxP+ tfKkinQ/qdhQs8rqPd12fvzmpdxz33dZHqYfuxcpAxedUgizJIQZffXU1wIB662B7AwR+EVLfAiU dGT0ZQgoatFcCT8WblRgt2TaOW5CTwtDdrq4dyd6mUCkgTBY7Y3KQfRlfdF823GpuTOHGsW5xWNn /Ol26JvzB+xDn5vwlFsDs1O6JRXRToPuOpmBA46069aR+h47gQ/FF0Gqvikh6Fw5UBQDIt8tjwK+ Hx3GWfcb28lCntJaymEj5Sfd6J/a9yptP185iA8SPEukGjn+RbtddnSU3UHnJa6E8ToGtf/4mpsB 5Ip066TK/Bjw7sMowjzz+ZuORvfSkafYq3p36G22noDMgsLCFwLiAzRrVsbN2WHNde3aesBFhLRr itbYCl0tcVukqn66oaGsmCO52YmnbcaZhSm6O6KICZOzY7bOAyLPot5LPuZ0D+4Z0w2Zs9evma/R KmV+8rRjq9gEAPhMTCCqVwU9/r3kGlzos/wszBXaOV28LfJq1iEuMEohkyVbHNVzYSFRbfFvNVeh /7oUSJRoPaF7Z/bZ+nxzYr2Tv1bSgKrTEX6xRv2TjBubkkbv6Jxcj3/JxjIy3iKp6WXX1y8vbKFU hRsMu0boYcBPbhSiTkikZU2MAPvw1BvO7D5cfoOEVafl/qnYWBJuO+eWnYSTdZkD2xVsQnzjc6HR /d2esBomgvY8vV3PK+FLAoXIGHwOu4PKNw+Ov75RBn/uEg8qD++rMm51DHTeVhcFBUYTreimAr+n TPsEKfnDILV5pdjsQvmb0pgUJ2GShXrurevLbgsFDnoT2oIc6w6lese3GffiHFYdzOlYzPuuzZht Dx9SLx3qCmLIxdi+nuCgtfVUC8YgGcDNuvT5WD7AzdLUfhuaxw0lRm9zet3j8PDSFSq77CGBK14a y4XtDP5VzXtn4Xp9jj0Kg2kqLNEId2+mWIynQVMwyVlBpXz1qbp6d+VL2g4m1UAuYee6X4t0EUZD HVMaD+MGKepKC/AEsfnwxY3C2fYIRQ3O4smF91JwcGbJ5o3pgr7ncotIJKiky0ePm7i+WvGFWdCh GQXLAn4VFxlm5RQSXIxHQIud86DpHlYrH5JQLHBUwp/iExkWNQmlycrRDX+RJdLO6fJ7mT1O/cFX ZFznEym1zbCWASxZYzzN8gVY5ke5dqMkFDSeFvqfogoP/JOyzf3pnoXj57ctTwfqOHhWlLykPRWp yCp0i5ruicY7dYFRXwk16ByFJqbhvpOKKQTiBRn2dUBGWA6huUUg8YrIxC5Xw7sRsxbl0vJ1mf7m ziiW2fwp7jNJGYpQ7/nqm+PukUJtlWoCzamb33WS2nYrzBElBwa/5o6UGaYnKuwm4TlVg2z1xSNO qoRwO3Ya3D7b24kzAZdvlckh1nH05duWHohhw4GA3Phnxu9aVu4c4DN02iBJkUAb0x2j1n/vfzbm wpGYJyZXVkfJkUSOz43zL8LuIscGjjYshy8Nax4xUa7qcDeu8kHCWMLCnXmhS7xOo70HWK/GA1qQ nTNpBd4dmGq9pSuannJ+sKkWpmQ+yl6smN5AvlWrvwCE2jPKk+8kyeAeSP+tdFqsWOfRydBGVljR +npVX/hyyZv8sF+ftgXhH2gOL+3ZS4uiN5j1eOH7ewuqzmKGQMcswFAZYgGMOUPT19/ZmVIVe90n I0gqXkTo6M+A3PtM7RAF59Zyh5lNo3FV41MaoIDOdXa7c39iHQ25xgJQyHC2yNG18A9WXzjKYQNy 4IOQfyCdbJdImppsyTg5JR8plmrnmlxeaT3nNOlxnDDOowSoM96gAz/i4XNE/IDgONXCZEfKsEch kL21XaT91TYm1GOTotmgoDg7UTSpZtcsi3XMEbYxuqdzqL911RxTDpR/c5fMcvJrTN9eJvrQoYHt G3NZ8RtVFVtwDk2jXOGHlEkrBTr2kN31HcE2OZ3PdF6VEM50h6DmEaTw2JCgImTxmt3J6HIqLSZI tHvZLJRc221xW4uhJnFoLE44WCPySqNarQGXhv73Tbg0ei9k1ZiLCTYG2SlDQ4mZJHJ7EdEi9JWS oyxT7psO16yoEGjLJk4X9VnJ7ANH2hCr6I/baED66xLPOLJtfDo174Y7S7bbRaXNAWNBa7wJGOTc YEWury/MS98/UREx7G5t2fCDPioeyyZ3wejAl23l0etFrDfUfgz8pF0tEcbM6ojPFM0y+Xgoo6E4 mIzfPkCZ7fphGMAQ0/kBphPOi/kWKdy0Fs4mASovL42rcn+Yv6AmxX4npiAmWTKZfLuaRvCi2mK3 Nooa0CLjVN56sQA7U6ydgDdkul8NbLFl1lrFIxrH+9IVQIy8KEctik9u+CiCD5G/0uP0QrLh5yp2 gnRGUlUT9vWfOFX8IGFuQBqm2I9dDixgj0pPAf//Vq21aG+VntZap++L1cp+cW3l+xubFi8GZUy8 sCViwGdHuIN9TFbeqmmR5UPjg7WceS0I4Cap1Z4wYUl73wQo1yhxcHHK1sg3JmglK/2pnjbEjVVW DObYic1jf5dwxqLuQw50L6UkioXNiZKGVLvKRGmvmecgda/kqzGZbkIbejxCsipNEQBOdY+r0RyL BdhyGUqL3PftGTcAraJlA/SGeVi3SqS9/wVjh0lOHGePuXMuALHUBUkDXhNMxwHAwZMQTeje/i1U Szee++uVPpdZFIDitI0EP7/7sfWWimituBkjBWLPhn19BUezcuf/RFnjsqf9c9ZELWxgujTpGRhX YCqgUp1aoB+HdynvLmJS5C8GYbkGkObo9NEIwW8VWStzbAZmd7Oo5cKKAnwjkEQhyP6XAZxfBGZ1 kSiz3GIsyqQtyECBoEXZNakowmmCRalmDI3y/XYXt8/gleuAnzkvZNkBp2RTI1ozZ25xlnsDTWJd GUIdc3UBGQVa7mi2EIr3yN5mT1bRuCIiqg5tfS/kNJCvy6iHUeow4ekCCz/8xrKLqFfvMcoDALsg PpOqdtTEIa/t0HcrjXY9H2ZYev6CgWCrtkGzNMKjzjf5+r2dFp5tQDpIHACCXC8HifeKLTSwlbZQ CiU5tkJL84Aaccd4oJ3A+ENhqRHoIsNGlX2AqB2Kd6/4elg53Qs4z8+66dZvt4KpEU1qmbt2ocDF hW7AMo6D1riQs/pveRA/BaDmrmhGFKEvwOUsVKU8Oed0x+EQbXHLU0NARIN3H0Az8JV47mlDnlrL WzzJLfldsrdNXYwHUd7qb7ckK3CirCe5L/2fHSRGNlnGjINlq2nlwiIgLt5J7CGyHRoFXtGUaICn /1S66WzB+4BUyTEDb3dMnKXRaCX3xZloUq/fvbOPIP7AG8Nk70kgHxUjFR557xWAG9wUMgeW2C+3 UDVyfiIXCOUEvKW+z/PoAq6UL8qjpy7wsDUPtGqgW7xrWs8EAaYmzehsZ7tSbH5uqZ63C2o67Nno nqCCzeYIh3spFSJZLBlfNRzPERUefFN57cDSdDdV43YIY40xPiULJDUAQIrB9iNM0VpF6FOngjvL g2EsB/0psxj8N5gf3SW6vGX+PewS53nrvE1b0L8CAT7QQuLEEdZJfAsqBkUJRPJ3NG6UeWZj/Ld2 DiRJ8ZUW74SP1eoMUXVsWQDStefIHFOf/Ec1frJ4IzbFuva7tzC6cfT27r2Xvf7vFW2E7/KUqnZa MiDknMHyc+sYl+POJGgy8pytEvoophgL0EO6x8oQNM6HTImkxCz8JpMaQ1srcHRZ2MBIcRCrJ8Ls 2n1kQP2f+UzyKFTlIPXPMDw0VQx1oH2Re/5zbkLGiclZWT41TSf0bPNpqJ/kxPFC4C7PzKjA3KBv 9zQoWfpcUiiUjWY8MyndOaK7lTOoze4QC4GohOz0ll0HW9CjxC1F8UM3l1BoQ0xpa1FKdPzkO9rk JGmd7+JXuEan9TN0Cw6pBPVuChAYleHq26oZDFN0rX1fump5AiSuouLCD9F2XrCnax42teaA5/zl 0GczyqGAfd/57iEDYQrsUuELtzA6cllcQsWBtjRF2CI/BNNSguKEX0+sRrVMSSaR3jHnf5tH2AeK prbmDYbG8Oanow11R/+aZQZkev/s2D0pVHchZMko+SIykDZslVke4ldbGXHe/kZKvjM8C0Q65hv5 +yplev06nlwaChBZBZSNDBd8kVynu26wBxqesHl3xRYydZiaXW6JySNYax+LMTfDRzkrtKBTy8yF Z1uSacsKW3wUliEQ9z6jWegJBoWHjAyjxWgrfae5eHn3gX7INDuPKtUhPc9AXoPiRTSarREne+dr IzqqkC14cxMnOS0yas9xiE+OyiYjUgFpvvlQ8vDyKeMXwlukUjIrGpvB2ASITJm1ZNyTnNSperzC V9VrokEtF4yhJIC+Xd23Q/4wed83kXAtl51PW33YBM+QO8kFWyUUQpcSBRzgX7uuy6buwQXKLj5X +t3XgQhWK5mOlWjBAniVnRQxnrlir38wVCfRWLLX6yYlJIRbSogK8zg13/vUCuOmU4UHEAPHyinM RwRVA4gZlwbKDoUZoemM+Jqg86Dtic6XT/6dIzN7BQTBbDDtiE/TZdkKp/wxjUynr2ypXC0a0fnd QN0kJsChPIlN8TL+4uAt+Stix+lfkPVpmnRs3CWfsAVjhLRSCGclnHSQ99LuROuYwKrq7en1qjIE hTXPZsso5JUD5I2vExTWvr3lh/OyTmjAQe1N8EN7hQXN9PyTcMy5VyWKH2xqeb1BFmsB8MX28CcJ m9rlKDqxPXfNyOrPF5GKg9xsQJRO2Gf8GrbbgyM1uFgT24EcwfS47J/qwcOb5TtXyu/dSi2KDLZV CkIazwcJJPg6vN3xsbYGDBnF/rHnmbNrJahoZYoIObt9p1djmSkes+TklM+aBX+q2e0jJKVi6wB6 s/jQIISRiSm/+96F14m6nY63DMww5kiGxcmdO7EhgyXTdwHKD3Au06rPX6TeRcAbKOVW99oY2w8p mCNY4sJ+rX00OEwdW7RLVxOt2MrXlCOiaf9I1qS6RnD6NCnCTTSds++ADXj4iDezqb9Yv3Q9bZDd vBG5ax0fRmNt1JU3NBFv7Z1IgcE4xzuGcXPoTiOPLh42pa7fPSL3uxzDoPaI46qIfOOCiXew5Ecy ZnBKqMDY4zNzeRmwE/dMPM66bwngWCiAaIvnKSH1hGxtW4aL7pFQBen1iduYXWRqBiehGsVjgldt mhWhQaGjmZF9nl2RKEzsXtS61sD/4XvXG5mN10I1GzMm1OqE6rc2t1DC/LQIbeFm1PBTZMc+I8BL WAkvvgiofmefI/f4dG/tuG5CLcYfRvSCLwIKat5mt7w+EmlRHQaHYV9fFrPfE7swfbJu7/TyaiKD xoD/a39RRggcS+gQWZCkWNE+2beRh5lA91owVA75d6YonoDj4/1+3OlI9r3beE9RuIGA5L6gGq2l lWjx7hbJG7FaxdcJ8CzuMNt35V12aKX/ijH8vP1i9l5rCOpqcite4CD5PUjND8dqsrRq6ZeROa2P p9pJDuOufBaUGqRndH/td3ciRXrIlZCmGafT0RJhKJ6sS7AkvSS9rJOvma3hzdjrhBCpAwljxP12 dp2iwA1/yTmOOebouhfP5sctnWCWeM4FQhatJEiV7R80M6INYJanQjxxYVEv1rjJ5Z0wvaNLyZtY ZmCdTNBho7SCrCjkhE0rSCmCnJeX9l/jAQMIdnAZs90rHwglx6Q4kUKIp61UbdBQ9s53E6EFip9f ov2yt5RGLZifXk5yvMOZI2Eegx7zQDxGuwrZrdY8K4UhLmgrl7zVPp5lpZYA4ZYBhpj8qlTZ8PLJ kxDwSUCVjpObkQ5ulbnk9LtqILcm5t+Z6mTam4xFqOfu2xRfugF2xDZDMHUJ/qNkwO+cSNCRslWN k1l3fM5Bddbz+6Nw2Zi099L6jwHBGF1T1dOY5jszgJ51s8mVF3vom8+tvCNjyplgUGHhaFye9hWd GSr2mgh0yMKXaEaBzKnndnVK6lR85+w2+3z1Z+SRxjbChivCcyB+B9sSVYr/HiH5eEFmxCYcfpfD mKacRMxe0aOpRtAWDb90YUmtlVHB+KwrIoEqg/GDhM45piG/4XXWRV4dd0xnAmYao0iOYJjvZfCF VTZakWLUvshLoN2LSchDY9xz1kRfrb6TAYvUIG+u+KfayNVjlkfZfJviUJcmxRTnfcMB5zcVIBfN uXBmNy1dSbHbuMzOL/dJQ4hTxYd5qesOchE52keZ03G/fd4A+9HSUxn8SFaTHOYbvZCjrCH8NCOg J6r9H1r3YzRSrbKs7MSVKE6t8Y2UT5olZGeDF3/iWTf9pekZAC1IgpMKhrbXt7wQv6x3QzjN5kuF fbdLFuhUuIJSHCEOvMLHJkZmugXMVRlCKFSoWz3pij5kN2VSTkVTbUrPxTu1JrWOMaRtqeH9zNrz lVGphZHLhmRsPGdadUki6WswzJVsfddDGEP1OmSJocInQz5vLyb67AUqUQpYew6cOYD9IKuo29cN OEN+TK+R/FRN/Jb5IjwRJprG9AAwyEIT3HQSMTDG1p8IXcm6h0okFvLS9G3ju2Ov9lH1iNatcTOn tLsjNwqnJun5vOui6XdWc8eSh7CFnCrGBjnE5DyP4wH/sNjzH9297vX6SYoeFZgr+Yvv9cgsO4Yj j57hniMKHZhn7ZJyN4693gR0ICsyMtTbj8qSlOs1E5dfkK1avFnBoEOwlln4faw3wmGbLgbznB9n f7QbtPsQLl5MmyW1QXJjo8GFQYTsmGJ8Aag8jjjbH8MWlLUx/nqLVtvn0dNM1VvvimvibysKng7v lzY6gfDl4r/024igk13AHpL0Pr4/CHzgJzSlgTJXIUyQipsch2TsYSCWTZDw4yBav175z2O5coki BxsfrUAsWSo0qnSCv6RyB0+mj8U2EtsuIPPa2XSyyv+/qxan74jAZs6Ovlu+wjgQZV6jN9naQGLk /D0wHDHWw212RMCchIm/SMAaSQ3xbhGeY8P7Gvw+isKFrTEMnwHXouuZFCyftleSzUb3sCZMp57m LvjvCbjA0lksZL95cmlsX1uF5dJe0vPKLGWRXSCKoRJGglWGDCbDDH36ZQgHCMm8FImWd19WwF// yTUHd8xBo1rdXY6Vlsrj6OhqS42Rbynplg7yowI6M7oJlFrmqIq3FhZw4NboHC0lBjz7/x5pNHWH kj0cnYYSTsW0RtzAF+WAyqANRdAGNHZ+BBdVqQ/i1m/doU6/8/g2g5sAkN8hRWH2eBqDq7ZPtO72 3zOUef9P3wBOYUygunYpN8BNyLVg7iYPqs3FBQcHP8Rsh4d5ZkrRQOQMW+SPWXVDCWAYZPrWf2wF Yzik16buD4IHc6v7kmwM73J/4ZBlJNKfDDM37knKMkDGyVRow40GGSOCOHdn4gea7z6nfvJNaD6q cSDyoyecH6KYX0Ry893HnlGdv3r8wic/vex1OpBpfw4a69I1HDozgkw0lbrkjO9j8ugK++cv+8QP TRK1h52Xt5qPty4Gsu4s1oGhe5Nci1C58SQFirbQOtTGNs8Nu+uGIYezivzjDPL9dhMCTsPg/tHM ULtO3/FFIxSL9Nw2pBN/rqeK/HvEsMHiiuGW8uavbYpCyXrsQJ7T8pRlxWShdkIwY3c7p6+i1EuX AS2lNAX8ghvuHEtd2oXXcxI6KiBhU40PlQzky2FxDv4pHtTU9l0OlX3hU8gsjBFlg9UcfZTp5pxn tOq5qvU7UX2WUD+qXoHYpamWzKkQgQKFrAC7n4iGGnD2qLn9Ui+hGaHMXzSBVWQnBiRaxFUiJeEB dr/EnVlk6do8iPD8vZC+UZKkWnk38Hswgr5GuoCWSv8ecRNOqQF5DZveRSutC/W5PQP7JoFNY+D4 tQxH/q+JFKqBxzM0w0C1AXHl9YaLxyZS6AwYC9m4fvMBLw88Agz8Ywg5U8XlrrxOP2R62lni4vuF YE1eUAQyu6FUNGBNgbO7bl1gz9MD9gXMEwE7eQkNeZq5WweebCGBgnAla5DwsSois9j/dh8Vm4/n 0sPrvBKnQxhOW+MVAaP06R+pAemNaahHg/FTLYQgRly/SSBSQY1QVU+yLgroJKrL+6GgVMjryZhh NhMKOghQNSNNakdlUVagNodZA0kwOOWC2g/QkxUPV/f3l8AE52I94vL5lLvXOg2o/woq+EVJqMcv FopFHoAFRRa8/UlnVZuzQGIliSml+TnLaT7AfuaP02byUWw22sCnjjWbG+CvGCtlb7GDcUgfE5n3 L0weVW9NVQUQAQfOmZck1iUNUjMWZLETElbi86MrRY1FUqn//UAt0EIuKfOwHSWFJq2pGVV35/Lz zRDKKD5Yl3eHsSFfsErhJZKPd0EKiNRwT2A5JooJJGd0Nh8iU1pQSbyjhBD+6Uo9WvTayHftctxV fnJBdtbd9HEnvMrpzq2d9sE0JgtzzbPbg5h/5TMTsfF9Q8F6jj8FNgBGQR6VlJ0BT8TMjEN7yube TQ2f8+5iqPyy6UClKrKc576uFd/KfTfvMArlCPCws3263AiaxHRdHMJg+UgAOsx8G/yidfV583oq 49qrpjjewTkRcNb09mxYGZgtf+wjt9Pzi65FvCdTM3arAnBUsBrClhypjfB3k0WE4a69dutv+NBW AvhyUAOh7OvNdLRqCyKZS+dsw0ElpvRJekWlwKTH2men0mR4kUCTdw/LWX1xj9yeyjM1sASmzvun knsRJ8Uwp6Vm3o2xqRGYLozNqH2gpziEAR8IVYnnOjNTwZSln9bJlIM0TegwbJiqaZCsPWRVeURR xknKMxx9M9xkyFYJcy82i5fear2TfJumqV3mghMawG5jgvtBtKxPnteNWWRz9TbTYQGmt7T5Imb4 bXa8T2ybgvpokZKm6yISXFUIHzmNkiCtKkrPlp45C4Hl0FvgHUK1c51F/Tyg++uUwwKAqzYNqBnP Yl0zchSvHSWGydIrmV3NVR5+D5OfdKxQWvzYrTRxCRjn1t61ufL+b42ESGs7qtbRE5NCQ+1CG/ZH Vo9k0pChNXGh7qtjlrQFj6p/VDOfnDV7W4PXW0UgMIMqQadKRPPYVmfWZ69zi0p3jSIkdJug7stY 5VYFj6nG5JVZK/Aa3GjfBhQ8ihq8ZGnR4Oj4U2VN9FATlkqdGXw3EVd9/1luKGEEefyXLxsXp+0g +Jr4xQu/VT5L1UNtzZnCU7G4GbI/61Dhb4Gfe2vcuhLoeYirveEQ1xejGx9g7ZVIH4TlfbjzBjE+ nzStxHLdfrc+xkm0Hd3bdq6Bcp8XpHmnGTqDhy+uooqUGjuEoZ8XqufICU5FAMYe2msLgwlZXNEv 9kB4Npj8EsZnowR8HYHdcSxZfIcgTOD/c0GH8XNn++vMW0jx1TMrB7ymeHBtvutKiQOyRdCRk33B DwB686OoKSRNZ6sSfs1ine6WrhmM2DxNUQ9fMoCOf2QhpMeaXR/YQnZEXxctAUM2GJM/sctnlhv/ yWR6LOByJ2D8SnXXgXErHua4cGqYcbgzG5cs5ezfjqY1hr27dC9zzVRtp4xJ/Tr0VlRoAEwceB7Z +X1tjccTKoSqbCGext16J9MVQ4u22xGp7udvfEidM5DxcehJGfvGksu7xqgQErs1Rs4fJ3XwfWuE /XJtFl4D4CWlKNip102da1tDq1h/Ds8/3jZpFqRIltXieq9JCP1UL98zmMgiyZlxH5nVAtZZxNHb zKvqehU3JKckWdGxVoR0sahHSURDKXGMj74119FECWg9jEmqbLCXQ6SSt6lKrqwFqH1dEUcVck0/ KxKN33DCD1kBxKbKONPuFrv1ZCj+LZ6kcqw0/z8hY6VLyzBfzNqPRJelvfX1tDuiO+9aIEo6Rufk tM5bkj8PgHwoOzKVrKFT34Di/kwiKmqiGpqTD7tL45xTeMyEx2c5ySWv1hqlPpZf9vmcShBTkgWh VCq6G1hlGgNqkYXT5fb54w9TGgy0OPwvI23vtGrdFg3UegwuIdHIaCiNhCsxWjn1DnlXqllvANP3 rYMxh3OcRWRIE2mPH20ZPiKzpgKUIH58vQoIRxfg0rf9GHVGJxlbBMzH1VAB4wbvM9tzU6E50WyL 0Wt9LqyW05A/tr8hsApkzcr+QSkV5AnsNhAIRX0vjQlzb2QvNUE3b+n7wSqDpN2+Nrl0/RyNvizZ xj7iFhORotGBACegT48fwVzeznhAKyjp9KtYZprrJrrVYGlHtqu92jrUw0CeJHVxvktNCibVeeUm C6j1ArpoRRJbLLhTjula55C9dE8C/usqMynWzIzN2kk5pWXofoMXkq1k/MUxBgj9l+uHnq7QHiUq CZx+Zv8To2mZY3mqwr4ZIq71rgFxJ9yYSareg6cplwPo8scIQxJc05N0kwVdkfvlXpKc+fDSu9A9 weBEnXVatbz8G+jdcxrg74oIvKqC/6OoGytkL5PH7ADEruknLUcu9Yf3Vu/ajeblP+Qn6D85+LiT 2YyhvDdEUu7/0Yd1o2GsMDIQBPDHjR5NrCm47xcn4l/COT4HDcoyvrPThC6f9Ts6BlmssvRwYLo7 fTF7I6wkCJTTLdxl+M4hXDKsXGQ6kz8E5WnflPaStMXcQCwf2DcmXeNiiIzRMI8+fmW7BTMWT6Ch 4SQGUhW48cF6UhWu3B5hCZiAxXMWrkzwCTKz88NGHux2b9K9aaAD4d+UzP2j9BxpnYvvpj4m1q4K dOLLYl1nZt2G42IeEpoUQJTw4hCj3RquMi6XmtwCqzPwpAk6WVdlKXD0oy1+qSs35kEBxzanyOq1 RRNK/OElvYVds23G5jT0HwH53k0bsNFbqN6bKJHjXGaMwgeh/SwISWkY0KncJwHuVpIx9mfe/VCO SPVsphOpslirv9D1NgdzZZ1gWNTbd2PHDe00Wd90ReBTkPYFPTk9vK6XtlGK43UenWSPw+qbLM7u ckWhRJZ82wwDWyKfEsj7Y2aLkEimQ2fdzr5mcznCm9wz/YCWseL0RdMGbKTPEAN07Xzcu4jXdGwO 3QfIbTKYQySH8BdO5Bay/gT+mF8NMe/WWJ8xuNQZ5dGGUU64MxsJzQPee0P7TSIvj5UHvVZYNzGC +bQ3QFxjP4SY5RijgWO40qpgtUZ0LH9snc+IW58rbOCuEuUT9orwdCYkoguvG3bSw7bBseGYL6+V 9glbDpWRtrYxIDWQQGKJcwyldJqbcqRXZHgnL4sh6Byn6AfT1U10feFPoYM+XJs0iIhueaX3cWcB /hH4WTCt0ZFB/hFx4HCTs9iJrmgRTvzHFCLJupRth219bl8v2l1mxHdYqISxjDKcshSPEgpeccUS wWY+WKkm4e+X/Pn40+WEO8a0q+wIT0lkrojdeKdycN1Oz79WCphf/KdrVKH66FlA4fHJS39P9E/8 lMLPPHa+94KHSXuR51obQu59cOA5+7nj4jDi1br3ofS3uPhnJF4GMmt+VF0OlGKvUCxJppRn/aWQ dajS5Pn7SMsNUFMfTdqpDhx8uFKsGNcOzsDL1mbUg8L5Ct9kDMjM5jl8eCu0Hgrd0wsaK4oD257d kehb1m+LmNxJx9KpNbUY27PFgTYCrpTbb4swxHAgAMFZU9EQpzP95XNMtVwe0ajE2rHoYMFOpoRP AtD8JkHDjWaJJh0lZHfNyynG+W8hfIauQ7xXoNUsC1tOi9N0kWolwKnaiZP0fPclBjr3Uf4Cm6LK 3lGaylozIhuaEw1wJ3gw7rDxjd2tsi87/Y/IZ/ys2lVfEcY+GdS+0871+CunEfwmrTVpBq2nok7Q Kvq/aUDTdClwmVnqcKRF3/gHQ90pnMZkRxOKrqDPsTih7kB96mOywu6lm9sIbtxXV2y8u4HDGuWP 6hJcyrsN34DhWJFkLcgNcjMbTKiQjeqyjovNjdTGvRJOQKDlnC8FYQ/nYfy0MCLWeUz6eW/gXkhF BGesf4CsXF/zeXwoP7gO97X/9N1i6JCSsi4fwWDDWCMNvc0fAvVKaGBY+SFvCNZZsMAafQWVs70t EDXLN5JENyZWP8sVCwV9Zo+dip8LtkaqWqabcQXN2i1Q/Ch7DqTG+/4iFBcNcmP41zgSY02F+ZDS UqzMKv+iIoCaqP2chrfZKyeBQEF9YbUQqkqSaqP8lOdFtWohP12QaKHVuQit96NnaGsSmA7tjomq vdycPpH+9tEM8W3qoGdtx+pal27KsY0eSvhPgg1LGCUo6pTKvIWqfSPpIxcBld8zej7+bCPr/Lht MpQtMV9f/XnbtgxAk8aRlxETd/WKXDcS9H7+bjaaXp/qZuVGfjCUV7REdot0cfp410B0433Eb2y5 n+ueT7NWdQ0xfCUDIX0J8qywGNkiDYaW1gIEDyEvo3zkP9Za3JYV806376FoJANl1fXCZ8QIUhtL XoGLb3EJxRmSlTFRanRi/ATHyiNGOCcXfEdPj5T30MhHT9sMRwwOsnuePTvYxI5Bb5dZkg4G/Gb6 XC4/lWgvxWh1I2Ct1GSPkaGambK7gs7P+Ub+EuhjuYXBvv8K9oAhTXX04oFItzK26qTEkUVWeG6r aI5qQfJQ29s2QdAYf6Z08AsQdUFsIVcrHHg0VgnrYKf81TadrwueCx4qBic9w6lGBEB3bYBOeSO7 Q1ltn8K7xzsiy532dxSYLj9SreCqqZgW3aCZUfdRgzX09lrNrnSj5tB0JFb05Hp7X9nG3WBOsd2A UakQTga7JqbplVSslxIowAvP5397VU02CVeQ5t6NTIRoxeMQp5UbjwGgBLcTlnaYTjOArBFdT2nc Cw6CZpK0+RqF4T/6KI0OC6LAd1xoRgqISSf2jEFWsblJalKQ2wOyZHRGb4z/r6I8t485IImVNlKt +ylTH+IF1/QlBEmRRxhlcgM04BVCq0W6QRwyIPtklfWDyuXcWNErfiALVNGvNy7psnBxRrv2iCLd 87C+F8Qft3ZkYdto/M+aV8SCuoijo65NsYCoDZmLWFb5yHJCz6r297BzLWsrQ5rbNSbAbwyJSY0X Y+F9uHzQnQEiOV/9G5YMko3ZeYN4oiu8jGaf0ZyoeyFcpHAwK3zbNMl5YVIyLidJMPmTnwNvIWui kbSCq5PBm6WlNWfwKhCHO83fwqOSTZCM1UoHl33L/dXH0m/gEr3MW7dWN/TymK2Ps92brgcwaQl8 mD8kSuQETZZ7JdacQKCwoX1NLMdn93uTmje/nLCu1LDfAPTHsmgl+2CT5RhidJkUl9kO1hlgktaz L3KKJTXKD+Q3BL7E3HfC3d6rdYVyB+0/qEz/WZZt6NLwSWSJYM6RHKQaZ3ecwVtkGkcFeHVOkFLz f2RnjIqfi930IcqpzoItQHjqBzcVPt4r6cFmu+pq06vwN2UfR1hcYHDz8Qomkcm3rbwGYoCLNeuA Q9ASdMGkxRHa0TumZ+vi2bBU8O1fXME2A3AsnLO6JPEQdGyDWRSck6sUJcuZc7wD5LYx4ZhO3p7O fNu9LHg9dl+qxgRa69QxSu+f7MwbuxL6uG7I/cxh8Fpt+LeHbgraETVgpJZXv+Oax5PRF5coN3ns 5faJ+Ei8ZYr5hwPHpygNGin9hiJX6sAU3+yEr4If1MwHh8GuGPckC/5YSmqiVljBXGJuSTNKqL8G 6gpqbNIzfyFwIVPtY/Pn3jLPlnL3kiJXrUmCuvIVM7vt01RY6tGNm9dMIbTuZsoVdZTHWJgHC1D8 gh5h119h07pVIdT5VZLz+ypzIcx57lM26WdM7DuwSV4s6KCFR5TM7hnB9qKkq+6rijDzaAnUVk5n c1wXQW75EGQnLf4cy4yKJMm3nRY1EFpg01ql9SPrtO2sIwcceQFJ4uDTcF8FnUQvYE/5TyIyIKuC T6sY3qvPVVV01IH9aSrsxqnp0gLgbmPT/lMxauSyYOs7hu4IBhJ7AVBHcR8ICqY8UxP7urAcTKyZ DlCjgHUVO4ectNzF2PR8AJr3J8kw03V+UVnI4kge3ukfjxUgrr5ONKPKonfCyHM+8T79ASIvBQru s1kjTsRIryO1CEQiLNvCe3HYgp262GQD1LESLyzGmDL9Ej8qVacuzglAUWVJ78bwUzrnPJLxffca ed6MPtgNLZ8c+DFpGjrcgqoqaYF3nrE0UoMmJ686zhd92F4EluBaWL94+9YJ6Ho1XK8MjOiZf9EG MOy8zPYKGCFXcEV8AoBACzL0hES0QJEb5hCRPCtWTVEqPgm4eAnGZW09zhbF1C3DbKzBUYpOdnCt P3Q2lMwomiF9T2i1xBTi1vPfmca/5CPdNe7jrsbLZutrINz4VMniJQuT6Cngs0Px1cISmdWsrHSz jIimTZKMZYUbd4z4F+bJdSL386N4zRuc14WmJVA+pcbqDsCVMqdRrNw7H1b2EowpnjNIzrgcwJPk tqC4y1GlkZMbTLRW9iMeDH/2nH2Ba7kb/iykXdZ7uTAz/gYa5KEUqlA2nzekF2+PD1ZiTefvO6Ts OAgcErBM+sn3Cg+80cbORRT2Vlt9lWWPTmQ9fmJ2ekRJBCF7XJPjtCdUM8UDLEDQpAuHzz/wi5gv oju7pPvrRdKuDdfl6ht77ARBsYyiPzjr6wjgX9gCRZ1XSFEX8cILrHbJqfRrvbuG67lP1HQ/uSBm guyzn+bt9zZ/7XbRIa3UYoz6iTbHeuwo8j6QVB8jdScpajE8CJxlb6w15wxOYcxaKSVoNinXy7ma Zh/IC7x4LorgH1Fyh28BPpCUOx+DWsk9CKmMtZrFKRnuv/SazE+b8Fd8fCPWKfyUuLLvKRLb6+m8 SNGxcYrWgaj7ue/a3GvsNlnqQRky++hT6d9do63w/tvuDrByU6LAWz3LOHYTQFpe2W/ebYpUvH/1 0WHq9HGhh4brtnSZ1PQ+K7d9g+HB5/freEmvpOqOxBYThUeJ53GY1cStRd2V9ZUsWXlqKYvKS4Rs OA1nqZ3PFCvgWdnvHWp04eMnx4eKTyX4ubA2yAMBYYSe1Bl+4YpiT5jhfO1U/xzhz6K/ZJF3JdQe JUDy8+8AejiMzB7Nhg98loBOVlIt80WpbXNQxsxBzDp3Qds1Rv191b+0M6zgbDYd2A/IVZkZ7+Uz ty8v8EiP1jSz1Zd8ACkyxgarAq0OrCS7dk+AVDlrbM6pEN1PSrs+TQls6a+vSUzW0wHVUuoS/i3/ TSwOfYiZ0yPnUZGqkku5RT4ODscVBi89bwxvq8Yi0S61fz62WFFUlGRBw3XRLr7JlV5Vrej5ayhy 6trLJDcEMLXM/DebVfoC8fdFycxJhTcUe0s+MNqzgFwsHmZmDyw6UsknOxPctO5AwWG7gzAlyyzP x2kYu46VcUeDUmw8m4ZIzbKKkTqtMN1lt7P0NuDtOBjoiCEB1Uy8McdL7P0HOLzOg8tv8WKkW+9y sqrV6EVE3NK4G0r+kWGnG0kPpXBwMKCKP4VIMRX/Ul9jF+fVrDo3iUM05USMSJlZg9I4Iod/iaYc dJyVov708h/nSjKQDHgL1MTwOIMqgvITyZXJJ7bN+YprpXD1WLPTyhD+ZvFsx+E14g2TIj05huBT 9jFLCqF5SSFBjrtpw64t2BdxUVob4krh6pl/8r+BS1WxHr1So/afYsr6Bm3YRoZGCY9RUDKVBzUx rrt5gSJ9befJfKb/XUXpz9GF/gp/A7uA1tznKsZ2NY9j5iBcl4zD8TJ2aOKdDC+VpYAuQisGvDkK N1z7pvTA68QYbYH/jLkywuJK68fRCxpZWi5R0ILIi9bt94yVVsNVK01FPJjA6YXdg4B7Uo3VHgXZ j3l7zZNB40gg/7o71QLgq77d3Ndf31G0OECAgLya1mWmnK6NGCFqLwPokkNnmi2Im3CyQTnjs2yw JPu/ZT9xeaMjkw4Mp6xLWQ3OZPmh5o1zsw8lCf23BIFIeBBjAA8OnjqdnfUIjvcuDYINvd66440f J9l9hiBzoGO3Rj9P3BXQO3LJjJk0jZcZpVVH9LzLWmxutNvwYUVIjNougjnsp8RB2aamIV73ZOXc VJAPr/sPH/wWkl39hHzWfLe28a9b2kWjvKjc2omx3vPlbXblZDBgeeKaSTcVC6Ue+9k8Gm2JuHES f3NBItDBgQ8pDUlFuVXUO3IxFsTKoI3Y4gxLW1TzyjMWSThW7ZFpzz9/nJDix34LRmFGz+ty9r00 gvnkNaCjH35DEgDty6va+77TpWY2uUvEL/34toVWiABKZu7Mb+/yiEeEAXwMkPxSwyQVe/3sQaCg elUpV6ZW11NCmPy7ZsHsNVKgXnLEP6JXZE0V7snq94iabWSdiNB9pFuyqgPpk/VfEd3ImpLLMYGI GAP5fr7n0cRtwi9MMwyhWdgt7ZVHoN05yyO9sOy2IyAK0Q4qgVt6T/yUTrVdBSqFCUkXyU4J3sSa xdMpLSXIH/1CwbpIYrEM9kCovu1/ASEiVNaCHNNtOvfa5zrdILyGqdkyLLrn3VoSosPDJ8EKJ4j2 VsuufqHNsU1zP/F5FLOQfKhmwjU5+VTZg3fGTqHyf+c69WD88kP0+ZNliOVJ2jQX8qVrmw5ORED7 AHpqsmbCpxRP+AfymSu60HkoNwVykd4f4zDe5t3XesuQmjMOMIP62zHMZ2DvYfyLcTpt6ttxq4SV wfmm3Yg4apuMAivdj0TAv/liwstwkEnk/iHt4NGWjwaSPKNJsLGMR3C2MH+PqmWQFFzjv03nZzsF Vkbhsld03Dfgd+EjHQEP1tOTAg+oiWgOY/1NMP+uqF4YHAsuCVYUXhxxLIuZ/yHQ/XU9JXAEM6KO Pv9qb5ta6uIAu0vgYyHa `protect end_protected