content
stringlengths
1
1.04M
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2771.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c13s08b00x00p01n01i02771pkg is function--This is a valid comment. F1 return BOOLEAN; function F2 return BOOLEAN; end c13s08b00x00p01n01i02771pkg; package body c13s08b00x00p01n01i02771pkg is function--This is a valid comment. F1 return BOOLEAN is begin return --This comment occurs within a statement! FALSE-- Comments can occur anywhere and need not be -- preceded by a blank ; end F1; function F2 return BOOLEAN is type TYP_1 is range 1 to 10; variable V1--This is all one comment--not two -- or more! : TYP_1 := 2; begin assert TRUE report "--This is not a comment--"; return FALSE; end F2; end c13s08b00x00p01n01i02771pkg; ENTITY c13s08b00x00p01n01i02771ent IS port (PT:BOOLEAN) ; --This is a NULL entity END c13s08b00x00p01n01i02771ent; ARCHITECTURE c13s08b00x00p01n01i02771arch OF c13s08b00x00p01n01i02771ent IS -- --(that was a blank comment) BEGIN TESTING: PROCESS BEGIN assert FALSE report "***PASSED TEST: c13s08b00x00p01n01i02771" severity NOTE; wait; END PROCESS TESTING --that wasn't so quick! ;--semicolon END c13s08b00x00p01n01i02771arch; --architecture A ("A comment can appear on any line of a VHDL description.")
------------------------------------------------------------------------------- -- Title : Exercise -- Project : Counter ------------------------------------------------------------------------------- -- File : cntr.vhd -- Author : Martin Angermair -- Company : Technikum Wien, Embedded Systems -- Last update: 24.10.2017 -- Platform : ModelSim ------------------------------------------------------------------------------- -- Description: This contains the counter logic ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 27.10.2017 0.1 Martin Angermair init -- 19.11.2017 1.0 Martin Angermair final version ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; architecture rtl of cntr is constant C_COUNT_1HZ : integer := 50000000; -- 1 HZ clock for counting -- constant C_COUNT_1HZ : integer := 50000; -- for easier testing component clk_gen is port( clk_i : in std_logic; reset_i : in std_logic; count_val_i : in integer; signal_o : out std_logic); end component; signal s_count : std_logic_vector(13 downto 0) := (others => '0'); signal s_clk_1Hz : std_logic; begin p_clk_1Hz : clk_gen port map ( clk_i => clk_i, reset_i => reset_i, count_val_i => C_COUNT_1HZ, signal_o => s_clk_1HZ ); process(s_clk_1HZ, reset_i, cntrreset_i, cntrhold_i, cntrup_i, cntrdown_i) begin if reset_i = '1' or cntrreset_i = '1' then s_count <= (others => '0'); elsif rising_edge(s_clk_1HZ) then if cntrhold_i = '1' then s_count <= s_count; elsif cntrup_i = '1' then if s_count = "10011100001111" then s_count <= (others => '0'); else s_count <= s_count + 1; end if; elsif cntrdown_i = '1' then if s_count = "00000000000000" then s_count <= "10011100001111"; else s_count <= s_count - 1; end if; else -- stop countig till direction is set end if; end if; end process; digits_o <= s_count; end rtl;
--------------------------------------------------------------------- -- TITLE: Arithmetic Logic Unit -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: alu.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the ALU. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mlite_pack.all; use work.cam_pkg.all; entity coproc_2 is port( clock : in std_logic; reset : in std_logic; INPUT_1 : in std_logic_vector(31 downto 0); INPUT_1_valid : in std_logic; OUTPUT_1 : out std_logic_vector(31 downto 0) ); end; --comb_alu_1 architecture logic of coproc_2 is signal min_reg : unsigned(7 downto 0); signal beta_reg : unsigned(15 downto 0); signal beta_tmp : unsigned(15 downto 0); signal min_tmp, max_tmp : unsigned(7 downto 0); signal store_min_beta : std_logic; signal a,b : unsigned(15 downto 0); signal OUTPUT_1_tmp : std_logic_vector(31 downto 0); begin ------------------------------------------------------------------------- scaling_computation : process (INPUT_1, min_reg, beta_reg) variable mini : UNSIGNED(7 downto 0); variable data1, data2, data3, data4 : UNSIGNED(7 downto 0); variable diff1, diff2, diff3, diff4 : UNSIGNED(7 downto 0); variable mult1, mult2, mult3, mult4 : UNSIGNED(23 downto 0); begin data1 := UNSIGNED( INPUT_1(7 downto 0) ); data2 := UNSIGNED( INPUT_1(15 downto 8) ); data3 := UNSIGNED( INPUT_1(23 downto 16) ); data4 := UNSIGNED( INPUT_1(31 downto 24) ); diff1 := data1 - min_reg; -- 8 diff2 := data2 - min_reg; -- 8 diff3 := data3 - min_reg; -- 8 diff4 := data4 - min_reg; -- 8 mult1 := diff1 * beta_reg; -- 24 mult2 := diff2 * beta_reg; -- 24 mult3 := diff3 * beta_reg; -- 24 mult4 := diff4 * beta_reg; -- 24 OUTPUT_1_tmp(7 downto 0) <= std_logic_vector(mult1(15 downto 8)); OUTPUT_1_tmp(15 downto 8) <= std_logic_vector(mult2(15 downto 8)); OUTPUT_1_tmp(23 downto 16) <= std_logic_vector(mult3(15 downto 8)); OUTPUT_1_tmp(31 downto 24) <= std_logic_vector(mult4(15 downto 8)); end process; ------------------------------------------------------------------------- max_tmp <= UNSIGNED(INPUT_1(7 downto 0)); min_tmp <= UNSIGNED(INPUT_1(15 downto 8)); b <= "00000000"&(max_tmp-min_tmp); a <= TO_UNSIGNED( 255, 8)&"00000000"; --beta_tmp <= divide(TO_UNSIGNED( 255, 8), (max_tmp-min_tmp)); beta_tmp <= divide(a,b); --(8,8) --beta_tmp <= "00000000"&max_tmp-min_tmp; ------------------------------------------------------------------------- process (clock, reset) begin IF clock'event AND clock = '1' THEN IF reset = '1' THEN store_min_beta <= '1'; min_reg <= (others => '0'); beta_reg <= (others => '0'); OUTPUT_1 <= (others => '0'); ELSE IF (INPUT_1_valid = '1' and store_min_beta ='1') THEN store_min_beta <= '0'; min_reg <= UNSIGNED(INPUT_1(15 downto 8)); beta_reg <= beta_tmp; OUTPUT_1 <= INPUT_1; ELSIF (INPUT_1_valid = '1' and store_min_beta = '0') THEN store_min_beta <= '0'; min_reg <= min_reg; beta_reg <= beta_reg; OUTPUT_1 <= OUTPUT_1_tmp; --OUTPUT_1 <= "000000000000000000000000"&std_logic_vector(min_reg); END IF; END IF; END IF; end process; ------------------------------------------------------------------------- end; --architecture logic
--------------------------------------------------------------------- -- TITLE: Arithmetic Logic Unit -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: alu.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the ALU. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mlite_pack.all; use work.cam_pkg.all; entity coproc_2 is port( clock : in std_logic; reset : in std_logic; INPUT_1 : in std_logic_vector(31 downto 0); INPUT_1_valid : in std_logic; OUTPUT_1 : out std_logic_vector(31 downto 0) ); end; --comb_alu_1 architecture logic of coproc_2 is signal min_reg : unsigned(7 downto 0); signal beta_reg : unsigned(15 downto 0); signal beta_tmp : unsigned(15 downto 0); signal min_tmp, max_tmp : unsigned(7 downto 0); signal store_min_beta : std_logic; signal a,b : unsigned(15 downto 0); signal OUTPUT_1_tmp : std_logic_vector(31 downto 0); begin ------------------------------------------------------------------------- scaling_computation : process (INPUT_1, min_reg, beta_reg) variable mini : UNSIGNED(7 downto 0); variable data1, data2, data3, data4 : UNSIGNED(7 downto 0); variable diff1, diff2, diff3, diff4 : UNSIGNED(7 downto 0); variable mult1, mult2, mult3, mult4 : UNSIGNED(23 downto 0); begin data1 := UNSIGNED( INPUT_1(7 downto 0) ); data2 := UNSIGNED( INPUT_1(15 downto 8) ); data3 := UNSIGNED( INPUT_1(23 downto 16) ); data4 := UNSIGNED( INPUT_1(31 downto 24) ); diff1 := data1 - min_reg; -- 8 diff2 := data2 - min_reg; -- 8 diff3 := data3 - min_reg; -- 8 diff4 := data4 - min_reg; -- 8 mult1 := diff1 * beta_reg; -- 24 mult2 := diff2 * beta_reg; -- 24 mult3 := diff3 * beta_reg; -- 24 mult4 := diff4 * beta_reg; -- 24 OUTPUT_1_tmp(7 downto 0) <= std_logic_vector(mult1(15 downto 8)); OUTPUT_1_tmp(15 downto 8) <= std_logic_vector(mult2(15 downto 8)); OUTPUT_1_tmp(23 downto 16) <= std_logic_vector(mult3(15 downto 8)); OUTPUT_1_tmp(31 downto 24) <= std_logic_vector(mult4(15 downto 8)); end process; ------------------------------------------------------------------------- max_tmp <= UNSIGNED(INPUT_1(7 downto 0)); min_tmp <= UNSIGNED(INPUT_1(15 downto 8)); b <= "00000000"&(max_tmp-min_tmp); a <= TO_UNSIGNED( 255, 8)&"00000000"; --beta_tmp <= divide(TO_UNSIGNED( 255, 8), (max_tmp-min_tmp)); beta_tmp <= divide(a,b); --(8,8) --beta_tmp <= "00000000"&max_tmp-min_tmp; ------------------------------------------------------------------------- process (clock, reset) begin IF clock'event AND clock = '1' THEN IF reset = '1' THEN store_min_beta <= '1'; min_reg <= (others => '0'); beta_reg <= (others => '0'); OUTPUT_1 <= (others => '0'); ELSE IF (INPUT_1_valid = '1' and store_min_beta ='1') THEN store_min_beta <= '0'; min_reg <= UNSIGNED(INPUT_1(15 downto 8)); beta_reg <= beta_tmp; OUTPUT_1 <= INPUT_1; ELSIF (INPUT_1_valid = '1' and store_min_beta = '0') THEN store_min_beta <= '0'; min_reg <= min_reg; beta_reg <= beta_reg; OUTPUT_1 <= OUTPUT_1_tmp; --OUTPUT_1 <= "000000000000000000000000"&std_logic_vector(min_reg); END IF; END IF; END IF; end process; ------------------------------------------------------------------------- end; --architecture logic
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Tue May 30 22:30:26 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top system_buffer_register_1_0 -prefix -- system_buffer_register_1_0_ system_buffer_register_1_0_stub.vhdl -- Design : system_buffer_register_1_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_buffer_register_1_0 is Port ( clk : in STD_LOGIC; val_in : in STD_LOGIC_VECTOR ( 31 downto 0 ); val_out : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); end system_buffer_register_1_0; architecture stub of system_buffer_register_1_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,val_in[31:0],val_out[31:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "buffer_register,Vivado 2016.4"; begin end;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Fri Jan 13 17:31:20 2017 -- Host : KLight-PC running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- D:/Document/Verilog/VGA/VGA.srcs/sources_1/ip/shadow_pixel_1/shadow_pixel_stub.vhdl -- Design : shadow_pixel -- Purpose : Stub declaration of top-level module interface -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity shadow_pixel is Port ( clka : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 11 downto 0 ); douta : out STD_LOGIC_VECTOR ( 11 downto 0 ) ); end shadow_pixel; architecture stub of shadow_pixel is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[10:0],dina[11:0],douta[11:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4"; begin end;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Fri Jan 13 17:31:20 2017 -- Host : KLight-PC running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- D:/Document/Verilog/VGA/VGA.srcs/sources_1/ip/shadow_pixel_1/shadow_pixel_stub.vhdl -- Design : shadow_pixel -- Purpose : Stub declaration of top-level module interface -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity shadow_pixel is Port ( clka : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 11 downto 0 ); douta : out STD_LOGIC_VECTOR ( 11 downto 0 ) ); end shadow_pixel; architecture stub of shadow_pixel is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[10:0],dina[11:0],douta[11:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4"; begin end;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library work; use work.rv_components.all; use work.utils.all; use work.constants_pkg.all; entity memory_interface is generic ( REGISTER_SIZE : positive range 32 to 32; WRITE_FIRST_SUPPORTED : boolean; WISHBONE_SINGLE_CYCLE_READS : boolean; MAX_IFETCHES_IN_FLIGHT : positive; MAX_OUTSTANDING_REQUESTS : positive; LOG2_BURSTLENGTH : positive; AXI_ID_WIDTH : positive; AVALON_AUX : boolean; WISHBONE_AUX : boolean; LMB_AUX : boolean; AUX_MEMORY_REGIONS : natural range 0 to 4; AMR0_ADDR_BASE : std_logic_vector(31 downto 0); AMR0_ADDR_LAST : std_logic_vector(31 downto 0); UC_MEMORY_REGIONS : natural range 0 to 4; UMR0_ADDR_BASE : std_logic_vector(31 downto 0); UMR0_ADDR_LAST : std_logic_vector(31 downto 0); ICACHE_SIZE : natural; ICACHE_LINE_SIZE : positive range 16 to 256; ICACHE_EXTERNAL_WIDTH : positive; INSTRUCTION_REQUEST_REGISTER : request_register_type; INSTRUCTION_RETURN_REGISTER : boolean; IUC_REQUEST_REGISTER : request_register_type; IUC_RETURN_REGISTER : boolean; IAUX_REQUEST_REGISTER : request_register_type; IAUX_RETURN_REGISTER : boolean; IC_REQUEST_REGISTER : request_register_type; IC_RETURN_REGISTER : boolean; DCACHE_SIZE : natural; DCACHE_LINE_SIZE : positive range 16 to 256; DCACHE_EXTERNAL_WIDTH : positive; DCACHE_WRITEBACK : boolean; DATA_REQUEST_REGISTER : request_register_type; DATA_RETURN_REGISTER : boolean; DUC_REQUEST_REGISTER : request_register_type; DUC_RETURN_REGISTER : boolean; DAUX_REQUEST_REGISTER : request_register_type; DAUX_RETURN_REGISTER : boolean; DC_REQUEST_REGISTER : request_register_type; DC_RETURN_REGISTER : boolean ); port ( clk : in std_logic; reset : in std_logic; --Auxiliary/Uncached memory regions amr_base_addrs : in std_logic_vector((imax(AUX_MEMORY_REGIONS, 1)*REGISTER_SIZE)-1 downto 0); amr_last_addrs : in std_logic_vector((imax(AUX_MEMORY_REGIONS, 1)*REGISTER_SIZE)-1 downto 0); umr_base_addrs : in std_logic_vector((imax(UC_MEMORY_REGIONS, 1)*REGISTER_SIZE)-1 downto 0); umr_last_addrs : in std_logic_vector((imax(UC_MEMORY_REGIONS, 1)*REGISTER_SIZE)-1 downto 0); --ICache control (Invalidate/flush/writeback) from_icache_control_ready : out std_logic; to_icache_control_valid : in std_logic; to_icache_control_command : in cache_control_command; --DCache control (Invalidate/flush/writeback) from_dcache_control_ready : out std_logic; to_dcache_control_valid : in std_logic; to_dcache_control_command : in cache_control_command; --Cache control common signals to_cache_control_base : in std_logic_vector(REGISTER_SIZE-1 downto 0); to_cache_control_last : in std_logic_vector(REGISTER_SIZE-1 downto 0); memory_interface_idle : out std_logic; --Instruction ORCA-internal memory-mapped master ifetch_oimm_address : in std_logic_vector(REGISTER_SIZE-1 downto 0); ifetch_oimm_requestvalid : in std_logic; ifetch_oimm_readdata : out std_logic_vector(REGISTER_SIZE-1 downto 0); ifetch_oimm_waitrequest : out std_logic; ifetch_oimm_readdatavalid : out std_logic; --Data ORCA-internal memory-mapped master lsu_oimm_address : in std_logic_vector(REGISTER_SIZE-1 downto 0); lsu_oimm_byteenable : in std_logic_vector((REGISTER_SIZE/8)-1 downto 0); lsu_oimm_requestvalid : in std_logic; lsu_oimm_readnotwrite : in std_logic; lsu_oimm_writedata : in std_logic_vector(REGISTER_SIZE-1 downto 0); lsu_oimm_readdata : out std_logic_vector(REGISTER_SIZE-1 downto 0); lsu_oimm_readdatavalid : out std_logic; lsu_oimm_waitrequest : out std_logic; ------------------------------------------------------------------------------- --AVALON ------------------------------------------------------------------------------- --Avalon data master avm_data_address : out std_logic_vector(REGISTER_SIZE-1 downto 0); avm_data_byteenable : out std_logic_vector((REGISTER_SIZE/8)-1 downto 0); avm_data_read : out std_logic; avm_data_readdata : in std_logic_vector(REGISTER_SIZE-1 downto 0); avm_data_write : out std_logic; avm_data_writedata : out std_logic_vector(REGISTER_SIZE-1 downto 0); avm_data_waitrequest : in std_logic; avm_data_readdatavalid : in std_logic; --Avalon instruction master avm_instruction_address : out std_logic_vector(REGISTER_SIZE-1 downto 0); avm_instruction_read : out std_logic; avm_instruction_readdata : in std_logic_vector(REGISTER_SIZE-1 downto 0); avm_instruction_waitrequest : in std_logic; avm_instruction_readdatavalid : in std_logic; ------------------------------------------------------------------------------- --WISHBONE ------------------------------------------------------------------------------- --WISHBONE data master data_ADR_O : out std_logic_vector(REGISTER_SIZE-1 downto 0); data_DAT_I : in std_logic_vector(REGISTER_SIZE-1 downto 0); data_DAT_O : out std_logic_vector(REGISTER_SIZE-1 downto 0); data_WE_O : out std_logic; data_SEL_O : out std_logic_vector((REGISTER_SIZE/8)-1 downto 0); data_STB_O : out std_logic; data_ACK_I : in std_logic; data_CYC_O : out std_logic; data_CTI_O : out std_logic_vector(2 downto 0); data_STALL_I : in std_logic; --WISHBONE instruction master instr_ADR_O : out std_logic_vector(REGISTER_SIZE-1 downto 0); instr_DAT_I : in std_logic_vector(REGISTER_SIZE-1 downto 0); instr_STB_O : out std_logic; instr_ACK_I : in std_logic; instr_CYC_O : out std_logic; instr_CTI_O : out std_logic_vector(2 downto 0); instr_STALL_I : in std_logic; ------------------------------------------------------------------------------- --AXI ------------------------------------------------------------------------------- --AXI4-Lite uncached instruction master --A full AXI3 interface is exposed for systems that require it, but --only the (read-only) AXI4-Lite signals are needed IUC_ARID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); IUC_ARADDR : out std_logic_vector(REGISTER_SIZE-1 downto 0); IUC_ARLEN : out std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); IUC_ARSIZE : out std_logic_vector(2 downto 0); IUC_ARBURST : out std_logic_vector(1 downto 0); IUC_ARLOCK : out std_logic_vector(1 downto 0); IUC_ARCACHE : out std_logic_vector(3 downto 0); IUC_ARPROT : out std_logic_vector(2 downto 0); IUC_ARVALID : out std_logic; IUC_ARREADY : in std_logic; IUC_RID : in std_logic_vector(AXI_ID_WIDTH-1 downto 0); IUC_RDATA : in std_logic_vector(REGISTER_SIZE-1 downto 0); IUC_RRESP : in std_logic_vector(1 downto 0); IUC_RLAST : in std_logic; IUC_RVALID : in std_logic; IUC_RREADY : out std_logic; IUC_AWID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); IUC_AWADDR : out std_logic_vector(REGISTER_SIZE-1 downto 0); IUC_AWLEN : out std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); IUC_AWSIZE : out std_logic_vector(2 downto 0); IUC_AWBURST : out std_logic_vector(1 downto 0); IUC_AWLOCK : out std_logic_vector(1 downto 0); IUC_AWCACHE : out std_logic_vector(3 downto 0); IUC_AWPROT : out std_logic_vector(2 downto 0); IUC_AWVALID : out std_logic; IUC_AWREADY : in std_logic; IUC_WID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); IUC_WDATA : out std_logic_vector(REGISTER_SIZE-1 downto 0); IUC_WSTRB : out std_logic_vector((REGISTER_SIZE/8)-1 downto 0); IUC_WLAST : out std_logic; IUC_WVALID : out std_logic; IUC_WREADY : in std_logic; IUC_BID : in std_logic_vector(AXI_ID_WIDTH-1 downto 0); IUC_BRESP : in std_logic_vector(1 downto 0); IUC_BVALID : in std_logic; IUC_BREADY : out std_logic; --AXI4-Lite uncached data master --A full AXI3 interface is exposed for systems that require it, but --only the AXI4-Lite signals are needed DUC_AWID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); DUC_AWADDR : out std_logic_vector(REGISTER_SIZE-1 downto 0); DUC_AWLEN : out std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); DUC_AWSIZE : out std_logic_vector(2 downto 0); DUC_AWBURST : out std_logic_vector(1 downto 0); DUC_AWLOCK : out std_logic_vector(1 downto 0); DUC_AWCACHE : out std_logic_vector(3 downto 0); DUC_AWPROT : out std_logic_vector(2 downto 0); DUC_AWVALID : out std_logic; DUC_AWREADY : in std_logic; DUC_WID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); DUC_WDATA : out std_logic_vector(REGISTER_SIZE-1 downto 0); DUC_WSTRB : out std_logic_vector((REGISTER_SIZE/8)-1 downto 0); DUC_WLAST : out std_logic; DUC_WVALID : out std_logic; DUC_WREADY : in std_logic; DUC_BID : in std_logic_vector(AXI_ID_WIDTH-1 downto 0); DUC_BRESP : in std_logic_vector(1 downto 0); DUC_BVALID : in std_logic; DUC_BREADY : out std_logic; DUC_ARID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); DUC_ARADDR : out std_logic_vector(REGISTER_SIZE-1 downto 0); DUC_ARLEN : out std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); DUC_ARSIZE : out std_logic_vector(2 downto 0); DUC_ARBURST : out std_logic_vector(1 downto 0); DUC_ARLOCK : out std_logic_vector(1 downto 0); DUC_ARCACHE : out std_logic_vector(3 downto 0); DUC_ARPROT : out std_logic_vector(2 downto 0); DUC_ARVALID : out std_logic; DUC_ARREADY : in std_logic; DUC_RID : in std_logic_vector(AXI_ID_WIDTH-1 downto 0); DUC_RDATA : in std_logic_vector(REGISTER_SIZE-1 downto 0); DUC_RRESP : in std_logic_vector(1 downto 0); DUC_RLAST : in std_logic; DUC_RVALID : in std_logic; DUC_RREADY : out std_logic; --AXI3/4 cacheable instruction master --WID can be unconnected and LOG2_BURSTLENGTH set to 8 to for AXI4 --Read-only, write is exposed for systems that require it IC_ARID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); IC_ARADDR : out std_logic_vector(REGISTER_SIZE-1 downto 0); IC_ARLEN : out std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); IC_ARSIZE : out std_logic_vector(2 downto 0); IC_ARBURST : out std_logic_vector(1 downto 0); IC_ARLOCK : out std_logic_vector(1 downto 0); IC_ARCACHE : out std_logic_vector(3 downto 0); IC_ARPROT : out std_logic_vector(2 downto 0); IC_ARVALID : out std_logic; IC_ARREADY : in std_logic; IC_RID : in std_logic_vector(AXI_ID_WIDTH-1 downto 0); IC_RDATA : in std_logic_vector(ICACHE_EXTERNAL_WIDTH-1 downto 0); IC_RRESP : in std_logic_vector(1 downto 0); IC_RLAST : in std_logic; IC_RVALID : in std_logic; IC_RREADY : out std_logic; IC_AWID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); IC_AWADDR : out std_logic_vector(REGISTER_SIZE-1 downto 0); IC_AWLEN : out std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); IC_AWSIZE : out std_logic_vector(2 downto 0); IC_AWBURST : out std_logic_vector(1 downto 0); IC_AWLOCK : out std_logic_vector(1 downto 0); IC_AWCACHE : out std_logic_vector(3 downto 0); IC_AWPROT : out std_logic_vector(2 downto 0); IC_AWVALID : out std_logic; IC_AWREADY : in std_logic; IC_WID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); IC_WDATA : out std_logic_vector(ICACHE_EXTERNAL_WIDTH-1 downto 0); IC_WSTRB : out std_logic_vector((ICACHE_EXTERNAL_WIDTH/8)-1 downto 0); IC_WLAST : out std_logic; IC_WVALID : out std_logic; IC_WREADY : in std_logic; IC_BID : in std_logic_vector(AXI_ID_WIDTH-1 downto 0); IC_BRESP : in std_logic_vector(1 downto 0); IC_BVALID : in std_logic; IC_BREADY : out std_logic; --AXI3/4 cacheable data master --WID can be unconnected and LOG2_BURSTLENGTH set to 8 to for AXI4 DC_ARID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); DC_ARADDR : out std_logic_vector(REGISTER_SIZE-1 downto 0); DC_ARLEN : out std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); DC_ARSIZE : out std_logic_vector(2 downto 0); DC_ARBURST : out std_logic_vector(1 downto 0); DC_ARLOCK : out std_logic_vector(1 downto 0); DC_ARCACHE : out std_logic_vector(3 downto 0); DC_ARPROT : out std_logic_vector(2 downto 0); DC_ARVALID : out std_logic; DC_ARREADY : in std_logic; DC_RID : in std_logic_vector(AXI_ID_WIDTH-1 downto 0); DC_RDATA : in std_logic_vector(DCACHE_EXTERNAL_WIDTH-1 downto 0); DC_RRESP : in std_logic_vector(1 downto 0); DC_RLAST : in std_logic; DC_RVALID : in std_logic; DC_RREADY : out std_logic; DC_AWID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); DC_AWADDR : out std_logic_vector(REGISTER_SIZE-1 downto 0); DC_AWLEN : out std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); DC_AWSIZE : out std_logic_vector(2 downto 0); DC_AWBURST : out std_logic_vector(1 downto 0); DC_AWLOCK : out std_logic_vector(1 downto 0); DC_AWCACHE : out std_logic_vector(3 downto 0); DC_AWPROT : out std_logic_vector(2 downto 0); DC_AWVALID : out std_logic; DC_AWREADY : in std_logic; DC_WID : out std_logic_vector(AXI_ID_WIDTH-1 downto 0); DC_WDATA : out std_logic_vector(DCACHE_EXTERNAL_WIDTH-1 downto 0); DC_WSTRB : out std_logic_vector((DCACHE_EXTERNAL_WIDTH/8)-1 downto 0); DC_WLAST : out std_logic; DC_WVALID : out std_logic; DC_WREADY : in std_logic; DC_BID : in std_logic_vector(AXI_ID_WIDTH-1 downto 0); DC_BRESP : in std_logic_vector(1 downto 0); DC_BVALID : in std_logic; DC_BREADY : out std_logic; ------------------------------------------------------------------------------- --LMB ------------------------------------------------------------------------------- --Xilinx local memory bus instruction master --Read-only, write is exposed for systems that require it ILMB_Addr : out std_logic_vector(0 to REGISTER_SIZE-1); ILMB_Byte_Enable : out std_logic_vector(0 to (REGISTER_SIZE/8)-1); ILMB_Data_Write : out std_logic_vector(0 to REGISTER_SIZE-1); ILMB_AS : out std_logic; ILMB_Read_Strobe : out std_logic; ILMB_Write_Strobe : out std_logic; ILMB_Data_Read : in std_logic_vector(0 to REGISTER_SIZE-1); ILMB_Ready : in std_logic; ILMB_Wait : in std_logic; ILMB_CE : in std_logic; ILMB_UE : in std_logic; --Xilinx local memory bus data master DLMB_Addr : out std_logic_vector(0 to REGISTER_SIZE-1); DLMB_Byte_Enable : out std_logic_vector(0 to (REGISTER_SIZE/8)-1); DLMB_Data_Write : out std_logic_vector(0 to REGISTER_SIZE-1); DLMB_AS : out std_logic; DLMB_Read_Strobe : out std_logic; DLMB_Write_Strobe : out std_logic; DLMB_Data_Read : in std_logic_vector(0 to REGISTER_SIZE-1); DLMB_Ready : in std_logic; DLMB_Wait : in std_logic; DLMB_CE : in std_logic; DLMB_UE : in std_logic ); end entity memory_interface; architecture rtl of memory_interface is constant A4L_BURST_SIZE : std_logic_vector(2 downto 0) := std_logic_vector(to_unsigned(log2(REGISTER_SIZE/8), 3)); constant A4L_BURST_INCR : std_logic_vector(1 downto 0) := "01"; constant A4L_LOCK_VAL : std_logic_vector(1 downto 0) := "00"; constant A4L_CACHE_VAL : std_logic_vector(3 downto 0) := "0000"; signal lsu_oimm_address_aligned : std_logic_vector(lsu_oimm_address'range); signal iinternal_register_idle : std_logic; signal iexternal_registers_idle : std_logic; signal dinternal_register_idle : std_logic; signal dexternal_registers_idle : std_logic; signal icache_idle : std_logic; signal dcache_idle : std_logic; signal ic_master_idle : std_logic; signal dc_master_idle : std_logic; signal iuc_master_idle : std_logic; signal duc_master_idle : std_logic; signal iuc_oimm_address : std_logic_vector(REGISTER_SIZE-1 downto 0); signal iuc_oimm_byteenable : std_logic_vector((REGISTER_SIZE/8)-1 downto 0); signal iuc_oimm_requestvalid : std_logic; signal iuc_oimm_readnotwrite : std_logic; signal iuc_oimm_writedata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal iuc_oimm_readdata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal iuc_oimm_readdatavalid : std_logic; signal iuc_oimm_waitrequest : std_logic; signal duc_oimm_address : std_logic_vector(REGISTER_SIZE-1 downto 0); signal duc_oimm_byteenable : std_logic_vector((REGISTER_SIZE/8)-1 downto 0); signal duc_oimm_requestvalid : std_logic; signal duc_oimm_readnotwrite : std_logic; signal duc_oimm_writedata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal duc_oimm_readdata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal duc_oimm_readdatavalid : std_logic; signal duc_oimm_waitrequest : std_logic; signal iaux_oimm_address : std_logic_vector(REGISTER_SIZE-1 downto 0); signal iaux_oimm_byteenable : std_logic_vector((REGISTER_SIZE/8)-1 downto 0); signal iaux_oimm_requestvalid : std_logic; signal iaux_oimm_readnotwrite : std_logic; signal iaux_oimm_writedata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal iaux_oimm_readdata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal iaux_oimm_readdatavalid : std_logic; signal iaux_oimm_waitrequest : std_logic; signal daux_oimm_address : std_logic_vector(REGISTER_SIZE-1 downto 0); signal daux_oimm_byteenable : std_logic_vector((REGISTER_SIZE/8)-1 downto 0); signal daux_oimm_requestvalid : std_logic; signal daux_oimm_readnotwrite : std_logic; signal daux_oimm_writedata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal daux_oimm_readdata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal daux_oimm_readdatavalid : std_logic; signal daux_oimm_waitrequest : std_logic; signal icacheint_oimm_address : std_logic_vector(REGISTER_SIZE-1 downto 0); signal icacheint_oimm_byteenable : std_logic_vector((REGISTER_SIZE/8)-1 downto 0); signal icacheint_oimm_requestvalid : std_logic; signal icacheint_oimm_readnotwrite : std_logic; signal icacheint_oimm_writedata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal icacheint_oimm_readdata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal icacheint_oimm_readdatavalid : std_logic; signal icacheint_oimm_waitrequest : std_logic; signal dcacheint_oimm_address : std_logic_vector(REGISTER_SIZE-1 downto 0); signal dcacheint_oimm_byteenable : std_logic_vector((REGISTER_SIZE/8)-1 downto 0); signal dcacheint_oimm_requestvalid : std_logic; signal dcacheint_oimm_readnotwrite : std_logic; signal dcacheint_oimm_writedata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal dcacheint_oimm_readdata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal dcacheint_oimm_readdatavalid : std_logic; signal dcacheint_oimm_waitrequest : std_logic; signal aresetn : std_logic; begin assert (bool_to_int(AVALON_AUX) + bool_to_int(WISHBONE_AUX) + bool_to_int(LMB_AUX)) < 2 report "At most one auxiliary interface type (AVALON_AUX, WISHBONE_AUX, LMB_AUX) must be enabled" severity failure; assert AUX_MEMORY_REGIONS = 0 or (AVALON_AUX or WISHBONE_AUX or LMB_AUX) report "if AUX_MEMORY_REGIONS > 0 then one auxiliary interface (AVALON_AUX, WISHBONE_AUX, or LMB_AUX) must be enabled" severity failure; aresetn <= not reset; memory_interface_idle <= iinternal_register_idle and dinternal_register_idle and iexternal_registers_idle and dexternal_registers_idle and icache_idle and dcache_idle and ic_master_idle and dc_master_idle and iuc_master_idle and duc_master_idle; ----------------------------------------------------------------------------- -- Instruction cache and mux ----------------------------------------------------------------------------- instruction_cache_mux : cache_mux generic map ( ADDRESS_WIDTH => REGISTER_SIZE, DATA_WIDTH => REGISTER_SIZE, MAX_OUTSTANDING_READS => MAX_IFETCHES_IN_FLIGHT, AUX_MEMORY_REGIONS => AUX_MEMORY_REGIONS, AMR0_ADDR_BASE => AMR0_ADDR_BASE, AMR0_ADDR_LAST => AMR0_ADDR_LAST, UC_MEMORY_REGIONS => UC_MEMORY_REGIONS, UMR0_ADDR_BASE => UMR0_ADDR_BASE, UMR0_ADDR_LAST => UMR0_ADDR_LAST, CACHE_SIZE => ICACHE_SIZE, CACHE_LINE_SIZE => ICACHE_LINE_SIZE, INTERNAL_REQUEST_REGISTER => INSTRUCTION_REQUEST_REGISTER, INTERNAL_RETURN_REGISTER => INSTRUCTION_RETURN_REGISTER, UC_REQUEST_REGISTER => IUC_REQUEST_REGISTER, UC_RETURN_REGISTER => IUC_RETURN_REGISTER, AUX_REQUEST_REGISTER => IAUX_REQUEST_REGISTER, AUX_RETURN_REGISTER => IAUX_RETURN_REGISTER ) port map ( clk => clk, reset => reset, amr_base_addrs => amr_base_addrs, amr_last_addrs => amr_last_addrs, umr_base_addrs => umr_base_addrs, umr_last_addrs => umr_last_addrs, internal_register_idle => iinternal_register_idle, external_registers_idle => iexternal_registers_idle, oimm_address => ifetch_oimm_address, oimm_requestvalid => ifetch_oimm_requestvalid, oimm_readdata => ifetch_oimm_readdata, oimm_readdatavalid => ifetch_oimm_readdatavalid, oimm_waitrequest => ifetch_oimm_waitrequest, cacheint_oimm_address => icacheint_oimm_address, cacheint_oimm_byteenable => icacheint_oimm_byteenable, cacheint_oimm_requestvalid => icacheint_oimm_requestvalid, cacheint_oimm_readnotwrite => icacheint_oimm_readnotwrite, cacheint_oimm_writedata => icacheint_oimm_writedata, cacheint_oimm_readdata => icacheint_oimm_readdata, cacheint_oimm_readdatavalid => icacheint_oimm_readdatavalid, cacheint_oimm_waitrequest => icacheint_oimm_waitrequest, uc_oimm_address => iuc_oimm_address, uc_oimm_byteenable => iuc_oimm_byteenable, uc_oimm_requestvalid => iuc_oimm_requestvalid, uc_oimm_readnotwrite => iuc_oimm_readnotwrite, uc_oimm_writedata => iuc_oimm_writedata, uc_oimm_readdata => iuc_oimm_readdata, uc_oimm_readdatavalid => iuc_oimm_readdatavalid, uc_oimm_waitrequest => iuc_oimm_waitrequest, aux_oimm_address => iaux_oimm_address, aux_oimm_byteenable => iaux_oimm_byteenable, aux_oimm_requestvalid => iaux_oimm_requestvalid, aux_oimm_readnotwrite => iaux_oimm_readnotwrite, aux_oimm_writedata => iaux_oimm_writedata, aux_oimm_readdata => iaux_oimm_readdata, aux_oimm_readdatavalid => iaux_oimm_readdatavalid, aux_oimm_waitrequest => iaux_oimm_waitrequest ); instruction_cache_gen : if ICACHE_SIZE /= 0 generate signal ic_oimm_address : std_logic_vector(REGISTER_SIZE-1 downto 0); signal ic_oimm_burstlength : std_logic_vector(LOG2_BURSTLENGTH downto 0); signal ic_oimm_burstlength_minus1 : std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); signal ic_oimm_byteenable : std_logic_vector((REGISTER_SIZE/8)-1 downto 0); signal ic_oimm_requestvalid : std_logic; signal ic_oimm_readnotwrite : std_logic; signal ic_oimm_writedata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal ic_oimm_writelast : std_logic; signal ic_oimm_readdata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal ic_oimm_readdatavalid : std_logic; signal ic_oimm_waitrequest : std_logic; begin instruction_cache : cache_controller generic map ( CACHE_SIZE => ICACHE_SIZE, LINE_SIZE => ICACHE_LINE_SIZE, ADDRESS_WIDTH => REGISTER_SIZE, INTERNAL_WIDTH => REGISTER_SIZE, EXTERNAL_WIDTH => ICACHE_EXTERNAL_WIDTH, LOG2_BURSTLENGTH => LOG2_BURSTLENGTH, POLICY => READ_ONLY, REGION_OPTIMIZATIONS => true, WRITE_FIRST_SUPPORTED => WRITE_FIRST_SUPPORTED ) port map ( clk => clk, reset => reset, from_cache_control_ready => from_icache_control_ready, to_cache_control_valid => to_icache_control_valid, to_cache_control_command => to_icache_control_command, to_cache_control_base => to_cache_control_base, to_cache_control_last => to_cache_control_last, precache_idle => iinternal_register_idle, cache_idle => icache_idle, cacheint_oimm_address => icacheint_oimm_address, cacheint_oimm_byteenable => icacheint_oimm_byteenable, cacheint_oimm_requestvalid => icacheint_oimm_requestvalid, cacheint_oimm_readnotwrite => icacheint_oimm_readnotwrite, cacheint_oimm_writedata => icacheint_oimm_writedata, cacheint_oimm_readdata => icacheint_oimm_readdata, cacheint_oimm_readdatavalid => icacheint_oimm_readdatavalid, cacheint_oimm_waitrequest => icacheint_oimm_waitrequest, c_oimm_address => ic_oimm_address, c_oimm_burstlength => ic_oimm_burstlength, c_oimm_burstlength_minus1 => ic_oimm_burstlength_minus1, c_oimm_byteenable => ic_oimm_byteenable, c_oimm_requestvalid => ic_oimm_requestvalid, c_oimm_readnotwrite => ic_oimm_readnotwrite, c_oimm_writedata => ic_oimm_writedata, c_oimm_writelast => ic_oimm_writelast, c_oimm_readdata => ic_oimm_readdata, c_oimm_readdatavalid => ic_oimm_readdatavalid, c_oimm_waitrequest => ic_oimm_waitrequest ); ic_master : axi_master generic map ( ADDRESS_WIDTH => REGISTER_SIZE, DATA_WIDTH => REGISTER_SIZE, ID_WIDTH => AXI_ID_WIDTH, LOG2_BURSTLENGTH => LOG2_BURSTLENGTH, MAX_OUTSTANDING_REQUESTS => 0, REQUEST_REGISTER => IC_REQUEST_REGISTER, RETURN_REGISTER => IC_RETURN_REGISTER ) port map ( clk => clk, reset => reset, aresetn => aresetn, master_idle => ic_master_idle, oimm_address => ic_oimm_address, oimm_burstlength_minus1 => ic_oimm_burstlength_minus1, oimm_byteenable => ic_oimm_byteenable, oimm_requestvalid => ic_oimm_requestvalid, oimm_readnotwrite => ic_oimm_readnotwrite, oimm_writedata => ic_oimm_writedata, oimm_writelast => ic_oimm_writelast, oimm_readdata => ic_oimm_readdata, oimm_readdatavalid => ic_oimm_readdatavalid, oimm_waitrequest => ic_oimm_waitrequest, AWID => IC_AWID, AWADDR => IC_AWADDR, AWLEN => IC_AWLEN, AWSIZE => IC_AWSIZE, AWBURST => IC_AWBURST, AWLOCK => IC_AWLOCK, AWCACHE => IC_AWCACHE, AWPROT => IC_AWPROT, AWVALID => IC_AWVALID, AWREADY => IC_AWREADY, WID => IC_WID, WSTRB => IC_WSTRB, WVALID => IC_WVALID, WLAST => IC_WLAST, WDATA => IC_WDATA, WREADY => IC_WREADY, BID => IC_BID, BRESP => IC_BRESP, BVALID => IC_BVALID, BREADY => IC_BREADY, ARID => IC_ARID, ARADDR => IC_ARADDR, ARLEN => IC_ARLEN, ARSIZE => IC_ARSIZE, ARBURST => IC_ARBURST, ARLOCK => IC_ARLOCK, ARCACHE => IC_ARCACHE, ARPROT => IC_ARPROT, ARVALID => IC_ARVALID, ARREADY => IC_ARREADY, RID => IC_RID, RDATA => IC_RDATA, RRESP => IC_RRESP, RLAST => IC_RLAST, RVALID => IC_RVALID, RREADY => IC_RREADY ); end generate instruction_cache_gen; no_instruction_cache_gen : if ICACHE_SIZE = 0 generate from_icache_control_ready <= '1'; icache_idle <= '1'; ic_master_idle <= '1'; IC_AWID <= (others => '0'); IC_AWADDR <= (others => '0'); IC_AWLEN <= (others => '0'); IC_AWSIZE <= (others => '0'); IC_AWBURST <= (others => '0'); IC_AWLOCK <= (others => '0'); IC_AWCACHE <= (others => '0'); IC_AWPROT <= (others => '0'); IC_AWVALID <= '0'; IC_WID <= (others => '0'); IC_WDATA <= (others => '0'); IC_WSTRB <= (others => '0'); IC_WLAST <= '0'; IC_WVALID <= '0'; IC_BREADY <= '0'; IC_ARID <= (others => '0'); IC_ARADDR <= (others => '0'); IC_ARLEN <= (others => '0'); IC_ARSIZE <= (others => '0'); IC_ARBURST <= (others => '0'); IC_ARLOCK <= (others => '0'); IC_ARCACHE <= (others => '0'); IC_ARPROT <= (others => '0'); IC_ARVALID <= '0'; IC_RREADY <= '0'; end generate no_instruction_cache_gen; ----------------------------------------------------------------------------- -- Data cache and mux ----------------------------------------------------------------------------- lsu_oimm_address_aligned <= lsu_oimm_address(lsu_oimm_address'left downto 2) & "00"; data_cache_mux : cache_mux generic map ( ADDRESS_WIDTH => REGISTER_SIZE, DATA_WIDTH => REGISTER_SIZE, MAX_OUTSTANDING_READS => 1, AUX_MEMORY_REGIONS => AUX_MEMORY_REGIONS, AMR0_ADDR_BASE => AMR0_ADDR_BASE, AMR0_ADDR_LAST => AMR0_ADDR_LAST, UC_MEMORY_REGIONS => UC_MEMORY_REGIONS, UMR0_ADDR_BASE => UMR0_ADDR_BASE, UMR0_ADDR_LAST => UMR0_ADDR_LAST, CACHE_SIZE => DCACHE_SIZE, CACHE_LINE_SIZE => DCACHE_LINE_SIZE, INTERNAL_REQUEST_REGISTER => DATA_REQUEST_REGISTER, INTERNAL_RETURN_REGISTER => DATA_RETURN_REGISTER, UC_REQUEST_REGISTER => DUC_REQUEST_REGISTER, UC_RETURN_REGISTER => DUC_RETURN_REGISTER, AUX_REQUEST_REGISTER => DAUX_REQUEST_REGISTER, AUX_RETURN_REGISTER => DAUX_RETURN_REGISTER ) port map ( clk => clk, reset => reset, amr_base_addrs => amr_base_addrs, amr_last_addrs => amr_last_addrs, umr_base_addrs => umr_base_addrs, umr_last_addrs => umr_last_addrs, internal_register_idle => dinternal_register_idle, external_registers_idle => dexternal_registers_idle, oimm_address => lsu_oimm_address_aligned, oimm_byteenable => lsu_oimm_byteenable, oimm_requestvalid => lsu_oimm_requestvalid, oimm_readnotwrite => lsu_oimm_readnotwrite, oimm_writedata => lsu_oimm_writedata, oimm_readdata => lsu_oimm_readdata, oimm_readdatavalid => lsu_oimm_readdatavalid, oimm_waitrequest => lsu_oimm_waitrequest, cacheint_oimm_address => dcacheint_oimm_address, cacheint_oimm_byteenable => dcacheint_oimm_byteenable, cacheint_oimm_requestvalid => dcacheint_oimm_requestvalid, cacheint_oimm_readnotwrite => dcacheint_oimm_readnotwrite, cacheint_oimm_writedata => dcacheint_oimm_writedata, cacheint_oimm_readdata => dcacheint_oimm_readdata, cacheint_oimm_readdatavalid => dcacheint_oimm_readdatavalid, cacheint_oimm_waitrequest => dcacheint_oimm_waitrequest, uc_oimm_address => duc_oimm_address, uc_oimm_byteenable => duc_oimm_byteenable, uc_oimm_requestvalid => duc_oimm_requestvalid, uc_oimm_readnotwrite => duc_oimm_readnotwrite, uc_oimm_writedata => duc_oimm_writedata, uc_oimm_readdata => duc_oimm_readdata, uc_oimm_readdatavalid => duc_oimm_readdatavalid, uc_oimm_waitrequest => duc_oimm_waitrequest, aux_oimm_address => daux_oimm_address, aux_oimm_byteenable => daux_oimm_byteenable, aux_oimm_requestvalid => daux_oimm_requestvalid, aux_oimm_readnotwrite => daux_oimm_readnotwrite, aux_oimm_writedata => daux_oimm_writedata, aux_oimm_readdata => daux_oimm_readdata, aux_oimm_readdatavalid => daux_oimm_readdatavalid, aux_oimm_waitrequest => daux_oimm_waitrequest ); data_cache_gen : if DCACHE_SIZE /= 0 generate signal dc_oimm_address : std_logic_vector(REGISTER_SIZE-1 downto 0); signal dc_oimm_burstlength : std_logic_vector(LOG2_BURSTLENGTH downto 0); signal dc_oimm_burstlength_minus1 : std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); signal dc_oimm_byteenable : std_logic_vector((REGISTER_SIZE/8)-1 downto 0); signal dc_oimm_requestvalid : std_logic; signal dc_oimm_readnotwrite : std_logic; signal dc_oimm_writedata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal dc_oimm_writelast : std_logic; signal dc_oimm_readdata : std_logic_vector(REGISTER_SIZE-1 downto 0); signal dc_oimm_readdatavalid : std_logic; signal dc_oimm_waitrequest : std_logic; function boolean_to_cache_policy ( constant WRITEBACK : boolean ) return cache_policy is variable policy : cache_policy; begin if WRITEBACK then policy := WRITE_BACK; else policy := WRITE_THROUGH; end if; return policy; end function boolean_to_cache_policy; begin data_cache : cache_controller generic map ( CACHE_SIZE => DCACHE_SIZE, LINE_SIZE => DCACHE_LINE_SIZE, ADDRESS_WIDTH => REGISTER_SIZE, INTERNAL_WIDTH => REGISTER_SIZE, EXTERNAL_WIDTH => DCACHE_EXTERNAL_WIDTH, LOG2_BURSTLENGTH => LOG2_BURSTLENGTH, POLICY => boolean_to_cache_policy(DCACHE_WRITEBACK), REGION_OPTIMIZATIONS => true, WRITE_FIRST_SUPPORTED => WRITE_FIRST_SUPPORTED ) port map ( clk => clk, reset => reset, from_cache_control_ready => from_dcache_control_ready, to_cache_control_valid => to_dcache_control_valid, to_cache_control_command => to_dcache_control_command, to_cache_control_base => to_cache_control_base, to_cache_control_last => to_cache_control_last, precache_idle => dinternal_register_idle, cache_idle => dcache_idle, cacheint_oimm_address => dcacheint_oimm_address, cacheint_oimm_byteenable => dcacheint_oimm_byteenable, cacheint_oimm_requestvalid => dcacheint_oimm_requestvalid, cacheint_oimm_readnotwrite => dcacheint_oimm_readnotwrite, cacheint_oimm_writedata => dcacheint_oimm_writedata, cacheint_oimm_readdata => dcacheint_oimm_readdata, cacheint_oimm_readdatavalid => dcacheint_oimm_readdatavalid, cacheint_oimm_waitrequest => dcacheint_oimm_waitrequest, c_oimm_address => dc_oimm_address, c_oimm_burstlength => dc_oimm_burstlength, c_oimm_burstlength_minus1 => dc_oimm_burstlength_minus1, c_oimm_byteenable => dc_oimm_byteenable, c_oimm_requestvalid => dc_oimm_requestvalid, c_oimm_readnotwrite => dc_oimm_readnotwrite, c_oimm_writedata => dc_oimm_writedata, c_oimm_writelast => dc_oimm_writelast, c_oimm_readdata => dc_oimm_readdata, c_oimm_readdatavalid => dc_oimm_readdatavalid, c_oimm_waitrequest => dc_oimm_waitrequest ); dc_master : axi_master generic map ( ADDRESS_WIDTH => REGISTER_SIZE, DATA_WIDTH => REGISTER_SIZE, ID_WIDTH => AXI_ID_WIDTH, LOG2_BURSTLENGTH => LOG2_BURSTLENGTH, MAX_OUTSTANDING_REQUESTS => MAX_OUTSTANDING_REQUESTS, REQUEST_REGISTER => DC_REQUEST_REGISTER, RETURN_REGISTER => DC_RETURN_REGISTER ) port map ( clk => clk, reset => reset, aresetn => aresetn, master_idle => dc_master_idle, oimm_address => dc_oimm_address, oimm_burstlength_minus1 => dc_oimm_burstlength_minus1, oimm_byteenable => dc_oimm_byteenable, oimm_requestvalid => dc_oimm_requestvalid, oimm_readnotwrite => dc_oimm_readnotwrite, oimm_writedata => dc_oimm_writedata, oimm_writelast => dc_oimm_writelast, oimm_readdata => dc_oimm_readdata, oimm_readdatavalid => dc_oimm_readdatavalid, oimm_waitrequest => dc_oimm_waitrequest, AWID => DC_AWID, AWADDR => DC_AWADDR, AWLEN => DC_AWLEN, AWSIZE => DC_AWSIZE, AWBURST => DC_AWBURST, AWLOCK => DC_AWLOCK, AWCACHE => DC_AWCACHE, AWPROT => DC_AWPROT, AWVALID => DC_AWVALID, AWREADY => DC_AWREADY, WID => DC_WID, WSTRB => DC_WSTRB, WVALID => DC_WVALID, WLAST => DC_WLAST, WDATA => DC_WDATA, WREADY => DC_WREADY, BID => DC_BID, BRESP => DC_BRESP, BVALID => DC_BVALID, BREADY => DC_BREADY, ARID => DC_ARID, ARADDR => DC_ARADDR, ARLEN => DC_ARLEN, ARSIZE => DC_ARSIZE, ARBURST => DC_ARBURST, ARLOCK => DC_ARLOCK, ARCACHE => DC_ARCACHE, ARPROT => DC_ARPROT, ARVALID => DC_ARVALID, ARREADY => DC_ARREADY, RID => DC_RID, RDATA => DC_RDATA, RRESP => DC_RRESP, RLAST => DC_RLAST, RVALID => DC_RVALID, RREADY => DC_RREADY ); end generate data_cache_gen; no_data_cache_gen : if DCACHE_SIZE = 0 generate from_dcache_control_ready <= '1'; dcache_idle <= '1'; dc_master_idle <= '1'; DC_AWID <= (others => '0'); DC_AWADDR <= (others => '0'); DC_AWLEN <= (others => '0'); DC_AWSIZE <= (others => '0'); DC_AWBURST <= (others => '0'); DC_AWLOCK <= (others => '0'); DC_AWCACHE <= (others => '0'); DC_AWPROT <= (others => '0'); DC_AWVALID <= '0'; DC_WID <= (others => '0'); DC_WDATA <= (others => '0'); DC_WSTRB <= (others => '0'); DC_WLAST <= '0'; DC_WVALID <= '0'; DC_BREADY <= '0'; DC_ARID <= (others => '0'); DC_ARADDR <= (others => '0'); DC_ARLEN <= (others => '0'); DC_ARSIZE <= (others => '0'); DC_ARBURST <= (others => '0'); DC_ARLOCK <= (others => '0'); DC_ARCACHE <= (others => '0'); DC_ARPROT <= (others => '0'); DC_ARVALID <= '0'; DC_RREADY <= '0'; end generate no_data_cache_gen; ----------------------------------------------------------------------------- -- LMB auxiliary interface ----------------------------------------------------------------------------- ilmb_aux_enabled : if LMB_AUX generate signal iread_in_flight : std_logic; signal iwrite_in_flight : std_logic; signal dread_in_flight : std_logic; signal dwrite_in_flight : std_logic; begin ILMB_Addr <= iaux_oimm_address; ILMB_Byte_Enable <= iaux_oimm_byteenable; ILMB_Data_Write <= iaux_oimm_writedata; ILMB_AS <= iaux_oimm_requestvalid and (not iaux_oimm_waitrequest); ILMB_Read_Strobe <= iaux_oimm_readnotwrite and iaux_oimm_requestvalid and (not iaux_oimm_waitrequest); ILMB_Write_Strobe <= (not iaux_oimm_readnotwrite) and iaux_oimm_requestvalid and (not iaux_oimm_waitrequest); DLMB_Addr <= daux_oimm_address; DLMB_Byte_Enable <= daux_oimm_byteenable; DLMB_Data_Write <= daux_oimm_writedata; DLMB_AS <= daux_oimm_requestvalid and (not daux_oimm_waitrequest); DLMB_Read_Strobe <= daux_oimm_readnotwrite and daux_oimm_requestvalid and (not daux_oimm_waitrequest); DLMB_Write_Strobe <= (not daux_oimm_readnotwrite) and daux_oimm_requestvalid and (not daux_oimm_waitrequest); --The LMB spec (which is inside the MicroBlaze Processor Reference Guide) --is vague about how Wait and Ready differ and can be used. A conservative --reading is that a new request can be sent as soon as Ready is asserted --and there's no reason to pay attention to Wait. --It's not explicitly stated but looking at Xilinx's HDL it's clear that --Ready can't be asserted on the same cycle as the strobe signals. process (clk) is begin if rising_edge(clk) then if ILMB_Ready = '1' then iread_in_flight <= '0'; iwrite_in_flight <= '0'; end if; if iaux_oimm_requestvalid = '1' and iaux_oimm_waitrequest = '0' then if iaux_oimm_readnotwrite = '1' then iread_in_flight <= '1'; else iwrite_in_flight <= '1'; end if; end if; if reset = '1' then iread_in_flight <= '0'; iwrite_in_flight <= '0'; end if; end if; end process; process (clk) is begin if rising_edge(clk) then if DLMB_Ready = '1' then dread_in_flight <= '0'; dwrite_in_flight <= '0'; end if; if daux_oimm_requestvalid = '1' and daux_oimm_waitrequest = '0' then if daux_oimm_readnotwrite = '1' then dread_in_flight <= '1'; else dwrite_in_flight <= '1'; end if; end if; if reset = '1' then dread_in_flight <= '0'; dwrite_in_flight <= '0'; end if; end if; end process; iaux_oimm_readdata <= ILMB_Data_Read; iaux_oimm_readdatavalid <= ILMB_Ready and iread_in_flight; iaux_oimm_waitrequest <= (iread_in_flight or iwrite_in_flight) and (not ILMB_Ready); daux_oimm_readdata <= DLMB_Data_Read; daux_oimm_readdatavalid <= DLMB_Ready and dread_in_flight; daux_oimm_waitrequest <= (dread_in_flight or dwrite_in_flight) and (not DLMB_Ready); end generate ilmb_aux_enabled; ilmb_aux_disabled : if not LMB_AUX generate ILMB_Addr <= (others => '0'); ILMB_Byte_Enable <= (others => '0'); ILMB_Data_Write <= (others => '0'); ILMB_AS <= '0'; ILMB_Read_Strobe <= '0'; ILMB_Write_Strobe <= '0'; DLMB_Addr <= (others => '0'); DLMB_Byte_Enable <= (others => '0'); DLMB_Data_Write <= (others => '0'); DLMB_AS <= '0'; DLMB_Read_Strobe <= '0'; DLMB_Write_Strobe <= '0'; end generate ilmb_aux_disabled; ----------------------------------------------------------------------------- -- AVALON auxiliary interface ----------------------------------------------------------------------------- avalon_enabled : if AVALON_AUX generate avm_instruction_address <= iaux_oimm_address; avm_instruction_read <= iaux_oimm_readnotwrite and iaux_oimm_requestvalid; iaux_oimm_readdata <= avm_instruction_readdata; iaux_oimm_waitrequest <= avm_instruction_waitrequest; iaux_oimm_readdatavalid <= avm_instruction_readdatavalid; avm_data_address <= daux_oimm_address; avm_data_byteenable <= daux_oimm_byteenable; avm_data_read <= daux_oimm_readnotwrite and daux_oimm_requestvalid; daux_oimm_readdata <= avm_data_readdata; avm_data_write <= (not daux_oimm_readnotwrite) and daux_oimm_requestvalid; avm_data_writedata <= daux_oimm_writedata; daux_oimm_waitrequest <= avm_data_waitrequest; daux_oimm_readdatavalid <= avm_data_readdatavalid; end generate avalon_enabled; avalon_disabled : if not AVALON_AUX generate avm_data_address <= (others => '0'); avm_data_byteenable <= (others => '0'); avm_data_read <= '0'; avm_data_write <= '0'; avm_data_writedata <= (others => '0'); avm_instruction_address <= (others => '0'); avm_instruction_read <= '0'; end generate avalon_disabled; ----------------------------------------------------------------------------- -- WISHBONE auxiliary interface ----------------------------------------------------------------------------- wishbone_enabled : if WISHBONE_AUX generate signal reading : std_logic; signal writing : std_logic; signal awaiting_ack : std_logic; signal delayed_readdatavalid : std_logic; signal delayed_readdata : std_logic_vector(REGISTER_SIZE-1 downto 0); begin awaiting_ack <= reading or writing; no_single_cycle_gen : if not WISHBONE_SINGLE_CYCLE_READS generate daux_oimm_readdata <= data_DAT_I; daux_oimm_readdatavalid <= data_ACK_I and reading; end generate no_single_cycle_gen; single_cycle_gen : if WISHBONE_SINGLE_CYCLE_READS generate daux_oimm_readdata <= data_DAT_I when delayed_readdatavalid = '0' else delayed_readdata; daux_oimm_readdatavalid <= (data_ACK_I and reading) or delayed_readdatavalid; end generate single_cycle_gen; daux_oimm_waitrequest <= data_STALL_I or (awaiting_ack and (not data_ACK_I)); data_ADR_O <= daux_oimm_address; data_STB_O <= daux_oimm_requestvalid and ((not awaiting_ack) or data_ACK_I); data_CYC_O <= daux_oimm_requestvalid and ((not awaiting_ack) or data_ACK_I); data_CTI_O <= (others => '0'); data_SEL_O <= daux_oimm_byteenable; data_WE_O <= not daux_oimm_readnotwrite; data_DAT_O <= daux_oimm_writedata; process(clk) begin if rising_edge(clk) then delayed_readdata <= data_DAT_I; delayed_readdatavalid <= '0'; if data_ACK_I = '1' then reading <= '0'; writing <= '0'; end if; if daux_oimm_waitrequest = '0' then --Allow one ACK in flight. Must delay single cycle reads to conform --to OIMM spec (readdatavalid can't come back on the same cycle as --read is asserted). if awaiting_ack = '0' and data_ACK_I = '1' then delayed_readdatavalid <= daux_oimm_readnotwrite and daux_oimm_requestvalid; reading <= '0'; writing <= '0'; else reading <= daux_oimm_readnotwrite and daux_oimm_requestvalid; writing <= (not daux_oimm_readnotwrite) and daux_oimm_requestvalid; end if; end if; if reset = '1' then delayed_readdatavalid <= '0'; reading <= '0'; writing <= '0'; end if; end if; end process; instr_ADR_O <= iaux_oimm_address; instr_CYC_O <= iaux_oimm_readnotwrite and iaux_oimm_requestvalid; instr_CTI_O <= (others => '0'); instr_STB_O <= iaux_oimm_readnotwrite and iaux_oimm_requestvalid; iaux_oimm_readdata <= instr_DAT_I; iaux_oimm_waitrequest <= instr_STALL_I; iaux_oimm_readdatavalid <= instr_ACK_I; end generate wishbone_enabled; wishbone_disabled : if not WISHBONE_AUX generate data_ADR_O <= (others => '0'); data_STB_O <= '0'; data_CYC_O <= '0'; data_CTI_O <= (others => '0'); data_SEL_O <= (others => '0'); data_WE_O <= '0'; data_DAT_O <= (others => '0'); instr_ADR_O <= (others => '0'); instr_CYC_O <= '0'; instr_CTI_O <= (others => '0'); instr_STB_O <= '0'; end generate wishbone_disabled; ----------------------------------------------------------------------------- -- AXI4-Lite uncached interface ----------------------------------------------------------------------------- uc_masters_gen : if UC_MEMORY_REGIONS /= 0 generate iuc_master : a4l_master generic map ( ADDRESS_WIDTH => REGISTER_SIZE, DATA_WIDTH => REGISTER_SIZE, MAX_OUTSTANDING_REQUESTS => 0 ) port map ( clk => clk, reset => reset, aresetn => aresetn, master_idle => iuc_master_idle, oimm_address => iuc_oimm_address, oimm_byteenable => iuc_oimm_byteenable, oimm_requestvalid => iuc_oimm_requestvalid, oimm_readnotwrite => iuc_oimm_readnotwrite, oimm_writedata => iuc_oimm_writedata, oimm_readdata => iuc_oimm_readdata, oimm_readdatavalid => iuc_oimm_readdatavalid, oimm_waitrequest => iuc_oimm_waitrequest, AWADDR => IUC_AWADDR, AWPROT => IUC_AWPROT, AWVALID => IUC_AWVALID, AWREADY => IUC_AWREADY, WSTRB => IUC_WSTRB, WVALID => IUC_WVALID, WDATA => IUC_WDATA, WREADY => IUC_WREADY, BRESP => IUC_BRESP, BVALID => IUC_BVALID, BREADY => IUC_BREADY, ARADDR => IUC_ARADDR, ARPROT => IUC_ARPROT, ARVALID => IUC_ARVALID, ARREADY => IUC_ARREADY, RDATA => IUC_RDATA, RRESP => IUC_RRESP, RVALID => IUC_RVALID, RREADY => IUC_RREADY ); duc_master : a4l_master generic map ( ADDRESS_WIDTH => REGISTER_SIZE, DATA_WIDTH => REGISTER_SIZE, MAX_OUTSTANDING_REQUESTS => MAX_OUTSTANDING_REQUESTS ) port map ( clk => clk, reset => reset, aresetn => aresetn, master_idle => duc_master_idle, oimm_address => duc_oimm_address, oimm_byteenable => duc_oimm_byteenable, oimm_requestvalid => duc_oimm_requestvalid, oimm_readnotwrite => duc_oimm_readnotwrite, oimm_writedata => duc_oimm_writedata, oimm_readdata => duc_oimm_readdata, oimm_readdatavalid => duc_oimm_readdatavalid, oimm_waitrequest => duc_oimm_waitrequest, AWADDR => DUC_AWADDR, AWPROT => DUC_AWPROT, AWVALID => DUC_AWVALID, AWREADY => DUC_AWREADY, WSTRB => DUC_WSTRB, WVALID => DUC_WVALID, WDATA => DUC_WDATA, WREADY => DUC_WREADY, BRESP => DUC_BRESP, BVALID => DUC_BVALID, BREADY => DUC_BREADY, ARADDR => DUC_ARADDR, ARPROT => DUC_ARPROT, ARVALID => DUC_ARVALID, ARREADY => DUC_ARREADY, RDATA => DUC_RDATA, RRESP => DUC_RRESP, RVALID => DUC_RVALID, RREADY => DUC_RREADY ); end generate uc_masters_gen; no_uc_masters_gen : if UC_MEMORY_REGIONS = 0 generate iuc_master_idle <= '1'; duc_master_idle <= '1'; IUC_AWADDR <= (others => '0'); IUC_AWPROT <= (others => '0'); IUC_AWVALID <= '0'; IUC_WDATA <= (others => '0'); IUC_WSTRB <= (others => '0'); IUC_WVALID <= '0'; IUC_BREADY <= '0'; IUC_ARADDR <= (others => '0'); IUC_ARPROT <= (others => '0'); IUC_ARVALID <= '0'; IUC_RREADY <= '0'; DUC_AWADDR <= (others => '0'); DUC_AWPROT <= (others => '0'); DUC_AWVALID <= '0'; DUC_WDATA <= (others => '0'); DUC_WSTRB <= (others => '0'); DUC_WVALID <= '0'; DUC_BREADY <= '0'; DUC_ARADDR <= (others => '0'); DUC_ARPROT <= (others => '0'); DUC_ARVALID <= '0'; DUC_RREADY <= '0'; end generate no_uc_masters_gen; --Uncached bus signals are AXI4L, translate to AXI3 if needed IUC_AWID <= (others => '0'); IUC_AWLEN <= (others => '0'); IUC_AWSIZE <= A4L_BURST_SIZE; IUC_AWBURST <= A4L_BURST_INCR; IUC_AWLOCK <= A4L_LOCK_VAL; IUC_AWCACHE <= A4L_CACHE_VAL; IUC_WID <= (others => '0'); IUC_WLAST <= '1'; IUC_ARID <= (others => '0'); IUC_ARLEN <= (others => '0'); IUC_ARSIZE <= A4L_BURST_SIZE; IUC_ARBURST <= A4L_BURST_INCR; IUC_ARLOCK <= A4L_LOCK_VAL; IUC_ARCACHE <= A4L_CACHE_VAL; DUC_AWID <= (others => '0'); DUC_AWLEN <= (others => '0'); DUC_AWSIZE <= A4L_BURST_SIZE; DUC_AWBURST <= A4L_BURST_INCR; DUC_AWLOCK <= A4L_LOCK_VAL; DUC_AWCACHE <= A4L_CACHE_VAL; DUC_WID <= (others => '0'); DUC_WLAST <= '1'; DUC_ARID <= (others => '0'); DUC_ARLEN <= (others => '0'); DUC_ARSIZE <= A4L_BURST_SIZE; DUC_ARBURST <= A4L_BURST_INCR; DUC_ARLOCK <= A4L_LOCK_VAL; DUC_ARCACHE <= A4L_CACHE_VAL; end architecture rtl;
-- opa: Open Processor Architecture -- Copyright (C) 2014-2016 Wesley W. Terpstra -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- To apply the GPL to my VHDL, please follow these definitions: -- Program - The entire collection of VHDL in this project and any -- netlist or floorplan derived from it. -- System Library - Any macro that translates directly to hardware -- e.g. registers, IO pins, or memory blocks -- -- My intent is that if you include OPA into your project, all of the HDL -- and other design files that go into the same physical chip must also -- be released under the GPL. If this does not cover your usage, then you -- must consult me directly to receive the code under a different license. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.opa_pkg.all; use work.opa_isa_base_pkg.all; use work.opa_functions_pkg.all; use work.opa_components_pkg.all; -- Used to implement FP and integer multipliers entity opa_prim_mul is generic( g_wide : natural; g_regout : boolean; g_regwal : boolean; g_target : t_opa_target); port( clk_i : in std_logic; a_i : in std_logic_vector( g_wide-1 downto 0); b_i : in std_logic_vector( g_wide-1 downto 0); x_o : out std_logic_vector(2*g_wide-1 downto 0)); end opa_prim_mul; architecture rtl of opa_prim_mul is constant c_lut_width : natural := g_target.lut_width; constant c_add_width : natural := g_target.add_width; constant c_post_adder : boolean := g_target.post_adder; ------------------------------------------------------------------------------------------- -- Wallace adder tree section -- ------------------------------------------------------------------------------------------- -- Helpful to simplify wallace recursion function f_submatrix(rows : natural; x : t_opa_matrix) return t_opa_matrix is variable result : t_opa_matrix(x'low(1)+rows-1 downto x'low(1), x'range(2)); begin for i in result'range(1) loop for j in result'range(2) loop result(i,j) := x(i,j); end loop; end loop; return result; end f_submatrix; -- Reasonable Wallace-tree reduction sizes type t_options is array(natural range <>) of natural; constant c_wallace_options : t_options(4 downto 0) := (1, 3, 5, 6, 7); constant c_wallace_bits_out : natural := f_opa_log2(c_wallace_options(0)+1); subtype t_wallace_bits_out is std_logic_vector(c_wallace_bits_out-1 downto 0); type t_wallace_lut is array(natural range <>) of t_wallace_bits_out; function f_wallace_table(bits : natural) return t_wallace_lut is variable row_in : unsigned(bits-1 downto 0); variable row_out : unsigned(t_wallace_bits_out'range); variable result : t_wallace_lut(2**bits-1 downto 0); variable c : natural := 0; begin for i in result'range loop row_in := to_unsigned(i, bits); c := 0; -- count bits for i in row_in'range loop if row_in(i) = '1' then c := c + 1; end if; end loop; row_out := to_unsigned(c, c_wallace_bits_out); for j in row_out'range loop result(i)(j) := row_out(j); end loop; end loop; return result; end f_wallace_table; constant c_wallace_lut1 : t_wallace_lut(2**1-1 downto 0) := f_wallace_table(1); constant c_wallace_lut3 : t_wallace_lut(2**3-1 downto 0) := f_wallace_table(3); constant c_wallace_lut5 : t_wallace_lut(2**5-1 downto 0) := f_wallace_table(5); constant c_wallace_lut6 : t_wallace_lut(2**6-1 downto 0) := f_wallace_table(6); constant c_wallace_lut7 : t_wallace_lut(2**7-1 downto 0) := f_wallace_table(7); function f_wallace_lut(bits : natural; x : std_logic_vector) return std_logic_vector is constant c_bad : t_wallace_bits_out := (others => 'X'); begin if f_opa_safe(x) = '1' then if bits = 1 then return c_wallace_lut1(to_integer(unsigned(x(0 downto 0)))); end if; if bits = 3 then return c_wallace_lut3(to_integer(unsigned(x(2 downto 0)))); end if; if bits = 5 then return c_wallace_lut5(to_integer(unsigned(x(4 downto 0)))); end if; if bits = 6 then return c_wallace_lut6(to_integer(unsigned(x(5 downto 0)))); end if; if bits = 7 then return c_wallace_lut7(to_integer(unsigned(x(6 downto 0)))); end if; assert (false) report "Invalid Wallace reduction" severity failure; end if; return c_bad; end f_wallace_lut; function f_wallace(x : t_opa_matrix) return t_opa_matrix is constant rows_in : natural := x'length(1); -- How many rows to combine? variable rows_out : natural := 0; variable row : natural := 0; variable step_in : natural; variable step_out : natural; variable result : t_opa_matrix(x'range(1), x'range(2)) := (others => (others => '0')); variable pad : t_opa_matrix(c_add_width-1 downto 0, x'range(2)) := (others => (others => '0')); variable chunk : std_logic_vector(c_wallace_options(0)-1 downto 0); begin if x'length(1) <= c_add_width then for i in x'range(1) loop for j in x'range(2) loop pad(i,j) := x(i,j); end loop; end loop; return pad; end if; while row < rows_in loop -- Pick reduction step size step_in := 99; -- should never be read for i in c_wallace_options'range loop if c_wallace_options(i) <= c_lut_width and -- supported by hardware? c_wallace_options(i) <= rows_in-row then -- not too big? step_in := c_wallace_options(i); end if; end loop; -- This results in a reduction to step_out := f_opa_log2(step_in+1); -- Map the wallace tree for i in x'range(2) loop chunk := (others => '0'); for j in 0 to step_in-1 loop chunk(j) := x(row+j, i); end loop; chunk(t_wallace_bits_out'range) := f_wallace_lut(step_in, chunk); for j in 0 to step_out-1 loop if i+j <= result'high(2) then -- we know multiplication will never carry result(rows_out+j, i+j) := chunk(j); end if; end loop; end loop; row := row + step_in; rows_out := rows_out + step_out; end loop; return f_wallace(f_submatrix(rows_out, result)); end f_wallace; ------------------------------------------------------------------------------------------- -- DSP hardware multiplier section -- ------------------------------------------------------------------------------------------- -- Plan the reduction. Either using a post_adder (_add_) or not (_raw_). -- If using the post adder, must make sure to use full width so the shift is supported. constant c_dsp_wide : natural := g_target.mul_width; constant c_raw_parts : natural := (g_wide+c_dsp_wide-1)/c_dsp_wide; constant c_raw_mul_wide : natural := (g_wide+c_raw_parts-1)/c_raw_parts; -- use smallest possible constant c_raw_wallace : natural := 2*c_raw_parts-1; constant c_raw_wide : natural := c_raw_mul_wide*c_raw_parts; constant c_add_parts : natural := ((g_wide+2*c_dsp_wide-1)/(2*c_dsp_wide))*2; -- must be even constant c_add_mul_wide : natural := c_dsp_wide; -- must use HW width so shift is acceptable constant c_add_wallace : natural := c_add_parts+(c_add_parts/2)-1; constant c_add_wide : natural := c_add_mul_wide*c_add_parts; constant c_wallace : natural := f_opa_choose(c_post_adder, c_add_wallace, c_raw_wallace); constant c_wide : natural := f_opa_choose(c_post_adder, c_add_wide, c_raw_wide); constant c_num_sum : natural := f_opa_choose(c_wallace<c_add_width, c_wallace, c_add_width); constant c_zeros : unsigned(c_add_mul_wide-1 downto 0) := (others => '0'); -- Register stages type t_raw_mul_out is array(c_raw_parts*c_raw_parts -1 downto 0) of unsigned(2*c_raw_mul_wide-1 downto 0); type t_add_mul_out is array(c_add_parts*c_add_parts/2-1 downto 0) of unsigned(3*c_add_mul_wide-1 downto 0); type t_sum_in is array(c_num_sum-1 downto 0) of unsigned(2*c_wide-1 downto 0); signal r_a : unsigned(c_wide-1 downto 0); signal r_b : unsigned(c_wide-1 downto 0); signal s_mul_a : t_add_mul_out; signal s_mul_r : t_raw_mul_out; signal r_mul_a : t_add_mul_out; -- optional register (g_regwal) signal r_mul_r : t_raw_mul_out; -- optional register (g_regwal) signal s_wal_i : t_opa_matrix(c_wallace -1 downto 0, 2*c_wide-1 downto 0) := (others => (others => '0')); signal s_wal_o : t_opa_matrix(c_add_width-1 downto 0, 2*c_wide-1 downto 0); signal r_wal : t_sum_in; -- result of wallace tree signal s_sum3 : unsigned(2*c_wide-1 downto 0); signal s_sumx : unsigned(2*c_wide-1 downto 0); signal s_sum : unsigned(2*c_wide-1 downto 0); signal r_sum : unsigned(2*c_wide-1 downto 0); begin check_add_width : assert (g_target.add_width > 1) report "add_width must be greater than 1" severity failure; check_mul_width : assert (g_target.mul_width > 0) report "mul_width must be greater than 0" severity failure; -- Register and pad the inputs edge1 : process(clk_i) is begin if rising_edge(clk_i) then r_a <= (others => '0'); r_b <= (others => '0'); r_a(a_i'range) <= unsigned(a_i); r_b(b_i'range) <= unsigned(b_i); end if; end process; -- Deal with simple DSP hardware raw_mul : if not c_post_adder generate mul_rows : for i in 0 to c_raw_parts-1 generate mul_cols : for j in 0 to c_raw_parts-1 generate s_mul_r(i*c_raw_parts + j) <= r_a(c_raw_mul_wide*(i+1)-1 downto c_raw_mul_wide*i) * r_b(c_raw_mul_wide*(j+1)-1 downto c_raw_mul_wide*j); end generate; end generate; -- Register the results of native DSP blocks -- This is bypassed when g_regwal is false edge2 : process(clk_i) is begin if rising_edge(clk_i) then r_mul_r <= s_mul_r; end if; end process; -- Remap the DSP outputs into the wallace input -- Example: 7 parts => 12 rows = 2*x - 2 -- AAAAAAA -- AAAAAAA -- BBBBBBB -- BBBBBBB -- CCCCCCC -- CCCCCCC -- DDDDDDD -- DDDDDDD -- EEEEEEE -- EEEEEEE -- FFFFFFF -- FFFFFFF -- GGGGGGG --GGGGGGG <<= wraps around rows : for i in 0 to c_raw_parts-1 generate cols : for j in 0 to c_raw_parts-1 generate bitsl : for b in 0 to c_raw_mul_wide-1 generate s_wal_i((2*i + 0) mod c_wallace, (i+j)*c_raw_mul_wide + b) <= r_mul_r(i*c_raw_parts + j)(b) when g_regwal else s_mul_r(i*c_raw_parts + j)(b); end generate; bitsh : for b in c_raw_mul_wide to 2*c_raw_mul_wide-1 generate s_wal_i((2*i + 1) mod c_wallace, (i+j)*c_raw_mul_wide + b) <= r_mul_r(i*c_raw_parts + j)(b) when g_regwal else s_mul_r(i*c_raw_parts + j)(b); end generate; end generate; end generate; end generate; -- Exploit DSP mul+add architecture add_mul : if c_post_adder generate mul_rows : for i in 0 to c_add_parts/2-1 generate mul_cols : for j in 0 to c_add_parts-1 generate s_mul_a(i*c_add_parts + j) <= (c_zeros & (r_a(c_add_mul_wide*(2*i+1)-1 downto c_add_mul_wide*(2*i+0)) * r_b(c_add_mul_wide*( j+1)-1 downto c_add_mul_wide* j))) + ((r_a(c_add_mul_wide*(2*i+2)-1 downto c_add_mul_wide*(2*i+1)) * r_b(c_add_mul_wide*( j+1)-1 downto c_add_mul_wide* j)) & c_zeros); end generate; end generate; edge2 : process(clk_i) is begin if rising_edge(clk_i) then r_mul_a <= s_mul_a; end if; end process; -- Example: 128 has 8 parts => 11 to combine (instead of 15) -- AAA -- BBBAAA -- CCCBBBAAA -- DDDCCCBBBAAA -- EEEDDDCCCBBB -- FFFEEEDDDCCC -- GGGFFFEEEDDD -- HHHGGGFFFEEE -- HHHGGGFFF -- HHHGGG -- HHH rows : for i in 0 to c_add_parts/2-1 generate cols : for j in 0 to c_add_parts-1 generate -- j = the letters in the example bits : for b in 0 to 3*c_add_mul_wide-1 generate s_wal_i(c_add_parts/2-1-i+j, (2*i+j)*c_add_mul_wide + b) <= r_mul_a(i*c_add_parts + j)(b) when g_regwal else s_mul_a(i*c_add_parts + j)(b); end generate; end generate; end generate; end generate; -- Compute the Wallace tree result s_wal_o <= f_wallace(s_wal_i); -- Register the result of a wallace tree edge3 : process(clk_i) is begin if rising_edge(clk_i) then for i in 0 to c_num_sum-1 loop r_wal(i) <= unsigned(f_opa_select_row(s_wal_o, i)); end loop; end if; end process; -- Hold quartus' hand. Appalling. ternary : if c_num_sum = 3 generate prim : opa_prim_ternary generic map( g_wide => 2*c_wide) port map( a_i => r_wal(0), b_i => r_wal(1), c_i => r_wal(2), x_o => s_sum3); end generate; -- Finally, sum the output sum : process(r_wal) is variable acc : unsigned(s_sumx'range); begin acc := r_wal(0); for i in 1 to c_num_sum-1 loop acc := acc + r_wal(i); end loop; s_sumx <= acc; end process; s_sum <= s_sum3 when c_num_sum=3 else s_sumx; reg : process(clk_i) is begin if rising_edge(clk_i) then r_sum <= s_sum; end if; end process; x_o <= std_logic_vector(r_sum(x_o'range)) when g_regout else std_logic_vector(s_sum(x_o'range)); end rtl;
-- $Id: gray_cnt_gen.vhd 418 2011-10-23 20:11:40Z mueller $ -- -- Copyright 2007- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: gray_cnt_gen - syn -- Description: Generic width Gray code counter -- -- Dependencies: - -- Test bench: - -- Target Devices: generic -- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25 -- Revision History: -- Date Rev Version Comment -- 2007-12-26 106 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.genlib.all; entity gray_cnt_gen is -- gray code counter, generic vector generic ( DWIDTH : positive := 4); -- data width port ( CLK : in slbit; -- clock RESET : in slbit := '0'; -- reset CE : in slbit := '1'; -- count enable DATA : out slv(DWIDTH-1 downto 0) -- data out ); end entity gray_cnt_gen; architecture syn of gray_cnt_gen is begin assert DWIDTH>=4 report "assert(DWIDTH>=4): only 4 or more bit width supported" severity failure; GRAY_4: if DWIDTH=4 generate begin CNT : gray_cnt_4 port map ( CLK => CLK, RESET => RESET, CE => CE, DATA => DATA ); end generate GRAY_4; GRAY_5: if DWIDTH=5 generate begin CNT : gray_cnt_5 port map ( CLK => CLK, RESET => RESET, CE => CE, DATA => DATA ); end generate GRAY_5; GRAY_N: if DWIDTH>5 generate begin CNT : gray_cnt_n generic map ( DWIDTH => DWIDTH) port map ( CLK => CLK, RESET => RESET, CE => CE, DATA => DATA ); end generate GRAY_N; end syn;
-- $Id: gray_cnt_gen.vhd 418 2011-10-23 20:11:40Z mueller $ -- -- Copyright 2007- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: gray_cnt_gen - syn -- Description: Generic width Gray code counter -- -- Dependencies: - -- Test bench: - -- Target Devices: generic -- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25 -- Revision History: -- Date Rev Version Comment -- 2007-12-26 106 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.genlib.all; entity gray_cnt_gen is -- gray code counter, generic vector generic ( DWIDTH : positive := 4); -- data width port ( CLK : in slbit; -- clock RESET : in slbit := '0'; -- reset CE : in slbit := '1'; -- count enable DATA : out slv(DWIDTH-1 downto 0) -- data out ); end entity gray_cnt_gen; architecture syn of gray_cnt_gen is begin assert DWIDTH>=4 report "assert(DWIDTH>=4): only 4 or more bit width supported" severity failure; GRAY_4: if DWIDTH=4 generate begin CNT : gray_cnt_4 port map ( CLK => CLK, RESET => RESET, CE => CE, DATA => DATA ); end generate GRAY_4; GRAY_5: if DWIDTH=5 generate begin CNT : gray_cnt_5 port map ( CLK => CLK, RESET => RESET, CE => CE, DATA => DATA ); end generate GRAY_5; GRAY_N: if DWIDTH>5 generate begin CNT : gray_cnt_n generic map ( DWIDTH => DWIDTH) port map ( CLK => CLK, RESET => RESET, CE => CE, DATA => DATA ); end generate GRAY_N; end syn;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:15:52 11/21/2013 -- Design Name: -- Module Name: C:/Users/etingi01/EPL221_FALL2013_MIPS32DSPprocessorID_948282/My_TOP_outs_tb_948282.vhd -- Project Name: EPL221_FALL2013_MIPS32DSPprocessorID_948282 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: My_TOP_948282 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY My_TOP_outs_tb_948282 IS END My_TOP_outs_tb_948282; ARCHITECTURE behavior OF My_TOP_outs_tb_948282 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT My_TOP_948282 PORT( Clock : IN std_logic; Reset : IN std_logic; InstrAddress : OUT std_logic_vector(9 downto 0); InstructionNum : OUT std_logic_vector(31 downto 0); RFReadRegister1 : OUT std_logic_vector(4 downto 0); RFReadRegister2 : OUT std_logic_vector(4 downto 0); RFWriteRegister : OUT std_logic_vector(4 downto 0); RFWriteData : OUT std_logic_vector(31 downto 0); RFRegWrite : OUT std_logic; RFclk : OUT std_logic; RFReset : OUT std_logic; RFReadData1 : OUT std_logic_vector(31 downto 0); RFReadData2 : OUT std_logic_vector(31 downto 0); RFReadData3 : OUT std_logic_vector(31 downto 0); CUOp_Code : OUT std_logic_vector(5 downto 0); CUFun_Code : OUT std_logic_vector(5 downto 0); CUMemToRead : OUT std_logic; CURegDist : OUT std_logic; CURegWrite : OUT std_logic; CUMemWrite : OUT std_logic; CUAlu1 : OUT std_logic; CUCarryIn : OUT std_logic; CUAlu0 : OUT std_logic; CUMemRead : OUT std_logic; InAlu0 : OUT std_logic; InAlu1 : OUT std_logic; InA : OUT std_logic_vector(31 downto 0); InB : OUT std_logic_vector(31 downto 0); InB_Inv : OUT std_logic; OutResult_alu : OUT std_logic_vector(31 downto 0); RAMDataAddress : OUT std_logic_vector(9 downto 0); RAMclk : OUT std_logic; RAMreadData : OUT std_logic; RAMwriteData : OUT std_logic; RAMDataIn : OUT std_logic_vector(31 downto 0); RAMDataOut : OUT std_logic_vector(31 downto 0); beforeRF_A : OUT std_logic_vector(4 downto 0); beforeRF_B : OUT std_logic_vector(4 downto 0); beforeRF_Op : OUT std_logic; beforeRF_result : OUT std_logic_vector(4 downto 0); Select1_secMux : OUT std_logic; A_secMux : OUT std_logic_vector(31 downto 0); B_secMux : OUT std_logic_vector(31 downto 0); out_secMux : OUT std_logic_vector(31 downto 0); Select1_thirdMux : OUT std_logic; A_thirdMux : OUT std_logic_vector(31 downto 0); B_thirdMux : OUT std_logic_vector(31 downto 0); out_thirdMux : OUT std_logic_vector(31 downto 0); Select1_fourthMux : OUT std_logic; A_fourthMux : OUT std_logic_vector(31 downto 0); B_fourthMux : OUT std_logic_vector(31 downto 0); out_fourthMux : OUT std_logic_vector(31 downto 0); Select1_lastMux : OUT std_logic; Select2_lastMux : OUT std_logic; A_lastMux : OUT std_logic_vector(31 downto 0); B_lastMux : OUT std_logic_vector(31 downto 0); C_lastMux : OUT std_logic_vector(31 downto 0); D_lastMux : OUT std_logic_vector(31 downto 0); R_lastMux : OUT std_logic_vector(31 downto 0); RF2_ReadRegister1 : OUT std_logic_vector(4 downto 0); RF2_ReadRegister2 : OUT std_logic_vector(4 downto 0); RF2_WriteRegister : OUT std_logic_vector(4 downto 0); RF2_WriteData : OUT std_logic_vector(31 downto 0); RF2_RegWrite : OUT std_logic; RF2_clk : OUT std_logic; RF2_Reset : OUT std_logic; RF2_ReadData1 : OUT std_logic_vector(31 downto 0); RF2_ReadData2 : OUT std_logic_vector(31 downto 0); RF2_ReadData3 : OUT std_logic_vector(31 downto 0); address : OUT std_logic_vector(9 downto 0) ); END COMPONENT; --Inputs signal Clock : std_logic := '0'; signal Reset : std_logic := '0'; --Outputs signal InstrAddress : std_logic_vector(9 downto 0); signal InstructionNum : std_logic_vector(31 downto 0); signal RFReadRegister1 : std_logic_vector(4 downto 0); signal RFReadRegister2 : std_logic_vector(4 downto 0); signal RFWriteRegister : std_logic_vector(4 downto 0); signal RFWriteData : std_logic_vector(31 downto 0); signal RFRegWrite : std_logic; signal RFclk : std_logic; signal RFReset : std_logic; signal RFReadData1 : std_logic_vector(31 downto 0); signal RFReadData2 : std_logic_vector(31 downto 0); signal RFReadData3 : std_logic_vector(31 downto 0); signal CUOp_Code : std_logic_vector(5 downto 0); signal CUFun_Code : std_logic_vector(5 downto 0); signal CUMemToRead : std_logic; signal CURegDist : std_logic; signal CURegWrite : std_logic; signal CUMemWrite : std_logic; signal CUAlu1 : std_logic; signal CUCarryIn : std_logic; signal CUAlu0 : std_logic; signal CUMemRead : std_logic; signal InAlu0 : std_logic; signal InAlu1 : std_logic; signal InA : std_logic_vector(31 downto 0); signal InB : std_logic_vector(31 downto 0); signal InB_Inv : std_logic; signal OutResult_alu : std_logic_vector(31 downto 0); signal RAMDataAddress : std_logic_vector(9 downto 0); signal RAMclk : std_logic; signal RAMreadData : std_logic; signal RAMwriteData : std_logic; signal RAMDataIn : std_logic_vector(31 downto 0); signal RAMDataOut : std_logic_vector(31 downto 0); signal beforeRF_A : std_logic_vector(4 downto 0); signal beforeRF_B : std_logic_vector(4 downto 0); signal beforeRF_Op : std_logic; signal beforeRF_result : std_logic_vector(4 downto 0); signal Select1_secMux : std_logic; signal A_secMux : std_logic_vector(31 downto 0); signal B_secMux : std_logic_vector(31 downto 0); signal out_secMux : std_logic_vector(31 downto 0); signal Select1_thirdMux : std_logic; signal A_thirdMux : std_logic_vector(31 downto 0); signal B_thirdMux : std_logic_vector(31 downto 0); signal out_thirdMux : std_logic_vector(31 downto 0); signal Select1_fourthMux : std_logic; signal A_fourthMux : std_logic_vector(31 downto 0); signal B_fourthMux : std_logic_vector(31 downto 0); signal out_fourthMux : std_logic_vector(31 downto 0); signal Select1_lastMux : std_logic; signal Select2_lastMux : std_logic; signal A_lastMux : std_logic_vector(31 downto 0); signal B_lastMux : std_logic_vector(31 downto 0); signal C_lastMux : std_logic_vector(31 downto 0); signal D_lastMux : std_logic_vector(31 downto 0); signal R_lastMux : std_logic_vector(31 downto 0); signal RF2_ReadRegister1 : std_logic_vector(4 downto 0); signal RF2_ReadRegister2 : std_logic_vector(4 downto 0); signal RF2_WriteRegister : std_logic_vector(4 downto 0); signal RF2_WriteData : std_logic_vector(31 downto 0); signal RF2_RegWrite : std_logic; signal RF2_clk : std_logic; signal RF2_Reset : std_logic; signal RF2_ReadData1 : std_logic_vector(31 downto 0); signal RF2_ReadData2 : std_logic_vector(31 downto 0); signal RF2_ReadData3 : std_logic_vector(31 downto 0); signal address : std_logic_vector(9 downto 0); -- Clock period definitions constant Clock_period : time := 10 ns; constant RFclk_period : time := 10 ns; constant RAMclk_period : time := 10 ns; constant RF2_clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: My_TOP_948282 PORT MAP ( Clock => Clock, Reset => Reset, InstrAddress => InstrAddress, InstructionNum => InstructionNum, RFReadRegister1 => RFReadRegister1, RFReadRegister2 => RFReadRegister2, RFWriteRegister => RFWriteRegister, RFWriteData => RFWriteData, RFRegWrite => RFRegWrite, RFclk => RFclk, RFReset => RFReset, RFReadData1 => RFReadData1, RFReadData2 => RFReadData2, RFReadData3 => RFReadData3, CUOp_Code => CUOp_Code, CUFun_Code => CUFun_Code, CUMemToRead => CUMemToRead, CURegDist => CURegDist, CURegWrite => CURegWrite, CUMemWrite => CUMemWrite, CUAlu1 => CUAlu1, CUCarryIn => CUCarryIn, CUAlu0 => CUAlu0, CUMemRead => CUMemRead, InAlu0 => InAlu0, InAlu1 => InAlu1, InA => InA, InB => InB, InB_Inv => InB_Inv, OutResult_alu => OutResult_alu, RAMDataAddress => RAMDataAddress, RAMclk => RAMclk, RAMreadData => RAMreadData, RAMwriteData => RAMwriteData, RAMDataIn => RAMDataIn, RAMDataOut => RAMDataOut, beforeRF_A => beforeRF_A, beforeRF_B => beforeRF_B, beforeRF_Op => beforeRF_Op, beforeRF_result => beforeRF_result, Select1_secMux => Select1_secMux, A_secMux => A_secMux, B_secMux => B_secMux, out_secMux => out_secMux, Select1_thirdMux => Select1_thirdMux, A_thirdMux => A_thirdMux, B_thirdMux => B_thirdMux, out_thirdMux => out_thirdMux, Select1_fourthMux => Select1_fourthMux, A_fourthMux => A_fourthMux, B_fourthMux => B_fourthMux, out_fourthMux => out_fourthMux, Select1_lastMux => Select1_lastMux, Select2_lastMux => Select2_lastMux, A_lastMux => A_lastMux, B_lastMux => B_lastMux, C_lastMux => C_lastMux, D_lastMux => D_lastMux, R_lastMux => R_lastMux, RF2_ReadRegister1 => RF2_ReadRegister1, RF2_ReadRegister2 => RF2_ReadRegister2, RF2_WriteRegister => RF2_WriteRegister, RF2_WriteData => RF2_WriteData, RF2_RegWrite => RF2_RegWrite, RF2_clk => RF2_clk, RF2_Reset => RF2_Reset, RF2_ReadData1 => RF2_ReadData1, RF2_ReadData2 => RF2_ReadData2, RF2_ReadData3 => RF2_ReadData3, address => address ); -- Clock process definitions Clock_process :process begin Clock <= '0'; wait for Clock_period/2; Clock <= '1'; wait for Clock_period/2; end process; RFclk_process :process begin RFclk <= '0'; wait for RFclk_period/2; RFclk <= '1'; wait for RFclk_period/2; end process; RAMclk_process :process begin RAMclk <= '0'; wait for RAMclk_period/2; RAMclk <= '1'; wait for RAMclk_period/2; end process; RF2_clk_process :process begin RF2_clk <= '0'; wait for RF2_clk_period/2; RF2_clk <= '1'; wait for RF2_clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for Clock_period*10; Reset<='1'; Clock<='1'; wait for 100 ns; Clock<='0'; Reset<='0'; wait for 100 ns; --Reset<='1'; Clock<='1'; wait for 100 ns; Clock<='0'; --Reset<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; Clock<='1'; wait for 100 ns; Clock<='0'; wait for 100 ns; wait; end process; END;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity add_419 is port ( result : out std_logic_vector(26 downto 0); in_a : in std_logic_vector(26 downto 0); in_b : in std_logic_vector(26 downto 0) ); end add_419; architecture augh of add_419 is signal carry_inA : std_logic_vector(28 downto 0); signal carry_inB : std_logic_vector(28 downto 0); signal carry_res : std_logic_vector(28 downto 0); begin -- To handle the CI input, the operation is '1' + CI -- If CI is not present, the operation is '1' + '0' carry_inA <= '0' & in_a & '1'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB)); -- Set the outputs result <= carry_res(27 downto 1); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity add_419 is port ( result : out std_logic_vector(26 downto 0); in_a : in std_logic_vector(26 downto 0); in_b : in std_logic_vector(26 downto 0) ); end add_419; architecture augh of add_419 is signal carry_inA : std_logic_vector(28 downto 0); signal carry_inB : std_logic_vector(28 downto 0); signal carry_res : std_logic_vector(28 downto 0); begin -- To handle the CI input, the operation is '1' + CI -- If CI is not present, the operation is '1' + '0' carry_inA <= '0' & in_a & '1'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB)); -- Set the outputs result <= carry_res(27 downto 1); end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2848.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity WHEN is end WHEN; ENTITY c13s09b00x00p99n01i02848ent IS END c13s09b00x00p99n01i02848ent; ARCHITECTURE c13s09b00x00p99n01i02848arch OF c13s09b00x00p99n01i02848ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02848 - Reserved word WHEN can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02848arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2848.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity WHEN is end WHEN; ENTITY c13s09b00x00p99n01i02848ent IS END c13s09b00x00p99n01i02848ent; ARCHITECTURE c13s09b00x00p99n01i02848arch OF c13s09b00x00p99n01i02848ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02848 - Reserved word WHEN can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02848arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2848.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity WHEN is end WHEN; ENTITY c13s09b00x00p99n01i02848ent IS END c13s09b00x00p99n01i02848ent; ARCHITECTURE c13s09b00x00p99n01i02848arch OF c13s09b00x00p99n01i02848ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02848 - Reserved word WHEN can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02848arch;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
-- Author : K. Abdelouahab -- Company : DREAM - Institut Pascal - Unviersite Clermont Auvergne library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity conv_slave is port ( clk_proc : in std_logic; reset_n : in std_logic; addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0); enable_o : out std_logic; widthimg_o : out std_logic_vector(15 downto 0); w11_o : out std_logic_vector (7 downto 0); w12_o : out std_logic_vector (7 downto 0); w13_o : out std_logic_vector (7 downto 0); w21_o : out std_logic_vector (7 downto 0); w22_o : out std_logic_vector (7 downto 0); w23_o : out std_logic_vector (7 downto 0); w31_o : out std_logic_vector (7 downto 0); w32_o : out std_logic_vector (7 downto 0); w33_o : out std_logic_vector (7 downto 0); norm_o : out std_logic_vector (7 downto 0) ); end conv_slave; architecture rtl of conv_slave is constant ENABLE_REG_ADDR : natural := 0; constant WIDTHIMG_REG_ADDR : natural := 1; constant W11_ADDR : natural := 2; constant W12_ADDR : natural := 3; constant W13_ADDR : natural := 4; constant W21_ADDR : natural := 5; constant W22_ADDR : natural := 6; constant W23_ADDR : natural := 7; constant W31_ADDR : natural := 8; constant W32_ADDR : natural := 9; constant W33_ADDR : natural := 10; constant NORM_ADDR : natural := 11; signal enable_reg : std_logic; signal widthimg_reg : std_logic_vector(15 downto 0); signal w11_reg : std_logic_vector(7 downto 0); signal w12_reg : std_logic_vector(7 downto 0); signal w13_reg : std_logic_vector(7 downto 0); signal w21_reg : std_logic_vector(7 downto 0); signal w22_reg : std_logic_vector(7 downto 0); signal w23_reg : std_logic_vector(7 downto 0); signal w31_reg : std_logic_vector(7 downto 0); signal w32_reg : std_logic_vector(7 downto 0); signal w33_reg : std_logic_vector(7 downto 0); signal norm_reg : std_logic_vector(7 downto 0); begin write_reg : process (clk_proc, reset_n) begin if(reset_n='0') then enable_reg <= '0'; widthimg_reg <= std_logic_vector(to_unsigned(320, 16)); elsif(rising_edge(clk_proc)) then if(wr_i='1') then case addr_rel_i is when std_logic_vector(to_unsigned(ENABLE_REG_ADDR, 4)) => enable_reg <= datawr_i(0); when std_logic_vector(to_unsigned(WIDTHIMG_REG_ADDR, 4)) => widthimg_reg <= datawr_i(15 downto 0); when std_logic_vector(to_unsigned(W11_ADDR, 4)) => w11_reg <= datawr_i (7 downto 0); when std_logic_vector(to_unsigned(W12_ADDR, 4)) => w12_reg <= datawr_i (7 downto 0); when std_logic_vector(to_unsigned(W13_ADDR, 4)) => w13_reg <= datawr_i (7 downto 0); when std_logic_vector(to_unsigned(W21_ADDR, 4)) => w21_reg <= datawr_i (7 downto 0); when std_logic_vector(to_unsigned(W22_ADDR, 4)) => w22_reg <= datawr_i (7 downto 0); when std_logic_vector(to_unsigned(W23_ADDR, 4)) => w23_reg <= datawr_i (7 downto 0); when std_logic_vector(to_unsigned(W31_ADDR, 4)) => w31_reg <= datawr_i (7 downto 0); when std_logic_vector(to_unsigned(W32_ADDR, 4)) => w32_reg <= datawr_i (7 downto 0); when std_logic_vector(to_unsigned(W33_ADDR, 4)) => w33_reg <= datawr_i (7 downto 0); when std_logic_vector(to_unsigned(NORM_ADDR, 4)) => norm_reg <= datawr_i (7 downto 0); when others=> end case; end if; end if; end process; enable_o <= enable_reg; widthimg_o <= widthimg_reg; w11_o <= w11_reg; w12_o <= w12_reg; w13_o <= w13_reg; w21_o <= w21_reg; w22_o <= w22_reg; w23_o <= w23_reg; w31_o <= w31_reg; w32_o <= w32_reg; w33_o <= w33_reg; norm_o <= norm_reg; end rtl;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2304.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p37n01i02304ent IS END c07s02b06x00p37n01i02304ent; ARCHITECTURE c07s02b06x00p37n01i02304arch OF c07s02b06x00p37n01i02304ent IS BEGIN TESTING: PROCESS BEGIN -- Test dividing the predefined type TIME. assert ((1 min / 1 sec) = 60); assert ((1 sec / 1 ms) = 1000); assert ((1 ms / 1 us) = 1000); wait for 5 us; assert NOT( ((1 min / 1 sec) = 60) and ((1 sec / 1 ms) = 1000) and ((1 ms / 1 us) = 1000) ) report "***PASSED TEST: c07s02b06x00p37n01i02304" severity NOTE; assert ( ((1 min / 1 sec) = 60) and ((1 sec / 1 ms) = 1000) and ((1 ms / 1 us) = 1000) ) report "***FAILED TEST: c07s02b06x00p37n01i02304 - Division of a physical type by another physical type (predefined TIME) test failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p37n01i02304arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2304.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p37n01i02304ent IS END c07s02b06x00p37n01i02304ent; ARCHITECTURE c07s02b06x00p37n01i02304arch OF c07s02b06x00p37n01i02304ent IS BEGIN TESTING: PROCESS BEGIN -- Test dividing the predefined type TIME. assert ((1 min / 1 sec) = 60); assert ((1 sec / 1 ms) = 1000); assert ((1 ms / 1 us) = 1000); wait for 5 us; assert NOT( ((1 min / 1 sec) = 60) and ((1 sec / 1 ms) = 1000) and ((1 ms / 1 us) = 1000) ) report "***PASSED TEST: c07s02b06x00p37n01i02304" severity NOTE; assert ( ((1 min / 1 sec) = 60) and ((1 sec / 1 ms) = 1000) and ((1 ms / 1 us) = 1000) ) report "***FAILED TEST: c07s02b06x00p37n01i02304 - Division of a physical type by another physical type (predefined TIME) test failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p37n01i02304arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2304.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p37n01i02304ent IS END c07s02b06x00p37n01i02304ent; ARCHITECTURE c07s02b06x00p37n01i02304arch OF c07s02b06x00p37n01i02304ent IS BEGIN TESTING: PROCESS BEGIN -- Test dividing the predefined type TIME. assert ((1 min / 1 sec) = 60); assert ((1 sec / 1 ms) = 1000); assert ((1 ms / 1 us) = 1000); wait for 5 us; assert NOT( ((1 min / 1 sec) = 60) and ((1 sec / 1 ms) = 1000) and ((1 ms / 1 us) = 1000) ) report "***PASSED TEST: c07s02b06x00p37n01i02304" severity NOTE; assert ( ((1 min / 1 sec) = 60) and ((1 sec / 1 ms) = 1000) and ((1 ms / 1 us) = 1000) ) report "***FAILED TEST: c07s02b06x00p37n01i02304 - Division of a physical type by another physical type (predefined TIME) test failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p37n01i02304arch;
-- NEED RESULT: ARCH00267: Parameters are initialized passed -- NEED RESULT: ARCH00267: Parameters are initialized passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00267 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 2.1.1.1 (1) -- 2.1.1.1 (2) -- 2.1.1.1 (3) -- 2.1.1.1 (4) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00267) -- ENT00267_Test_Bench(ARCH00267_Test_Bench) -- -- REVISION HISTORY: -- -- 17-JUL-1987 - initial revision -- 08-JUN-1988 - EL - remove tests of out params being initialize -- -- NOTES: -- -- ACCESS TYPES ARE FIXED AT NULL VALUES -- -- self-checking -- use WORK.STANDARD_TYPES.all ; architecture ARCH00267 of E00000 is procedure proc1( int_in : in integer ; real_in : in real ; bool_in : in boolean ; bit_in : in bit ; chr_in : in character ; lev_in : in severity_level ; time_in : in time ; phys_in : in t_phys1 ; int_inout : inout integer ; real_inout : inout real ; bool_inout : inout boolean ; bit_inout : inout bit ; chr_inout : inout character ; lev_inout : inout severity_level ; time_inout : inout time ; phys_inout : inout t_phys1 ; acc_inout : inout a_bit_vector ; int_out : out integer ; real_out : out real ; bool_out : out boolean ; bit_out : out bit ; chr_out : out character ; lev_out : out severity_level ; time_out : out time ; phys_out : out t_phys1 ; acc_out : out a_bit_vector ) is begin -- this tests 2.1.1.1 (1) and 2.1.1.1 (2) test_report ( "ARCH00267" , "Parameters are initialized " , (int_in = 5) and (real_in = 3.14159) and (bool_in = true) and -- note: bool covers enum types (bit_in = '0') and (chr_in = 'Z') and (lev_in = WARNING) and (time_in = 10ms) and (phys_in = phys1_2) and (int_inout = integer'right) and (real_inout = real'right) and (bool_inout = boolean'right) and (bit_inout = bit'right) and (chr_inout = character'right) and (lev_inout = severity_level'right) and (time_inout = time'right) and (phys_inout = t_phys1'right) and (acc_inout = null) ) ; -- now set the inout & out parms int_inout := 20 ; real_inout := 25.5 ; bool_inout := false ; bit_inout := '0' ; chr_inout := 'Y' ; lev_inout := NOTE ; time_inout := 2 ps; phys_inout := phys1_3 ; acc_inout := null ; int_out := 20 ; real_out := 25.5 ; bool_out := true ; bit_out := '1' ; chr_out := 'Y' ; lev_out := NOTE ; time_out := 2 ps; phys_out := phys1_3 ; acc_out := null ; end proc1 ; begin P : process variable int_inout : integer := integer'right ; variable real_inout : real := real'right ; variable bool_inout : boolean := boolean'right ; variable bit_inout : bit := bit'right ; variable chr_inout : character := character'right ; variable lev_inout : severity_level := severity_level'right ; variable time_inout : time := time'right ; variable phys_inout : t_phys1 := t_phys1'right ; variable acc_inout : a_bit_vector := null ; variable int_out : integer := integer'right ; variable real_out : real := real'right ; variable bool_out : boolean := boolean'right ; variable bit_out : bit := bit'right ; variable chr_out : character := character'right ; variable lev_out : severity_level := severity_level'right ; variable time_out : time := time'right ; variable phys_out : t_phys1 := t_phys1'right ; variable acc_out : a_bit_vector := null ; begin proc1( int_in => 5, real_in => 3.14159, bool_in => true, bit_in => '0', chr_in => 'Z', lev_in => WARNING, time_in => 10ms, phys_in => phys1_2, int_inout => int_inout, real_inout => real_inout, bool_inout => bool_inout, bit_inout => bit_inout, chr_inout => chr_inout, lev_inout => lev_inout, time_inout => time_inout, phys_inout => phys_inout, acc_inout => acc_inout, int_out => int_out, real_out => real_out, bool_out => bool_out, bit_out => bit_out, chr_out => chr_out, lev_out => lev_out, time_out => time_out, phys_out => phys_out, acc_out => acc_out ) ; -- this tests 2.1.1.1 (3) test_report ( "ARCH00267" , "Parameters are initialized " , (int_inout = 20) and (real_inout = 25.5) and (bool_inout = false) and (bit_inout = '0') and (chr_inout = 'Y') and (lev_inout = NOTE) and (time_inout = 2 ps) and (phys_inout = phys1_3) and (acc_inout = null) and (int_out = 20) and (real_out = 25.5) and (bool_out = true) and (bit_out = '1') and (chr_out = 'Y') and (lev_out = NOTE) and (time_out = 2 ps) and (phys_out = phys1_3) and (acc_out = null) ) ; wait ; end process P ; end ARCH00267 ; entity ENT00267_Test_Bench is end ENT00267_Test_Bench ; architecture ARCH00267_Test_Bench of ENT00267_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00267 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00267_Test_Bench ;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.tb_package.all; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity bit_in_gen is Port ( command_i : in command_rec; enable_o : out std_logic; done_o : out std_logic_vector(gen_number downto 0) ); end bit_in_gen; architecture Behavioral of bit_in_gen is signal s_enable : std_logic:='0'; signal s_done_o : std_logic; begin done_o(0) <= 'Z'; done_o(1) <= 'Z'; done_o(2) <= 'Z'; done_o(3) <= 'Z'; done_o(4) <= 'Z'; done_o(5) <= 'Z'; done_o(6) <= s_done_o; enable_o <= s_enable; p_main: process variable value1 : string(1 to 8); begin s_done_o <= '0'; wait on command_i; if command_i.gen_number=6 then if command_i.mnemonic(1 to 6)="enable" then if command_i.value1(8)='1' then s_enable <= '1'; else s_enable <= '0'; end if; elsif command_i.mnemonic(1 to 4)="stop" then -- start <= false; end if; s_done_o <= '1'; wait on s_done_o; end if; end process p_main; end Behavioral;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NwREbpoByAoA98RO0Xo5XQHSvmfc2x7Aw9t2ia9JNPUeRzJpiOUYYfKTpspBAw/hIvkRf/5ZLbe3 iCdefxqDug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NnEHrtF9kTHW4PRxYeyQDMWdgL15s3/FFgbvkQk+/17p056vLtDkpl8bsVx5L+zdU96dxX1r5yTJ wDVxnylDUX8D6/v6ag2xUeExXI+8gSTcSQo2U57VZM0T2FVonW/EJBm0HCu/Jshb4nhDcrLLuMBQ unwWwozUXDkw8MakpV4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oBhuJ+nqLChcD3yuBkoIoTIy+Q5vkW7lL96BsvUMIWUWKIvbg4hMq4iPYZcM0162dD+Ylt1wSvWp iX9kygbzVwM2jtPN5+44cFSpLz33tEssIllWQlPxSfqzkFcgWSiJEK7pkao/aXsrjnHdk3G53gZZ YPxPp5psAESNJIkM3+V8eFXtjM/F4BpVTpyfyjKkxhU0rECCXu//eg/ZrGTC6a2Naq2UuvRW6JQ5 sjw50N9FMPfq1HNY0w2uVEV0ieGelZ0Po1p9iVPkaeoM4/m35qRJatzxkmEfUn8AUlEiDj9HP5iY RMG0+HFkyj/hejHQ782JcIlk7Lv3KQEj4Yt08g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qHYu5l1IXfjjSDCXG+Tmr0jXvp/cg7vpyDyHUCKxvmB1apZcZo9Uk8tmBcgtS9vGSL81S93aiyLG g/QCKPdXoZfM8KmAIcsnMuAEWtJ6a9/nQbrbiOKX5UXlm6RWmMRGbr9UTv0YHp3/uSRkZLb4rBBo TWPqYGn7Bv7YT2rrMA4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block i47HGB+86buEfmt3w4kcTiNxkOqzl05nVPCi+IBbrpCTWxeS716xvQiRwgQUk+JGmlPrag65i++Z I150Iuj2cjpwfXHrMgszfpSi9P0tYf5Ys/npwnQ2ZrXPGP//yUZVtlTONkHnRIWkQsPSYWWF1vOQ T79sJ4pC3rxjkMC+SnHdC+dtIjUJ2sRf/bFK1nhYNT5nrar0AC5lIBU4dGI20QABjWPf5NdA2FWu 6a1gnMakcDoNPj71CcF3N97zoc1Jk10lCE3xyHyVq7jlBwBAAKzyjGKIgBqXXL9XRNnLtbbp+yS3 0IfmHY+yBDEzy15YJ3/dlxZCCHJ+8BjqaeGV/Q== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ie14k6kA9XHOrQJAX0a4M6DCQ1E1XJntZUT0CI72PUZIWOxOXE9ZpANYSXpWObCrcFodNWCSYwux VfOK9hL8zATqtLayZwapqymBAYz8/R3QLegtwDwoMHjbeEhxRbePeoMizpZ3Y6AuFszbwbd7Mumv wZtnrt0Yuz6tW6kOzoaY5AZn9guP009pUk9+j6f7OWmiQ1h70UVV7GrLTMkGK6285bakUiyEp5es KfBVON7h5CtLLq/F1qnYhFfKjx84H7JvlXtgjZ60EYltZ0L58K1FX+7yOEinydhfsk3xQPjoL08b Tja+f3wZz1MSWTyfi/ERf/JpYV70CYcykMyolg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 69472) `protect data_block zPi2en3afJbtvTfPPJPFhKxbSDtDu2SHJW2jcEo4vvAkEPGZJeEoHynrOZhAAa9I9zuRzRrcCaGX 3yqqUSTjudxs7H9Y2+qUFJhfGA/xqwlYry43QF3qQT4ufMpUA+eh/v8niC/4u6hxx6071YVK9y4B uj3j6fxzVTHICjuZAsE7JQuusouUMcZnzWyhlbeK8wxB6JgnrYtiYOqwRaBGE4Wba7KXSdlvR+ee 9KF/TBe7hxURFLvKjNPxCPx6pD4x1NMutFcT6mQal+Dj3jtLRhTihC8LPCLZHe6dpuJO/FJxwgWY 89Xy569NUQ7uwexz1q43qEUqZtouFFsb95DysK2M9LLctd0cb8omBCTP/hfXwr6wHXHCT1Apmimt lxIy6GkO5jqGfcGaNCT1w1vg490CewINOeiEQL+qewWHi47tYxJJbiIkA2hriBKW4QCDRDm+1U58 XlNJLnRyuHrjDYMlFUOZ0B3z9wYpZaqPOwb7aELfgrS5V2pZjVw+5jCTIkj9bKu+cC7BPbL0dpyO t1k7ag3oEK62UBjtIiAzei3BPFoPi1XMz7EiHUGl/IVS8VJcOnqGVruE9b9m1bsLmWoPN12NWkX2 1dR3nCFbuMcP2L2AOizTaE8gigzsc+l0xGTcm1jqgkZ7vPsd5KS/kwNYeQBdXJFwshZQhsGzHMsh NIpDrXdRcyKExiELkB4g/Qm8SgNNsOm0VKGU70DBiqBNTtxr7iVyC5+tp/yB4yXzUkJLy8kM3f/o rqSRkK0v/l3V+wDRZIebANPlywh0ec7PNme4c5sSzCWdcHA5Btau129H2aSMS5VM7e+GVl7325Di SmwuFBQvKuywatJpPG6Pi9q+Wckpda/G+CpAV+6QkSVHoqnGXjmtJshZttg2OIJIKXECXoEED1C/ deN5j+Ca9ve3xRyopQHAoa0aWZfrAed1KOOItLb4c4gmlGDYTVhPLvnNt+peME2JAd9nluxst3d+ LkAzs8vD2RZVY6uc2H88RHpboqiDulpTljSefB79N0cTHPLNM+bJib5wZobsXtmiDzs2F2JcN6R7 Gy4tHpFfEs68mxpAaprwT/lzLs/qDGYXlyk8jKNarI2QAYAb+QUWVTxClMrAfgWQUWYPsPIgTcRB BLP428hf/hE/iVeedCV1XWuRgImcx0E8//3dLModfQxlckZZUj8rDiR7mRH4JC0cdayGE+CeLIvQ NSgsvaYX4m/t9g+9Geqnawa4roIbRCHZGTvl9TEr9H53vAr8eXBljiC0teW6cH6NIr8H9LtCkRBI sUY6JmMRTuNuu5a1kG1z6LXH8T0On3wTswNYDcydRE5nIFYVcV1y0HKeja8gAq3QhAdgt8V7gES+ GiyZRozQJadD4b1woWUBtykBFraVaYkZzOK7EMSAZM1r/qc3Lx4YzxXM8W21ilG7CO9xD3KruRoO J5mFb3V9r89mDPHmcxS12n9ChjQLEbu+jSVtw+ahSWQ06tWWXo4ipSVGWoUr5xmwR88AGEpEId12 rErM23Cw2RNEladbuWkGG1eA0yjh0pzmqqPrO9LzdHZwn/Enl632H8ZTzCV/LxagyxD0TduGC5eA 81y2QLIUhdN41SBzFV3Etzdpdks6+YrPQIVUB94RPfmtJud86JaQM5sHcmMZWDHHl7SGFUkoj96E iGc0VInAjIE8pSpFt8zEsd9INv+8joYn+zH/spqKNno4o5rOe/lIbwwErAChKuIQkxyS8OB63XCR 2ILcrc+zrBU8U0mBcYJXD37Kk0LfZNFVwrZOf4teFKhSNZmQIARSi3hHyjVVv0Pdf7vo9/t2cr/X R9Z7mB9slGefewdNdMVdTM/4UcofhfwN0PXurQmB7bQYvJ7gtEBkrAz81srqurz0czI7rfT2Oj+K zWgTyfhB8Er/i6B3oWbA8pIdm/0DBK6rNs9KDSY74EuFjoKOhJZDvRSfa5RhbxKmHXNj5ziq6olu BtH2iXNEVV8TZH+QDNaKTMIr5TLQ9/QhB/fTa2VHUMY+TdVOe8nnI06AHr2fDWxjS2lCHrT+ItN/ eVmY/al9qZw3ZUBYX5dSO0lyDLXgFGiBQVApXFHKXdDK0fQ7OAZZSTeGZ4dXZPnH2RCDAUHI5cVy uL6bIw5mi2QAeq99lCsrfGYFhreCXywUvzG6Xj/ZLlyizKFiTmUQbiFPHY6zd+xRNYSLFn/EkKW9 XDbjBzgiho2gMk6Az4fRjr0uLA45M712/1X74UbKP7hLYAvgeNyTTeeEqqgOKVMJ7JIZD2nCGzC4 D0ZwyviwiFPW6Qlpj2eL2Rhl2IkHBlOOUitE+gDpUSJvKgLL0uQ2EBHlHRTeipXrk3eApJ/qyC0V k7Yuo/XoK8ws/iKqqK1897eN7EGtTvsWEfBuwQ693FcTSITSOSwDpFVpLq1n7ngNTjXjDFfO/sRO kA/QBHzwHpoh2/wh4/Qy165nY5ai8stR9Hz1CBdv5zIar933qHXSpccKKinCAdWaR0KnxnnbotLN wu6NeknWAtO/TxrwWy9CNhlHLNWHwBcUQmMl8WIq2g11gAJgfq7zWOz/rEE3tD/NibWT59M+BQ5y xAn56eVwwW+CXT2TPsnoa445nCZSUUtpWUhfGENn3dTstOupIEPjLQtukVF+kMg2yjNbOZcrCEzU 63jFEofGic4DWXrRnv+2QaRUslTKIHoSvCArFhGzjig30RTLmXi1Ypd0Fziln+GJ5uG9swKvJVx9 4SgMSYMzoF+8697OX1dHPcOdhWixGWddWg1aGdxpBxblE5GzcNMDbmN59KkHOGoEVigC1BMQ0asy StG3G+wYBWO9KX+XD/SsWYpRsS3OzrVxxXkIYXrVkANyhuV5EejBowv0Y4dW/iRLxwkPSlAfSmvT ZXli2ar++nozwwiDUz2m3Hw9T6hEw6oHWxllRmhAU9nNzYOln2odM55DmmTw5/x1z5f48hxCYPzD REXAdeINrJgscESen16E2prtukhiBCbMwF/g9WPNXFB4IOIR7Nvr6g4q5XUMeE9bh/SRLrTiHOzO ekFzFpNeMI6yWv5Uyz1O3J/QjFkWH1HO2aEN8wfMKilpzvqY/kGXbCfZXVrZwXZ1I1H0G/MqQFOU Yy0wQCxsrus2uhXoSR/USxLtfLRMXBaakGvVvyfODD5AIAePXqhPT2MCk5TdAJW9QajrO/f82cYW A+a7u7My3iPR34/7EcF2F3SNRRCzcoP4b53aBjwaqQnaUdNL0IUsAu8vEQiXG/F5DStAJy7lYm5W BrneStDzscv8ypbr10gZykKLd7MrqQK4Em9lOzz3cvhDr3t23YlIMutNGh+gKufls1z6+U+lePRl +9znSlPoZPgH6mT/byym27zAFhnm3rCrk9Lsup/SYQEPA95q4+fdsQINPtPU5n69dxGBUjJqhPmO 1KanqTT9E3/6XYtiOA/o2IdMtQiSGf2qb/Ieirv8wrlY0Z1OWICyGqqnsbnw4RwYU+BFKJIrz7jF 6fvm1y1i6Tkhq+GiKPbIV2n5cnSBbS9UJleO6aqgJ8X1IBWRNYiWRukBNPCF/A1yq10MMMC9Qp5P FybLqLDp9Kf+TUXEieRBJJNIBmPc4G5LWUaZ6qWoybbVp7hxozXVLHBG5I2SNS57+XAhrpkJew+z EGNO/Z8h56E2DVJQe+YHP0TcqUe2IsnJ5IHg+10fsaVrqcaGH5nx6pZ6CkChECJ2DuQ6jnD/u+un +v1SzKzgebVcVT8e360CAKuNJxb1raqExSIZAfs8mIatJE15IljhNPGiY00bINjKT9hUy0PbTj/t e8c4KXI621ph4qNB0Nnzu/LRQfevZJ+Wct2SP/b1SokhTc9vt4CYdMH9KrTIwrdCXKnoVUh7KYIe /kfvITsRPkrslGySBwwT4azk3BVA7MUluTyrOaf7KrOp21ugspU75JupjDIv26hyI40tqQTpLCBn WwmlmV/DMDQrCctFpLwtqz3NiDnDsxC8FEqYWSiOymN6mcHRPK7kdk4L+U854lu2+vHCVI0OFlMz WX7e6RYLB+GdkALpOR/fvyezV92lChq/a08Hj0QOHZPsFQKXQBjUu+a4FLJajQ9+tukm4SELLfou rZZKd4lNBYNs18QncHDsaPy+jWBQCTrN40h7N3pnZ/bem3/PJ94IwYCA/K61dX10AGdhRmdJut/l sjjcHcq+iF4nprrd1kWN+IN9X/gW88PdH+rXNgoawesBL53Z8+ZrFz2s+cbdxgdTZI7Evf0pvs++ u1/82C+sKqDq19qEk2Q4DNg2/TyHU03NbnIleWTtD+11Kq801OLQkxX/miEfjdbSV0gH6U8Ffv6i MhYrHMaxHp+MVXGL+rzmVV8jTFxU1HC1JCMV5W363OtYzG5MUdA8ZqLLr5rdFz1IUrVs9/xMt+D6 Mrpwkl2g4HxEvKOHtlig1iFB9okmB/4RjmtBRBangtrHmfX0XaDljxEcY6FC0p8wvKKj57oVX8Mp tkWGF969Wl7OcAwajuro5NCINKL69uV72Z/96/l6Mr/ki6IUe0WNVxCytUn48TixMl8hj1plU8mS J0Jp0yaYE9xWd7ayOGzf5x3A95TRemlV8KF0wvPpaIwRXYGoOXEL+HfkDci/l4egfIWSEp8/9Quv 4/pP4WqgwEB9HXz8JP5SsIENPaAjPx0Y4EqXydGUZ2U7EhikIZ8YbQFi9aqWxln8iUjCvR5+w8SD oD8gQhPevfrZ19oDWmJYGVGdtcllk4L10PzyUiPmheRfKMMzzNV/1ILxIRKiR+JRPLEFKap9EY5T izSkBKZPyQJ8OzhAS4bwWpj5FjY07YjQLFMIQNBtlR61PL6yG/tsEy35wBTjo6iECVagtxhqj0yg b2pj79Td+15benylRoBySy7sT/44X1I8knL9hRJXdfiqd9Pn8ChQ83V4U3NfVEzNGI3sRUgH8I2p WC/RnMYpqcHNX4JEjpUtJzpBdFnApVl0Ye8GYsKPfWbe52Gmwxe1WZY2XpQ6E4w1on5NKpKDeP4z 7Njx8jfhYfCYML4q7ELqmRN64/cZgc063tz8IKu12BZEbh1KKw1WH+Q8EpStTKqmP9xB+CXd/J1h VoCF20SB3TNQvzivuRPNT/uYD+HSfCFzMe5sRau7ds7MQs7o3Rp/jCDsD7aP1CmkvE9zjkmQwIT+ I7eDnEC2M2xs8YfsJBZk0EzoiIGuj/iNzjTZ69kvDMyRPP/llgyzLcX5fHwKvGVjqotqy4L0j77I 4L1mrxj2VX1XUsnAZj0M6lQwHjvX8HHdvoahFilTA2TtMjGFGJpYhe99BVzjFu7HFRX7pSk/oarB oLxqQ28SOpQq0Xbus1Au1sNrau6uIq36RCCjsg8tGuZYiIGIiNtuoJrXMgOK04Rel0LMTrhdvCEj sL6S3930pphIv4ndtHKji/x/D8bOihXEvhXzPHWsH91eiM4Yw8nKHMkTdpqaKgi3NhwWnAALdrU9 HNVpypG75bGEJNIqrbYwnonyUigK7hnIU7ggdiy07dJnpYeAZ3c74Nxh2EA2w8nn0FsHfneaTN+b ZxdsaQ6mbWjL3vnMfbmPanAc9sDqBMN3nVNeCJJIkC3qP83dBYJrT8OESYlLjfxwgS47Zxp5QmmM gKgh3gF3SaTp9TIQ6gzNKaFM0BseoeXu74dV3r/DjxaIA3zKqKxaFmWdkSTH5tJ49qfXECIulTRC kc7e8SiTP/SStnMD87OX6QWhVXfHOURk71rwV9wje3Hd4DppDGOrTlYH/k+PnrkC2C9mKzfXDa3i II+T84S0uyObcS4N+APrFqJDaSajBuoKDLpFOTuBe4AdLXh5ejFuxqa4z2eCIIuAA2c9MhpzNy+q qzfwIhoUeFfS3ztW3NdYSYqgG2bZ/0CdOEOU9gYg4fv6k7hpd48Pm/rjgXXeDT9cdirstavvBw32 zysiEO13E1cDJGhL4HqK1BP7X9HeSfEN82zoRidrKJq4UbL9Y6uI17Gy41pouBc2t5z7O5xQtDAC Ostwnk3XCfFybMmM5ALpP9DKS3gODctr4ZuwSZ7j/nD4+/jaHn+O33SZTAq8Dx86/0DM0iR0YZjE rBuKjb9PdlUCJTaPqZYc6EHEjQTitICUE901Krl7DhfvuZheDQrpWvOwqG/xEzNcj54X//2DEOGY 8GCMdczOLmLr76hP4jVE9CuPIOmCQHQ2bq2T2fmHyQi8lCEM3K7q5G634O4TVyqoBWv8gXwBE6Gf A96qRFfT1O+rzgF4WxCvZ+8mssE6DUzkM4h1nf+kDbTT1kJ/Xs0MAUTaHx/GVIOGvDAWcw3Sq61i l3rGGfuygkhL/OEfAoJV1g3mVEH86OrGnGjnoaHJMcNpxAfMe3STcarUbN8CM1fPIOxVBzJySaUz fXHBllJTTgmD3YPNbV6OX3hH3a4AiLYGgE31W1KY/uDY7mMQ6vOinELnNWhL+OjzTmFhG5npnaXj KgptZECGoYQaJF1HnSIUinVOV4OALngpUnSdYJSdYLyLjRdM/LkClmYJkYhqlnpq9mAKcpbk7wlJ +qegHZNErMuwxE6a23zD0s08GssZFAgS9p77enVSxysDIdD3ZPw2i4dOjJO1BHUBAHwoOaEFW7mC mpp8693aA4GNOJrMO3E/kiZUmjtYoZ4b5CErp+7NKdr23URihfV9yJBHfurTrN/5OBv2GMlzuyKe bKzdNGHeov4qmwv+8+xfxzF5sJdUXJetyfWN7kTLHMHPnrSCeLOmvuyDJszZ8GUm2OOay07pt5je /T6K4g1YzHAoLuahIcSx2mxG+9CtTva87zuZ4l7I1+auc4CDc68OSur9EAkR0AaB2x+tb2s7/YSO 4O4bF7IizF4MYA8ygwU8Kfz05VF8OwyYbVmOTnIaWFCs7LFo+wC2lCORLJhTlBKrpNRrIpJhRcWU Wpv9LSBCNCw1OmIxL7/H4TR3DY14aN7epPWL1V18PJFkIRjhzJxQDT9dM2WYLv/AXsuRnUygdnNc kdhh8yWKAH9O3RdH2YRVZ1wh0ySGDSZd1F6lrWlpxfxR1ZFhICK8K045VMXl/ZhqO2vVwpIDexjR LmmXz5sf84a6bgsUekbNNcKCNcrO4JPISoWT/+gXv23MhlocXcaiaHvsxSAz3MHD70QQFGKMerGM U9FsW+U1tiLGbtCuH5GxU2eO5bJvozOXstimuB0I6rtQePH9UJ9zeuD9lGrSOlYt5sCgYNoBw87M 17O8A+9dKswrKtfEOEIe+kW7bRxP8WW2y+XUJqupoaTxxUAVn212ARZu3Yje+hCL8TaJKoVGG6FK 3u5vF5Lmk8BZBQV8j0R5zeE2Ir0BJuj9bcLALXPr/EqjF1xQcm3qUDb1HlaZT4VdldZ2aTGNLqnu H5jaAqdzMOliU2svIg/+soFPipF2ciT37SuVeQ5yDYHX5Hr9TD1wkukRirDB7NIHn+DEfxXF+ovR uO+ggXnj4ooVN3Gzd8CTZfqkTlnyd2XhDcUebjCk+NqgaQPErRrdKMRV3AXZkshwQiutnNIoIsOm 45DV866GYoIXNAI0huf6VRO7Nr87FmQe9opiSoXZ/D8ZbRKHSCx0jMAFSq//qapCSxarWebfi4F8 2RhnOnNTsIp7WaRmClHfErKXOTedoeGffRZ312mMmYSFC21mMppmJva0PqLPwsZ4bioCS0NXMTny q0z7qUQpk0i8pPqeHRF/y6EpKsLv2ZFu42tDTUKDUdhcMOfC3B+DXc7J6+pkhquSM2rz3/QSgicK XBRKBqMiBqQXb6xiUHIk4O6X4Rb400ZEkx28cFwNtPfqKCf8il87wq1j6Zu6svddWsL89OZdVCyG 2E/oObQqn0R1JcgHJhBMzGVnnSczE3zjQ2Fwf/YZFZ1Tx1h9VVIfKOBkFX43BjzNZcuT0WugHwuL QMqeBn7CnbYloQzdSCcFFOIXg8VFvoRO6cwadEFhIUu/wqkYRGz2bzeNMKuUQNbz84M8NOrU9+CC Oq//fQwuHOqvU1oncIx29LzdauVQFCDBxgAZn3qpo6fwVmpVl6ZNCz1HOQTysaV/IaZisevEJVHX jfYUVuIQUfbqdlPX8FNwJY/eDZ/Zr/Mz87uexDJNLYPuLfPGZTuWE2qsJfAUyjC0xzSoi24wY0cY 6g3JRLMyyIIC8IGxTht37w+xVCGbbzfQKtfu/Q/FsGTBk9ORIMtWbmgXG5lrTZOEYzE1HC/4ZEyk +E/MDT3Zll3HYYbgoUdN0bVhLmM3eeDEJ67/EVnKxurvXwF/W/BEZA/z31234se41vCw0UXK4xKU 9oLo9TvxLk+UNctaC8asBpmQtyQjY4jl+ebGsn23Ay21LlQfQeNGWDneyfN+lXj+cQOfuPzTV/2t rt6r3OXnULRPXmTJqFs7v39HTOVP8WNBTJ23qe+dK93kLAo2UfFjruVONJjWKKw2G7Pd3ILgwfHm 75qlvYh1NNlUESgpVAQ4hwXdtOkYBp7U9AYULCkXWCgm84/QuqQ2p8vDOXyqaK/E7++Gy5pzpV07 rQGdP3HlFoaPgOM7Dqs/SrfyIXC12Kj9DTxcR2AHz5gXUgaw1OqdFF4acgMNvVzCkDVSgwyivj8g /UXMQCYqet9Rm4LPib+p6Sd3IHaKfejmj3erUVIf3eSlwhsg8HhZp3EwHHJFiKHJ8GWO14NAbEsZ NEkXTbHsAT/ZwEn8XjGZpmrCAurjih1wR2de3cZAwdFnDRDdgNvg8ikTFW1jhHuQkFITK4pmesZX 3GcAjtXyij7gC84YYTbBnc3SLe2NQwMLOeLVRXksDvCzCNeIs8rwyno2Asa3kzICRyg0cbO90um9 Tuy6z2/5iGWO99UCZkau+C7WauFOAuoP/DCryHEXZAvkWll0drD2jL1uHKUtZlR6pMR/vASNIk7B sOl+5EeExPLG9XLxrPcnVOVOc0UWYLZJkWPy9Tx9zXYd3RqIwAfp4rIZXXqVSAJcm3tbOnGYyPMU yPLyHo4gK/8U2OllbuA13k0fZD1kGzA/Ud8yNjNYehESDQZD/YlnG2xFp7KWzmylBT1kLn2GepTG 5S9WnLyY3rykWBeA4eKV02Z9ZEeejbswhD7u262eBGcpaUGJ1ucawQQsHRxJ/VwDTi6va7U8Mqpg Mapo0nYfHiuIjibgaGo0jvyo23AhmhmycEtfDTd5RYOOxtEMlciZwNVla50acnET0/zMZr1pV3GQ MKLb4jVbBV+aQfka+vyWhkUbLsRsC/RTHmNjm1du3jcYxo1v8Kgp0beMzW5LEiLExaTVFqBNJ7Zv SaBgoHrHEu5am0ww8JMWfOI5gty8vaLDQudkwfDzDaQuERVqm9FsheTLXKXpn+43dMCsiD/KW4iD pvn8fKwrTc8qH6w7wBhkkuNwPNral+igX8XlUD/NF4uUxeunSNDv0KJYHS2RCBnbTItKNYv/XQ60 ojlpjlgd2zOcYnJvNfjNT6t6S47rNMdYblxSX6rjpWowaCC9l1NxBZ630LXzDvetcfp+N5P2sYwh but6QP0C/EwFazuxYHhqUkZGSGmrCpsjw37lXMxhwsT0gRzg3DVbC0UZ2K4kp1xX0pPl+4JWI8Gn kd/pzI/jguDQH5zygFpg2wXcuR2f83ifWGFV7ynJBisIhhsf5YC4Y/SJdS/NPVNDcc3yo8axljUV xrdusTpPPDuDGpOdyorgcM6k0e663dv1nWsD9w1V7DtYt5B5QvQb3HBfSmCUDivlAm9o5ZutYBIN ZmwyM2f4esH19qoo4TkZ52K5TycoEaDc1mu8L9vPkycolvY3TzU8o0ecfxBO7/5hQJrNEq+X2IgF ESahtIKJ+OYTwh/9E8Je+bfhqIztOOJZLuXiXnnpEb3j9iNW45KcBr1ZPzcO+IpgcY4ygBgIIhnq 7OYGRatr2VTW0vNXI8M1W9XaJjo3rp121pe3o1gEYAw9jqRndub1zARL/FEMtnABhxNou6WW+gUz S+7/TGUwTnluyD2jXDcbwb3g5u0L7TRvpflTnbqzoUx+OI/IwfOy7boKqCBSnGz3GbUemtBN4+qR qVOqJZMpRlX8cClYrQ7LiLN2J5ENiOUR2N62FC1omhqFCgenl5/QeTFGami8a9aJcRZD0LecGA3R dDv5otzn5lLbSNsyq9NZ+nGHMyuB8wTC9GOLc9cZMp9jCH6mAkbG2lDL5/98PX0y18qg8OB/nOfO Q1ktCk7zfijg/VPkP0ALuKTzOnM6Or1dgfRC84rXchaI8eR8T8dejGRF2oHGLA7CkLa5y4CpT+uD bFOccmvkLIhRl5FFTGzbbclRhB+rZvn7Tq1cBp555Qy8GRVSxnSTPWWZN0kczRQfCNU/rK319Tf1 4FJz+39au6eLrn77tKl0L6VQX3ez+IlTdX+/JRQu/d9viijcesghmi7STQQFDE3CSQysEck+oyQt tO7hREosA5nM1mRCN2hFZll6KN1u64h6prFuvixUOnHB+4MiLQtY4w8A39MycDwSsoAoBEO7YMLk 40py5V7/dDTxirrSXzzOWkkj/n4qNQhYuhCJmybNNFJbUq4Jjug4Oin8gJkeRvz1xmIcD+7NrGlr GchyrNn/dT2hQtr1mcGZhWUmmGeBIC7mqEldi2BLU/oQUnbMgZoIkmQrTBm2VqEUL2OhUsaVoCD+ HgniPJ7XPqxzirAMefpXWgIpGoJEA9zZ0c2MlAI1IEC6QKD8P6KiR52mvb1RQrxBf4UXW+prwFMh xhnfsfZB6PH6JdPS5ZnH+box/9DEFih4UwvKdvDvEPFl0wYxa89XBXyeRDmjXDlNbkI5tKc8ZMj5 YGUdbjXJi7lS4SX8GxJeorAsfSDF/qXHEJYKLU3zIdCTxmCA0uiOvR2lB/U5zdLJOeN+4M+MYc1r /P4H8IXfcbmjqPnIbi+ZaSIMmjtkFCGC/07GBpG6Oc78lqVvGCWsr6nn75psnfv15YIQxJbTp8Ra 1CrlrmzzMloFLTfkic025IICldAo6xW0xT5ha0CNABGtmdk1g8/OKbNlB24U+5V54QtCTIK9zdLW thF+ZXi4x3v7s1pOJk980J+kconI23cwYVIJNnSdLdEczi0srm9NLYZhB24wA+G7qDBtkTUtCB7L eZwncR84NqKTgbAwo6W7kj4yV1P61mjRoqyyRm6dqYwDo2gP/7RDv5TZvYgnUxR1yNUXQ0Vvp/wu zZQ4go8MHa/8RMXYGCm6sd1PWlUljwXa+LztPUqeGASA8R8B/5rmhaw3B7sfjv7/+r0FXSnGl1Ma U8oOtl9Q7QdLoMdcmBtr2Yd+Psomjs3mKXfX9nAJpsa7LXeiuicH0Jae+zUvK7XNvUsIXEGuLVA9 RMN9GAKJJQR8iWU0oLQ0RA0j392T81ynDVyxyVu4NDEWQpfvNHewP06JQr7mGPbXV/tRpcVhd8MV B/ku/CL+vup5piAQ6uAnO8mUcv/mcX4Sn4+ckK1I8XCzU7VFdRslJBWS+K0Il5IHu7BSxkUaaifW 4zFslEtQo1QucIBaoy2YNyCdXAxWvmsV1HoxSm3KVNv9LgUikh72noaRzqmBNDYiqeqAvVcI21fL dHH00FIkKB315ozvESUY8Mepyru0n5tFlBZxnskNNz/L/NNG3TN+7x6N/9nIxjMSMDIcfcZ4ImOy 8OXSmfVnPLhuNxamsmY+Fz8qRlusyhC1o5b4fYUUKB9sDDsZc5UPYCjZpQg9yiLnhcjhpRLxilrq DjCC0yM4LT6KJhH4j2ugGbxML2NRILlocnwpEyxzOOjiR0DPQCsYJdBBH2jMnD/zIjYJyxO6z8pH GAo+dTQtISMZUKw5z+cvUPi0sIjxy0DgKoPIqjlv6nxgfo0qfg98ibVp/hdzJXYjkeEzaclMwqXr BQ22frgOoM68No45FaLXeSBj0HhRJ1WMMdD/B5Yv5ehma44rXzkXlLKOKJeVgmqbzFvNeA4rXvEs WBG+Wt+wXRRA2hR5SbUNMo/DJ77bqs+NgOC2MdzQSvRLQ6fjRkrVHtfwFd7PKlnbtpdsQ3auk9E/ epQLE5K3sIZKREzde7XTKh4Ec+92wnHErwQHYRZ02sqCPfVp3mJ2Sy5k8peLjB2yYhjhjkeKdAri 8A5oQItX3jllnyxs42NzAKRfd9OnYI7RsnLy8xtbgLVlLomZYQuONGcicXWzkvBVEI1iWdVTLPg8 6CU2L22zUraD1fs4+CkWMSu3q1u9T7u4766YFgcE2g+TcntGiHpMkCJX+jRNjysjUKgP/zWlO/Sx 4+dGqaPzpfAJexNUDnbqKGpoQJXvP3miBpvFPAkdSqbp3hebJj9CjWxd19SHiwIT3RotfDKqJGje 1tgAqlAPnV1VtMvVPEpwn5AfOT1yzGYd7i1GuPCBKt53xhTSYR7cGLRL0mGJtY1x6O+DPzRmKH00 the6sayPp/X2br+CRUmPd5aIClsVVKQdMhU7tl+uRDzADweK+IBinlaeiULqqfVfgi9VESPsOJx1 hLiDjr281ly8dMb3dkArIkXaCZdFlYSRbMfcs+AeyY7C47Nbll5u+AFSRLTSVSwknH2Ut+lwarMg Z0RyTVN3xVd4WrKinoClbsszuWlfIBvFLkoDIboy8jUGnAcIXyZr1QdxJRKrx/RaWyamugp5IEBS G2ay13pbQWKbi55OZfIcNeKJRMipVR7h3/sZyFxH9KdmG36Nw1dJYKiRwrdEMFlhzkYwBko8skLz jSVKOuRybafRJmf6sUOgW4gA4sm4KqfIazTDYdVGhWo2oDBqv2psLhUpTDYYkcPVtrYQIs0FGoQO 70caGmk+zCFX1FzGQYcF2quZEHn2efjAMf9wvlcZNk0agz9Zx1DHCt0N3uS/RgLmDSdTHhFJcFVn tF3j4CzZW+ZTNdJLxQ1yI1iktJwQJbY5JOvuBt9+DIAiahdRl6JYFYw/2K+4xJA0H2Zt6JRApRNw JwPd9ooUeB4IxAPZqTixuuz7G1LOghYfHJ2GgNJkyoxeNGpq/ruYoLSRndNz1P4GY8Dnli8NGRgi g6r2ahwc/7+lQpnJNVEBBtmuElpy53I6q6D/bzP776OoKaDoIxIEqgySzzoCzcxB2YP3iUivwAaX ewUB7sBmrriGW1i59cF0/Jjs1i9vrqLTsbKErevDD+bwhwASJzimMWmq9wI6xf2TbAnrPu5yQ9xT vI/ZQFzliTBIynmWuaL11Dz/FmRPrsGti6zKYyap0RDaVpZaYxsLmx6fqsf4FQOoOd8jNvruq9o3 S/tlRkA1YHT6U9nccSr68BQFOYUTBQzu9WXlTZjkrAV/TCxgBAohLx8tXxCHFuT01DyyqBV+TOoT S6t9Y5DJpR6I4i2iMLcV0UyM0HL9YEcg0MqLnxVixJM9BBjlD74n/GJDJy4guJOLCaDYQ01ilWFO oCvxALypLZiwllx+3btMrZFe2oiESW2tjDDAkPosubMgq9uc1D14qcA+yEy1Lv1VlrODXfqzqdmG wid99oCTfwtdnQfwg2bU2OVSyaSvpePmWsN4XZMr8E2im10ved1iQHiD/N/QpeQdNAGHREOPjMl2 JuY2xFDeWDvH/jNOWFeDp1hT70UftvRBuyAMFvveyF2YJusCN3c+t+Kk+rJfvf1flKZTLrSH4wyX tYPLC8hlOUYjXsGY8DW+6oJCMtJxGiqj5wHWfk6ytuvEG+i1S25UW6DfhYj8ZIdJVn+q1NPMyqgA AcAKmDlVep8kfp66ixLupOELCKQEsGPP9rFnSWOeG0MFl9ZpyN/1iDjt5sU4PDvQ1I3t+myo7xni PFSYOhlJCdwhTKUPE66LXxX+0gX15jMXDZ5xB3cHeHLc8nN/uRVb5XblxiykrraJX8kLnIOH1hMH pbMsoRRaQUdgYi2aU6aYuXKa+rfqOCYTV1/qhh04c4ibuFQPZ7VmUs0UrakooWg6jvTdEsTbIUfP QkGL88IivvTuMgMD9d02y5Ndv9GTfu0AZRXq8Krd+/9h7mjTkCw7ec6FV7ubAluQnZpp4gdZFprd PktCnIVzEahr4ASTFurHrn8jEKoqeehwH8jIf/5D/hdJr9rNtwrGUn29lRl7Jjfqygwm7204cRv1 4PkCBex+wd6GEh9KGP1XcP5HY0AKksLaAjaDhi8eJYkYFe1N3fbSOBSU0s+gaQ7hGbuB4Jg9pmSF 6wQWp3kJ/aNqwg4FlHLV8HciqMa8CoIpY1jDS3ApApflgeB+cckh94p0l3Rw3NN8xDR0n8QlHW1t /jQPsu6tz35wENmRM1E5pq3eDTPTEgb1l4ftxRY7w57lRGFmwwaLhzF5dJi7T8KGuVoFbN/w8E6x nml8Pczms3kYZycUpoKDRX4G5N33Nx4rpRcUaLwKb3a/tYurSp0UF5PczdPbQb55beF1ojcTVh2r BoPW6LDjO3iMAxgV/WMk2aHTC0ThmIwkOZGDEgDgiEv1IBnfgQvkkFMff3IhJVL/gsn8pRWkojs9 mA+gp5rfzNzGCk+iH7cgtjqjuxuEss8XoeRdvgrB8MciNWkrcdnXD2rVC30mTOaVsBeLTl6LoS66 UxZlCbxo3+GgoI1862N8RHPS29y7p4oYjgRzJvvyFvlOlgVUtFqjM12Hyh+wo1QPFz1sQXiG4ra4 syfEurvk4ICtI/f4Aidpg62D/MSR+FJrPHs5wIAa+VOSqrnvV7gHugrlaLHzplFsv+EVCAT0dZTY w9DSGGs9ODqYyWw7Ltrqyp18uHwoQkusYMUkYxEl5HbWtkwgzw8vS4asFFZGzr2h/2+19YFFewyq sfMZFyogUxx3u4TJisKJ1bd8RAe59yfadexfaJNF1lUSxcBRI9S3hTWaEMj54OIxsVEjqn5xaORa noLhl9VK1YBBLHPcEhf6KrF6VaiIjUs542iyzWWegoRo87tl8BJ9+MsKPzGmfUcsnj3/eJtsj/zy jRjUE+D16T2Z2mfNn4xqtie49hJbr4QOIUOWewX3YAU2eV44iAUI2cX7lddrFRbqn2oINjDqFVjF 0j6anjeQMmENm9MTEKAKxrdKezaKmvtdnHAhEsIRlSRrTieENWkO21ihavDnkwN0KcAmvtGWdVn8 wQSrnrGMTN8McOGWAIX2PVj0CdcxSQ/eal+OWed9U163ZbyZ+fMhCo0bDr1/mRkfzmVqhUd/5T2s 8Z/8AOPUwODVkysGsGmBUwNFVAued+N6rsRFmAtc+z68h5j7Z3pfvr0U0LkqSULQDZhZcln73qPv YRCszqX3tDM7vCr0knVrkmr0aTF/mL8xJlRfpeajwKR+/OI5A+pdonx1y/lgvUdtiLiqo1HrGbB+ maOHjQGKX2GX4tSKBcY+G4pbR/nShWtLC1BeHSu0iaL4X19jvmrSMe5lh2NZVf0IqM4XoNZlXXVD kybzLu4nqMq0oHHdbOhcUkhnVU1bu0B6YCbztbllBrIUk6ZLk4pAiiQJleG4jjO7c+81hjLLpgP6 3jY3RaWq3CWNV6rCfHaLPj73YAKeB0pjDFeUOtw5geES79Xs+TQJOhOUzDVwKFB2BV0ZLT6osS3k 6oJq5j9s23XQcHyc3VrOhhN+D6Q9wKNP48M34Mxk46yiEY9P6IhnfgaUbGBIXEZlP8vSPml6xPl+ +iU0kBLQsw3NVyWf/eBSZkkZN4k1dzshWojiSMANNF9zvPA5eMj3s9mmwUyrWMwHeFmjLaC58/mX lnKAf7CNDVVjqHh21CrZP8TZYfVGS6ja6Ld52wVeVdmyszRdLmklmG+RzK0Kd52Wi0tRlP/6QHCZ FroClWgoub/Q41XbiXBjk4AQ+5KlQqzos4f7glOsnuS2osE6AU2dSKeTnLinOOal6NS5ZOhA2OO7 PqeKbOPUYtT3287GAVHcEYdKlRV7kpnZbJAUVa6oBUH6kXaq/VAhl/rWz+zfOR7LoBimlQtM3ViM /x3ROBln6ey3tjXQStvnWmrbD7xwm+mCfRkxd0e9mh3Yw/imuAqr75BJmoN1Vl2mUkesIVtLpLmo HlEiY+mG0zqOonhZyYmNI6SXel8mPn+NxGkjO2VWI1rssJfvzu/J24ZVhCD1Tv2hSugrQbyVTj81 TNS/Rm/vTTmKOgNlgl3e8iS3IB0QIfsKdkeobx88zxUaBfwukjRfNC0ZRq+3p+8HX1O9Rkbc+sXz hUEcIxq6PKdRDTCZYDsZj21jRmTE3sN+M/fRC6i9GltOGjtIyudc3GOXgcF+IKWW9TaK3PB6CxpI /hk7rs4Rl9YTc+iAFg3kGYAKpH9tlyte6ihavto4mIHOm4zPGp8FrDHq8XIXbXwNGmq/O5JAMWCD ec7T45XlLoVzhqaIXThJ4CRy1PKmN39WqqABcaAbSLPH+/qM/Xj5sviX8OTIl6exndSTfXhuVF+T cEaf74a618FerMTnoQrglLHPqp7tzmcDMbp9ov/dLgPmlBLO0w0DxuhKHhBYwrGrRJPtUvUlmdxw Bt5Z/taF4is0PYahiq89yQCzqW7nYd6jFY8+emtoarn3YJ0aHXr+4bnGL84Lzcu4Uujwxm3guAn8 9ETqTkL5l5jsYVETuVEathizeyYEEMtfkuLRORXlQWhUROqOlIo9+W0cTPYL+y8LCieLLAgMAVJ3 vF4IsQhIY50RLm2gMWL7t/GyWIKmrwtJWtelSGsRtgAN6+QZzY3zMRbUyrlGOki9ANHsvbgF7O8E tfFcu4oOGfbAdLcj1gYFtX/7V1UExCFcTGf7x4/wZbDqvkvrh90tUHTWSVcQK/f4DqR8R55+nnRU ZhTleVpdN5qhNewvmjMbjMzY0WWIBlPr3CgxzKr1T/lwjdszU/LRMPyAYPvHlsMgQT2qoanlgDLo aeyzRKSq/1crSSH5A8ZUo6+7Wcc7gLTg1GiT1pfVccrGjwd30NzPmxmA6tV8kTK00dcm0k9fVtgx mAYGiXjA5ovzuq3Ry5mrkIaKsTlsZCN53ATCPD3pHYP+fQrYUG8NTkA5v9TptaT3xV1lHGAMHJ7o ceIu4Nf3FBx4xb1cYzX3fyVTGfoYJXmpeX9fWMyDCdimF+1+CVQG+ob/GbwHifWXhcRs7914z7ad 5FhVrjxu+KYvpebtZA+sZutDS/JgvYTqotx3QA/tpkADT+fDDHHypJW3aLvmkqdzatwwW7QS5R2T MJYpNx8SDusCGC0gFp8xwIB3ZPvjdlXu9bi7Zvs3OAMrsg/l4PLgSEiYW/IyReZKu9Yoefm1/y8F pGmBetCnA8rg4FxYGiwW4wSnwhwywgNK28k500NfILWmxlJmvrc6znfGCTq+6ptGOw+cTjaGcb3a z6Qg3zEVj+LxWPCCeS1DiEK2pJZ+RRVFwcC7GTlJKFmgCbtL823yL2SZpPUFNnu8bn91IOG9RnKF JX6EsTQ6QKJjSw4P0OXcBmqxW0a0p4MSt2ZCJw7t6+gM6jt4ViaL0K8lxHNo5dy6AHbgJrw6Hhv4 tYNlf5GOWO69ogV5MzmSKxAxfaFCxv5qcXdzMwUaqDaLv8OVNdcIBr0eEPSPfkcIXB4at4WzFhKo qBRCquQHMARH9Vp9TbNprBgS1fW1gLTusyw7IoldC3NJMitqP/Md6A4Npib/YPN4naUJGCFJoiA+ 6gCtq5KDb+cdQGuuQnXbLWC0nkF0z0p2zkxaagq6g33OgJxvAEyBd6996NlCYgQCW0RfzjgUKBnc lLYY7eMlyGIGIorrNpfwchzYlsBTMQh/UG+UwgzuFXH7pBsyPd+CVmBLebSzRCHpwUn+qj6iLGFj ONGvvkNYpuPq+p3AzdoOJV0cToQRy1xBoSzTBv0nHOoNCN7yxBDwrHbxSU+T2ykJQqH3ZI+NzqKx QKq3kVNIpA2dr4Fl8s/GG3M3FoupqxH6UvVAdfHTosmEpR0OQwiQqHyjY/mOEN4i4OEuPoMLyet5 lxpRjzeFfT8WINaRVIEEP4Gxiu0lJXsvGpRi4NVrScRHOw/yc9qF5dhoHD3R2wJC3L3caKqDnoN/ QoqNoO1sz3HjVYDYcNgbEfbl+wiO1zD7TnYLdiZBDVsnOwZ2RRGIGbLQDNCDit5jQejDbfBT+lHk 1yNLSQ5PE2pORSbrtGvW8/KJ7p0/ZGQLiAUZw2GXxTGG1rSVjes52ski0kwFYvb8UfKxEpCcxuWY 2ucVstIiobJONBd791ehsAE8ANf7TRyONbn21Gn16pDAyJJUE6rwn2CBJNTIkbscLRsvCxtUj6Yx w9vGBdt3z0ix9J8c42zMlA6BrQgGNx89H8adfPKXGU24rGgkSm+Gp65BoSPuBTBm3H6FoKvf93Mm 5EoGavFe2KplmwUXj7IkNdcwzK+DVSZ+IdhTzM2Wg056HN1VB3ZbdysL/9mV+u4+ex/xk/kBsjTp rKm3l9CK0rRSNjRwzxmnQ6SAvH3aNq9xlIhLYhvbO/eUxCG/vQ6WFqcUIrJppUGQ/LnDBlgGrY77 MU6NSkQvWZQvkeqJqkLS7ElBybtFu2YhHb8EmKkpGwYwP5dWBg8kPg+qvzynUySwdqGPUyXCTCOS nQ2s7G3Megm9SXnhSFeo5qzZK/zjvhyCaX5gQuRYETH+Y/4kE/CVOBGRACAfNLqngH9H+Lb/bvS4 ZGaOEzvWXvNRqEpammwnUeysFu7uDpEAdx2l5nAyB3l6FiTE9iFI8zRIO3G/q+YwE95gVUkWlUv+ ixCUowIxNIuD/zreYcVIbWNbMFS2c/VDraWYVZoxuYv7eCkCw97tjjFXIEawcSbL5DEWweN5UxEi bc8x07d5S8xNwmf1P0m/E+GK0hyKEgbfgvAIyENNnD1YUYlvKiQ3H2E71Crkk9MclcEdMgTLKaKG lwFKFmE3w9ZDRLhb71UO13qrQYDfHqx085d4GAB0ClXQQmWtkNxYd3BiaqjscotQFHmk6nv7O9gJ gcPI2mlSzogyE0YiGyCRAbbsTN/bx8L5fuocTYp3uwECim2KCl+4m21KYBgjyo2Rijw/nZCyeYtD MxGbtSQh1Jqm8YjH7yxffayANRz85zC0Dy2V9TGy6hwRho/6h6SMj/203aOHGehjxPyBqSdjsgY8 jSXzTD5WN9l6s0fLD8i62r5ThRY8nkRnqCZMJDNfAIPiCrQPfjx/yC5aNB3L7tBadU5r3Jh5tAGE X6kOvbHjMjH62kiOlDFmdjkKVSN3IM1QSiNbCX9GNxBxmdFrq8hf0YmeF49vjTq1JZxkbDWRGMKj rL3rtdDkRWGqCXpcOryb3rnHoo09LAG6Ui4frAjefyXWwUpN0QKYxKhkFHR88VIlzpOOiJqdnin8 Fqxveay+PWv1idaBepAgT+pBrvXbKRw7Ifh5azMNu33m6dtCaZ9syy/JDGueCbXMmSaHHGfIjP3p cnyoOTCEYN/yAzoVpfE8yZehcOUTKWt63+Oaq/f2sVZ9ZgocrJpaV3cvdRJFV8/FMXA7xuogw0iK 2YWZfP18t4w+SGjOggLZ1p6FHcu24bqi58UFSgWIRtOyQmv/dfV+X5y6F/d7IzzJvW2A+9rNwWcN JXuRc/6TCraUM+5jSVRAQUxjhV6SHBgLb975xbQ0zMmf/UHZhmgCWHy7LzsKZqfwydYouZnT8Hao hhJ1qttr10tChgxH59cEz8VhsWllXW73pmDUVAqOU8QOhdXlN4jU2VPFGz9kf/Gbm9ydVHFhpcN0 vTzKd9PYF4LMmXk0/jR2RSJYeFYDpE4mbnhxUNu3+jchFn1J3d3M0+jHdMvw3KaFzrCmWe7PFwUK wd5vHtYZOKJhn+/xas/LLM5NDfrj7LB3hNmnxz/ZkQzya2Et1PbwPSCfZ/EN32sCdiWl5Y/l1AHd 1Y8/V4e0rOXPyPYrEK72bpLrtsCrumcoQ9rBAUD8CBUnzGtfsaDFGDgrT4IQxudz8zh3qMWNs0cv HeNvz2COLjdsqdxq3Bi3GlnG3dOxrCI64JZVz0d1JxT8PJMA/3XpFNlHgGFbHdXyNUNEifjj7lJW z8hiPtzRoImHy3M/SwDAciFYkfKrUl2rvVDbD8ns7RBx/Fo/srXb6KB+VNy9fMftRY/K6k/0Duow 3lHSOqIsdlskcBjq5dtMuJ1bsRXVRZp+eZ225H76UVoLX4GTmf1vl39GJqX+b3pXeK+bjaKlwXdI FGWcE8QSXWt2VTDd6/P/o5Rz1ckMFZygEP0PHeekhpF4klU7W3VYxHx/mkqmExIcOjgz11s2ObrD mZ72Gq9on0eMVfGdbV/YfrUVRMQejFwiKDpql34DcIJu7HljVOjvNLc/QEjCitBR+5N1R1GVlNkl WCqfqRsnC+cYVl1MbJOBLzS4rrnMzjfJBWScQVd+sff183OYQcTIn4IGn1os8fnVFeYDtfcUOJ8a yRUPkAXBQKq0fh4Zi3dwBCzOxbQB6jh6J/3zlpHWtgXv2Ltr0EHajlQB2Jfvk0uN0QTTC/KhIEyX I4jbKnM7Z4WtusYGS6JgZmDt80B8pl/ONf8kmZv9ASUGTlevun7+n/7ULhqD1Lt/V4lMc0OxL1o4 EFPdLZ0zl73rrZaCJSZ+WbBFk2v1TgLEqG7qgQQfmSXPibGbBLgQgdctCSSNQk7w+v5VRSUD/kaD GP7aRAMcFvSO6HO0YfXOYQOtQw90KYBbLOJaxs86JbUrdYDnwbzYq4a8mig0tnjH9dYtP16/4dEI +lopEyaWH7SW9qSmzAxMhxVN++L0CdiN+oSA2mdJhqaI9VufUCn5n0qXCo8V9TPA6jqPPxES4+QJ EcSXvqlB7Ouv6TUdeEUWf7mRtIlYqu9fWuqTUmxHjw59H0hu0lDScOwaipyQsjLpAU3shmGZNj91 kSlEuBvgal8GJ2Xge8T/J2ifHtUWmO2q977NcITA/+7uolNXSKC6bALhFfAU6RAvOJDgLbQQdN8P coS4FoZvdpW5N2IWFEAevlXDRTNvMgVufI0g5I+DByOojZrxLwvZcTSJDHxYpb4UpzcUEOpbb2X0 rhaEEQEM62TPePStZ2y9sJ9aDcidygyiVMdU4uENRZ1XENa+Uv+JUPqHsAMcDgJuUNYVT0PRHALS nenBMVmAGaGfU4RVo+tM96llRd/7geYJqdl7AqY6fj1xCPtuyhRJi3zRcgPn3Of0o+KEraY0Oj3o JqzcRt4GL2yxnojW+YhQGtWCsAqJJNNEFfPKXTkKW/sGDbNXEcZYrfEMva/ghKATPqgfxu/Knxa4 h/cM4wfv/t2+sARyHJJBNc538ksH2pdTRejriB8df1+VicG9CgfoAwgF1sSjE4j/B8xCSjLpgTU9 xppbPeXp8PPuPF4Foni9vVyf8Qn/4yuRwe0CqEnw385d87tjr4zycD2it7JdOPrfZ/Q6jV3seHn1 k7Kzlutvrbb4kBHzDE+aRrtACoR46nvojJZ7T7ffbE5hwV0G2UXueteIWjzywqkqUZNMCMV3QVe/ ZobGJm+/CL0TUQecmF7wKWWNjCFZWWo+NAinFnjj8zRTifJ0lxNm9vkr1eSWC+YcTSZx5Hs9HNmT dQmCSyg/NmwBtiEEkmX2mBXe0+fYhw8n1JFBYqglEJnGUSKn4fgX/SGhinHYuzviq2o/xrvb4kFF k0BsK9SPjwl+AGDi7JuyQ4bNn6FcSH7mpD1p3o8VjimNqbvpKxENT5M3THCWQh+uj8Z4/A3GFk8M qAObKcfFg1nr3OIdhAu7M9bru61tmVcXGyuklsfMpQrkIKuan/F9QgmfYk3GWN3nRtW/LxiBc34x 4YnElYkR9lyYy8A5nEZCp1wmZyqt1qc2qIGVsdc+flWEXFWj3zSrbh7lY+RoaZW2C2A6xzceAl70 u/s7lHedW6rZcRbpcX2BwWBQg2FDXT3hcqmC95lePKGuZaPHnFrZPbQkPTLvluGFcXLhSAU3uKAu 0NGB3+twPt/fyNejppe9+N4bwRa43USTZpCiyDrnKggnrlnA/Qwj/c4ewug+oRSRCDFXNVX0Xcc9 4VVDh2Xgi3ou2S1VVoazahKEcdjJE2LesNVam8btzPIupCQfxtZzwc1jA+qKgMOYId7dQBR7d0NJ kQnilfzX0uJ1I8DpaW6X/4ZVrTKbHlKQhZBImfs0wBBXEvSluAK5X4GBQrKl+HDvkZDRjcYaGtMW m8DPfNTJx+5IPts5AZB2iFsH3yIg3JJSOGUuEbMmFFNVaQsDEsCrIoblvJRg+Uk2ESrn5vNdmV/Q jPON67B5j0+prGhepxdqk9ftDuyxrSNSsKhRnMiLzs9UmduB1J4y4Nffay6dztTChdZnE23iY+Qo pXSOtHxja951eeBuTwHbk3vQG1ZOAEbEbpPOF81sAdy+Ze154pjdVnieEvq49Ck6I0mWtApIY268 U2lOWPp2hpPY8/c9LUfUd1mxbpqKQqqiUPMDLZverWEVHHSiAhAmDuASRLv1ru/BgX+4XEiWZREz UoLGuhbFkjYLAYia4XleWcS9ouMWyy+kIsUEs6sAA3E6efgulQfI++Gn0qyMgZrNAbN3mw3xkDxd 0fyw7CTZtwBgPYJgUTGWN4bLQsrgnpuUvaMUh84zafVhbkxAy993dbjvRhdL8H84s8XQJyNtuavv d8e2+z8dFPNAfyOUHRx/ZoT5a6p/LjmTHOifIn7DgKvKrRztg57Y70JX5E9CifgZ8IcWvgHeQ3lE OTvs5pkVljKQM6Z+1ibryJfgvm0wZPbAhZZKfZQnsyOK6jNKOPMrQNRQ2FtJt4iHc4vqfnEmcl/p jblJsyiU0SQmzZU11tuiG4E1I5hGs+8E8kN4Z5cQr2hfKEiqNCYq7hLUT7iImLe21lMUot7cPmGp qBwei+p/LMq6rFjcHWFEEjkJNAH9DtS3urBcOzGXJMIo+RsFxVFgbB23AeG9XcpI628fXqltTzTs 7wCduolb7RJ/b84uhBhXyYVuedmXv+076ut1s+Dk9g6Tdq3RjSdI4+9podEUP3SJXqX0d3idzoQY 7qifz+tj0fMaIj8MrkJnVAqjcRDbtxkHs/djuycOktHYYBtTKBZV3H4Kn2Tf1WPepFO8D9qF7Zl2 uTrfKBwzxZZgxeGsRCbm9RqcsYYaRK7+s8+gNFR44zU3ptW0gFcO4OQApa9REhSycUOy5vHk5mzU 7nJx1ZXTwiR3G1XsboKC0z5mXHs985sFh1EtHUzUlygZyW6QyDOZ/S2LGRYGpApDOMgsjdhHuLyh MxeJmE69rxJjOYUZUOeUeyjJBo/JD/L9+jxZV+ZxiWv57Xo0uV9rmm+L0dUqgQTye3SM8uyoSD1Y NHBmpAhoL4GwgOcSltMeERK03fMWJtdU8IRI2Jpa12i1RNGkLGUJuXeQu/biXa8Ip/egUWSccgT/ lKDGVLSNvcT429rPcHccAHAZTrC1nTEQ1s4Ls+0JTt8gIMWLwIPWJg2Q4MkIkxXaX2ELanOyH5qt Cwg7lw4m7k814KlHVihdP597ADYz2xX4xhEfefwODVvVK8Z6K9Z3wCOu3MPWKYZX0GesKGRWFPdt ZJAPdUEUd/8nfGi1HJh4p7h0xc1vVDR1TuPw2VxexLOWhIuOQ9t2WU67RyWQxDXcqII2ZuGUqsaJ CI4zt6z8Zy9x/GBxPDDbeYvcH92vAUl5AxYATPHtEnGod0L/BoKQCtwH2RS3228ZP+2WKo37ztk7 aI+70byAa66QfZQw/YMk9nTtO5GVc3zVMGLyw5pRAMYGgkVpOt385DJAU65Vb9n9D9Oq3PnzRxpQ jVJXHHZ61AGVaYp0B2VkCSc9d58mFV8mquG84aRTGA5MGfOg8rqzxTDPFOR3pvIAcXrK64JsOeAl oIPCEaeYCfUlUOvlNK6MG5bfoKUu4pFYgmQl1tgus5GLlVEpJaYw+GCTOtZ5r28mY2zvIn394n/D oGD1efMu7GHcBtn43Xr9p+qBnDzR2O3g8aXaPW2qNOsGRlQnvdF58W89q7blES+/8QWspKcIc+vO DUtTDak5U0Kk90dUbadmIyNxT4Z1qcWOt9p9wGoOLFfwkKpKgOs+tE2vGP44TebX7NYNkVlnQdxF wBUyLbED6zxTgPv6ixQLnfOnhD2gejTgQkW3Ho5NREL/mKiSKUHnrEqO3hk+2uC7lkC1SnzZO/ZV iwsjZBOEvTHwFG1DVrY7MW1PTU+3iEVcLZNUf3OjC27Upf/MulW15Ea+MuWtzvO0OXrZY6jmEXHT bYFAyvEc4FQaou8yK4D7tjLOAKYYq1HWV2IgBWVTzGusFtisEVpXkDQEORNkp2/vMrSLFz1Wws1g fkV19amolDxBVbBzegGg55AgJlE+u7LBigb6uM8hdPlY2Q5wF/DTLCFJoPodpojAIkE9ogNseatr y2buHA2amQauq9bL8Dn9zBuziqJsQuVUAR71RXg3mNZLFQaN/U5ATyNCsh+YHNzXrc6ViD9VPQ+j 0NG0SCHyJz7pPeKPMkX2Qhzv0Nc9RdNo/4M7zbWiDqKLiK2MMa7vbDmjJdpVbxiRIsY/J3NfkY9q yx2Wfd5ZL6NEVThXU10/tB2gDez3VRiTm3EEovCUeM8+6gV4bOD2UdLmpUiRYWj70d64N9hRriSE llM9WxR7KcaphRrvy8CYP5YGy8nAaKchb4Siazzj4riJdV3DxvF7SroOd2sYgjzJbfvUN+9EsgTs thgDHd/gS0/kjmnLzZ6V59n3wGwsrZ888QKq9iAW+9Kkp98iwK2UluF+DgEW2EAEqn3IExGMWlk4 FajZuo96ThccUwcUa4Ki7IwiIPh8GSMUlGJlBchFiIEzIR4R4M8XSovdbz9L1ONKtfOyppNBQMQh dO9n1jRpCp5oZRQVDnjaYBkeWQl9IL/dQtGQwIX1L2YR/HyLZjP4xRWtJ0RIeGcLec8o8wmppuA3 NuaLlL6EvFEPqIIAdzRrhuGQV0J2auQGWEB3XXB5QO/WocMEVzoHcFC+bthHF6nbFgYsOQVM7+py poLYkGU0m7C6qB6scr9MB5jeM1+4O9eFsp8bT8FFyirgPYqfsD92s50XNgNuHLYb5kpYF2+G9wOJ JvosYmekwEN5WQu5Hi+MOCmrvQ0bTCmVFtCjcSHcWMeQUBovp+LCWli04Y4Ngfa/l/kC37BKv2hH OlQMuzQIJ8xiFbAvYOvU0cGlATOlZ4aLQv4WDJVG3NOzT0lFBIV9DMcRu1VEgCDGYOobEf9bSObU K8YAR0YzbiS8wkmhA2a9qk/B1Gn9OKUuDLXomLExJQ1Px+kINCztoX0U9OV3+Meq1XLxMVIT9k9a AZXSYGaqDAqSoj/v/IY091pn40jN4CLwVPYz0YIZTTvfNUS3y+yZeENt1A961htZ9hDnmB8gMiQE HdxBrGsIVoOYVjElzAywRQddb3y+5cpTMmMe5mNwuk9VkwWh1nAgEoM3o5je0zayAufut2+lM1YG lVMdkIZu8LOlyP+7VKhggSZSji2bNTyIug8dbBXOFdzABu2LXgGLshmTEy7LYRRLuYyZX9AFGQRs YsUc3+mnpn8uLtOtm4PipLb2r5HPx/jZ5WvURyDf8RKemZ7anbIxYxCGgcz7nkg3KR1+5c1U3iGl OWhxRq9xY4VqLpiFwq/fOyUec5XDZof79Sgn+xEdzqPpBdmyl5dtW0tyAaw4PGkvoOMP3zJRDY9t 2F37apIF7+wXvo9krNx3GR2547G9lk+wQ3XAOXnMw9CW3gprm4VWMkJIAFSEj1CWkqNAiKdLxRq6 LXYjUChj46tnUmF5C8zVbIEfYhXcoaANMcTHmeFKeKr57A8UyigdXrR75EkkCoS48gqcC3jkrxiu 0XUul8LSZhQnqcKDVtpnlbQCD3eDLqu5oCPFjXl6Vz50HvOyb0Ue0IlFSHlsnBjQZBT56qPLkNsc 9YqkQyHCBU7MftSoNvCKPNTExGd6aB0W7E8ZERj/egVVfyWeXfRJrRTgAS9yyxcXXIZijZg/S3Hx le3MiAHu7A4jM0p+RLK9qTjXlskWKcGrIGZWRDnZqtod9zinxa2M1xav1HoiS0HqlxTWi7URwfJx 79pLkRyOy3Pmy42oK4pWhUPEkhj7S5pV+S6Ps8eK81roc2XEbJvKeb3VryjDI1G7o7kQhyiRwTyJ mAdbm6b+SBTBGKFAL6yeuPFsy80MnpW+cSFPHQFUUt4oAgGdDvFJJ/Xr0Yg/1tk5MF312b9xWfDN X38wnlghDfaJ7hZRBiwYBl48/aVcSa3+akPAlIc9+qhK/hTIiO87GMWAQYYju0iup37w7meNOx77 WWpkdiYXQXLDLDFAw8hfxTH66oMAo8ZPPvIaFgLeBwrL43d1OPnOkvQ3Uij8l+gbcpqN8Mw/Fr8a l73qggnmNIKKoNhpoLKKko9va8vjJu3rGpbmEMphkcrkUI3GYMZUyZnIXM9Js2OXj74+QOPCQEXB BSy8m8mY7RCynbN72Ths/T0tNDFXi77ihgL7C/bdNAWx8R4n0aZYs+Ii5zOe6aslAcbFq8IlslHi hR+6nrgxZNdhKCVB/KlNh4+rR0tMPXb1mfUlLE96UXdngQHan2Gh/eUbqFWMjwT8/GxQTegr78Zs Ggp7um7uV1tSi0RMAvaP06wnVmpVyqXFSlZ1f0S72YTlGCdy3OIdZ4uEcDyu3rzfsrAdf3frpDp3 oAqxD209HINdRP4SM1JY48wYRn2jkgGDqK/RPXC54zvbHoeKgWlHdIyd7Q+0MvRTQ0KjQhvBxl2W blT/Nvblc3e8sjzcCo4T1NBs6KG3+mbaxlfCi0RJ0N5iS1SK5KPBezD+Cjaw+iIPTwGQXjkudXnR ynTI2wW6uFigRLCghvzLwoKa8fdReufkF2Wd8fZjH1iWgqyPsnClMGdvEHjLLyDPUyGGPJM08jwS tAMDYe0BQKVCkRrRUa5V+E25qgiu+plY6qte9jjaEmWfQMix+XHGbZ+0JkaOa9EwbAMVA7gGNCm9 8p2w5ExTwms9NgVpa2sdMtBkBV2qTtoPkir9dkyeEM/DUQfnkqZHvB8EprjX7i/3OQrVEt7bw1RD 8eon2I/sMR4M+gwsY3uK/sLmNJ69IEP4N63J/Xu10e2LtGAiKVulJmKX3feTDx1tbgK+Yq0/GdkT BtmjN20+C8+55/o+n5ttalbNOcGJdW0QYd2mRfaTUjK4CX6ScOhDG7fALuEJoGzwchxyZf/8SzS0 ii5oQN5iCdHeuowvplZQnopDc2BqzRb+pCeyu6GdcEVfqxVPDGK2Y7wqJuQauKFZJ+xI+rZJbnAO aKpAOPJfCfdYKSvjntMsuPFaCRqzgsXtNmS8Wm6YP88rOYIFk2LqS2RVEkF9WpDRo09+aGhNEXsO cyvVDKudnpVhmHy2vGNR99Lucee6OPGQQeYebzTStLKI+wDr+Q23bf9vzGnIdReZHn/W+oJ5GaGC C6cndjOayPmU+4W36XKxnuolBHo4R2XEpx/WUNae16lrPyc9LENwv6Kl6ZXMIEWE9D/45HTncrz8 Bf4pD+AECmuwKk03PNGUbn7D5n2DpSdcypRCxd18tuOmn1CFFwexcQeE1Ge0crEGfKeIB0hBKNci tTVdp0LBR9cBFrUO8JVr6NdyS0nzWpQCaKnfIAO+oE6nyziWVEEGTbozljd9ZIJ23UBja25ixD7h HT5I0KFIP7YUxCo87pBoRUK4qjFjG57QA4Hx0ns9V1wT14O0UCcxHmbRgF2aivgPffGfW9sO3Tbl F2ywKQ5HwQepjn4j7oSSpLJ3WqoMMKo/3NN+++2g+q1WTakydkvLEYcSrbM8Il87sQS7DbR7dXnY 8dB7dzHPwb3HzEYJEwL4vlwsWPXRfuFdJQ0VePqy+5Cb1ElvkrWeeVoZQPukTcyD268ow4xt0502 pqxaDpMl8QSWBu1vz7c0b8yycJFsD0RXiaARQxhM0FiV0yKd2ToQYHvCLlrccwh0XUGNMPZdW9Hx aZZ6XMEuHAiD50CoWXK6sCcGAwHOaW0Vxuo8MJsF8FU6CRGQDPW1Kk+s7+SwUAPb8YBEXS2RBn0v TC5TRl37ucHW2eohfbovYjaM8foUzotnOmUkAK4NLCRMevLunvZEAHBTQvJc5YHIfrJPSmWDw2Yz SdFqFDCixYPf/lV5XMPF9Ctkm6VeULqjqM04lODYACZe9H/JuyucubIQSnAmdBXCuLASIXWha9Zu G8rmBfsQw1EOHmlXWNdgzqBVsPdmlEjh/I7fsgi18A1Rl++4fQlLAPUCPFdABYkBXwaZz4JUcra5 2WdqbR/vGXBsyJrYpCrb4U3kkcWqFzV1Dg2Mps2D7X6I8oRDjES4Fh6Tm4Brw3+Zm9DOenrsBEUC qqXAhuJLA2eg6e6yuH5dA6dWwkgvOcXRo+399QcVYqJ0BgwA2gbC+1sy3SOt+Qf4PcCG67X8uK3m ErIJXvkIPyPGk24/Mz0wZJptDhthdCOHebcOx5R6xSUPk1yGimpqIAj8LxXlI6fwFT/khPBuT6Rr x0db1tZtzyRD/HOKa+wUyCAdw/s5COZI2SLVqtIJRqGNFG6vLxYMuikcC45AbXGUTjNYgv2yXBXO KODpEEYvsyVsYQp0ehOU/CoSHxqheORf1thZXJgpKH1HkHA9QkjsZCSS/o09NQjoNJVQqM8T0DFa X+xl3Xalt2elIwEAI9GYMe4VmJaUBhbWbEWDLFDFc4FgfbsqvQ9JPL23gQKhP98CKZS4G7T1Kmdn EThiu+NDi662rb4a7GueBEpHz5jsayOtTHDJPT99kcU1IBgvRnpkfdwbNOavSg7LQ7XxjuZcSTcL fU44NeiqzVPw0JFqmFkBB43iA3dnFADB9uq6P5O4PPSqOFWFB1YE7NggiFewzvzwfeFIODRjRFHr fn1BOVP2yLnypzlqPAd8ynrlQCDvXXYbFW/cvsDU9KvMP6efKjgYoPpcs4DNqo+q6a42lczIXJNu d9rZGa5z4NCcoUXMxAStnG4dpNxfeQJiWZu38+N/m1oBmkRe+ns30Hg/IPF19A9giW2tt8B6Iqft hiUtTMUmV9oKUPs0OexhlJJi7kHCLKTkMr95EbtLyK5RIlXVt0KMgybEEEp714Ts11AADp/utAtv eH0JPWpnglyvU0q+PNOVxPQtI/0/IRqSTGm8DYRC7AGNLQgHttsnWKlNSx/BLsvelyqIWwDGuntg Ay13TSkZOt44jEaHSrBBNj1P9COiJjNiAXgO7DSOkYpdhG0I/dP0ehWxEHNsOAOI3jxx77ccZg+Q NQXPdCZPj0hTzXWYuu4wFnlhK0s240GMBMDhtfSUEzpyCyrppX31DfpoCmipJYsz75RW2EkkZqfi Qzf5ugN86/e9KvH1Dsc6k8XOXPkjdb4iu+0GQcoR1YgMXkcUWjxhgaXRMCW/n9AISE0bJnisCMmv oz8eOd8XaaEWeSu6TeRSlI3b1nzGG5vhyBSM3OhtFzSknlEJrpXAjrB+Ejj04aaQGWZyo3gYI//x 6gg+wW3JTygn1DO/aS5eL7oiKgkzfy/n2zC4s8fJ3Lmad7GIrZx77LHbZArsCr+EMXLOCBJL/STm uT6fSAnmqHiGHiF3TjHId2UWrTHpg/M5zRrhvSHKhL/PDHfEgvSCzmy0CUQPfYxKnzZvWeCMuvJx FnkLlvLfYBWL8Jq+mrDXVrc4hLl1BkuUOL1XaOdyAcqXH6C7P1d3NRmtkfSZoYURX1Oa8t/iVEWT 7lbq1obifKtyyYsZRDUi9sqGPUIQb1SIwBW08YV2BTHwXNS0LZDiJ9zpbBc8ZrkdRauKdJZQu+9r dSsw47g2rpWBf19bQhp0/A0kXTmsw5XBl+evlLgXnLS7b7GEY6PY6pUyByXeisHU5HBG9gTx4Izr jGO3+6hE7VY79YH5mosp1yHmHZDkM5K3fEH03ifff28W7EB+0H/nm8rD4ek0Y5SpPS9IipMKoU7a 8h9tvTGu1YAxufyhL45VGS1Yl4p+E20BZTYlhKDd514EaFXcx9HuvzTRl98DwFnKz/JICy3y5Ogo 3eaKG9Y8J6vsrke2D1Vs504fulGLySGTQkKvB9GGiwDnaKSApKZU9tFKJQI0v3cZ6KVx4RWP8vOy TQvbUkJ2x09tFlbrAExtX/qEXF/9rv/7xJPFT8G40+/RrHx4df9vJrGXXYui3122uF6giBrG3TT9 pqdYL2gA/VYReQ0E1ydFPFApwvCIKIhoq5jFGTASXEKtanQEykY36xqIeZyICf3upPD0sUt6Dc8v 1ERLZ3NXXTEKfBRow5YtYG/AMIeL9owvGuAHGVHYuDNExHl/M2xw0CPwQ9fawqmWgh6zm+ZySPJp LTriJuEUKWh60pxGKGbaAQq+jx1UtcEUOXRlCX7aXlNMBVZYMl7Ijb9FS3m0+rkQ5/sLPthYdTQO BoGzxa3skOsMq9VG9h+mR3MUfiLvbtJOHFJVJu74P3i0hTTXPLT5H20nyBgic3Ka3In9T8hzFp8/ KWGzdQ7c0vcnV84bAjlPZuL5CzTeuFwccsZCY1T6aazoL33IUcLHUFZqi5A53RdAkdcWYtgpDbeL dRoK2Q18NqJG+ei9t8uaMpqHMF0RwkfaqobZB1w5Bc1JHtkIAF9emnah+ynuLU9SOxebRK17Hctt cy8/nOLFR9fE0zaa1ES6DfOIt2I3va21tX0Otf3ezvm7LW+eeMoVDw8A8cH1nbWv+N8KhSaRVHDw F0dFG4tNIc5YMezEM4LPnoRBeNqk5Dx5RtYJe0hKIV5/bFY7ZwBQHi4fJI3VG1P9aNAJjnwtFlEi Smo1gxokp9r9ANkZyd2Ue8AuJMmlMBcGUlfcle+yudmfb7NeD1MfFXa28HzGPt6IRHiNEaylbiuo h50QTpjjXvSL/blnHalsJl7bVqmq6apBtV7JED9ZV4vJOgRT6YtVzzw7KZ6L5ksJsufJm+4Rz/i1 2KGch9IM3qz4eBkxZnoqmt/CQH6H1UWqifG0Qkwqrp2rRllfay/6QbAMYn5NiIzIWaOF5dFvxJ1B zacH8wwEIxhkQDaZu3N2SJ95AoWc5iNcQQUdNebtW7SEcJt8Rk6XmjPhgRiiWuYcVuWN9dIv7o4v zTMxchgwxwF0Pr1dY/3iSn37IXGkLsSvW65QOfDbWL0Mp8GrIhhUWFFJR+/sajIWyrTb+kM/Wguu Z9OSsyozqWM/diVBDAV3p4F7qmXIbKg8fMw0SyXuCUAjc9RdVh1PY3JAwX6ssM/FzI/eLLPQDWRu sJiUagasjMTU5OsN/M2nrK1QM+1OQnEspZrfQg7Di54EIinx6tR3fxJWCdbsOKmVu/c00FULylSt gCCmJjT+bNwIqpFPANEruKKh3gdhhwTmcsjBXYm84UBpxf+UE/iRU288YXY3WAfkr1w9P1AlwxLg nUQH5+8nHF9P3n4ZPqUJRNqIr4+hBGiE+/blozN7IrOji1DHEfZYvxq75p0xhcwj5maF1zNySJi6 iJzbPIVfm4iT70IXChHuynMPKyELEjXDY4tn5ntsST78wIXluADV6Of3GO/95CU2NAIaR6XpGenz QRy0wF2cX7V+SsrLCb0hqiGRMlHZ/yL8BzSuAbtvSO9NiuXANC7PUegL5Mr2wZ274bgftH40TNsU S5FDqLPrTJfxU7nDL/fpzVq6tBBp3wyX6olSeiXIe2AuHn+eftvv2TBQnhJoOVrzwuXaOglacTHw NUghKw8vGDUuk9U0Jc0d4ngn495nlCtxK9QasKqpHBtzjPe6djGGxOtjbf/8KFfvXS4T7CfD9m+r 4kzPFrdaZjO+zBwJEH8XlAVAfP9v/z8b90xYdJGIFG6+S5BmDZpBbmIQ+EmV9DfqWsty5DAdpBnd Qc7TkKwZDrPOdsreZBSCf+WMi+Xdsla4a4xw+3Hgd9qGY+3gFHtxfad4GCh5u/6JzT/rT08mWdCA lsXxmVdEprN2NPYOXEnOhXCHJOxsFV661RWzmOhncpSDWSwir5fuOw6ZxdEEHwl8Yh60fPyPxy0M O9GwlUr4UyHjevAS7p3rQNKziP974krYFnPYYhl/eoy6KVWjXknRRy/dYNX3LjBhiuzEHysR594E JOyet3tGrbaPrzKAsrIwN6RMmPkhFqXjVwKSiRhLqAs21YwBm4oljTRDKPmP4tlhKmDsrZAaJAOd FIgBu45p/L4gizpviOgFM7yoOsn4L6w9n981ObQyp5Djq7zQEt2OAYcQBiTe9qZm/4oxNVhEemNO 7yKlUmYpKY2kJqU6WPhkV8gtv7VFRlVnYO6aa+uKOVq34q5MqZ81w+RKSEg5TmUoLAyONfeSYuAe /SguoKNUeROwxAaTggxTVxmUMrMLyGnADmDRoLSj1pjSGTklHjqII2vr+Gov74I7MyOeVwssPq2R nU+J7xVb8MSWzM8wiwIa8qAVmqUYsD+MKoa6SfcD6gDF1H4aCSmeeb/eY9dDnA0ODAkSF8jaOhdl OU0gGB2OnYyerNg3Hf1KDw4K9XwnPwSrlyZj3GBXyX0zg5OqUsl4vBmEGQmkeFubdJOTBHDLm67S pdlyNX0uYBgenqGLmIYPUUT2//Jdt20Esuqqy4yJw3lnS1CI/jraPJ+FtD1x7S8kxoaKSICeXPL7 t9J9eo48D8w736KJsbcM64wcF9sHfrED5bs4gxQQQusTDswSkqLSFytN7mtInn5A5Nsr8JmA3zHP zXhBpHpseY5fBpjXJRC6fw1ipOxfQOoin0jwB3WsUpcKtRZhVU6ZKYewMIBQvaYjNG3Fy3lfUzDe UrawwkDa0/IqknN89sD8B70PwJbmrBRCDjASqrf70OKOIuZAG9V00PhOBfQkyCTLa+mgUILTXlx/ R19U6zmKGJw+0gW5sll1iJTPDW8hNk9rr5BU0MdY/4WzfU1bsIVKTjrCWOv9SpC3Xe2dUhDlSC+n DGhXkdnz5sr39LrPWyG1PATd7FYvGUllnECswPvOCrgC7fv3MQAEDibMhitmWXwBad91sbAm7v4d aE0F7IEjPwrGAhmXSmi99gCmzAAFDdhgfJteIw1OVAqenCMM6+g+8xyT05TIPJjrf2o01G14O8Hl SxTzMR42Mq8PWnoLb9hz1CWI2HCUkF/735aEJ8bE8ybt5FUIotOnv8WYgoRRe4e3T1IYcS9WLNtL Mc5t5DCY+Gut+orH5783CUTcy6gIo3Nr40IAeQmu0XgmEcmfrDGl5sQ5M6U+Wv3VBMlD5nCfS7PS NQtmCBWes83zPq1ZC7YwIP43htePXjxoGpyXQdf2/kmK9+x9dKpd7GhFOnCewe28yfZI4ctqhuOf Sfk13OXmQIy7PlxnM9elO5rUWrJ/L6ZK6OBIt8EAFO2Np1hxO3B76w2zbZPKRFfhW/uX+lV/LaGG 0eyzwZWcvfgwWThZpEwJjToCXOhvRR8YvNC7P2qB265HxADx+Eab1Fve2cbs5GO6o0SSD0LogZFR holvf9syivuzFUl4bahhLQZEcFu7ToGtICpw5RMM0BWQE1XNbQL3CgmXGeUTvJsiVc/jSD1tjJc+ asHDIcB0Kk1YrXl4Jec+gal5nEHFrTTXGEANkFoEWOD6QfFUp2HV8lpiESetLK3EEEezWMoIvuiJ qbNSFZS7oL6HoiwPtNo0yi78G9D9DnNsW80nA7rdQj0XzSHmLkTIpRFzEar5cMbiZU/5YpKMs5jE LsRxOakPcQHyTAx3ThIltwLUFO/8OqyRn7CIX20vCB+1ZZb1KgX4JR+GESt8aJK4z4IqxtOmlR6l +IUuFFdsE0pzjLaelq9sJBT98LERcEfaoOJ/7NbCRzLyRRl9bjZh+RWL+cAPPwej0Mm3yv3medaO o1ie3K25RqFUb1Fj5DMGIDy7TSmTyotZnhGQVY6H0YPRz+b7c4lHTkFTkUwoZvH2PFiWtBogEPq6 4rp7vWdI8gsym8PJgq0kneWt8jfp0w4l1PLZkWAb5x7B4ir8ccde1S9nR+rcEcRHrgK6U0Mhms17 LH/Z3wMHgnHu98WKD3Y7Vcq4ORVwUj2EQ5JVSO9HXVDCn/Kl2KYvNT2+W5R1Hw2PEl0R5KVeOMDY gCKp2khtLQOYieazLIZFseeFWXClW7mMOo5QPdr4KkV/RzoFjrA/TERTpQ/pRC2zJlyriebE4wxR RnPMufO7BNkYv0GJPrXO9cDiFLj7EsoOt1MIb9c6FNYNZtb5/8xTHeZGq//IzzzDWdDLbb4JDMmi 9DDbVVA0A1AsRr8elQE1hb9ce8LN4yrhrWI/PbOWEGUFmqTcbQ6QMBNptdlf8JXIVQHGvf9qVP+3 YOLgOQxAyMYQilWYTSXjQYSMygQfcKppy0Fs8CtPV575qI5fbopMexQvJvbxEhgkhiiSIZazVxWS /yH2LkJhL/dA/Lrq1+CeJLjXEcb/ZlGEzKSRq78Kuk+T4AwaVDd5QoDJQ1kUSDPVITEehWbpI7I5 pbD8XXx46tqLEeoWcb1hg8O0s05QSRKAAbsLpw5fSAuPc6yRRengyvkr7oh1VtRu30vaAxSIZcg1 1Zdrn5vLNzbZ5QVk338D6XxFnig8y4vLX6IG5j+mPif7hxLs6TZ0ciKJlwhhsgwvXeEoMf8jXY/d PWWcVybyNckc39gWUqBAPV+/DGrUA2RzGewC20wmuU8e2EcBwFBkvb4V+7JaZWvhhyhIzvCFFE1U yWY7kPxQicw/84Gh9Q/XR3oQzBo+CokaaweuhPgD/9VTN4CfumCACXwpuwonaDi/VGZwB3PT26LE SpYDzY+h9rxLnoxpjHiDxaJLotrEpbIn8yvBJf0J7V6GlW5rEV6hiYwNt66Y547CsDgDP8MKNF1s Z9PQWZJpT9fRSxrvcRg55jsNTDydcrGA0HBbfqMLkfgHj2s23u6LszD31V5vk/hnmKvI2W4sE3XA /ArU3cphhcZpbtThMfdnQ04e8+R8Py8BaS9QXKT02yNKziPe3Y/8LRvP1WkLJI4M/p8a+GWEE8nZ e/gdb7ZjePHlcjXEEgSZjcvF0/9qYRmjVBaoEuaoZEWgWdKKFGvcS/XxShTMKQqnnYVJiMX8d+n6 W7Y5omlNZ6CZrWSEWS7YiUdQhk131bMiitkQpPajgynE/pglk1nYlA7uV75pAYAzArWobN/IhDR1 qnhdoU8JalnyN6i+7gJpwnPgvzRpYRMheK1wnSw1igpujUNa4GlV1FKHplkz/jO6LaVp4GENiTMW znbJg/kDueYiB/FCy4M7pPdQexlJGZaoEdo3q8/CdyxSjEMdQYVVagPieb80C9dYcLKIB1jMeKdy PGeHhk9dy4f8AnLjVKMamnU/YjEA5q12FyXDRjdQ9fOgOJe6QjkaY+xrCAGM8/RBSKwjIlfoqaBO EAakzj9/8cO1tTfWEEIyoqzdJPBvI4xrun2oVGZNAMJqfOEH7VcGMbtIRvdMFL756KhHfdzIdWnl YTzSQ/p8oXtkvES9pSt5a31wWh0ViJXgX1XSpTqXPEkGThyB45N9BUhDZ3EXIukB98UxI7Ld8Ei1 rcN4swoiNCrRIWpsc09tnNv8OI39s9srqd0DCioHQNiHbVq5JQptzn0E0oz5EsviIl+j5BDmS0a4 4uli6J736atDGoXS7n1xeNFEgGM6EP4zeZ5V8bb2g7q+tZWSOM7f9TgOlbhMOe745jOCm2CEwZDp zlvsgyJLdIeU7TB3KrrCumWFn0T6oKl5hg/7jNXOx/Auu4mF4HMAytmndY8+vCpNrvQnRyavpXqS QWu2PjP+v2kNJ4krFalCnq7qf0+5B6d80KTbZkOyf6UTQfUsYtAB+0TFWT4WgJWeYRIDIB2weFlP VgbULgvXGzhoToKXlzxb6pra/PfZt7UIc1ahvj3xyk2wtp0LmZaZ3FMghLrH/C1EAkW9sEcDHV8o ibu/skKMIcWHSRVPQOzNYP8m5ckuOEzYlnkwNktAEpFPUgyRYMnArIsI3HGAJmPJTpIZYQMs4Gex 3rzf7AFU8eWLUuguXFgp28FiuPik5jcFqaDv1HRsXJK55N7UX0ge3CoH/kJiYXqzSeonS+KvoXAR Li73ABO4qBBfkcPA+pSPTWOM8YVyyUI0L0yre+Ize/NXn46oDFBYCtB52qAyMBxjUdgP7zodGq7g PyJnJMZK6JPHEqSLqQ0KCwrgRixT1rbP2ZdeMdUMX0uAO3vE5EEoRjnX+KtmjIFKGDt9JTHHvIoa pghZUi9TNpf92MOzbfeTtCWcIDzJVoCr14RLW80XDWX4ndH1RVaxOpF0VextJAnKwQdJgmoEE7Ll 9qLQPljwS3X792wuEQqapsegMlpsloJIuBhA8YJdw4c+ST9rM+yw95O4yk+2Ff0cy3yJ6kdpAgVX P5hAbZe+MiB33HmTiXBsr0a+nwiLi5wCh01xN6QdEB93tScny8w5TxVmbSwASsK2wevwaPMY93Q+ Wc6sLT3fDMQA5lJwKAtoWKdPti0J4mBGv6o+OUDwE/yCs1jHme74j6/0YDh2gCT9q84HF3ZNlB0J IeIDy6s6SJMyhQjIfagK2xPWf+mMPYy2PvgjMx9EF/o7l4Eiy636DhWGDL5ZdhAJin355cyHifPP vaqzBkjJnn6vLbB5H1DluUoILyJAaGFp+TkUSIbj+CwNLV8K0h/ZdTeJIobYogU5p5iXCQagExTo Sg2iN+tCsUysXAAxY7n3nQqmWlMf9ZbKp4e/N325Vcoklg1LnUsMmK13heeNYXoxenGCHbAK/j4O g2laYA5VAk0hU69Y9+tIio1215BiNJtVadiVzBBxaVPTbUJzmOTfoAE5PPCUqJUvu0OBSIdSYxV3 qxWAkWMDZoMyleaL9fFk+3p22ea3oFaBr9ZZUpMBEPbPGVvUabX2yhHIh8Xhj9j6VvIZdJobbCjN fCLYkTfeyy0AnRAkEX/risIcQibkSM8LwZJ9mO1zfq4RxUI7fjPTTaSiSSh0G/KlMvfMkrlbSPpn YqvFxPzBQuJIVQ45iB+SvES5wxWhrDH1PhI4yzHYnUE/LvrqUqVKH/lYnmAM4nqNNgEn3FWXyM1s 6LRtO+vZtQ6ZajWj4UpQuI4s0aiVA4XRFTxU4n8CG4gbvYB3xhfSHt1iCbvmNUICoMKaE6ZMrbW0 HvEvpYWqLZtHJ7FiO8GE7kcrCmJF7Sl8wIpa/RFJiargYeDagOQIwkcwtHk1CxC97nvfRYXvjBaG SnhaxkCy+b3UREs67dBpnKiYZ7gx+nNaVYqOn49pCVYdfZBBk7TEYpJHKD10i0dkZ1VtCrOFKY7I qu9HW+yiyMZ7jvxmLLtQ3Uli63LHBSz52dH17kZD3r9Uogm3ZzpEBTmn3Gf0y0KU4NKsYqQUZqN/ QePQCJ+rgSZLI2Qrr6lo4MEnjmGRFfb4RpjbfdRhHNBhiwFg7XBQ5StEZWchU05GM7YDbKbv2jjZ c5EYtY9KKBhSKP6PiPNCcS5xDj3+ElpjI2NxiseMVNcF50j2IOjGVwqBG8zeKz8ib4l1gYTyNAkt 49jJGAnjFK+C5jKM+qzmnxE7CcfQF7Kn9JoRZRHSNim0RQDrfJ+ugaS1gubZpk9GqbpkEyTdm5FD ARnagCxsK8LW44l5yZ+4KIZLzum401Jnujhl0pkFdGxyI5T18xL5qLLFIQqFjLu9Uj5wzje3Gi0g 7Cz95LKHX8to2MlyjSnbK2wL3Pj63f25aWphEL3tAXMB+XfHCXEEBfoP2rVGDKhSIjUGyf647vDJ +VlOz6PT2vYPNlRbgAQXL6a5UTxOmCysIBLkFIElGBfIZ3N97XLGdaFOLhCo/3HHw77kSLwT2r0i mbD1aw7fDXpkjRqJejpALr1nIXaJVGlH2Pa9r1SQ0g5ZJ/jtWd8AyvuhpgOzjfUfsCjcM7ZjZzdy lgC4KXd4nVAbV2q6D3DTh8QIZxo/JZNxOHZ/+AfqqzzG98ng0ZDG4C37IOu6vwWiYREvQTJ8S8V1 CjOKt8UMYVlKdOMNPDS+l8d1QPWaIcAZOAeMbc4k+Ujhvwq7WrCHHw1c5ZEtD+NcjRDNKpe/mpHN zh422NOJvr7fmXDKk3obMqDEibUcww9OXyiJL7OUke9Sl+XN4oEk8LqNS0sHLZOawZzFjfuk7UuM Gsj40brPf60FkNheYaXPLMnXSGt+BB5Fgx835tAmGY9jNx7hewe21ONTPCsyvmTNdxX/sjRsRL1I 3q5PjzrapkRfIfZCznKtgtv4ykib6K0bpLAMUbsl7pZdD07NwgS5tSWXpd8QrqoYMd8WvJeu50an 6tfv5PxtZ5wFHCKk/FrMxFP0Sc/IfwzOlsFZ2m8BREF5TziixedMvuRCOL9FMP7lKPors2waW3uk px1DPqQF681cqeP0R4b715+rGfdV2VzU0atFhPLb7LkRgQE4ZDgzvINZ3ZEJUTYu3KQESTp/7TB9 ClNNymIZ6UFJ9VCADcXe9sSadDiAuSfdMfWL4SyGTlrGvOON2Q6kzMM5AOcVjRsF4mFF++ZfSZ1C HbebsdAxVNRrFE772o8zO16Z7AbtuwHXvv3nJPVxhzezWrSTv456zP0Pf3Eb8UZSW95dwM0joU80 IS27gpIztDNnut9nlyp+sEMVt76GMmKoDraG/UZiLwetSAmyAnKoRiORB994/fgd0pC6DSaHZ1eP tJXy+Zwd7Ae0D5O41qN17GnYqShdamEVgPP9WHOHlGP3b0rbkflFB9sN4pSKHuoXyv1CYclCt1KP Em98Q1I+5Aw/b3c96RLcJbyj8jMpbZC2U4KFVv+ysElBPrP8lmK6K8FsUaUfjSlBiQrp2TeFzbld La5zONsLc0bqnPlt4AzLfvgYK/pFTP3vs8ccjspAZv8ySzz4Elw2uDGUjVZAIZwKYqxQLW0ZLVKS EQ31lZqZXUli2VZiA7284xKTbO68LzWAxkIFVq+zV9EF19GZtg9wySkJV5hOjIL6PYaztgCnyKpC yv2wf0QK2Oq4LTrTQEQd1e395PtilGR1GgTeLb22RfPUEpH2ljf0+p1q8BLL4RlK/+Dvo02LBVc0 2HIL+FMRsqLFIz1cZ0wEaDCZfQLvNhWJ1ElrMQ3mXbbcD82MheDBdHVrlYRtWaPOBOnl4erskA7Q pdE7zMGh6gNiGL5H5YvFypcWIPXKDxz01FlFt/mILc/H9M9g+XD66GW08t05BEL+okL8L3gXI8lg wzUwjinSqG+i9L/Ow8iU64Clt+vwOvX4SRFhwWCSeRsoVGt9/AFOOdZh+/phpOUVVn0Ie3YBONCd hAq7Yf0HNxHM6kPy95HWeiR3Bt/qt+cJXEY9G6z4aE5bAAx6y8tDfKUbL+4WOo9/XtjilWKRZTDY Hpl+yNNbcmQec7qqAFo5MKvnv/cum6alh3o1Mw5395H/XN940tK4ixGeyjOsmqn4x4xkmYq+T/Ct ZBD8dQrw4inRblo3jx+hAvEsoc4Ci1hWvd2HBVfR561kLPvkKR3MQaQmNAJ5fi3yGkRbGBu9Jy+B Jz00c6R5BMc4mSmGo2pDjXmYbPsHsxjfYho8EikWX137SlDuPsuOtOsOe02THbzGZ1TnMKuCb2EJ 81BIUYuLpB+x1zfeb2VZxdK0dfhAJCAmrcfQ5f5MpzZDliE28xUuEhgaMqsmNJJNX82Y+kTgo4Ss 6EnpK7h9xfarGvGZ+dwXgf4aoGEwSGtmeJy6ChmDOPEchiayMuKQNqbRavRPwyoVIwdWknpSjEDW qk/fq9zcw/OJUNYFGeN2Ld9fQvtltBVKFQsEHmAW4xKuJ+Z32zamPaCs45gWg3E1gGOcBivCVOpE qdzUVdoPNnCX2IBzLq+bHmO3kEqy7q38i7InrJJQ8xtkRPBA+V8WSbsGfj+5+wszaqLDRiYB54LO 5LNat64QYS5wqgCgLxTtNdMlT+d91wz9QhmW4fOsLCKXFnXr2wgLuCxVND6qj5JZACW1vC855Hvj SyVSBBHcxRDdalgmY8YZkKs+Ar0lifcvfaggfazHcfngsUtUl7c7cmGsgpaY54H/KJ8vq83LX864 zcb1UjxIQBX7a+lrMkA8sH3NgroEFw1Pf3vv+vbRzGD3G6/IEOGniDsZzO930wkJ8+syzTUmig5C Y7fxB4cdGLOjqpSjvFxTE6C7VgHqqt1hx+QnlU/6V/oJvd7qdhdaTYJsT+UVIEw/hSMm+gAYL3Z7 W6Rw18gv/dFIaNFRr3g0SL/ZZhckmZ3wKAv3HjezLDxApvjTC8Q+82Li+QzgmRNg3mxyjVulAfcJ rMtHlfAThL6nna1aP/U7qPr1DYeYGwgU4DWk9ddohRqRywq4Xa3yXwyxX9xEgG0nN8+mzGG265us d8sWN2u9Ok5wEiLz6AIhco2c7zssQGaVE5cd0DgL9dwzVdqepqzuKZ/N/EUH5krdhLTys6mw6TOs rTvRepphBzokXaCsn2T+X2odk0d+LUlyELZfoVmehY7RGLC5V43B5ghlkKkCFLAJez45hn81B29i q+GqbtG/L5qgTSUk4CF1Frsxv/H59vEr1lvcXNE63uUMrnifmp0FE8aq3ZiJlGjDFyu0mdh5v/9P hqNi6CgAdr5CDPH7CGH4HayEFtTmiuJzg5UAxYUPff7bgkuc5T177hkNjECjySWTvJHH11RPkAex 3Z7M2ha1EH6rrgFe8GXGUPTMF3Vm41ZlJm32N3OP/pBJPqtZ8BV+Blas48kqw+Ha8/GtxhXkW5KL t0tZdXYF2ROozbTwHEFGZGOl6evSr9eY9Dkwm5KV1gzy53pPkGx8J8G/DtMguBDnTWXyoE98bA7B s3jEg52lzP8kM7eqa6+BdVZG1Eq8JeR2CcdCwVhIk7UnM+5Urm8pNu0Veozh/bd200T2YfeeZmM2 ZNX/sdB7I5iUnRM4PqljVTZBnYbg5aqRuKCBdFh4X+ZOfBBrxAJB0y04qDF6GexcHF+WHwRXcT/i xPH0JtMlmwF+zdkMCq1vjnsM2I+9LdfvHIgZdau6v80IOMtLQRm9XvgEu9eo7jVOX4s9A8Wwedij f7lQEcrVie7BGIfbx9Gd53Y+oilUlQwz54YFm+cxZes2HORzUwFunmCWFmA6xxEdCCaXW0m6VfQL B7G+Hmc1S7kZe3H8+MGdz2SsIRvAPhULFlXVHxFVguXcvJEAMmgesVBfdEre4TNZjL91PLPQKUC0 Qhpm1c2UFTBpeDs0F0IDfIJs86nZIZdxP2cTp/yqDght3ilBYnuKymoRrZdauxOOYUaJ4flzcAfg NeNtwt1qBhBYcibEFJ0OknjiAaQUn2i6ZUtoqUNTegJJB0CiFheeAeoytqEXcOEJUUwKRkfDGIV7 PUULcn3932FNQimkn+SJv6+7FxjBMcFx130p71s4i7gos3/j5vfQa+MzJ5aiZrRf/JNpzniqIBzx 3HJvNP0wSfZUOyEEGOMRWGbVnH6DicR8TRhNwjyMjXlddJmE2f4w53UeFDUU5gyC+f6D775matkz Ap/UGDs0T7C63nFX9NneRL2MV9KFx1QN4/UhgmK3ovLxX4D0b9w8YlksEAwP/unqC0bo1XSkgF++ TSrXnTUk6O4XQMFxLkgppJIXNXo6TvPcMI3NEYW2/ASTRS33vJJTHyzP8SBIgVaQs69fedMm5r0J IwRja1jtyUug+fmkPmaCjYV5GU1zxWrUv91ZbEMmnHbSLpALAex9borDem9LsFLRFW4ASA/Tmw/g lj15KnfNXVOCbASGzznl/3NTMRTl0y7HaywJU1WVXrk2anE+NRNGJvGDUbGiiVF2RPHxZ+9Djlm0 m/TAkIv2MVxvoVCr218xuY3lXFETvcT3XVR4LXVpElgX1/qYs65KjBpdtKd+wizCtvZmnN3aLI0u HOtLdVNm8iR850CCKW2mtmsfHlvtoZhvTrgpd4fdDqouLCN4dGrZW9Hg2rJhVtIQF1yFhdT7LQ00 xLvZ627zuP7fuYMelfCXis+yp488bigkRzuYSmxCYT7qGBd9dTkGXMlfKaCbjDsxJGU5lOTSpUzz KOaAXD8pjhGhenpdX73d2irISC5FLoXpxjJuZKN3O2RtUYBPzjpt2vaAQM7mkX3K9q88RnE0qE6p XGrM9uUdksj9pFWWMvH67Try9ksPoonGaOJjjUliQlkkAxKiGY5aGzmDJsxJykq2rmoAIteVX05y wMkFzNtvuRDwML16LwdqPb62QiRVX31LNYxZZzs5PW4rUC5lPtindmuG1oixeKQgmsMWaZfr39ki BuYMeXJAWfsBfkU/2kTeyhZ46sFhXsvgbI/TyRu9wBNJqzKisb+OJWx7MR8SBng763O4oqx+p8A9 XriRGty1gqqBatcyqwYiZGXueOffJtm3+4oM8LmgkvEZM5zEX3lcWwT5bZsIJWNG48DAFPoeMsWa JWFlTvYLTePnR4oMAfx4A/H2yTREAdspUQKGddTdUIIB6KhbkSAhwrOpj9I+ZjhgOsywntYkX6sa oKnrsvFbaH/LEGF6U9eaYp2CGmOiA2n0D1ZZwZ83R3bTQHkrg2nCczBCj8OTv7BPvcHmk7qk0mp7 0+Bz+39AEq/h73qQ6AuUXnWU8WJa6ctDJil+CUQ2LdGVFSdfrWN3ar3uXI30jEGoABWmVMVARZ28 HggTSaqWTG1YfpiOtfsJf3u6VuJg/ofYciGH9m1+4DzCpzo7/N1aADX8XcPPQP/4c69GMJDYY31V 6+659VzO0SEM46Vvp9/re/L/N23PG7F6OrNTznDzKY0ZGxepRx6SXfKq9MQUPlYUCb2G7wu25Owr B+Jkwjn0MVN9KPF7uzrjP5VA4tvWMd1wFrB9tdcnPOTQupMVv2ka2TD+ndhM6sSxjRZDEvpWfGB9 HO3jXtqqgm7VNDNgQFhZ5TxhnanqeAM3gmCGVh3/app9K3NHTp4y+mF31ddbxBFPf7th1jb246mR Bfwc79g0zHP4jkEbA7/8c3fq3MhOa2DQwHAvu0EHtbpeXHlm9by4JugZG8P9cyUdhzkM+WhNgMz1 tCSeKC8SjaFi70bqJnLITZas0kMlqyEW8wGV3g7ByxdAYhQ6XpUMDnA34I9TayiJDsG1e+9PgHY0 0MW3PCyADncIxc3E3dun8infRM/+mvklRPQgaoVXG64fYGwcZoau2t403YN0YpUbWsuqdeG7y1ta lWcGbccnY2SWpqq39jK358Pi/wdSqmA95JRX7ln6MFKe6ABWO8dYUZLIp4DjdZ5mOvdPSrrMBqJ0 ecNB+vLaT7coTJYeNzAf98LEp9DZVwGiX/NZiEqsp7AfaF8QkmviRz+gLp8BcHciBf9snaabdvUC X4+nH342XQtZlkcjgvt2uQUP0mo6phQ9NglZt6+ettyqgrdIknpP8BqW3jbpioaMawyPM/g2dZNO 6q5W6+JZz4WTo5uWWfPAQ23NURFA4kxM1tkwwCBT21tVCWrPT2sIU7hfFMHHMFKOAsA/aXHVIZvc EOVJSvuE5k3Ghqb3xbfSYv8Bm9wm8kN0+Scajl7RkITNvXLXQJvJVHBL+W/dzXFWvipjT+UPYv3Y Bh7WbcM4wDvnpwCKduCoVTULqalWct6sSWkVlWkwnk2gyIr8JRsYaQo/Gg7klQzb7W1hr7ZtE7X4 0SgYf3IeyfQ7fbnvPXfQEwmr3jO3MrZPVeRKbQfNxN6R3fs9Lv6FNBFyNXQuuoeGdVZxshd0Zj/S vacapow3oEw/a+j29QHhFrH4clhu3FlntV2l+KKMc/2uhhtT01TGQFfgRWuxzi9Wu0iFaPJgwHF1 qYlqFUBLqeiiKI3TVv6dbsnw/c2ZW2S8NSz0D52N+2gF4KdxTufAX92cN16X/vnSofI6gmAaHdJK ikKmSauxpsAje+eF5kKHtnnoXwcnera0qJ+9DokaRv1dtXlQAsEb1C34GzSM66ReyvVGRjfFQrUn jznCgR1bY00ga85ZTHFEcnajolsa6XyWeWZFGImckCIn62MYKvaV7zg9cAvRdC5Iamg15AfoGpe6 dhoD+v6WwjaWAgPP7snIm2Z56TWpQsm1JXSrYZYjNvks0fr3CxeYGnMd4J/xKOm/Fz8jYiW2q/cU e8WiOYAUz45WOk2mG6J+C4k+YFi/mr5rpuKV6Z/UmXTn6GALJ5vhn+okAMp/X60g5A70Cb6x4DDI U+cfhgWThJkmpwj2ftBUpk7gdUxqSwgYqSPkCggNS02aFlGQx0p9xhfoXm61smy/lJOafGXLhj3/ EMvl6rfBL6Qv/4ZP4BjEvmMEdfbIcZmupDE8KjDP2KtupercZCs1hPGaNGqX+3ahWn35aLuz5sS9 pJebxdlA8qY40KJmHAo3DzQQHKkFsJOeQbvGBMh8JcApPsrUzxX+stXLQiUfGjvjMQBBg8eAvBsR jcgJVwL7hJWN2BX/dvwISHPy82Uy9XeAWrCnS0oIKOuHvl9Gyj7rKH4JFQLxQSeRRdB1W4tNxGZ7 Vxd/sXUiRD6z+j4VkiQUvDUDm38a+YAjl+vSxVNF+K4hxNJ0Lkqcnstp/E/rQlTKmEagJMu0+AUW xf7IK9mfelaud7Zbfnchzv6628kddIpfTqU2ZChxUfPaYwqPbQHbctHskpw+U0dzwTMguFAmRr3L bIkVgrxtHFSsmqqR2B3TiJ1gJWGhiu7+x8aQE90Xg6VDAsDWWycty8HtVOGQA9S3LiwXyAgeWIGe z1O1DpurmASmoDHhxOEVr4zfPJqZ93pKiRwydS03W0LAjmhwFN+0AKj+9UiKADI6/MchWhuaIVe0 S7xERZzPT0pcOcdSl3foI50MkqjItP2SdxgO0mokDq0+u20hkSvdf0GnhEnuDviFZDVHfMQId8VD J/MDhErpkKKPbO4/QjF4/zVvgDRYsfnLLbfTx6uZRc8LvJ6Ys8S0qbvFJjrKHVa3HuH+kqy5ui3/ TXdhWtUSragJVY5ziq4sPe661mVXrDuw1bMiqhqaQtOxkC764b9qApTSz0orIFp2rQidiQ+bMaRo 7zRm8zQNg7NVLO4oIMbNkjfgAS55aJlYSNp05WoedtGtPstTjrbwjK5xXEnVyLmDsx8mSlILo2hN 1TzxWD4enzENdmIixRRD6KtZD9MGGZeEKKetNUqBlxztAoqjxhiUUbAifHJgYSvtBRWdsdR7Hz6K PIRuuMPthVYtz9nHK/p2zGKcSSAc+AN94Gezf47s5P2K636UBVwqNe45mNoLaoo/8sNMVfAelaOU fyRrrPId6SZj05EOEmIAnrcISiTqwkU72We7ArmW2nu7v+5Csk2hkMm/6VUCDo+L+/qOfuA6PLKn vG8z5y/Ys76h2ZeUWitZK05Zucqfj/t6nQtzy9mmTKrUUF+yV6vW3sCA7mPzk258glKA/rIToQxJ xxbvP6OlEHYoFcteCmrsoadG1k1jOLwGHgKphB63ue7etb0AoCXfn1bk18RM/pYDz6pdtVB0Mi16 Y+DIsK3MScRlLN8jy8lzZZ5KjQ3lJXgYpjPoI5AQLFRNBq3rNm8W8NnWeCX3V3zj67yoYGZn/h/r Woc4swuh3x2XJ5QLNpBUakFeOtCb7eHFpoGZ4fK6hE0/PLyBaU4pZdwpBn6uMtBALweWSkm5UpnG ZNIq/P9xgHTxGt+JvfrCOxkFaAFA3lZJrUql11w+SVjHZw2D1Hb1tQzik5fdTxb0ICZQk0G79GIP lOqMnWzbSr2zS2SLks5mvwfugwde7ux2tyzvKFGNjNzqtsmq6YjseO/cZqZLW3V2/fEZ5ue9z+7d WJpAgfZkIUewfmsfanwEy9FdMPsI0fXuz1M/mY0BlwxlPNzv7WwqS+wSlCpiSJmJ0cGBF4n0TmZ9 XnT4HCcjql4Myb6uHQo6ljT8Gn+wpkVGHjblbQudqvgYp4ZgdJTS10VmY+oawLKi9Q+JmaQbgDjP hjkXb7FNtEAbPU7Gwk1TGT21HnXzBBUb4w8d+xIICL+59Utky1noI5sNMssJqGfCxfJruJkc7hRm MiWAhqTyhxriRr9rdomPPPjgXW82vZK7F504tyohiTAjOTHMhnt+fZAlnLmHLZr52jmXTOhJUJCv J++hmgdjZ1j/Tn29kLSiqB6cks4haGK6uzniV9ilkpXBcfd+x6494kuZ3IZJ2H36utcRW1T+N6eB vk/rQdb0yVXtgOI+YX/stoeiyETnkF33Jy4ZuR7b2c1f/E0o8eECpdGW9BgY06NG6KoujYvkXyYb +W8FeEOTtAuEGy7ZDtrscws4+4o/BMxlKfpMZopcFoH/nOf2OIqAMuetx2RAxVu9pJYykkEoqCni QReBkpsgCYe5xH6CvbEdMh1w1f2FqFtQNDPlqU4UQik7uPYII2ioDKVlg7GU0YYPXnGW8KHh9pul 8RI+KseJlOED8unXi59p9Kl/pxuqHB5lr7fllNRVchsN1XCcitDxlp7MsewSfWxqNiybiJJPOn1E LIh3VR9IF5myoKXXMpRYcrYx3pmgJzB0SLM/EWGdrweMh8l03xBONn4iX6lleFT+tWGCmq9MTFmd pc5lnk3ZZtx3yU8x6q2105yj8pHPBfquloLP37vqclh5gaf6u5RmPngcKkO/aNkp4Y1hVuDk1M8g GzUYrtdzw1Vx6tweY4RnCtmYUd/gKNBcEcoiWanRrEOYhniYoNK8x9iFlR8EiqVhkMPopghe4LRy 8YkBaYx7y4t/o2gtlMcN07x4EX1OWJc8Xgt6rwf95CjeOwfUOOq/Fj2CPkvyO0Gfy2QgQuuIhx09 K7YHkOJT+7JYReFflYDIaGqnsUOn2bb5Uc0XDXQMdOTaI3FJzI56kLQYz9D3NR0sJhsExWrrjii+ sMWF1tefYJ58GrfhSq8fT7Y3e1j+k19CHQl06A5ovRj/d4NN+atqh/6xPWi+xMFwmiPWbpwXpn2h ku4R6RdGWVmKlsOAUZh+barQrgMxDem1QnwvDLQdi0R+1RSVazA6lUlDsFaeO56udJ97FqaOf9Zy q1OPpzDr1BOalCVtzKu8TOppGq/tOqzi1m1ufWns5d26TgX6vMGdqN/4brbdWXB/0Uaxemnvdes+ 3LgCFKFIYC+dN7FE+rKKVIEjGpnm8QnfdnUBg/jRIuWD+lk5fG0Tt06VFbaIO23aSSj8W9EToPi0 rTLhPQLmsx1hcH8D1H/UJ6zP2uwhFm5IFcFz6y9bZz1xWUwEhZtVV0LmHnOCpwm70+Se2ikZiH4O 1QUoC9/d0+T+E+OD/HApX7PQiP1NtLql3TFHm4kq8NIiM8SCsIseKi6cptAQ4AolshayNjn0w1CH hmUIV3kDI+4dIN53fxC2Q/W2FlbmtCANaPHrcBp6kJ664YLNSt4wuCyWVXQrg1YVrG6xdgdoNvRx Z2zjcyuDcSlXi2Ry6oFln9PLSxCts3fXdvDytawpxULoPM1/PYYzi293MUccc3EVhJY30DTv5As7 0oWC+m5fL/fVf7LmBt2Ed8nbVVLnSotCApIwRJD3EFSCufe85TBrXA3GhBfLS+ad9su4cQgTS0V/ 1rFN9LvBSh7bAqVIkn0LzE8ceetUk0BXRUNjZt+IiULmwCM5AbtRwl0prEPotlonWZRdJtH0MLzG Ut0LRkMWUlWUNzXnGAS0j+KeOi4SznhoiSf62C4dGWiSbfUQ2AcWEkWYJz6aVWQ4zWZHWj1rYRIU joItBmyvjQTKG3S6TlgKtt7dxL019bNYIZ78xsDbr2sNlLnNNm6yygc9FmDjC2VTUnF0NdaxCP0Q y4J8QnWL73yLzzl0i3hFKEnKj0ARmaR9sr/W96uTv1dtqSuGk2ku2EtCEx+UEoCjZgRgz7BDPoMY AivbepRpSWQe8aMycHtrTrNB1l3pgxg5tYM0sdRE/leqKWvpK/wxkSdWsQAzs7WMVVgLMOvPDP99 yZGoT+s0P6MSC/LmgxJ1lLg50LZS9azUnYGDAtTKNkkK7VLgk/MnPpWERBsGnCc2osH3lM/CxFBj b2Xp5e+yR7K5ayKUKfdek7juKnTFeilW7vB3CALiyN35/uV3dAP7LLXP+3hi2NvirzOTPXgrIM0J WFpmIv3GGCryKnPoVafy61N2r+50w+6EmYL+U1OxOza/SzG1kdKPIuiCjahxK3IDWkE3N+VjkeSw 7h0FNo64JK51ojv2KqdI75FCGkyStocfec5vo4/Sk3FpKLk/CFye9l/6INqUfZLIDpv+dnHXecIS z189o1ISM+b57zMQnCbRN71b0Cuo/CVS+J+U4dzfqZLvnWwpSeL3ocWtacvHryx5bF+mFP/iIDom n+yej9fxefqtHTfmIDtLIN+fiuYP3legAW5J8OU5B/aUMwkVBhekuPxjEm2PNIES1FI/V/P4s5mC n0Kv8OqVaO/QSk8odob0yPYNvRA+lJxfFeATkgTY409guerWWAa0HJvgaJDAZwA9KEgsWvrztc9Y 1XwfDI2o5bxiSDqtUb2xKf81M2VC348vleKtuan544vPwcMiWr5mO7TYc1zy08h7zhWn+Q7YSyIx dX4M0zSyJCKQF5slUESdUzvmE/tUW0aKGIjWDzya9fwx5kDiEuXdPep7BapBLLr1PKLYsgHKbnw/ MpaCX5yFCpwWOA+9vcvAHPNR8yyJeoYryF+UYHAFEPR29/Pw7/9A44ZgYodmjTWTa3BvrscBA5lc ToyZh/kvb3MU0Fx9YbTV52tnH2FNq2Qyz/8Qiysy8cpwP+lfGKuwg/04zRDAbOPN9fm9UzDUNNHY 48ARqHzNRN5kn4diFzdyqKJZdx/q0l1c3kFhOmPVJeCMX2v3lLJBjw6caLD2Zfbrzi2GCFTt6Nvl 5eqq3XjJ3jvyZS5AwKUV3PNy6KwN1FJJzb2I51nalL9j5iDq8W3o/Fu6mjEtftkrsoupECM1sp1s Jy5c3V3LEcwtTZQ93CwJKMc+DV4EKNXjiE8FZYO6xcjENPQFvyQOf56cL4koVByxTnNDFOsACF9I XHn/XjfrkGPQcLFAm6kkQqL4v+cVmTad0wh91rvpffiZyHqEjlyyYeOqcv1Alb2iNI9QHSvQs+IP LJ6dJ9ShlmhFtziRNea/Vn5baBX3bAc2j+4K6NrMP3Z7ebYtmjZPatcLSkVNCpzvPZw/TaDW0bh2 Bgezkeyf5Z7czftNbe66Jz7seLULhcIEanfKEtUjhqN+4MhqRki18kKkslz0HWVTpPGMV9NnEe+e dy9GQ9YELHrLl3U92zefzCbhwdiqoW4CSFZVJt4lTWK2W9hl+9GaHe4PoqS2+YtqlTguDV0KIjQd iSJXFOpxUk11NlpI2s16FyMyWLaP8XOfqBknCTJdzdOIqDIHCaYrVkTOdc6XoIxuBBVpu8lFDjJW FjZkCoZvV4XYxSzltGWPuVRZZd1eUIkll56sjlG7l+uKlzqZGlkozbCqmzKjmnPaiIdjTn4SnrFz 4mpx/rnuT/iMvqltzkvMvD7vfs+m29YhYAygAFzDdcl7b52XzImXeNoJghGXQIbyDOk96JMPm0kt Au4e0/Ht7PdtbLPOTnfdbkKwu95fW26Ghvev3fbC/c8edtMsYVtmuEmdPgAkzTEGwhZW3HZtL3Rq wolfYejlBxW5CcbVPmtDrwsAyRRINFkwNnxVDswsc1052ab29W37xSJemj91YGmn6YVIlYw3zWL6 jWXvWXw23gpygH20WAkpBoesR65FNGVZEGYj+t7U+HC45xRNJAjVQm9G20g3tBjIN1cfLbqNfnIh Uu1Wz6yb0ruJ6ffbjp4hAOxTWlUrjkoXHStkp476hAUmPuvYvnLLgdKB6xbHnTNIS+IrYojuIwKU nO003UmMMDUMfpBwZEpRIRL9K6Ca95IMq83DU87BDuSAkH+5Ovca0zIkzlT0UaKeuEBIUVtPwS7Z gcds7dg2tT/gFDXp+IYlTFomc6aFlcS7R/xet8PvHO4KjjH2JcsdLCVsP+LobGn2rEecPOM/iUKQ tAcd4lUaVD1UiA+auciN6IQS+sjuVRB6Rjt07/imoJRao8AtTAdwvSRqpH+XE58Qp1twqlxnGX7r KeOJiDHqHK4DMykhJqUGY/thdbg+oEZ/mBOo+9CxWk4dZCxEMbORVbdkES2gomc3FxczPKJMmtLQ C+rudCaOfKsOzI43+tenY4Zu41roMPWLiN2LXkvWn09ZVMTqQcdZO9Z7ry+2eo9OIRiU9SBes91x FiCxF2DepCGUo+WzRk3t+UYl2QrTR5OVxCHu75q5Vi4QrkuO8J0/XWqpQri4Y6RhVa/eojK+7rBL 9yOf6ui3LpgebCoSLl01VDL5n9zNpSNyAnwD49f1q7a8BBKl+lfTLYCylrGeaeuOiSQIivF3Napt 71C5tOH2y77N+Wf/ci90WTg0ClJMhNyAXzvImQYYqdBO8d5asnTKHwiuVosLrPAvcScpJ12SziPX 058QXHu+JyXV+6VD1Ca3EEx43jblQ5Q/mlrUSwcZSoGWwwLb8lJ5/mPwsSxfVFECXARWNj3Ci8WB I90Wt1b5tnZbRzENLkVhTcsyQqUbsjOXcXdA59irfD1n2T+0OIdE3m29ALRZTdPWuburHsO6+jF8 31SPncCUZIeLiZxc5jGQrAUE36q+yGZOJwMDQRA1CTCfWyzlfxuHgvZ0LtRJx/yjitpwOYAhttEH ZO4gIsENKUrEc6jDhjj9W64nMH6ywZgoHzKo7p3wAVNjAXaGIJuZQWuwRuV/rWZGRUZwBYFKrhGO wVTHrpjPu9lSAt9ZYS4+CllU/qF+vgTxm/sjHdFjEIyxPgP0oNkO9KLMS1NFrfivz8UvoZvdVfcI yJKY1pc9sLZT1DV6xSjbjpwmBPDoJF6UCQaKp0o0oTYWDPVcWV9Blgtyr1TYLluia8rQEb+J08KT 5tN9N5N6Dx2gRbjL6q16QiSRaTY2LCkeGs90JvkYD9LQWKV8OagA9cSZSFRSdFaQtARw4iZsrp8I vGUmG8yJqz7fM6Sop3jNwIYwtBzBWBgd0bfZ6SQXN63F7b9nU99GEO/GVCmLvyab2WCu1cGruGd+ RoFEuFVlUJTIeehpxEcqHTx1wQaqRxp7YfHu6yKZru8FqyFOGJorQPf43rwAUE/D6YAMaGuftLS8 c5Bonl3fRLkre/DtMSGqWb1S9lVvkGP+f7nlS91rfEd4wPRJ1ja0R+Zrt7/C0yKCas/mOLIvqum9 2Dhd6VCgpfZw26iT5chAylnHVqvEDgbXGPcteSIeHPuNf6XRLlyOiY118iZznoqjx+I9RTfK46Nl aHoyfbnlvA6ToxEOLyMVqm+k1lyI4Lgrm1IFTpLhD1Uo+RFr/bmTPAH8Sjc7pVIAwd/P3bwWsYnX HoWTqrKXqMjgyiH8/F0r2HRdpr9nccdheox9Kz/Ni7DSxEy5SdShnWNh/BBDzqfZdLrOry9ymoyJ BpyQaKH/nE2o/myHyT3/+Oj+jU4/iJ7Y2Hzfhm2bNwEeS9E7c+c1gMpVTF8i7FLuBOMVvgAIUWIs qasiYYV4oRskVy1Pih1/pTcDSt8EQm2CSdo/BmuLXPVjmbpQzZdG+dvTgMMEweX83XJF1XUjWoeO yqMCgVcHhDpUwHSvkZT9hyzcqg4V5YEbJkymD8rqwVF3ldJ/qgApoWJ7IZPOnKrLoU3ahNrsGW9L W8Jw0ojUkoFvCzXRZU+fjWc3S2hW65NP43GYjU3rEqjJLxRxhl3M6AzNbU6aaM7t57GEm/EzNZW1 peAoLTLQxPinJcObGukYaFWJMDPu24YEd4Xgr7C0U5UARS4DtwywEFEwO5+UaQVRr8QeWtwklxlX N+TkD9nWwmW3fYHGmoCeZ45pwQF4oZCGBsDBlhyF7TO01y2jFX2B/23x2oTO+El75D+ajAPBFJBL Ztxc6akaDqXU+vI5RCIAD15zChQIGZKTgOHEQIM68wnBQ+UEY99tpOYNGlocUUhXSO8EKG4waQ1p F4bdKsAIGLVNmwyBoYLGryItLZ8qWClyEf9lySQFHccf4VAjUfl+P7Wj/QhXEZgDW1nUIrTzQwGq ycLTQI07rAD8zVy6+dTZsPXr3AIu7ZI6uu3Oeq7kRfunCEK7hKxtzGYqsPB6VqRbU0UYZVuo2ZpR 4QIPKjW1+9ShvWeW9zA6WF/AHbl/8k0/HxNI/qyXdOVxijg/cDcqy6L2XP/hBEatlPW2HImYz+2L 9zxBrvEfYbeG/kcWSsIchWneu2UfTojZ+vrNnrsSwDgBgfeG/fFI6Ep0X5vFA1b4Hi/iUTjqzIcH C/piqQSnXRJQbACFUSWJkvDkcN3ldjDw/nPjo9SqCID7dglFtj8BCkjUmc0zooO7opLJNYOVVLdq wRXOSbU7VhCKUtVm/yEDurVd+gGlHmENK1i3k+pHbhQF6RCtptBBZEqCCWMczMboO+v7EF+828iC RK2JUWyhhGkZTiLkiUlqM7PFqX6OcjGkf2gnZ0mBN/moX8L4RqIhdJpuzlWS+UVzA11leZkFgQ6W tYWgdyTorr86ZO86E4PzK4mXgqJ1yYXj0k1hT67EQUCQKgG8IzQi+Ty/R/l9BZyK+VmZGr+vInJL ZfHaf2A/t9HCLuTIzSftQaEJbVqaBLpEwHTHPnDyxuLQWeT8Xtq29ZQSfKaB3sBQt1GG9qRxQaNI QNDqu3LuaFqVmATmY3QkwjN+vGBr6V64bi9NllpjIfHCijv7Wyj/GlmEtJ6IlH6uqecEIYrhYFQF Ct/JJgxHGGC6yodDPdaQqNtEW6tv7uiAH6Blr9mhPLARcOwolpg04gzZRGUykmlIZRJdMIWVZMOi 5nUyTDBx710fN+EyIE0KATj9J2bFL0+9AVoYB+8cL4oy9tLnw9BuDVHvXfXu6MmSp+puiyEzvSIk JGXRrFlN/EvnEZdAv/Fci73+DnWwHW220aqpzDxae8RVtWo+1Z5rSRPLquYWJULJtGNe0d/lNT7m wxNYay9w7AG8rPQ8HJhk+Yh3kcv9hsp+a1n3hacr44Lak6p6S0NsNHMPI4aca0Dr/XbxmmeDNEd2 81LJlQMYPDYzw786b8S8fzSfBZuApe7R2dFmS/ZzJWcwldatuOpFBSMpwFp2L97bXEKuN7m4hjpB t+F8EA21APEjFzaHAXNPx/jeWugMY87ZDzGj1gM0nyUtUpTs+2NLVxrrVkRNEy8X7shp6bkrH4fb OKo9dpsOU6xfeisToqFKo14Te88zs97owtwIPinRjmbAJDoGnhtUEXrWaTf9j7q/DCf/0TgsdtMn 2blpbPBrT/uGLEIN+or2tNFC0ur2p1nvoscJXLlYNskR1CN32hFHYeqABTgidwzyma66UtBRLQ2O wRVF2YKNmEC5QrNaIMTD+hF5lQBL7V9MMuzEHoUkmieIh4f93t/WOdzw5tjgXCNQ2PKWsaXObthR xDsIR8ZysgmCZyIaPsREyRcUvWF/9gE+19Jqhrc+vEULL+itEAH/nb1Ajy9ZzqJmImHM+80HZ4ie GTZcXMta7fdRVQ+zTHApwVa5CoJTdRHlyPiy7eyGfh7KnkmQLljJgnDqnEArJBIypuZiPKmHKAxL USfYYhJ3YI+O3xn3f7Ldpr5XWZXlPa0ncvP8N5HdCGqYG4g7vZpHj5jWxWIaq7I6MUpMb+0SINtj r9XQUixTNh0XohCMxQKzpKr0tl06TJngFb3u2WZN7MPEPZwbOrMZeQMok14ns6idPR/NUMIm77KU KrtiNcMB1lwP5kVOvKmQlnkG+0y06A8/6t1Fr/94dafAShsyMTwG908BGB29goP0EaSmI54n0Trd dCEa48z/5OL20RL0zdWUP2Wk9fJV1bB0C/lYaYrMkOCXhwSrEL2jBPRnHZmvkR5jBCjJFX331kZD ybVxLFtcFKTTZRnOXqeIUAhgR/83A7u3cOXxtX+N1ezVhkpp/tNYeMnW7spgJLxxQDdl7ybf0b2H B0F/wTiZEPmn02WiAJe8pE31T3CGD1CKWyVKseBOnkLW3sbssRBm8ea5T8jU1FBScyz2U0i7nS// /dI56981EmisVKzkrhDuMgUJSpC1/lGnUyxIigaBP9m4OAwjE3Ewp6c4zFY666Fx3eg61VF5luUj z9fQXPf5k2q7ELD+wXplRv1mR9EiJ1XldfwLJm8dZjqXzaEZaHQZ/GPkTWEn2jPDpnYx4X3LfgVy S3RVeEeJfdwGeCMqtCw5eVtHewY69rwCXE+8xOeGWSl9012BZqChsBb4K42+Xhsz/HzFX/OVPyUx wFGueBlubUcwTrIe7jiDAkTIipC9PvL0sEIpDR/bH+WZXAOcRAApkRJuwgnTPOJVVW9MYsjaXGcg wZZPruWm9t8sLdExIOZMt0+Y/+K8LcZUZjc3M0U8CUZnIeMcdgyBWDMdAkVqqTAGgZtIliD6/sCS 8mbWUfDhA47k63TEivmvl1g5UwPRfU7W+Fyu5XzA1gIWkhGLtKDRysRgO5Fkb/fyFanrqHZjtjB7 7jITok+NkOjivLoQdy01nARL9CM3DPp3COoDxt496QabpCdEcS7XKuNTsXSah/EX6whLZBbTQ/ko ZcXxBvd8rCIHfR60mpmcfTifsYV98Tk6vq4gtqw58tP6X9ufCpXW4bDoMK9BoneDPoJWRF1l2XFK 063/l9wxbwjTv9ZtHUNL4nZ6WdLXuf/6FGqsLRQ3cvN04jFdX/qJ4a07WduCIX5rVG4zH37dXP23 neh2M6HhQb1/+Dd4at9Hk3BDBom9Rr0DJvNlwFEU0eRVRwayPGZO7Q70hOgcepotCh3MCOJhIslb gcSub+oiYrL5ZRkbqINyCwptZeRH3F6vRJDDNZxY7ZPIRy71noPGIDvdSzvMD9J0XV8fQDsdGcqq bJbVt7tMysxblIDUVuNFWcsF5CfzXSMe7CxE+mihECTVU/aHZvop7ZBDHjD9C0M7e8SKwVj+8sxR ltWCI/NVL57aDdEHPLwCE8fmQRijl8tcf+5aXyQnv7pKtzTQ8eUHQuTGIjupTviLlh6kNAJRFVeW ZECvweJOu2viMx2FbEbI5NTfvTd8XIaP5Hd8P4mWe3bv6Z1iUprdWQOygUGhesV//pxHMw4bMCnk HtU4arSjaWscKQcorokU+7GGeVlMActjsuUYPGdMmqCkPoN7kyCsP4L/41EObaYdHpCjL3GQAil7 I/u5DaBU/jD5+N/TvqWGog3/9SeujxUrBZl0P4RzR6Kz06J/wtAwKbWy9lEjPkjrz8fJuzoo6FL/ rOz+sI2sFrFZ/sPoIoubBERAdFCEJHPYsVE3YN42kFaiihIucw07plMtBNibNG952Wqogrf6NKuT CZvpKtM/S2netJHM+DTMa3kiSqy4770/iuon478MXF1/uGZJSYpN/ant7F3znnEziGSpCZA/pA/q bouLTPPFxXzQYZ+ATE1CEmbEZW+IUWZFHgWxXYJdHK1TSHk0D1b+oHVdHL5vkQSiuUBzYL7+d97A tVsqB3HIbAQoOq4HGkjozthJof+npTfvW3Z2Jp1QOjwIWewqitnQjfqA8A3A/C5ySnpXs7OtnsrH jwg9KG/fBc7D7KVmxElCAuiWHUsxkPKBEqSACehJcx2qNryWqGf4kNWorPbtDzfYL1MK6MwlrgaH pO+Q1UR2Xc9ztpNTGM+cfZZGvVAmNozoyAWE8Cf6FAP5fxs8oD2nlVQxr5wZ90GXxKlPpnEqOybA sReaJBUCYyp5/59r0m+MeEjVhdIrh1LawMU6H7ZstU4Fpt1O1QI1Ed7ZqvYsud4zEJKiHdMj9mu7 o0tDNzwlYKcqfVUMHM4mF9GwobZrC5u6anUUh7mwojKlp48pgQg+6Qazr8tJEeDQrw/Vkpnedv7u YcbAmT5Ca3xG1P+E2WSdlp4AF2eQtVp8voeTslgC1kjg28I5UyoAnvZQ1W2XzICvQ4fTwwPXpdeK pf4FaIH7TMk69EqGlU+fg6pYFD7fIza/E/vwv0O5se/EpaXrksMRhXTNiUONF8RvRcsHdvBYN5fx JvZz4DQzDFangdHhkch+wf7QH5afCoOxD0V/IM7P4eP+oowbioUlp+du4vhSJOPHoBXZq+Z+P1Vu RmZegUg7BZoy+NTwtYqmAPxzQ2eQb7yWcv4Sml8sBTHQQnWohZsdoDLih+TnOO+Eh0zsfRG/Hv1C /lroPE3VwxWi7yYR/0ybpZEp+riVIPfobYwujOylIYlPJzV1Qi7k3Yn4wxLEAFThUWgkMRkS1WVB S4cuccaTRUaT2jVEz3o5dixNprpWXUUnPqZLPCpHq0fpjQ/XRU+uRsdZf4Ogbqz02cipdi/CFfDU e/Y0J5C3ZVnC3tttXLgAGDTi/DACWtHXwceBXGrSQxcXY+S4F539E24umV2h4oJXows+LPdPv7K5 NcujjyBzeV9wigCP7cLdWiL0b4+Mi/KEbFz8VSB8tgRXc7wrj9uiquzE7wuFr1T5/b72iKANYwvf dL2905fy0QU3OsZmLE6GXR1M/XqBdMCp8rCh2tTJF8E9YFrnkCTsynpBYzqTqNSs9pl6HqogDRTf /JO2vO9IXe4haDBwWmCCX7xUOs98f5hB+ZNoiq7vmtTptm7rmW7ztE5fM1NhSPz77wMzCIkDO4TW apXVIcvzOXqxN5uZgvmm+vB/mCrjEpL9k5QNN7ec+SocU/zx/sr33bwsV9wI1pKGtVOIw858bbzx QOhldTArwe+haRTOILowQOGX+9uwdcXzB9NHLfhgbg3liFMoP6hZOpYAoE8Da/isbk2vwcR/MipD xeOzb0uwnTAjI+k/vT3IHg1Huc/Io2/4bOCsUAK57RDA26t6MO3xXMTuOAXdq8WZw+yVjUlrqNzh t2mM4pStENp5oWnIfKCd8ULEYS/1QVmNzc6n76c46B7OS6Y/ziKCvVxtoATlKP7qtfEr4bf9QzSC 46OwlGjWPuITZUNkNXN+Z8ZoK6RfX/3znv1y3btCVWyCe+gKQlhmQTrsH9DBt6gVUYORVes12yJX sNKt1imvqW99hOI0pT+FISGr0EdsTS9H/1UQ88lD61WLcufkqhmbbjyObPbiOLq8Gy980leXBNLg yIU96IrKtkUU8ksjNjmHz+LJd1BJj0TYsxEVKFkAqwIQnwMxlei6oALXBlE0JIzjbpXR8Tc2Gt03 o6W/NWYpfra8FU26HABI6Hn0zpoXTFyoYCF9e+cood/BeKB1azEX/er4/qWZVb/315pTsLMTx5Mj LSZ5PmMaoYdcBNJnyKb2JAj5iqdurvesqKbwhe6Q90fDOTXQ/qga0TiLN4BqCEyYB6I7viYAhICG fKD6ZdyNI7Zx4eoh38IuhuYEs13KC3JLwFj+4y2h5Rex5KtP0fk1dyNvXleeyE0/7PqDyrmETgZS nWAuK0xem4HeEGJmWQXxolLki4EryC34FrDsotMQvT0rtcQvISYQFXB5fjZ3bUgEg2kZpdCU/M0L P6ST9MDjZwMpy69BYLSEQgolFoQxO1lpsmpMYeH7oSi9Yc1rLjGI1AN0e/pPDNUJ57ogj9VHCoH5 CwQIPaYrqAtbrksXSdDul4Gp8HQ9JWmQXGWJpBxg6fgmd5lTkWbRkBSCUgcuTzXqDz2IJJBQ/Dx8 1+dAB5hRy/PFUGu5MIHx5FPtZbwCZtIc2n38v68dgyCqn9qIeGQapvG2MGATn1xtuJK/4F4npBc0 +PxvoCrrQl6HHnGubyS+NM3+HNIQ8sIOnKOPRJl7hjhQCVCmeJIz4ZKGWguT6if2yxoJBtmUtvTZ o+LAEzgFT1pgRb7wM7m6wqUjraGsmyVTupE2AMamd048pmUJnzRqctTqwLhR+Jq/9hAEKft75Xbz M8zmGCiL9i0+LWQ/It/cwIYuyFKCJghdTOwxzPNcVkG/V7W2bS/V3NMfuQH3BQi5JaP0IIyNCctg q7jYuIJTQgKVSTgM7Idl+7VHzM4NECGq7JCMLnX5KHWaswQMu0WbqOTXXsH5CMRoeEMa+krSiJdl hJHg7+3aQwD6SEUmGGGn42EwFducLjxzbTRZOqn1/LjblM5CufBuKfhirnqR090MfXB//Aggkbfm ZjfaHg8g9OJOEbO9XIjaZ3ayOYCiKlpPXdjQhYWWuVzj+coYKlYN7D9i3L1KYc/ljlx2oBunVt8e YsV5nH8Cb7WxsBadfvRfJnPgVzwjdHV9/aGxLEnxOsnO1rB29SWPpYfxUzJeMHw3+JJ1F3pDL/3r PXfUV3XyBQNtxWKZ5d13/HH5CtLfNeYhcNcuLV6vuXZCm0GIhXQB7V4RJQyeArOpFMabmiTClm6n +wFjjIRFWBkxQvWDkdz7a3d9nfTunsEpnfJNeoiKB6obz1XYlD/K/OoI6yOw06P5FWeRriSMCigN sXQP70NQBvY1D6oTkaAjv2vaEH7tz3sgJLRvsfY75CNij6EoAcBDq6D4bWuEFCKaGa6xs4g/3sS8 CLxih8QTLkH5Cur/VZCjSFArrkccshNbAodOm9wI19CVAFFqkOCRKb2v7TR6cE0ozFx3FI8Wed2g AC0kpDOgXm1sF4OXX/BJLYuTyyh69usi2Oz8lnJQd1EeQBYJXLSe6ydiJLpzRrtzuSOz3CdjgmXp DfqcSMNDJJUsg6g1PiX0Bh9zf93Fg/kRwuNnFsXfjDUtIcseJq5PAW7HZIo6CYNKlFtaeDoznvZa 5hoTz2CREMVljH7pv4kwsrGNZvdNRHc3C+IxSGIh8srvQbuIYU/FG1dNAhXdOYrOTlBhghTvHdti PZOtcf7chQHiuBW8CbwQGPjiUz1xAmTlTHLa0ZbET9ThiPQEiP4b9EVYPXDtdTddJcjcGkdhxMtE MhA83lwJEZcE3vysCkUFeofRcUAPHIbLOIy6cBcZFF8dXusIAbr7UWrZ0rH1wCAz9wWGPPiKxNIx /vct3sKMgwNOY5wMg8DucenQ1HDZH9T5rs8jbTXhUmEOeHX/2zTKNwAZ+tKZaZTkSWkAI5065K8U lNcCWh57T/Y1P6VdBKLtxCA7168qkQ0w/3OfX7K1nFL4kZ+kH5CsxzlIf4WwDiblB8WrYixYCksh RBFXk5IrueJ2FHfUtLm6inZokpq4SpBy0S9Gu7yOmkKYMZJ7ohF3CKWWzI4SHTFb5EZWBW1+kAOQ kE5s58v77aRLULD6Xx21gTG1uV3E5ABBzAhcpiM7dRLqWXzoQWuUf7hgmTFxPHnCxfELe0zvZH63 VOWLF3o1BeDHaTQ+6+dqfxNg30BGb5b0eg3yZyX+TUG8HruD7os8OoBikaNEsSbE51+D5H+jZsDu i+pKti1jSScQd44YzjOeJKngY9M4GDEJfS9N7ApGppDCrfHhBb7LXhDjdTTIDkac3/QyXO1A/1zI pyln3HQbqfbVp2NB6heKoQcU7NZIY/T1ifqyR0EwDZeStJVrpeKDTGMmMgEDci2hpOJxfKkmwlyC pZqOecTz7m5foWkmEchPD6lkfcA+dgiXG0TLh6D5WII4H6hiYOhsQcq+jdaa7CUfN3bUAh9sPJK+ pHhfbgemfvttE2uiLJCOqtKA+yubdobkEtEtwlAmIGX26XQCzE41QEMumYN8RzWQFIkrRyWGuZRL ynTbPGVjhC7hHVBgQ8Cc1ro/aVJL3OLK+02SYSYh17h5DS05lSbwAJLGlPS1Z3AkTeGKjXnhOCgA fHcl6BPRNgjohtrLxxFfRMNb1ek405S0UCFS51YHA/FttafnRMtQ2+pJIGveEAyLPPEr0IQ29Uz3 y3cdCIq3VkJ5tVwZGAYZmM+rgZWCrVJ9hYeq4TfKCim4lM74eZJsWa9aiNmyrO3ZBDHB19X1EsST 4fHxMKQq60T+9ZRPacvxNN8q5HMObZpBTjrfkoo+PMiEdeW4S4wyNZT6MqOI02CFH1mxYWWJIOVb lVRM8LmMR4NllpPOajqct7pjQ9vmOoZsuhgLa7xxwDzRjIvzMwdk5rg4Gr/QgdkhEy2qEP3jcvnO fDNxe5t/BabaEkguqAszKq0uUVzWEtY7qud7dBTP6Ysw83Zk6Qc/toPjrruqFSMHgcQ0RixVlHpO TnEnsFdKKTBPJesKrMkN3a7CnG5vGhu2YONCrSEmripTMu7D1PBqXBJxXlbvfq1Xt61f+ouUiNCY 6dJ3XMaWe765NN+GEeW50KiOcXyUcKYnb1rlkGm4j4b7WeTc2Fi7gyPU46ARx9g8eqwm9f86uU/v bZwSpEzwbW2Pg+DEk+/lbYIWV7pbpRYjz76ywdjtMwhvfqtPfYYBmTNd1cCY6qJ9BpgG6WKsToDd JiFIGu+yPCyBjXonIz/wZ1XjzEUqz7Eu0X0hfmVUjpR/49WRiyW05s9RYjXYZJLFJVjm97yPW666 bSiHrIHWlh1TLd2cNnIqW2bfW7qnxE0H+xKTiEtKt7/4f0dI+TqPDTJAlDc0XSrl8tWkM2+DdSZc Iw3t+YP18lhtywDlr0XcnHBS030b9UNUa+KfQatEF57pXAKfnqOAZbW4lD6qKnxL5OO21jkEJFXl mZtMuHp3XJ2AIEL8JT7csiq2lUY1TDSdPzIl4whu977f6tJ+Qs/cdxbgvYKTbZNCz4kjv2sZ5rtQ 3/Ov38OYTxgRx1+93ThtACYqN0tC9DG04/H6Phg9ZFtMGvR3aqV/y87GEseo2lnDm369V95JEl7V tCDtEu0DjCU61R2e3LVulk5pL6A7EMlaQtohTQWVTR9UKZVQQVt84zKH8sWGYfVYFWIJqRHjV7KQ PWfJ5GTNE9MRL7INBmqf9/wC1YhhCcPT5snF9qLTVJhpDVtrT1ZxDtSge6ReO2objfJpieJ9WLkY j6M/UNSSwJBAf8Y628+6RP07RRj3XVj8Bvrzsk+TDyOj7ikk1/JKFvBnOtSj154Ky2PMrxqBdc+n D3MWoxTxGoJ7Nb41KH8ldjZ1rvMHelqDkFtSd2TWIO7tY3Qz4uYzD4usJoKngJwqp/V2KVDFikBO zCrv/h3uhwAfvJttGY8rpWUwgMkusDaBLovr7yLB+OiERzFtnBCSxu8O9YwC4GIdZe+6X5tUDfsJ TQpbGatF4OVtEvw2bv9Vy241JYsn7Snt3LF3ide+Jc8Iq0lRs+W61qipbqutS8e/q0VveZBEL0xE vDzL4daGVVi65WtqY++UiQ+J4UDGqyvwFqgPnyZd02+9kXGxeGvLcDPMrNI2N3i6iRuBg8cYXmSt vSpDk+9xSuDO/1uvp+OIo++NRMv7hwZCnAP6EFxfYsnMcN5ZrLQ/LrF3/1N8w6UWpXt5XZ4scUP5 dG6RBW5PiroC+GKsX90Pm6TE/jrbBLbZzPj1+cbyPnXGEu68v9cnBsZRF/5ItpTRuQh7CPDGGQqJ 2bx8xjZvMIMgPPr5Q1QaVlKtVPn1WgzdT2taam2hi3S8ycFcpQruhSZEzTFgBrd1zETjm3T2F2iJ 1xIFXDfLXOK7JjTkdH7AsdGmA9OoZJ/2PiObD42iepxvIIPg4Gxy9CZjjU9pF/UHgUu/0GX49T2M qxVtzPX/6Dfy6AGM57yVxCOy06jcR0jfbw1+xLPOyND/9MH8ncbDZ6vewsrUF7TP+nAU1qDMaux6 MuOdTMSnBD1XkPz1ZX8ZojWdhY4WHcZO6qHA15x40TMzPyxqTlUwju9j+TARtqarwFdHIBC4jk0Z J8edEbZErlzo8Bh+/2zC6W/Pv8o65X4FwvKJjkiWwwJzopELzKdTwacTEuKlLmqj5o/KzZax/3ZJ wGpKJfYXvyXnEX+ZDt/0JxkfkHtGaIHoM50oAqI27AEuLLrQ3juSwj1o0OItvv1S30kIgRKY11kN H+aYz1oBfvQWEDDq6bsLjXsZNqOvqVr69HqS7PujDCQlitChRCgvqLa3XaUvQKTjPZdfNUQ8/w1j VmnTiItdp/Sbjd61XRaBTk8bZ+JpbQks5/YTts+cDAsXfoXZmRsIxJ502G82hfyMvIryL4K3j5Bw 6g6pjAmvvNnZCSLxpB5wvvoh9K1zDH7IPUV1RQVnuBTHxRKWm0Yu3gFr3LFb7uY3AFc+r1egTyIn F1J38mXJW58uQrirEVizGZuGo9ShvjXZ4XKSEPJde/x51Zpop9T+H6pYeDsfPwepaBaq172h/8Dw 5ALovaWYVhvp1yHR6yw0dXv3h6BPDOQIdSoR9uejThbK7ybAO2xN9tkwpSljElt+VVBrKlrBkphm 8OMmDfQnKEL5M0xA5rsNQR92iNR+ioY51vGeSoT2d7XoMWMD8fFvq04+Bpch+mlM1FGxoa05aKQh bXrUSLf9lF9xCKzjzQXMtB167UBIPlSN4aDYcp7OfmLWJrEjIaEUSO8Cjoq2p8d648mfKiRBd7ww GHEYT+rCeYi56Sahf6AUCfj6YboMzYrjx//QQesAltnTM9X897R1qtRq+YXDzVmACxD7i8FRykz+ MR1wbaMLqaXm98ksvbJEHxi483v2qi7ELsckmnHKP9AMilJE76/50HC7StV9f35H501+eP7vyXFl IZry4+7QeB1zFlGQud289N+tNMOo9QLZsPXKZk8r6qcyqtf7ef6iDQOjAo3Anu7vHd/1+Wew7Hr7 mbqigItJm8Z7MBue6tAkgA3CqjuaiuEj13A4bQzPbYwxU8RkO41JC/Ug+TrbTOe7wesRmj31sOYb x8807AgS5kHsHTfFQ8DO/+3f6GyjeWUNAOBuvvbVWmnioCmf6DuZJuBDnEEZxst/Iefbntxlb6pu QpECICCFmHo1e36krsDDpo4Ou8kZseCeYVeGh72UiA4lnTrdbTl7yE21jv4JblElhJgb3ubXN59F 46+Y+9uPZ1XXI/U7zwJu3qmOXWLgykPUK2td2NGPRvE+m00hckJwzo9NnoZ8QrRNaVIaBWUyKsIu Jk6BgNqUmmiudS2Qfk5WGSJ6fDF0M+m6NPd1tmVF1GE9Mb4U0bqq6X6UGYfgukRYnbGjxTqQOiPE EguH4OgAp57I/A981Ex+ldCwr7Vwj0/5PL0NndhlHsKYjtfxPMKf10QkjvoCfleflMNEqB2K0fG0 1nCsdDV1teJwTNrzTG4TfQW7YLd84phu/YXmAUfXnsUqsm6II6v6WNfphoziywldwZTbJwrQ72sb qKdruw7f9xvKLJboKMoXK0tsnCwwOZwRu4yS2LQq3LLi/G5EkRMX3Q1iUbpwVanzftU65H5APyqU Vzhm1fzpyqNwa6JaoZTKiRdqqd/t7vmRarLm8sOIl/wwXm4M1REFvwQB9J47kRmSnhGzNaez/mM9 5vnTI1+rGAYeNM3lYhovr0pfnP28i76ZOU4twqde4+n/KlQFv0kIBPGUw8Y2eMdNtwH/IKh4XaPi McAnJaSVuY2fRvpH01oAU9Di6uwa26aSnlS4TWU/B5Ua/DSTlAE0AuoDigGI+4C1tLmxdtCDPIAn AdCv36RmJhmkjiKWdqxx4pUHVy1Ehhq3NHXUWzmvT/FflsG5jhMUtK9xEKTJ+4xwtgyuV8EqusUv Gzfhwd8bDMiYsxSshCVFRBAesou0M1Yi5JqIzR/JslQsNTCHdngjfOCgnass+/Zbez6QLO+HTDf2 TOp3yGRAp+5Eeb5ZuBCBovpcX0O8UGMX+uw0N7dEs3r5Je48e/9E9vJ6YU6ThPToX6toTRgRf2E8 1fHv8MjmjHAwczc9J5cSfooOO+/0pTAZ++McDqP8xUMX4LObl0CnMVm96ZB5FLGKXWN1q8vUYqyi RUSw/oipEVKURooQdZgcG1cod/CjSebj11sPOLKLxna81ATYoCOMnh9xYFfoDinPjhxTH0phGPO3 ZDMe4OpOATA2z8NtVYiaAfZ1y1IKQGdSERuy7nVAhQVJmGfGuGd7U4T7x4lkmqTyiDCmg88bZ2I+ WnpVnUNfglWHdm81SZ2HMLVu3rpPArd+BEhQauyiSt+lbCe2tK376AjUlzD0IIcwB4cLaJF6n8Vk DKqocVTmkIhTWFpCNDcXn70v9U34Ry3jlafhWItiBjGPCu1KNvyZQNkMMNK/lhrhT9MkXbkrOJwC YGhXgV5A04BeVvVTCp2UtEvYVkhH6AUR5mxZll2SilGZQxSDPxlXUL4QNPcuCwGZET6qams4P76s pbTCt3ezAyR/7qH2Oz/TAFsIa/gyl20LSJ4a3nYQPkmiiTqymcy4LqvuYl17/SKzMOz3NZoSb6fL ZbE60RM/1be3K2nP1FKZzJZ36OzOo80NPqPv6aY9UDrMDfRkb46KORgO1klb1Rh3PtPo09rBNObR Xky+uquCH4WZ+bVwXFx+Uw5+0UjAoMgIYdj54fBzS11QtX48ll1CVpIfWLZ0R5zfAXIsshral66r aCdk23ETOHPvhKwH2jG+8PBjz81iAuCsiIF4WPR7c7iFyiAXHZDY4js1QWWa+SV93t3yV35Ozpt8 N/cerpM7PXvebm6JV7LaihhA4I5yhEEi3WtZND7H+8mQnEGuVjPBvQfVb6qcI9Gj3dNkB42ZfRwg OZkpkYZdDZwnNNlCavWKGFN2GYoquozHwI5B/S6FY2SRnsT1WlqUqmMHc50Ao/cB8lFhq49MrN2h OGcJRFICk0BuE8zaLMUnw19eUWS+D2WV2GPsHwgqMyLT2jXL0HM6doMUG2C0VfUrL+q7n/fcHcvS QzTf53fj2rFX/FGaolKj1soA32mSsRdpfPCfzBAIc3siVwficthfI2PNi6Qm/nkOq7u4q3nghRHd AQwx5YIJ2KL/kP/K34xnk9yeo6dNwOpLtieJgxsIQZIXjAg2DKHJYWTEUI/IAzgtCuINIXsWIaMj QwqzOeG16Yo5vNiJcDU3hiJ4T1GZJC3H3yeXjUjyzdEJ+WXlEXMFG5SAwAXXpVnlMazriTrcvH67 3A4nkF8QpPRw1x0X0B8m5oeZqSPdOM0MyWMTlZ6a86v37JWk7G2M9XOvAiVrbIP6J8libkoMQYF7 GBR68Icr5SrwFxFVKyTC8F0mSsHw2Lf+2lri6bMOqFnNwqQiGRk+fBTKYVPL4NpxVsvVfnLfcvBY rpvPIhIliZRHEnKVDq6FBNPVYPORUcC+zjSBlKHbz6mu412O0yj8fYobwYlmxlUbKiuUCKtpt4VB hZ+nEp0PXt60T7AjNRHcxVp4a+llgyqo/Qts0khJ+s5glzePz3vyhUCT2FLN8cBWh1QZNje6SvWQ kdUZSIOxKA3Gam79aKPaP2HYtGnG1KO/w+aWbyslk2MwW5BUK7ZfwZrIjn3i/iY5zKH5XY5ZvdV1 1+GpJ8qoo7DXd9f+SpFNiw0aDaU+ljakUXuWbNRCO0Tr9gzBzwzi0gHbnP7LchXqM39jj0m3J21r LXVSSi/6d4OLZSaiq13xO26n0ZPZUzmTBcxknS3i+LJ6Zw9ijEpYRZvGNinxixMDXSluuQ7PczOv oCnIn5OwrejJ6OdtG6bltpV2WTCtTsZ/luX9jgcoDWQ5RmT9dNQ6N0r7yrBEoZRcJVsXFH8WPqmy g95bZiHjiezRucSMU3iRsou+70Tb/xUtDBx1royLkNq31Q7GVxOwiUAd2s0PgCyJHJ8f0veKRza/ EbU8aD5cl7T4I+9w7Kou1oP4XDdHNu14+Y4Pu6P6zbNmjdE6NqOIC2JFIRbMPr45Vk8NxCL0DK/4 xlv/q1VjdzQb1c1sgoF6nLKmHzOKwtBcC9AXjM5Z+K7Ggf2hBb98+xhcaNQ3gQDC2r7CzW5cksjw HYGn/7WtzgfgrUSNvTxdnBotOgXsCyyEDvdCm3t5lO/9bAcefB5JsSkdg6ShZzIaHU1iaLMryGBo ymNm8aO5b8TOG1Zv3EozRRZLS5qXNxfPu7KZZHRVWYGlhtnLMnh/BC1VWDeEBQ/4Yq93+/kKr7P2 ek9PChpRhD5AeDEQRN2PgbumGGfrHEa44CvbSdDDo9tz3qtL3Y0Y6ExbglvAWYaYCxIVaII0snzS 39chHvwqsS/BS70tYLcklt5YyM8FpZ/2e043sICWVlh1BYLH2ZtUaqRTHF6sJL5apSmuGoCm5jkd 1DBJ1FTkZfg4kfbgl+9FKe/EK6cuKdc/IxG76Pm6xGDZcwPjnj6qTmZ4Zrk8I6x9Z28RPaGRwd5o B0kRTjbDkJLSV1P4sVZzFihWVUisfQrHgH5DerkqzjnAm4fQISIBuGSxrU5Cu+UmNxHHdpGO+yro 5ByVdfYI2aXdARTVRR0OQczrQga9tJe3U+UANqFeeAIDCaBHGFpPWsYBJJGFfTgScH9PtnPRns+Q 86xPpvByber6EHgh+9fgjeOJWZLEdR1DjmKSDq6LdgCSza8fgyVA9W/N57E3CQ6/X9B9S1CqeL4G jih0ZeZs396U+W1hh1cEP1dmrVuo1gsqFdyptnVFpNdraUYt27Z8zyDsBjg+9WI7OQJ6WT8cq50M sBXxbsJ/DcNL3jJKpPiz5vM/TsRJvtYer4pSuA5petoGYL7FrhltLogAgbsp/L8w8lmAM156kc9B DdJ7/pDiuAHWhkIeL12UMRrPzMJcUkadurUi6R9afaSziZBOMxnJPZSYg2dqpaN+OxLvp3gGIH0o RSMDY41xzHRqSpuHryZgAHEChwJkRvYjzCPtFV8SQ3GrFcgj5QkP/eixILD4GEPBHbT7eUVaKKnV N7IRAXqJytxujO20Zj0dtGIc9hfNgTdlnDstAXltcqcD9odITBpsQbS/dTFDmHlTTGSRI1hQNpVT 6P3pwyEb1rZoTAt8CrNJxgGEJ5JcCCA+pgfTG7JPlW2nFt9oGYncS+e2yEmbNN3AwWRk34Z41E5u +c3tbUelFCMPjtymbJRUWQnEa9zmHlsNccgE/YxedXwBx8nvT+Bnlj969QwmQXwyonNDU+xVWoq6 1tOHnd5xBa5OQoSA4srSQ1rQsgCfb6Yar0XuU2XboM6e9TYJeVCfXFpxtDQ4loJlgzRXnR2JW7Uh U0ZqrXy4iHZsOBs4Oa5PBndBdOBinQP8ErV0DJfpLfl3O1GwdbBZm9wpolm7TmP/JtcP7I62sRa4 LjdyYN9v1Fb77V+jBhE+Jd0lTy3MI0lxHX1gYVrL+LBk/6vtfLHgwDEzTCGe0GVNcugQtlD9Ar7U FayybO+mWvU/Lny82Dk4Umm8OwgqHub6gOV5OXbaF8RYePvWHScoi2Oh3o3EIXJmZyS4kL0miotH szYCWEeDqN/a+Luzaki4IJd+pSaj3tp3S3s/LIUnNQCKUzKhesvv+lFNJGzCbM4qeDG+KSpt0mEN R5o1JBZkLnS2ItF15aZ5/mWW3pfdS2dmcxAiG5P9Egw49/SeQZBr/x0wzxZM+ECo6ZztKqz9ofTZ MkSbT4Ovj0KzhamEm94oIudgM+NHtU7GrH5ZNcpSeUSY8ajgJwRxCEQJkNRtn/BlU7CcKNbe0jMh y35tZK72hn0YGTn+V2zwzIjGBVTUap+/fYgK5sSaZqPZf7UtPCTannmpRP/DY1iNe4h23njK2cRQ MARvyBSzL7Wf11QvurEu6GD/DWsHYc3CvUZV4ClJe/r2I9ymFIUr2FI4fKhB7zuQ+zAJUM3trZwI WETErab4JdB0f1af4ldl+b8f6MjTchh/YpmWsOV2PazcsU29va0CCPI8CUE8mGq/Bk33MGwPQVUM sakBHJx9MInWHWgEZhLNFKiaLZIxuyYBMGOAeXybb9tYrodeEf8Gpc2C91mQWs0xrDg8nWK9MYtR BJvQtkJAnTiQiQd6otJlKCCSX39hOpNCF8ze6fX85FUvowqIdoepyifU0/RBEua+++JPbdFRUjUw 2CDBmcyCavXjYgPvL+rq9GCiQphFxxJHHn4HuLm0tOip84hj/91l2iPcaHUtJ6HgifeSQ1FojLGC mbwfqucIvoF6eEvQpFwbZliOEMPIO/ZMzGdJxh2YdCexhxKSVZ39gwFRYIum3XpPNR25OnqUsudz NWoPQounjsUppYW0YuqY/ZFThKbF2IQh9IvUJQfudXLbByKg+bajn+8kn+82kCyBgAXGAIK5/zRF EVdQD8T8KQ69gfjVYWrX5AEJ1u4ocloHGWNf/aEPhQ4/bexNgarIW49G1sI+xb7CKfrlgCn4fjR6 uvFycbwPfFasZaAhA8BaSIFGE6Iqn/1omvuJs+U6NeaN5756Ai2NTrEGK9Aqs9RQhV7EWURuNJVQ h6hKBaBl8OJ7lZxjqWCqDBNMUBWHU+4cWJqq3m2GikqJ6GgqU88Yumzk4eocycP8JBc8ulXP5DRK es4P2bmuQIjCOHZdmt4zQ9z2xVpeuvabtOC2x8SW/j+zEnpLu9Faw654RJOBfV1ccfoBFfltU96B fbIb2dHOSyi7DhXqMgP1yTHIVrxjew4dCWNbdav4ziXp09rJOyhF1kjCi8QB5sQStxnWFjgyO/9u LJsnUxGzlLMXTds7o5OdSkj1alfvQULMk5PVz+g1qYEPTZrvvITCjQmnCo5VaJWu5PYL69Yzv9uC cBn0G+JuSX7vGC5J5kus5BaNUIxGlvkvYBDTeyT1cdhb9oOD4Twz5zpg37WjudrDyJr9o2Y8SHyH TgBl4nNAc2SuDvXgCfX5NBrursRu0hUg57EsGeNtvPIIqYVtdzQgJT0jr3fVyAQKztbYAVgkSCB2 Y/5njdPyVmeNaZsvrJZf5peqQVPjXE5A1ve1g2xCT59M9dCI44Xzyif7dnhGIzHBwahNQbDN1zQR 1AChWAQwcWdsoxEhbGnh/JL89N1xGnD7BdhWnQpGrkPeIs4MnnXfSru3uVnzb54xTM+uhDc/Rz9z ZGFKv9ex21FHtPDgOmBeUGpvvhGtMLp8usZpucDw4OotuCMftLR+CzzQKRbbNOcCHq8qiAjIfNFX EJ2nqSSNnBQ/CQUR7Ha6YtGHyrJ3F/0w739EU9aEIkag8H9PcEqph+TXjyXf8H3OabFYB8zFvj5h vdW1LOlLaWqtoOMmuS1y16HHU4sgJgibHHRfgQAI6XAb4t+Bibun5La3IhrgBs2gBSAHqhMU3lwX 7RnA96hLrXcSt0WiruyJRaRuZXc1dRpFcZJ1GDh1WEfg0Niz2JTi4JYnFPtb5pQbP6pl+TB78AF5 +R8bKlM2yYH8o0RY1ihmSMCGw000khZOV5vrBAkCI8GBp48kGjh9KEuTk5VuKy0+WiMj74CHDAuT kZkSYAnuc896tLB5Y4C+sns1OtnNnNiD5iTDkqETP2nJIjBmFMG1eX17jb8wQJAUYZSJgiMRWMpz 6fhRusxwlmjL7qWn0eGMs0FnWgzR3Z1m1c3x6chwUY43KWMIAgOGwHnCiJYbSLHbJ/dVq798kdx5 jTKC5vx9DECT/Mw3JUiGzMi6pKn9t1bJaKJFyG+3tKWLzRFKJ8imcEyYgaDAMLNRVE6BoZ08vO/5 Ms4LKJrsgVkZjT31t+HMsh3cTp4wuOFbjj2WeMhznBOpVqnwiFwg33GLHE1uTAD+DnlEBMitXeFd gC+c2sn3SCpIWoJ9atk6JmMbLeVTzMOS7hJXSDcqnSbzWU9YogWqRrEVRylxBl3chlGMt4MOgvJJ 5KBv5vZ4e+Yg9ZgrRiZiQpOUogAn4MQvQd2P6+2L4osqQvNw18sx1EBBvrP6Nt/oSmiEnLb4EPV9 u99mpSvSrh3K9zMhJWA26n6VS13uA/Z9HY1RGl1+4yf0xBSbFmX1Vk25UNDusQeYJsJoGVsXuVV+ JoWLB547cJqg/ZDg+9La+plsgFxL8xjbAkhvHKH848s9SlL3PjYVRtkNaAv7OozdCEd3mGd+OGNy th4K28bdFlh0wUfQFZ+XOOapqujJX2ltG/oBMdCZeXyokdDqGKdoT1UKhFJc1JwcV6UXnajt3PA2 9DANXX6Z0BNH7Cm8pqICnzVGanR52DqD1IWr4GzVn5MahtRuSNYq5A9LNqWXCJAvN8CLTdsbWLCq icP/iGgZP0XDPH+IQUllFKfof27ImkYzlDSGb09k6Z2Ji52jnu4Wy31r2/iTudca1beNLu3tJxI7 1S1iyiyx/+Kgtc2xJTORMOSpwOt1Zw01t2aivs8Nwz4pDDL50L7cCwC9BRarP24o86PImr7kfOG7 /bvsz7aF2Dw8ljtI2T1ZxO6KveQOYaW5dmAZNYnxLclgibngz7iPwMHinqlI+0GTtNr560n5wQrF bsg0dcInLUJHzqaj/ynPj3bA6YHhRkS+o+/2+EHBoD1BmuWN+vy2hBWr4KrXdVb8o7E3BnA/wiwS +A3dzzY6rv+0pQCgZsvdH/s1htRsppxK6w6SQ6p4klXKv4X1xvYiaDPSU1I0vXYbAdqsZq5gxV95 bksf1GOhdrzNDNG26lvyI+4LfX5Qu0ZlIc7AYcAlKHYdSNNUFai4V1fT5Cl2TAy+H/YoIwVcPTFX 8gQONxXUyU5gAU2TwGt+dZQqLziLC6gtjvRW+vcqwOksGHTWZHtymV7BuCGSOaR5G4cLNM9OHZR/ by5xzi7Qy63r29kwhEu4rtPJZohnHH+5N1K0ArexA6GmPH52mRG1NYvBOTwUcxHOOLSSFggGJoNj FXeUEUtpCphrarotcTD0a45XNUALJCKeIb6NjmDqkb6UNVb4UgyddetkXKj2y7P3ef2dJ0BSaH0F tBYxTeNYiMFS3B1meDIqXh9xHQw9sKml5p/J1zWnCXCzlxmHllF1EdSIgsn0smeGpI2L9hLUvzUS 45tY2+3vTHf8r43Z5B7NwqqsULTx/PA++cL8H62Ku8k725fQP7FwHKa8o52yV8AuZiwPpYFy32uE H3v0dzWeleWxmO50MATWSq0RCnBcBOZDW3Zahmmh4RCn2hB5jf7mmnDgrhpvTens+nwrOhpMMQpD mQ1UJZLxwJuhqtSZl5VbuM+9nxgsGgdwqFWe1v4PLykG1YX3mJgL9eC3xtlmamVe3kVr3KO1GaNY R6Q0j6pUXxGlE8QaAf2+ggIrlxu34vrmTo6TyZJf4e0TbtzT5btBKlHeO0KW5YlCF9jVwMfMyDxT BGU9p3Kmcn5ZmRXbZJLb6cZ0GvkgDjfCuNwU3Wd/+DwH6rDwYfoL+NDpBgX/SPZChgP/oEXfa21r j9lEEyYp0kzGfWoTthzbz76DM+EybF+VJjKTuvdVoe+80aReiDAxojt7pkmld14uQZqtp/SGoFNy ywzbBkbs4rEMSRKTzjAkywzS2vjcVk3Q9VLQZKV+y7jIN3g48L+5THbhIgMCmVuAA9+IyPHMgihy CHNOK6a2Kv8tDtwDcg26zXF0oEDRryhhwL56q424MA8jhDjYdzyfB6Mq7vdACZAAoKIhdDsoN+h8 0OxuQfx4u++YH7FY8HFdqEGIAQWWQK10Cm0Nn/sgsM6Ewvth9S3JngWG2vs46HB0M6TZRwLag9yr W3ochN1QBaIdF0CgzSJGkf49NwKfZjSiX5f707L84fP/MNDlUBTo7D31E1maOuTHwXIHi4rJlQJJ uI1AY00ezaiSuYN/yoPSA56cdjZhC2bXbPZedfCwaA+oRJaIkZICS5wMyIEw1D75niSVB8Jzhswh 6/MXt3q2ul+dkeycX6/fZsPHfXhwsYmGWoiNDfh8bAyGCN2Nyo3Z52dRLUPkFVNRYoQGPzfz+CnX IKbylymJvbwpQwm5uKfr0HKqlkVgVV/FfaQf3R+rBvzrFyieAJJyTfq5pGT+UlwaRd/53fTr+eWp AmWYadwx9noEDV/l3z7G8WPw3spaVqhXNJXkRBq8yX5U1SGZmKNJsHscNMOdTX3T7wSGF/pXSVN+ CD4T/HXEtiHQ4RhVrK8WCo+K0VE7xeMv4r9rz0vVfZgsMsKWMIYQpTKEKedirwe03YKItzWRZ5YU YhXNgggHIBbsHAKRsEHZLp56qsF5se8QPFHWNRJNjejs8tZ3qAOBeTR4QKQnU61aGxbYRpqTlvYr pHmzqo/6fRm4zYR1sKpHPGcspNZlpzQGe3dIdA3AzFG5Elil4HZtn6nKXqhP/Eh76BGAXozsaNeR 5r1WwY+55voxv7etdk4gBzYUkeaTVj6El0iBz9Uwxy/ZvsvcCo3S7WZDlaCQBg8wrVijHeERDgtv Ge3JwskMzgzmAXMgCDUph/AElrxJQ8kRNMAUTJk2nVHIqM+rjUySeOEkEGQ55jHrG9hCmIivgz1r x7igaZTU6QLwC7KCsrhpY3FYHHNghz206sBzRGskXuxqPK4TDwlUxMsbEHk1feykF3JFufGSIv36 WMsl3nTS/e3x6LhAhmxDcaLWuXBnyh1sBuh9t3MVljYXHkwK7FWa/EdWB+LSGPY25PPRK6n/bP+m ewBs6CJgv+zzY+MQ+CJIiCdD1Imopt+/Xqo0EfesyJfK4h1ns/4N38iKttYfGUh9K9nyqwHbBg5c t8b5vDB+qP3MRiTM8FayLNsOm2Me3j4EmYygjhgMPXvaF6+Iv+KZnS3zBEjvVcFFjcaKk1Hq7zNE zQs8fAk1bbl92emBltist34Bj8u1TN4y3C+nmluEv3cb0wo5m8qhZcMCRUH4Ln4ucUP/ZO99uGMd YvZmpUa5S3DN/gkW18Q87ZWGJtE4nIZ5CNB3+P7o7y8pqtqHyHkRna3lzFChIu7HEdDNUODTJda1 rBRTuDVy1fH+ThsWj3ejf8EAbuOSiD5yi6NdA+MGnoUzPzI3CH5K69xTzhshNX8zcbul6bQ7rsRf pOVCLMuVOAq8JqBiK7Z8GbJZOr7VFF4sYhpKkdAL1hbf4OJHLbvAdtAQhq65x8+sTa8rDHL7orZ9 +iv3NkNBgFsDtnxRxBI4vb/E36+1mVsdQBSyOt+eGPcARJJ1waRyDnJd3ovJwuvJcE4FNtdm4FHa 5pgsmVP2/LpVjVgUISchadTYj7fN01FcD+DzxkqYbSiPyHmKg9QDLbkROLqGysepVGFyY7BhYEHo pPFxX32E7q3FdCgqYsQdo750kdnqAXVPRwnk7r8krviTYkRmxTEDrXqFY7k1KIweC3DNoJhfAQjW Uf2TZKYH7/nA6rRjq+uQLODM72mN4wFVJPlXu57oHJWockQA3MtUzPLieOVxZoN41WYhNFFybl/T p4Bm8b0kHcqcIQS0BOZT3AtWhrUgYuEARLmKe8UZhD1vSuHD6YHJf3df/QxIx2eETUZoYldknAAm nnO+poN7Aul097Luyn4Wh0FlT+kqCGhAYM0INQ6WOTyUyAwPIv9653dGADakyw+T22huPKsyz8sf RiCwoL9whtH3QaYo+9d85zVCpamnF83i4y6t1dcgu8gHauJbIQ0fNS1ilsPQruqeQgq0GwBEHbHK PUHr4UmvlzLHF2J3VrfN6UKPq/3JtXvqVx/OE2EHwbttDQVUY7lSKZi7VFUz00fnkw+Yqo9ymVo0 /EI2HeiKt+xab4KSK/bSPbUJclWQC6KtxQyemp03xRZVDpawy0b3jsypGG8giZGomwTo3xFeZr8k oI0RUsBAanG16iYcwLQEYqeD71J2RwcWzEfzrWuPBa7lrECNvuVZe9pP33oUVJHCmzmzOek95j8s +WMF4I9RkXtgnnmmktXxV3FhWfar1onp3Xy0M1n0GfseMTKdw++L7Ay+EDXUNv/X8BDat1NinAor kHCi3ieKOrEpkp0TbkbMK1jtto9IA0BsVlD6C7e5Vtdf6cgpldsaDp0dmWEzDjvX2Iqn22pAwKcT 4T04WxDwYHHTcCZMM9oTgRWybjDcUa3c+f0q4Qk3+Soi1UvMGEoDy3p803pf5V2m1SkKsXzXQDpo bJDYN0Cbwa81AY0GDLVky78MY7qKJCkmJkN4Bn35LOEQbvN8CTKC1p7a+jozWe6LjuwnKXsFvc1F pfix+4bjOMFWgKWxcvuzt2bxqcaqjPHRLvps7RpmywILUVKZczz30rI7oCv/arWNsx2ClvdO+V1L TQB4S1g+/qL0/QAdDjJgasDTYVET82zbT0X3bmCMt+YN+J2BbZ6CcTJAlObIkD4+2UV7UUIFeBiA V+PNpSiRrO8ZyZCBftcJnxWKxNBCdBEyMS4LEP+DBefTsmXTcE792ZU5yFiXe+WUuCuut3V+kT5C 05VSidf0fvF995lE+kzHlQMhjULU+ys+EPFQsMmXOCbLHRJ2Q9/c9yMUZL1DlDJfKt4BGe/x7Hyi Kxr/nFUF+6cOAFrZm1LPSsmAOmwktAGJUxtrl/itHz1hVx1LDlRfyg3+gTBnpABPfwxL/vqut9nx 0v2wAI6y8yRm/LEIpIcu0zdq6CREY7CHMysd7+Om0C8r+x5u16djw1gUI/mfO21Ts91+B0tUk4zR mPd3lUE463A7tlZMyO23qsL/ODwT4KLvSCaB/pgYHACPj+vVQmNaYtgt7Rv2vTmzT92847qU82q+ jedDl0hQ4hjl2TP6/sChRuTPo2i9XNhmy3Mwl6leOzx1EN0TWS7tX8BRwADhZS08LZR6gxk9j8PL vCa8JiKhAUScNlp84+ZQOsw2aGRf0XDJxg3+EIaQN2COyXO+s4HU6G/xmYOnuNRJhytp4bZEAyd+ DEj2QpZL87FE9CmgQ6yE4NK0uFhh3I6ArQvMziZCNlj7hbxCDEMDwqzokoiwAQyYhfMP17KELyf3 bDkKwyT3evxoYt1S+vj1gVwHIZVefcL5n37dVXx8tc4rsj22P0n8XjEUjNhb724BvlRSixPhwxf+ bC5r3nGEgvvW7RK2D0232h9WgP8CU8A/ooXKE8hWV4eKDz/Kf/2hvGK1NWkuTWCqsq3zNphU6Hkc nYtV2v5J34Jtxa5RH6pQMPQdq+LnD5KF+D7R98lzmfdwAqcskh6hNNfrmJIaGbVzEn626wQpn244 wE/s+9mxu6IHRhBoQfIxhvGFceawjFKalrOjacQc0rMSnArSOPhgvkgxnP/lgDn+oBsHBMqLKskA 6JtNM1fxAJ/auLDcSD5AKPeZPp7hZIKKiJk06wsnZ3987511tkjLehT3sIsJgGSfqKqWZ6pghYMF 7043HmwH9lYOvDRLmZ9Cu6a4rMqWQSnTYbfQTau0C4xAFGlfaBLxhiUD9IACrIe1j47Rh38tAj8P 1bPN9q7Duoccwu9xThLvTwE0NpfhrrjImnDNjarzv9XeCabW343ywZB/O0GxKqk6Xr/AKHNiChpG 4rOaNdaDvFPaE6YvphO+c+HAONMAY1uAwiq+SLk/pmwRjpAYHbzLTRx1z4Zt7+3IKoTUsx7bAB/6 /miBWhRzspH2O1QDcQOfWkLt9WfRDWgaLF4RdY+lYxetn2anHOnGYdwKtsPWZR22PrWNq6mY60g6 w1TjcBvUWjIQY2Lf8gKqHI7SVDusMMOfzl5Ld29W9y1qq3/pZWg52l/W4UidftWKwlJblaNYDJSy MiiVPlmuxelhiRQJr7zXbgkqBUPmufjIYhcrv8/YFjYiSW4RkZB00Ih5iEOgnAzgROq6RZWiiapf ut/I2pnzwhBnyoFotnQq5UTGUDVf3L6j3ueGeer+fG4da5lZyIXyn84rfYZSwYzqnaG2oK2TciaJ egBxGovOIRMVfNo4ouvgrTDqgeAPemVuMqa94RKJojeGbmqO6bLkf6Q4uljtgND1B0WHN/pK5T1L L5b1pU78aS6MBTxYt6G+q5KBIKn8fcey2bcwsp7aV5nnYoG4d1VNZ2CxDIZSDzvS+rbBOdMVJxGN zVrqZVHfygKMKuTjmvLztbQ2i9ovDtvpi9By1DXSAi8wLepJ27S0lA9rfISusRovKAXDPo55d+U9 4DJKaEi8a66diCS1V052ukuql0b5BOpXeIP3460rFI4zfLTEKmlkwode1Ohm0K9bl8A3KXda/wEi 3sA4nixXhnWmutK4gp6HKdydORan/ouVE6GEXY++PF2ZVoJQg2lv8eOzSJlu2FNroFeFxmINrREF 1Hn6Vn4HV9c6SkSJp44VwwIX5JNPFto5MFz1UlYCiW44iGUICO49mKW7R9Tx1c6Y4Buy8BBxDpI2 kHZu94LOABZ/ttIIbEAd8YCHiU9Aye4E8cIH8UhdgfMrkBU0axtMYYqXgl6GLWdkIasvgN+Acc88 2a6IsmgTeEYBKSIHPO1d4G9nWqgkTAxW5LTNbOgKT5l4LqiPXOu/IjF+wuoeH98Sri9BebZ0pglj baxaD+i8akAzymEs91ESggOuWY52P0/z8UuMKJe21j8MrhOM9v8qpUmTkurJn68zns0ZQilbHQel 3UHxdsJWk8G/iJvQoCEtm4SJxY++wHeYyQwKE4DS/YjoHtS2/4efEXgGDgVfErfApP6S4KNqiPdg 39kGKUtn9PYFTwriP2o/ccDi1zC20t0nEEaQoqDI9Qa7JxbJZcyMQqbJwtzI7WCsJYwQEySef2+C 3Um//bpawNau0GTd3CS/BGFV9Kt60NwLgYyqIcJxc1L2U7N4fwaLErhxXADePKr3IKrfn7vJ4x29 AqF1W1L7Sa60E+zzyta/fLYxCwqRQ1AVHCKoqDBOJ05zIwFogXzHFNIeF2aMKSgHZinJfVIdYIqp Pypci15ePPm4uuhCRYTEjY1lCc1o6Bt/swx1l7p2hb2TcHa4KyDrJ+S8zC5kK0dqpFfn0xTRbMSa pgLfFOUshPyDkPmVETfTr4hxis2LDCVa/8DHoJnSxB6G3AY5Hs2SA9+zMjaGsLyJvMLoEjxVnCui hUz4YG5tCx9OZVR8C+W5/z+z+tc1y6Pni6jmOr1GMNDJDPuOxBsusF/6L34v1oLT+SwTf/feZpjF NsXNmMFIq0rpD+KPJ3tAMV4vgDg/qIO26uCYkhu5QkxHKO43AFXX3jtP6TU7Y31o3IXV9RuTviSG W9vcTpzk/bYxdzMReTys94zWod7mWBsNbVBQVAiwj6BPekmnfxJwZMnoHLcRjY7gRAm4q1BKr7FV n4gMtwmI3vH9ruZzV2Dt0IyBnq0ictO0T8F7hcwnoaulcmb+9NKeoRJ5jXm3iKijfx3J82nvos22 i+NaQUGMy2w/JHTpgeVDleh4E/hZJJz4BGmw3AK3aKb7ablESVdiIfm9VuP104g3M5GhGqZQqr+T QK+Of2EW0rwotRUrbHInknN0mqsKaTpy5DWFk+E7psSiKa6wT7Uo3Alzu+IJICPZ98oKpRLY6p3A F4Nw1tgLRYyuXO89yR0E0txrMhEC29Vl7xKltK9/Ce4biFKZxiw2tAlRbSdS0pJn/sV4nF//Lj/G b3/YzxUQ/J2JKT8EW3JVdb+64V9EEk//i3x/Uykt9bDFuKQiGHf0AOEW+eTHwMkH8S1jqk6o3XzY aKWCHYY6KuVyTD6c9YY0hMGkxfFGjhadbNRvjT377oKj8Da/FqToDYgJMvor//TgxPB/5V3sSjY5 tIIJR1VW77I9mNqcSMTrrsk+ob/Bq3TnyCWcz5CqdrFuCqC2ByP6Sz65a1bhRB2PSH+KVUJnHG5H 6rwYVSxfrY/svbnZEM4tHAFTq5tA2p0ydTQM5zCfeaHWBD8rRavtquNhakNM4xpG6uYPdRZuWslP KEzFP740CFxMu19vTy+TXsO2oG533mnX5REeaPjxbtSRxpnKuOfvLiFSQle/+NWGPLfBbcfIwLbK OxtHBSkmNgabXHoUuNjObZOWXwWQBpzh9lbohAsnc2MrB+Zb5M3U1PsIaiLjOl80wU1ZTCJk/OUd tffB5zT60RnfNlFuTzuX+XD4THIhleon18/NjImyzJfSubMEGBNWEo4Q+xqqmzGKh4xcdIsLAzvN rbf5taYmmdgpsMoaiOMUFml2lOoqxYZ8kRv3rCtRvjBiZ8+eOM8unxk/HxZC3+hnyWFLWYdiraz7 GfbXNj6Sh70Ty1hRfkHggbXgvWlUZNlr5puAT8ksD1ZLc+J/ENv0T1CYxqi6hCcLy2BXFrnRRMnY 83hlBQKbYNOLaPcVXOGP1MaKh+JaPTy8FDC/1fUm5AdsninasvUGe9pSVKkAqoU+hnWYwTOhMy10 8KKGxReDnVXXq7yX0Wd/qhZIeIA5Ih58W/m3qpj9born6nVeieXMCYu6vacEImJeP6VIrJf+5Y7c /wqhmG56dFaltDv+9EItHZ3qjHMQWouZZr8/CH3gR0LRLgGwc3dcBXoIQD8DOesJyI937IbAsUzB y089i9gEM0ZSj8WEQPiYGvrvCmJlmp/6EzYFoOnDVj486jK/EM1Vb2P6wS8I2VWO12TSWoqYm16G GjgUioP+tuAa29/YUn/vbsRYdwJos5lwPb+tj5y/gyapeMwUdMPW56G/zN6JtnIQ0NyJtx6wVVSy 4rL+V1VpPOkMPchOSCsx4TVBoIJRuKdLa+iZUf6zJDwJwAK5kwlNykGmvGuHzvuXlLwaAiTTRxS4 VRMi6rBONkym9GHetQsHXikuV3p0UapOlNH8TzRw+/XXLPK8W295z1CYyl37tjeVXPi4s+X/cXnk XMl6d7wYE9oL7VzH61fLRYHRFdphYDu6tek6qNkU0inVJON8Oql9Hpbgfkdcnf7XnsdTBQOOC0sz vypSIiD5Rl+OrpwopwqppqQm2NWE9raUJXQhJbyvWh/qK08G2ZOVNb02gyp/A/EbiOTP3S5J/w3V 1kirt8v4T8i/navDsSu5Ol9SjqFZ31HUyqsyes4uQ9gFlnKHJ72lMrO6WLG/COcmYbfzt2qwatrX 0wCCFgd+RILvEwfzSB23VG/P+0KcDspvbju7LgB5KSkU7OruB+XubOeg59CmFHDuhoEueX52vggC ot+8ulrxD534UqoPWr49wyg7UjAOrhYFCSTEgfaKqbDnig9HhPoqos3Nwu0ZxCNRRIBttvJi7zHp 3S5bLRLkUVI8RNpEgW+6aNrwgh1GfIHyhTeqAM41SF6X1VPT9qF7nI58vpSO4gNngNF0i8bUpn6U 9x7QhijCMQo4Bqsl0Qas5JKPfmLvl0Ro6KIrockXLMYr8jR8uaNiGzXk95IqJ/zaZBUInaWFf13F +3UPxzseJA6L1QFh3uFg9WMc9wMwR+2mYxarRS5X3JdLgZvTcFyh8+9YKPaDJRfb9jObv/IApmzx sDt5BcJ5m5kJ2sufs6D6h47P5GePmcIp6UOmO+h2ZJWgn2FzR9EeDup2RUJIN+LNrEPgJ+axKL3M okeIflznDttuzZsfCbAUFDN34OEEGe1peAW7aSe/5t9svzRmDKOMEbJjrw/0+xb49OiGg/kbBnNQ lICXZqMwyBoZhGXjMrPTfahy254a1IHVnWgXf293Gmh8VGUNw1I4abchXtlIo3EyrPglO4oRYfqK 2jloyfGpO3hn7F5+U+K/GgQNcENyWSS4FafuuiKFG12zwqOgvlUrI+Nu1iZ5gTymbNGIJUQcljaK xWseMt+dVPmg2kpYbXAsogwLfKje2F6cEkLo7/3hrSTvw/YUT/H4p1Ia10YK4f6e0aDKbTGX+Pak W4TVyVJX4ykMdk8t+7HLDAAFJ09IWO5e6MyXxkLBgz1LYJiS06buG0I+6snD8czVpmISLyh/Anv1 ozhHtNISoZfnTo0tdHOS5dB7ZD3YfFZy6Fq8Ds5WyhdQIOuEom1GjkukqTgakwKcLiDIlLibvmZB b33zC8PEHqVJpanOpDUlD/OVkvD4oKVH+SkX7+xE8eJKGnqC/ml38UETG6u+ES8k/5jsVCQmVH6W d/FjRhI78QxxTPU8z2iGwLJONoSjp/2AEbwN99YWPpsVcAmR9/L6o89rWmakHBxp7edF+HdUFZ/h ZqXqn1k8LpR7aTMTddmjlz3rkBDkDcOoWAKGS5irhM1nPfoGub6R5Ly11X3Rec7cHidgg/raFrAP +hAR7UxxBc8rmiHDQZMlCqUQl5gj/qeUXuvTw9s7ihVpp27zgW+sOXb6fTuhyc9r2WnxJcenGeL7 OrQRVO+D0r1V4UPAoD5gO28aUiAzSJOb9p085iGn2jXt0AwUoZajEtpxUJZEiTwq8cG/DJ68HLMp lYuFF74Z1quEDoYMIXC+AAB+bUqiLZfdcYiY8yCATGPKnwDURnrGJFoBgB8ajLzwanobWhcf4ur8 cJBrkOKPZMBK4f8PiHd58EVDyTAWBZAb4/iZFmJ+loSlD8iP0jyf+f1nUQiOnsclAHMjrAYkeOeH AgsHZJvkso8QUBScrwCGnZ1Cd6cEy2+61+i0+2rGAF/incaHuMg0lwVgaF+p/ELqmAHivv9uOnsf CkW5pUtiPkoBIU/IuPqnV17tNZ8Kg1SYz8seXOpFyihChg+RH2zKVgN/AmrbPacF3ychczHMiHOi /ST56PEuvwIfXHY1rT47XGwK4Vo3A7VKz4xCnPkl1amH6g43ZomLrqJO2stnPIH4KyH4wMVU9Rnu BGUl3Dab1mvTkLhwYl4zM5JM5J2uX3aWOLTKUZlEgqvjvSpSpQs+R0yQsvhN7SFrG9OvPgo1aW/4 hvhKwsrCVK96/2DEndsJSmkNR1h9Ovkicd0qpMHIvoGfg46t/MAIdB5A/vq7KJ8HrYl4DTzZLury ueBBA8TvOVGIXRH1nRmcVRW6fmZVSgZ2cqUQEyp6niqOfmbJ6IoEQr8axPfw9ZkBCTK9d5gHPRh8 XHrbHtnaJ0ruDFX38RHV0ga8ggdGDuXkYXmkZziE2/HzfOSV4cXbVnS7TL2+JL3+eq8Wc+K/nMvo Q3Hm75z17uxjazr77Hkv6l5YbNjRYfTXFX6DaR6ZUJxnzJlJgRJhth+Axok3ETTUuST2uim/1CTv 3dp17UIxuGs0u8C7tZ84QJoayi1Pw1wIAuTrdyv+E+dtGemuA/jhTExdipbbh5E9um0h+gkR2fWc wXCRNARPTeZW+cL9YlxQxAh/Ol8Z6ZQq47pEttILPv1zq+Rwcd2seWwLLrBrAAFyRQuVVzRLONQV V/T5ZaASaXPGVe8Z/n8cnPnmSRVmKz44ZnMUFvfdDRaQA0A3FaflYAIzu4bKvNESUb4cBk0Qn8JG w5DHyDFBedYlwd+NJJiO9jSNsfKHBtiXRoICTz8sm+zi/Q8TpIdnXcwshRwmmELoRNcdRibAqhiE 2kH7mBTgEhK0RygKI0PBhWwbcj7BqWlMoed7bEYSVTUdUKXuroh7jychV+yZLkkvN/F8O/KDTzeE vYJeFMHDGQ02HCFHV6+Pryj93f7kuwAY7ZU1igxhjLIUTwvFxGAJkUojetV/f7w45fGX4YrzVLfJ EJ9cKFgrAf7LDCfHNW/5NVA97IPolCTAfuMSuBDXsNMFDrGXZoG78ISqdOzxg5tLwHyp2wEfD0rd F3IWIPeRqpxG4Icc+JtbFZIaRsHab+05CLZMe75iY36fGWSlGdP0qdnFsFwwMoWujgWk3hsko+MN dAzSXBI3smO+2jkLVAMgmIwkxy/eogKR9PZ1B4GyXZvf66odgGE7HMjHTv/j8m6Gej/rWVGRvrka Bb4z8msf6CAe0YM9i2L9gXpPCzdRnIyKd2nXEIOWbu3aRszIfUGw6oYZg6rg5+RqRfNMdVkn96Ti ePBBoT2zOeiAsii5OnUlAJhZgb90MgFdyIcOBufecnQkegD6zGHkpSEqyXvNlf2pHKMlBy/QRA1a jVqB3NpAfS/wb5ydObl7QOV2uLCywhHh8XXZkPH4AlERjfvSW8/wWSzZLa5h44TViZQPu15pNtah y6gayHHpV3wrRKbmBgJeYML349PhBOBdEF1M59TzjXZWV+WPk6wZgYsC9DE8c4y2Com6dUCFyj75 pUFE3kL+Amh5xKwD0yUPYfHU7jrOPI+KlsyTYhLdpfuSP56pSI/eP1MD+W9a7hZeRdpUf/A3sAvl 4+eEAam+FsBqA62ESgfwcWvlXjXBW6aMsCJkfAX+oPwgvHk6a35O/5ya2B0Nj8MXw3unVRuPjtqZ jmv9cOx9h2bOZ2u52iYqNDVC18WHMaTr6ezc1FzndK7zIhgVBx5pBt8G0wg0hOlo1cmq5oLkCD90 bG32C4rLzCF7PGaZgvRHK+lFrf1EqtkKRnqm4NPg5J4haG8cLFNMVALtKyOAUPNxqmjCWSFLuKnA CvHLqhStQOx1O4EFeaUqmj35n2olJBGdCG+1t9KYoWvv7FLT10xDpmtDehJ5Q6qw1msx7hYGy9bs t+ecatnKwcnhOiyVWb/uGwsn1eSYAB0AkHhwwTwqx4Vt9lIyw/Ifk7B0zNVEvEjg+DKymyfDdwTT PJF8F3kggx4NEdiFPDNnVhnqsjWnn+giQd0qY6eZg2CcVsVLqPt3zj5guYiIaNGiK8j9+n2TFfVX X7gmmn8GdhQ+xp6zY/wg/ERo0ZgMrm0DFeVbNW+a5kGHdkWu4ZsUVx+Kb59bN7upfjAJFZ1NOKeP DtyyyShfMJ0ff4RVEZaptoyIOqJkG8pD0xzaP6z1pHA72O8Hcy3Q+NFabyqVYjWJgqVoxgtadQzf iUGfmchLSEyXbMyBxDg7dziHywjJqJpBQNWBrNaq80+PtnvGzbnKfvWUUOi8lnrJa7e3G/hCz1kh ZTutgVpXtlM3j0S4uUrE3Z4jr9mB2RMwzg1/W03x5mmQEfRebS+/1IZmRtR8PXZOC0x4dPpyhq9c 8myaYDPoOehA5C1xDfSJcWSqN/azQmMpdcBFGOXrf+/ZftJW2S6wqp6gm1J+R4oeJbAB6JIt4mjM hHHc6d2RQwLMmF8N7TYELtcVA/uFCINtEJ7Wd7ZfxsmiMxCqEn3wQTnUip7eVDHGqU3+OoLSGm3/ W1oDwh68Fb3FVGmW/Z+TT5PpVxLM+07Gpjx0TfXTQbCH8ZrCs9CJcgm81LQlc1ClWHCB3cHjLQQd oLGUVnwxyTPxNThfdaFYdWCmiShExeKm7sH0KKACN0kSAkMUrsjLqVeqWuLT6oGEf4r8BLsPgv25 fE/hRnvltjlKhMT+gummWoBPeCIMNJwzQN21S+V3448cpZB+e2mA4uOfmg5415VE2fs141pAZvgn KPbCLiQSz+zT9sWIfjk3CbKvuhjaf4Reln2Wh5CP/hulA3GDxhnYj47JMLIVqLXwfJET93/ZydLw FguzZzy6Gb8nsukm8wNOHJJnOEUI5eKvQA3ATRzvhhH3uMlNqJncXYsJ0wLompgwxCWq1AbN54fc AHANUV6WnKGI/Lv1GlYzPravlXVIRVABi1YyqZigfm5CVIRuMdDIFss3GSUMuO+CVPLjLYSnqxrE R9oF+Rz96QWGM/8d9nF4dUAjbtg8rnsfgT7ihMWXxGgRBcj3WRHZJDNGlYwY5AqVMkul/YwVeT4P lSe66ATvrJDukWB8dwL6lLX/poZ6uUprRKA+IUo5mjuWILH0Mr8vuTFY7di5g/tLbF4ySXwGb7T0 o8LBgyDd9VqRt+qyNDMDUdLeXkI1c7V+FhL+DrFYBRQI6Oo8+Q8Sh+WRdLmE/armQZxXTacW4Fp0 D8gD1IFjlXV59Wsx+BPGRmY2sF2d+sypaRNmAwBfW26+HIkZJ25/Uv2rJjHFrHqUddcJILDVPTpG rdDJ6W9ew9zLjr7jw7pdo54J4SVyY1ueWs6gaYIUYCVMWFl7Om4KY6gNG4ll3qla6y71HXyfQR8u /m0alsj+db3TEo58jjExs2uaKwJib4p/+w4qTsp1+4KcFxFfJyUdwYrr+UDJDyO5j438MT/dkpdP /KMJ6fINCrxFA8rH3f0nVGCpXRDi191jbYgMVBJwuUD4HZxgvqGIiO1JTD7TU/oZ8/XpVVWiZyQN ijeZUtI7Tfvw0xab9DNU0jsXprbKqX17X7MFR552+Nkg85G03unfPKGNTxWio7at9oJRB+N9r0Iy PkUDzt6OtBiszDTLrDCnCiog1Q1MDSbArbhYDq8LCGzErcQFRpV8LXj33Rlx7c0S4ITmZ0mbcb7L 0KULmcuszRQ8FyvvSwX4ITC65d5aH70NVjc/o0r12vwInKGtjnLRTXLO4uKPPMx0LNYs3h2Ek3+B TUAJ/cQekcLg+vLuRJ2Uh0pskdDgc0/KkY8AsZSBbciGiavTZ7tT9u3DDlbAhpITDpkZcFd7h0i0 9lUMWM8PW8LpApOK3gSnRmDwp5W6m7EewDD+rYamfMpWqjwY0m0SrQ+GBgUFoozQDHQ8EbfgOng4 AdFvVNZgCJTX2sz0bTkviLCzohMI8Bh4BSycHBNAGSeWdrRC4uzuTXNoRnogd6pBMOaLDV4Zi7v0 EThv+eCR/jFB0bu3w5yp24hU4f9aTXfp6D05W3vOU3/LcaMvk0WDOhgtSbGL6AEY5vwiiKvT3qYE 7fYCIcr7ZJUfAPiRpOQ9RA03iv0RymDScStPjDV9Ky7V8kmu1oRbRUHNPj0xlSxAwQNXzK9A73kV mREoglBTXB/Z1xFe/sVja3jzN+ogjmAPt3w4MAbrDZ+uDnKRpATLphijvw4c3wEJrlSFnguL2rci SMiS6AOyhXZZFbs0OLeEe3BpMkjA3DcPlV+VWxpTyZwk//ujtn/zkyZ2CF7P2E7xyhODQUjvyAZA HySZ7c+NE0o++brWsQFI7BHm0Ud/7ihb/akrh1JvKKpi7NE3CXFsC08dHW0FUXqO88xrXAwIU7J6 5lkBvbpBbjnI4lCdJBGyN5OVrX1GzUUUFaZwGalxIoLfaMLs5ZS/L/PSiQek+M3ewohWYuxse8NZ pYxhwIDz24R+diddtnCyKZDIm9NH7IDpgZhquMWVqH6gp5dl5B/G2zbUOTzMhqaRvh9lDmqttRzH vxxXHdPInJdAFcfrHs+r7UGw6oAGGp2kkGyuBErUPOS/nH5pnbVAu4nLcvxbFrfA1dn9qfacJg93 sRG2dd97UcmrbJo1D3LB+JXY9PTiPl42rOJ793iTujfeHy/aS+vpZlknk0ODR8d+nPGP4Cd4vv5h b5UPMwQDJ+Xzi8cgHo5e2JX20Z+Wr45ZPKFqBTaWFrOjMwxujSSnk3yFAYJK4Z6NM30Yvdj6/1/E LXM+Iv+Q9JtIqiGH6Cpak7aQTNFSYbzLytuLeSBdEwCv2UU2K901JYlbRzdxE3ULjqe4uxD7HelX /V/Y6lsDDyP3po/EbhiM+RfV+H/oPoSnRLhzoZIJ694KiFqVc05VgD1aGDYu+8EMIAuuY+fbVJ61 p3qyGS4FozrEkz6Z8Is+w/KUto8YNJ99tlfy5I8FZv48rmnffF6hIcyrLtW3IkQ480d1HlkAaYqm +qMidBEQyfZC3+f80mFyIxV0WFqDLxEsJZSB/mwfK8Mnyxzhk1r9E5ma5TgTWqQ3ZB0HOOp9o50B YfmkTjMRODjAdVwRxQJHmZu23B8ogb2LyK0XGpo1D7Pmc37500qDKWB7u38jhqrekfGxVeOcp46p TPHA42Rneat5aEvcVGvLpyWiQFz0sH72EGaQQQswIGjNC1M8dg6JeIfnzeclo+rZRQMnHYgoTg8D L9kSejCVLs8gpFDjMOSN2BY+J8tFLUDGoKIskcCqPtE92GoZxDZawHJJokrFA95kO+ZIMvmdp3e4 2frDoE+WK5OzClaZrCw/P4ePPrixB3Vqn9NsZkj3c/9h5iW4yJ39ooWECnPd3d8MOXUKOD48Fftu RdGZgDAzy+JsCPCxukOWFbPVoCwTAKDPEcZHA1vpnpB30m0RpMDxbaQikzHVkYFE/srW+f/NW+eU +u6lEY+/SJYiRTXGaZNXP1iy/AvVWOZ5eF0UqrTcQCUt08VaCv/L+FnH20ZTb1wjoDqQ0tLk+dji fS1US0aMhb2cBztVvrhM8PbdQxJdZss9Yb9sAPF6lpfPcDDwTxNJu4vpzfyIMGf6tZdUcP4ymAqj T+onxckJkLfYh6z+WOyXLplg3XRPm08gME0ckTZ65bpVt697Dotbipw8I2mxcgEPYyWbSHz/rk6r qQ6Hj6tsZzyWm+zQX/CB5J2jaUjxhQEo3kWDUw7jD0EeKwk40x+xW9enRpbwWEZDDCaOodjNPCne WkGcCepblBTU0LIRentqBoAAKnO4j8WMaJaWoaGWVglItUBjPEzBm9Gxq7vGCkCLN1hzEaMwgOpP robl9ox6JH73jgIB4kUZFDl5NaF0XgDxCbNlFVzWfjKjxV/hlXHxqQORoI+3JBNoPNAjTh14NG7W dW8IprtXUswiqGwT8fISOuFOb0cFU2bUa2pILV8X6GVKJYVT1rNFXgSC7fKgYE14+LXfvABD1Rog f4G0dW19tr50mOuhyFiaUYmR36gy7Qjvn1OtszL7jARxkmkLtDPoZaG4DPPZztf3LRiEqtNF7K5n /y0FdH2xyCe1W1NkOXsjr4nW07N+3qsQ7ceKct0hX3M0EjR+qnJJmQrDBZHgSlVozlR3KtZKMf6X RUeSuYPDaW2WolsJy6mCPrV2AXNqoeNjuy1rSVhR/GcuUuGPSNukSY3gtIOdK912UGNACw3tqrjf gFMRt+IdO2qsFxBZdaZEFu8BDj06BFXmwBMip8Ngx50cXJNefmZuZ166Y2QqKVawV55tFCH/HWiW S08N4hdnEzacx2s5v1zuvTRvaffx0xFmoGSr7BuEvoZ9xnjeUjumDvt1WjQvGfSlTc/X6NbN2AER S/ioKiTqJLgSpiBXhgLvROYQ8ND2624tDdnar05SU4xvl2Vs4Kj7mBS0B+FK66ZBLQD1589UTBL1 NOOK+7lRQpftiBiQaOO/xK8pvJKHq8ldmMEDpg91vITFxNE+Ew+ZK7l+4a8UmYbGD25AP5zoP6lb 1ghu+0nvwTGLk8oCCeYio6oTdlFDtZ/xRZm7UR+Pe92WlOKY1GcW1yve0jVLBzqrngHr+3BK02tq fvBPf440olHKvvr7z7L1HWBhqvlt+8Lhq02thGXrStZ8PinMF8JFERBysprrAtEwJ+5EM2o0L4zT 1jkyCmJsB+C6kh9A8Nm7Vi4kSAfDyB3CYN+7dWM+EQ4V6AQhOHb5yPi1Fu8AS7BLsup/XSgeWVRL OSgPZ3/RRDDjaTyGgp1dGP+Ajmn5loOCO+cnLYN1rfaZ40nWs+7kYyUJJy+vhoeYjJ3h25AEJdUM 8T6M+FusSB8l7NuBGY89D6f66g9J6H4Iuy0eigqfLHfvQuOdC6WtzPgwpAZIAo8B1Q5gfH6RtbvT P4YPUzos29/dspI/16HVuWbDmUaZhrcZEs6QShDoGnMsUtE++5stMKuCyJHjGjSFhl/ijm4to+kx 27WPyDbqXQeRgvRl5nXQGlVR/AyjYBGlEy77whGMYQhLrpYgJXmgxAKQjCXZdNrfT3kFtSKIvwYW VFQsA+nhtDCxw1h5kd8ej+VElY+Z8jLSLY1WG9VMNB8pKAIWWveO3knOZ5I29bkhU3UbCBcyaWz8 Wnakwad2dwLSwJhdfJzv0bNlxP2cCM7q9wGVO8/HnMvIPcsZUepxOetCoXXr4x1TDjBlqYz/yuF1 dQhsKe4cRsMKAtAVl8PURKwv9Z8qYg/etM7+gmCU1JK0ng09LW+p+nfMWTJpKglixSWIu9GdvKPp hX3TDGpEdecVi2El13Hoop/ZF1wI7Lf4n7XIS/V0C431wQq/E8iTaU/iKfq9mnnTY6fgFo7z9ie2 chKNemTs49pF91sOeMSiWfm9AS9hgEDtEv7ztJyfuFgvVsL4oiG/RCxXxJ1UAdUob0/LAo5WyWy1 6gQrWdyKJMnDtHAkmgEXmR5qidGI5HNVTV0O+2CukkHnblFtQ0lb/fKBJXAd6sRrR5xiI5ldOf1g o8juAHO29e6mMbhpNALeMwcbQGEAj3KHGYpHFXafGxZOn7pL4CIR8qvIsrlH5XLi3EiAgV9Y+865 KPieMVyosaa6+sf0rF8ggLatvfViDX8S/SGRXT2BOXtmzoATx6izxudYIBcB6tv7xevSmIgu4vht rNVYSRbnRFhlHbAfytabV4QEJjNWrcIFXsb0hUZS83qWbMtSwH/PwUZj4iXslAzyHGliGSaTQ+yk IROXSnrRErQShEw2jvd1LuRiCT36ShKlNcKXnfHMCEbYBWpe8WmFUoKrtwFERXe6VRhgfKG5S4vR uIgdRv4y1df1RClUtYPBgi3M3EkJ5DCZqQikPIZF9swIcAg5X27EtXwJwosV8+vjbsjVtZB0nBn7 vumloYYyRE9dW4r20be+VWeBtPCosD9q8bOjRD1BwTkxQwxgz+4+YLKf3tA4ftXu1DLZdHErgoSn SnkcxDiq5FuFPb3nhGQ9P1CSqBfK/I6GMhwlICjdNakR6LZehm419qSUWyVOKpLbYZbGiZKImqWF 7EYfdBSLEhZ0Prrlr0mQng/bb/v5FCPBOjJn/vnB7Cx2u6EI0pd8xxDQxMPcMh2L/2WBlBo8tBPx 0GaJavBZq2GvT9qJJLWbIBTIGWnGZYfArXMlMDMfcvlQpKz85dET+lF3pm9fDRzoupASFt1pmm1o uvy1J0jw4scro2lXp8Jq/ikzB2HOaRmV6WIaiElpLNeKP+NCDYrJQ2xKjyIEpM74JD0+EbOAYjjC +HznrFa6zOgBiUYOAGTcFXUujpbXpnHmgkFQ17va4BEw2ivixB+SC1wRz1dAsYs9wUBLjH9aiVTV Z8NRWZLy5F74/qgRbqwyW4ghX9rfcGFZ9HOq/LGOud3N10ZPv5Gp9JunSiAMD0q2QIbLDEw38sDv bV9gk1AvyUhqd5cunyQx8WplAiCFTwVQfuf3/hIZM+A6zLpsr3VEG5qInfGStqHDnq9Gzk8IEPgF XPrfKC2N+mtqDYZYfl+Ozy5PieKSvOXfd/p8ESi8iWwY9aLagE8pAzVp+eM3qwjx/8u4CNK08dq9 RhwJ4zN17OBkjlAyS615GsdMpF11df1aqHYwF8N9BhQsTC/8sscR4S1erjo3fMXxGyGLZeheMQtD 6EFYRJdl4VLK3INDzZISm5Qo7raGkfZIppwUpd5wXQ/23Gx1hIWmfm+eTQbzvaya+9mZqbdBo8Eb iExF2J74WcBgBiei3Ho98gOOoUgZjC+Ns9fs3LkTmTXagLVaAHZORotIaulHBZ3pOH6/+nMN7oD3 lMabNjFWZmVhTDsyt5T2yNH96S571t3NSpfiNLuCGHWGM1jmk07erlaB8lwj5rXmSEAmsc0kOsUC 1ITAd/cCccXVvI1cyBUwy/ak40O5dhB5NMMndHXXQujvVgW9Wn6RneaS2MwtLUhmVeUXXzb1GOT0 L++mOO5OgeCwl27QnAWwEpprN3s5atVNejFt6TvkelCXocOAAGGjvF1U6Q1Dc49EKQiLksjL4lGA tIZGEq/vXE9Cx4JlRAe6hT9REXidykW/9Xuiw8NyjmhAtsSnLimM8DobWhejPGqOPra/55dOiG6u 04aJrM9IaSIPMmuW51CdrwNW8aHSBNBNyRHw+HnWIsHH1TukHXUwioJIZ/IwSAY5rq9iewHviofP gP0uWiCu/SGygQh1lpDZukjhqe+hEWOqJWTEBcQwZJmLsxvjwP20IsxC7iwrjQ3d0gr4537me4PR MQvWBcUR+xXDpBDzhlGkcMSfnkpBPuRqgADLy/kO6m2dL/uO6QDeCdl8DHmc2wtoixUxaiSsK2eq DM6HJgixScJSteQ8hoZrkHx7K9BeRGGmmCAmV1TYYtFgGIAiirwH/W+oV94J7GtRYLR8Oj2mV7pH P0XObvx8NqSLS6V6xHy/6WBoYkwLOHaEHOUXTlY4gVsCefsnIoQXCo0yOHzwbEYbxf2z524/4iqE l/0aW/xsU2ppa0c9D3Jmc4273NND7m59vtqZc8DKM8qQK0Y2QehshOb7V2m6usojY3FFtaRn9NKv L4nteMkBDttwXJvUno/DgUKeJ/c70kRLipwBDT7tQawFcY6A3VAI3GzTPphV/48MQc0sMBBxFhK3 hV5NKWVgGjz55SKXTXFwf18SZUPVka+7HwjyoRDIsIi6JNy8YdfJ2Og/rKg0g+RpzbFd3kc5bYkK gH4CElh4XglbCtiQ/EOxL6+wuxBeNNHz2QNE8NldscW1/V6LuQR3IBUYWSKmoeAQ8FcAtml/wOxw Gg/0sebEW6mLy0F+8FnPGH0wwUZleucEW/GV0hJr3p5HARS7YKcbqoAyE6L75bNEltnenMECiBjM 09hwVMUxmkpAJxhRsjxlWpQfgA/mZIVbuDrbaUmn6qenfcqXw8b1r/dQhNtuPZL1PXvRye9OIRdv 3uCkexBXKFJnwDvVwQcIFIXFIBjWLKUlIM8TIc6hAS+kvHpfogT8nhg5sk99zV5Q7/vMG6j1TLIk K5LhKGBMpLePha0XBYKAkkVdn+mFwZuIVl4GnjkJqubaXDr/CAD01/8tqzBticYB237bIPUSQOqg 0m87N4XA4wadlLCdPSSXwIlYb9CyPSzPDtzcDIWoWYopHT09D2yD9xz2UyDjQglKvEBld73A7N8n 8hhh7XOfQjcjqKntvglzXEmvAJHSY1bhjUU3S+g+MUrf+Z6DetwxdrtFG+hinFScC/uJZkUZaaUK hFa6INidBggADT5eP/KRVSmPf8YVa7vhlCZRm6sp80daRWy4BhVCBecq6h4oqlLB96PEI4fykguS zVw/JtlAdfX4lV/v8KgfsYo9RJwooIRwelvEfEAw3d6p9oaiht2qtUQOqck4fn0TDGS70LJChl/z rTa6tRdHnBY+4ikMLqP3ANeaXkXAsmj2LnEkbITTSIKnhuVShMgMIQNd5XXZ6d1BLwD5RpU6BGma yew4i3hTAlEtHVaEYu80DrSzRBwhCyNZDsnfXvzmhThV2+qBHvtNaWqAd0tdC2JJaidl9VKjXkcX /XQ7iLdVoKI2lCHhoiQIgYMKYtJboSHbdvy3agn1HBwB79yyFkzAKFmPgdIDHt5RH8L61XmU4KF8 0RarVCozpKf412tGeNLZxiaPeOu5G3ZlDAIwQMGFJ86ZNsnGllHajyn1muyb7bXS2O6vSNeKim26 TLAfbjASKb9Wn6+DYJqDPNkikqjkbLRIeuFgY2gi1+AXUqMJ1fvRW+luH5SWSOvNLIsl+Dl1yLMg eykdODgTmDnLdMc9XAl3it+7avFEvG7qT43+6xLgU322+ccTR+9hOgMKrqlmToJe/5s3GfHABNWA uc62KmBTMLWgrBDIsoegdzc1i6gMrNeqGaV1VzDJnqyaLjvWC6t1zCYKcn9h86yiGuNY93vncZTK afkBMEpPa2JELxC9K/d3bdtR2u3xGpo+IdTSEKI52rX2f8yuVG3DpkC0x5uAK0f5a90qmPWcRYeu xsUPlLWc+q0ivmILsrDca2LQ53ll54Fza4hJ7IT5Azl6DyOjrwsFO6KTsfRpsLOjIGx+nGX3y/IJ J4uUwB01wSYDOuN8Su3+1EV2ANB0xpDYfmxvx+eead+2IKijElxKIVwSvJTmjhiNGp+ujr+6fNa6 Sv07ZLBQQwCy+llys82RRFb3WDMRw5iKuejmECzEobPe+ckWk8h3B2B3ZSIJvtdCwjHu0pDvP627 vtPp9z3DmsjDDgr4Cv8Y3qn2skZSX29uIqs9nBFwJzjdhHULQnhYcRk2zavPWfJBl4meEV+T6F0i eG/PFARwVjg/8wtTF5+9n6GU4EfPPrldptNYWBh4aZkrkw5V7+HBuW6qaCZJnq4sJ5dr+aiFufYM KEpw/iZYG2DxruH1HBXvfTgR9hHOeFQTT6iaRfFTERW2c5rfkMzt8BNytmQdOgXA6rfZdoeTk4F8 6MxwdTZRM3DvVCfAGHfhz2AuUI0g0+c9pOurP9tP5Zsqtos7rgJw+6CXNtqUeVFkBTBiVlvizdTK zZFoqTcgX+gD6zFv3eVgg/G1z1WlXOhZ1y2z/5QswHakHobRpU3Z3Z7pmn2RIKNTXGG8TAJ3yVYj zcTLZe+3bQW28ZMpbjbtFFXZVU1Lxczac9CkpOhFz+mZgwIPb5SB970n5pc4R4Whthb3dzodaDAJ fX4aQJiowgF13xjSpf9K5fFO4Vl7r6ZJMkLBoIuzvNWfIDejYRpNR+jJ8A2zbWikpGsO92rDgbRZ Go1YxD5EHmFR8GkGw/8FtaW6oI03vRuOA9QYA0+F6OaCk8pJK055Rb9hr++OexD8DyYa7/kLKmOB hy7bLGoRY4lnSXjWs9Fb+BvEmXWI+pEsUQ87C5KOW0odB+xRxm1Nu6r7vuXoiFhvhkB48ToWUIrR C16351VuGdWzRg5WxTPMr4ssLcN25kxRPvk/SmPSxR3Lj2CR+0oz3D+vJyOkf8/3tcYNGYvpchXD oiAacpQVF8Smr9w0tUpysuQ/8SjBorE6P/2ujJFEitI3ahXIK/qKuZX3FxAPbl+gN7KmH8YAeLR5 3Ih3vCHkQlHnyG3YQcx8TLiKOUQ0/7uwL2aqWzpbjCdBJX1qYhP+pLBhvn4XqDEZkMnwbh8s2SzW JYCsI7oP36A8B4oa8Z1hoUma3TkPxL6WrZJBDlDySKGl36ZUSyntupbCpOynF+/c4sYCcvvhD4Z9 EORgUMXcNwHBBh13UlLukyCs38lNZtgDjyS5L03iXsUyPJNvF0H2lqgXsy4c2kYM2jgfO0T0BlJs YJzYqbSGonTY6OSb/CQIOTxIU6wTbXVhTmKa9qwabUI5hUl76nXiPShDTY3MnDw+0VS+b92K4uwY 1/vZAOEfj5/ly+Bd35F92QjXiDmRgiIzAMGq6ZuiB2PFEZgvwPuy5Y9pu7ZH0o4WzAAQLy3Lq3ea LaTRyDbcv38oxEVqEAh6kWBkryTsfipkr47tYaK+0Vb8t1sHcD1qVRYhnL+tROJbFdQbI2rU4w5M Ov0RWN/7TcvXqAKxShvJAyeelRnVD6qZ0UzW0N971rtfQoNnXTgCukvdA+1XoYRo5QuEtvqldFUz lj+VCS98A+bmDxsv3pocb5i9DlFjG2eUGTX83/LzkGXMRtD4iSROOpYD1vs241FKl2vssa6uOWee gyTDt+kI1LdXcc+u+3TsXq75Ex0HVZ/Ab0PRyWkFvWBIyLeswjmVrM8wVIBP6H5d3DEvgyfciI9R wFe/NIvhx20LzShzJfT0yvUR7etYh08Cx4AIqkZcf80hbMY3Qq5uqQGs4/bBVQ/orOE7gFAvHbvH vLsgBZQx8iZMh52R54bbhn86qY5LBRUsDthQvNlNpv/XqhDEoV+0q+Ybu2eX6xTWYJ+mflOtVezD HmeibmulxTGdTw1gdKH6GTGuoIUFHgeCzSWc8goNB+ASMSpVxK/nosapwd2ycw== `protect end_protected
-- megafunction wizard: %Serial Flash Loader% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altserial_flash_loader -- ============================================================ -- File Name: SFL.vhd -- Megafunction Name(s): -- altserial_flash_loader -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 222 10/21/2009 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2009 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY SFL IS PORT ( noe_in : IN STD_LOGIC ); END SFL; ARCHITECTURE SYN OF sfl IS COMPONENT altserial_flash_loader GENERIC ( enable_shared_access : STRING; enhanced_mode : NATURAL; intended_device_family : STRING; lpm_type : STRING ); PORT ( noe : IN STD_LOGIC ); END COMPONENT; BEGIN altserial_flash_loader_component : altserial_flash_loader GENERIC MAP ( enable_shared_access => "OFF", enhanced_mode => 0, intended_device_family => "Arria GX", lpm_type => "altserial_flash_loader" ) PORT MAP ( noe => noe_in ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Arria GX" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ENABLE_SHARED_ACCESS STRING "OFF" -- Retrieval info: CONSTANT: ENHANCED_MODE NUMERIC "0" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Arria GX" -- Retrieval info: USED_PORT: noe_in 0 0 0 0 INPUT NODEFVAL "noe_in" -- Retrieval info: CONNECT: @noe 0 0 0 0 noe_in 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL.inc TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL.bsf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
-- megafunction wizard: %Serial Flash Loader% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altserial_flash_loader -- ============================================================ -- File Name: SFL.vhd -- Megafunction Name(s): -- altserial_flash_loader -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 222 10/21/2009 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2009 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY SFL IS PORT ( noe_in : IN STD_LOGIC ); END SFL; ARCHITECTURE SYN OF sfl IS COMPONENT altserial_flash_loader GENERIC ( enable_shared_access : STRING; enhanced_mode : NATURAL; intended_device_family : STRING; lpm_type : STRING ); PORT ( noe : IN STD_LOGIC ); END COMPONENT; BEGIN altserial_flash_loader_component : altserial_flash_loader GENERIC MAP ( enable_shared_access => "OFF", enhanced_mode => 0, intended_device_family => "Arria GX", lpm_type => "altserial_flash_loader" ) PORT MAP ( noe => noe_in ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Arria GX" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ENABLE_SHARED_ACCESS STRING "OFF" -- Retrieval info: CONSTANT: ENHANCED_MODE NUMERIC "0" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Arria GX" -- Retrieval info: USED_PORT: noe_in 0 0 0 0 INPUT NODEFVAL "noe_in" -- Retrieval info: CONNECT: @noe 0 0 0 0 noe_in 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL.inc TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL.bsf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL SFL_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
-- ---------------------------------------------------------------------------- -- Title : Standard VITAL Memory Package -- : -- Library : Vital_Memory -- : -- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4 -- : Ekambaram Balaji, LSI Logic Corporation -- : Jose De Castro, Consultant -- : Prakash Bare, GDA Technologies -- : William Yam, LSI Logic Corporation -- : Dennis Brophy, Model Technology -- : -- Purpose : This packages defines standard types, constants, functions -- : and procedures for use in developing ASIC memory models. -- : -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Modification History : -- ---------------------------------------------------------------------------- -- Ver:|Auth:| Date:| Changes Made: -- 0.1 | eb |071796| First prototye as part of VITAL memory proposal -- 0.2 | jdc |012897| Initial prototyping with proposed MTM scheme -- 0.3 | jdc |090297| Extensive updates for TAG review (functional) -- 0.4 | eb |091597| Changed naming conventions for VitalMemoryTable -- | | | Added interface of VitalMemoryCrossPorts() & -- | | | VitalMemoryViolation(). -- 0.5 | jdc |092997| Completed naming changes thoughout package body. -- | | | Testing with simgle port test model looks ok. -- 0.6 | jdc |121797| Major updates to the packages: -- | | | - Implement VitalMemoryCrossPorts() -- | | | - Use new VitalAddressValueType -- | | | - Use new VitalCrossPortModeType enum -- | | | - Overloading without SamePort args -- | | | - Honor erroneous address values -- | | | - Honor ports disabled with 'Z' -- | | | - Implement implicit read 'M' table symbol -- | | | - Cleanup buses to use (H DOWNTO L) -- | | | - Message control via MsgOn,HeaderMsg,PortName -- | | | - Tested with 1P1RW,2P2RW,4P2R2W,4P4RW cases -- 0.7 | jdc |052698| Bug fixes to the packages: -- | | | - Fix failure with negative Address values -- | | | - Added debug messages for VMT table search -- | | | - Remove 'S' for action column (only 's') -- | | | - Remove 's' for response column (only 'S') -- | | | - Remove 'X' for action and response columns -- 0.8 | jdc |061298| Implemented VitalMemoryViolation() -- | | | - Minimal functionality violation tables -- | | | - Missing: -- | | | - Cannot handle wide violation variables -- | | | - Cannot handle sub-word cases -- | | | Fixed IIC version of MemoryMatch -- | | | Fixed 'M' vs 'm' switched on debug output -- | | | TO BE DONE: -- | | | - Implement 'd' corrupting a single bit -- | | | - Implement 'D' corrupting a single bit -- 0.9 |eb/sc|080498| Added UNDEF value for VitalPortFlagType -- 0.10|eb/sc|080798| Added CORRUPT value for VitalPortFlagType -- 0.11|eb/sc|081798| Added overloaded function interface for -- | | | VitalDeclareMemory -- 0.14| jdc |113198| Merging of memory functionality and version -- | | | 1.4 9/17/98 of timing package from Prakash -- 0.15| jdc |120198| Major development of VMV functionality -- 0.16| jdc |120298| Complete VMV functionlality for initial testing -- | | | - New ViolationTableCorruptMask() procedure -- | | | - New MemoryTableCorruptMask() procedure -- | | | - HandleMemoryAction(): -- | | | - Removed DataOutBus bogus output -- | | | - Replaced DataOutTmp with DataInTmp -- | | | - Added CorruptMask input handling -- | | | - Implemented 'd','D' using CorruptMask -- | | | - CorruptMask on 'd','C','L','D','E' -- | | | - CorruptMask ignored on 'c','l','e' -- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT -- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT -- | | | - Changed 'c','l','d','e' to ignore HighBit, LowBit -- | | | - Changed 'C','L','D','E' to use HighBit, LowBit -- | | | - HandleDataAction(): -- | | | - Added CorruptMask input handling -- | | | - Implemented 'd','D' using CorruptMask -- | | | - CorruptMask on 'd','C','L','D','E' -- | | | - CorruptMask ignored on 'l','e' -- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT -- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT -- | | | - Changed 'l','d','e' to ignore HighBit, LowBit -- | | | - Changed 'L','D','E' to use HighBit, LowBit -- | | | - MemoryTableLookUp(): -- | | | - Added MsgOn table debug output -- | | | - Uses new MemoryTableCorruptMask() -- | | | - ViolationTableLookUp(): -- | | | - Uses new ViolationTableCorruptMask() -- 0.17| jdc |120898| - Added VitalMemoryViolationSymbolType, -- | | | VitalMemoryViolationTableType data -- | | | types but not used yet (need to discuss) -- | | | - Added overload for VitalMemoryViolation() -- | | | which does not have array flags -- | | | - Bug fixes for VMV functionality: -- | | | - ViolationTableLookUp() not handling '-' in -- | | | scalar violation matching -- | | | - VitalMemoryViolation() now normalizes -- | | | VFlagArrayTmp'LEFT as LSB before calling -- | | | ViolationTableLookUp() for proper scanning -- | | | - ViolationTableCorruptMask() had to remove -- | | | normalization of CorruptMaskTmp and -- | | | ViolMaskTmp for proper MSB:LSB corruption -- | | | - HandleMemoryAction(), HandleDataAction() -- | | | - Removed 'D','E' since not being used -- | | | - Use XOR instead of OR for corrupt masks -- | | | - Now 'd' is sensitive to HighBit, LowBit -- | | | - Fixed LowBit overflow in bit writeable case -- | | | - MemoryTableCorruptMask() -- | | | - ViolationTableCorruptMask() -- | | | - VitalMemoryTable() -- | | | - VitalMemoryCrossPorts() -- | | | - Fixed VitalMemoryViolation() failing on -- | | | error AddressValue from earlier VMT() -- | | | - Minor cleanup of code formatting -- 0.18| jdc |032599| - In VitalDeclareMemory() -- | | | - Added BinaryLoadFile formal arg and -- | | | modified LoadMemory() to handle bin -- | | | - Added NOCHANGE to VitalPortFlagType -- | | | - For VitalCrossPortModeType -- | | | - Added CpContention enum -- | | | - In HandleDataAction() -- | | | - Set PortFlag := NOCHANGE for 'S' -- | | | - In HandleMemoryAction() -- | | | - Set PortFlag := NOCHANGE for 's' -- | | | - In VitalMemoryTable() and -- | | | VitalMemoryViolation() -- | | | - Honor PortFlag = NOCHANGE returned -- | | | from HandleMemoryAction() -- | | | - In VitalMemoryCrossPorts() -- | | | - Fixed Address = AddressJ for all -- | | | conditions of DoWrCont & DoCpRead -- | | | - Handle CpContention like WrContOnly -- | | | under CpReadOnly conditions, with -- | | | associated memory message changes -- | | | - Handle PortFlag = NOCHANGE like -- | | | PortFlag = READ for actions -- | | | - Modeling change: -- | | | - Need to init PortFlag every delta -- | | | PortFlag_A := (OTHES => UNDEF); -- | | | - Updated InternalTimingCheck code -- 0.19| jdc |042599| - Fixes for bit-writeable cases -- | | | - Check PortFlag after HandleDataAction -- | | | in VitalMemoryViolation() -- 0.20| jdc |042599| - Merge PortFlag changes from Prakash -- | | | and Willian: -- | | | VitalMemorySchedulePathDelay() -- | | | VitalMemoryExpandPortFlag() -- 0.21| jdc |072199| - Changed VitalCrossPortModeType enums, -- | | | added new CpReadAndReadContention. -- | | | - Fixed VitalMemoryCrossPorts() parameter -- | | | SamePortFlag to INOUT so that it can -- | | | set CORRUPT or READ value. -- | | | - Fixed VitalMemoryTable() where PortFlag -- | | | setting by HandleDataAction() is being -- | | | ignored when HandleMemoryAction() sets -- | | | PortFlagTmp to NOCHANGE. -- | | | - Fixed VitalMemoryViolation() to set -- | | | all bits of PortFlag when violating. -- 0.22| jdc |072399| - Added HIGHZ to PortFlagType. HandleData -- | | | checks whether the previous state is HIGHZ. -- | | | If yes then portFlag should be NOCHANGE -- | | | for VMPD to ignore IORetain corruption. -- | | | The idea is that the first Z should be -- | | | propagated but later ones should be ignored. -- | | | -- 0.23| jdc |100499| - Took code checked in by Dennis 09/28/99 -- | | | - Changed VitalPortFlagType to record of -- | | | new VitalPortStateType to hold current, -- | | | previous values and separate disable. -- | | | Also created VitalDefaultPortFlag const. -- | | | Removed usage of PortFlag NOCHANGE -- | | | - VitalMemoryTable() changes: -- | | | Optimized return when all curr = prev -- | | | AddressValue is now INOUT to optimize -- | | | Transfer PF.MemoryCurrent to MemoryPrevious -- | | | Transfer PF.DataCurrent to DataPrevious -- | | | Reset PF.OutputDisable to FALSE -- | | | Expects PortFlag init in declaration -- | | | No need to init PortFlag every delta -- | | | - VitalMemorySchedulePathDelay() changes: -- | | | Initialize with VitalDefaultPortFlag -- | | | Check PortFlag.OutputDisable -- | | | - HandleMemoryAction() changes: -- | | | Set value of PortFlag.MemoryCurrent -- | | | Never set PortFlag.OutputDisable -- | | | - HandleDataAction() changes: -- | | | Set value of PortFlag.DataCurrent -- | | | Set PortFlag.DataCurrent for HIGHZ -- | | | - VitalMemoryCrossPorts() changes: -- | | | Check/set value of PF.MemoryCurrent -- | | | Check value of PF.OutputDisable -- | | | - VitalMemoryViolation() changes: -- | | | Fixed bug - not reading inout PF value -- | | | Clean up setting of PortFlag -- 0.24| jdc |100899| - Modified update of PF.OutputDisable -- | | | to correctly accomodate 2P1W1R case: -- | | | the read port should not exhibit -- | | | IO retain corrupt when reading -- | | | addr unrelated to addr being written. -- 0.25| jdc |100999| - VitalMemoryViolation() change: -- | | | Fixed bug with RDNWR mode incorrectly -- | | | updating the PF.OutputDisable -- 0.26| jdc |100999| - VitalMemoryCrossPorts() change: -- | | | Fixed bugs with update of PF -- 0.27| jdc |101499| - VitalMemoryCrossPorts() change: -- | | | Added DoRdWrCont message (ErrMcpRdWrCo, -- | | | Memory cross port read/write data only -- | | | contention) -- | | | - VitalMemoryTable() change: -- | | | Set PF.OutputDisable := TRUE for the -- | | | optimized cases. -- 0.28| pb |112399| - Added 8 VMPD procedures for vector -- | | | PathCondition support. Now the total -- | | | number of overloadings for VMPD is 24. -- | | | - Number of overloadings for SetupHold -- | | | procedures increased to 5. Scalar violations -- | | | are not supported anymore. Vector checkEnabled -- | | | support is provided through the new overloading -- 0.29| jdc |120999| - HandleMemoryAction() HandleDataAction() -- | | | Reinstated 'D' and 'E' actions but -- | | | with new PortFlagType -- | | | - Updated file handling syntax, must compile -- | | | with -93 syntax now. -- 0.30| jdc |022300| - Formated for 80 column max width -- ---------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.Vital_Timing.all; USE IEEE.Vital_Primitives.all; LIBRARY STD; USE STD.TEXTIO.ALL; -- ---------------------------------------------------------------------------- PACKAGE BODY Vital_Memory IS -- ---------------------------------------------------------------------------- -- Timing Section -- ---------------------------------------------------------------------------- FILE LogFile : TEXT OPEN write_mode IS "delayLog"; FILE Output : TEXT OPEN write_mode IS "STD_OUTPUT"; -- Added for turning off the debug msg.. CONSTANT PrintDebugMsg : STD_ULOGIC := '0'; -- '0' - don't print in STD OUTPUT -- '1' - print in STD OUTPUT -- Type and constant definitions for type conversion. TYPE MVL9_TO_CHAR_TBL IS ARRAY (STD_ULOGIC) OF character; --constant MVL9_to_char: MVL9_TO_CHAR_TBL := "UX01ZWLH-"; CONSTANT MVL9_to_char: MVL9_TO_CHAR_TBL := "XX01ZX010"; -- ---------------------------------------------------------------------------- -- STD_LOGIC WRITE UTILITIES -- ---------------------------------------------------------------------------- PROCEDURE WRITE( l : INOUT line; val : IN std_logic_vector; justify : IN side := right; field : IN width := 0 ) IS VARIABLE invect : std_logic_vector(val'LENGTH DOWNTO 1); VARIABLE ins : STRING(val'LENGTH DOWNTO 1); BEGIN invect := val; FOR I IN invect'length DOWNTO 1 LOOP ins(I) := MVL9_to_char(invect(I)); END LOOP; WRITE(L, ins, justify, field); END; PROCEDURE WRITE( l : INOUT line; val : IN std_ulogic; justify : IN side := right; field : in width := 0 ) IS VARIABLE ins : CHARACTER; BEGIN ins := MVL9_to_char(val); WRITE(L, ins, justify, field); END; -- ---------------------------------------------------------------------------- PROCEDURE DelayValue( InputTime : IN TIME ; outline : INOUT LINE ) IS CONSTANT header : STRING := "TIME'HIGH"; BEGIN IF(InputTime = TIME'HIGH) THEN WRITE(outline, header); ELSE WRITE(outline, InputTime); END IF; END DelayValue; -- ---------------------------------------------------------------------------- PROCEDURE PrintScheduleDataArray ( ScheduleDataArray : IN VitalMemoryScheduleDataVectorType ) IS VARIABLE outline1 : LINE; VARIABLE outline2 : LINE; VARIABLE value : TIME; CONSTANT empty : STRING := " "; CONSTANT header1 : STRING := "i Age PropDly RetainDly"; CONSTANT header2 : STRING := "i Sc.Value Output Lastvalue Sc.Time"; BEGIN WRITE (outline1, empty); WRITE (outline1, NOW); outline2 := outline1; WRITELINE (LogFile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; WRITE (outline1, header1); outline2 := outline1; WRITELINE (LogFile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; FOR i IN ScheduleDataArray'RANGE LOOP WRITE (outline1, i ); WRITE (outline1, empty); DelayValue(ScheduleDataArray(i).InputAge, outline1); WRITE (outline1, empty); DelayValue(ScheduleDataArray(i).PropDelay, outline1); WRITE (outline1, empty); DelayValue(ScheduleDataArray(i).OutputRetainDelay, outline1); outline2 := outline1; WRITELINE (LogFile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; END LOOP; WRITE (outline1, header2); outline2 := outline1; WRITELINE (LogFile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; FOR i IN ScheduleDataArray'RANGE LOOP WRITE (outline1, i ); WRITE (outline1, empty); WRITE (outline1, ScheduleDataArray(i).ScheduleValue); WRITE (outline1, empty); WRITE (outline1, ScheduleDataArray(i).OutputData); WRITE (outline1, empty); WRITE (outline1, ScheduleDataArray(i).LastOutputValue ); WRITE (outline1, empty); DelayValue(ScheduleDataArray(i).ScheduleTime, outline1); outline2 := outline1; WRITELINE (LogFile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; END LOOP; WRITE (outline1, empty); WRITE (outline2, empty); WRITELINE (LogFile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE (Output, outline2); END IF; END PrintScheduleDataArray; -- ---------------------------------------------------------------------------- PROCEDURE PrintArcType ( ArcType : IN VitalMemoryArcType ) IS VARIABLE outline1, outline2 : LINE; CONSTANT empty : STRING := " "; CONSTANT cross : STRING := "CrossArc"; CONSTANT para : STRING := "ParallelArc"; CONSTANT sub : STRING := "SubWordArc"; CONSTANT Header1 : STRING := "Path considered @ "; CONSTANT Header2 : STRING := " is "; BEGIN WRITELINE (LogFile, outline1); WRITE (outline1, header1); WRITE (outline1, NOW); WRITE (outline1, empty); WRITE (outline1, header2); WRITE (outline1, empty); case ArcType is WHEN CrossArc => WRITE (outline1, cross); WHEN ParallelArc => WRITE (outline1, para); WHEN SubwordArc => WRITE (outline1, sub); END CASE; outline2 := outline1 ; -- Appears on STD OUT IF (PrintDebugMsg = '1') THEN WRITELINE (Output, outline1); END IF; WRITELINE (LogFile, outline2); END PrintArcType; -- ---------------------------------------------------------------------------- -- This returns the value picked from the delay array -- ---------------------------------------------------------------------------- PROCEDURE PrintDelay ( outbitpos : IN INTEGER; InputArrayLow : IN INTEGER; InputArrayHigh : IN INTEGER; debugprop : IN VitalTimeArrayT; debugretain : IN VitalTimeArrayT ) IS VARIABLE outline1 : LINE; VARIABLE outline2 : LINE; VARIABLE outline3 : LINE; VARIABLE outline4 : LINE; VARIABLE outline5 : LINE; VARIABLE outline6 : LINE; CONSTANT empty : STRING := " "; CONSTANT empty5 : STRING := " "; CONSTANT header1 : STRING := "Prop. delays : "; CONSTANT header2 : STRING := "Retain delays : "; CONSTANT header3 : STRING := "output bit : "; BEGIN WRITE(outline1, header3); WRITE(outline1, outbitpos); outline2 := outline1; WRITELINE(LogFile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE(output, outline2); END IF; WRITE(outline1, header1); WRITE (outline1, empty5); FOR i IN InputArrayHigh DOWNTO InputArrayLow LOOP DelayValue(debugprop(i), outline1); WRITE(outline1, empty); END LOOP; outline2 := outline1; WRITELINE(LogFile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE(output, outline2); END IF; WRITE(outline1, header2); WRITE (outline1, empty5); FOR i in InputArrayHigh DOWNTO InputArrayLow LOOP DelayValue(debugretain(i), outline1); WRITE(outline1, empty); END LOOP; outline2 := outline1; WRITELINE(LogFile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE(output, outline2); END IF; END PrintDelay; -- ---------------------------------------------------------------------------- PROCEDURE DebugMsg1 IS CONSTANT header1:STRING:= "******************************************"; CONSTANT header2 :STRING:="Entering the process because of an i/p change"; variable outline1, outline2 : LINE; BEGIN WRITE(outline1, header1); outline2 := outline1; WRITELINE (Logfile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; WRITE(outline1, header2); outline2 := outline1; WRITELINE (Logfile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; WRITE(outline1, header1); outline2 := outline1; WRITELINE (Logfile, outline1); IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; END DebugMsg1; -- ---------------------------------------------------------------------------- PROCEDURE ScheduleDebugMsg IS CONSTANT header1 : STRING := "******************************************"; CONSTANT header2 : STRING := "Finished executing all the procedures"; VARIABLE outline1 : LINE; VARIABLE outline2 : LINE; BEGIN WRITE(outline1, header1); outline2 := outline1; IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; WRITELINE (Logfile, outline1); WRITE(outline1, header2); outline2 := outline1; IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; WRITELINE (Logfile, outline1); WRITE(outline1, header1); outline2 := outline1; IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; WRITELINE (Logfile, outline1); END ScheduleDebugMsg; -- ---------------------------------------------------------------------------- PROCEDURE PrintInputName( InputSignalName : IN STRING ) IS VARIABLE outline1 : LINE; VARIABLE outline2 : LINE; CONSTANT header1 : STRING := "***Changing input is "; CONSTANT header2 : STRING := "("; CONSTANT header3 : STRING := ")"; CONSTANT header4 : STRING := "****"; CONSTANT header5 : STRING := "******************************************"; CONSTANT header6 : STRING:="Entering the process because of an i/p change"; CONSTANT empty : STRING := " "; BEGIN WRITE(outline1, header5); outline2 := outline1; WRITELINE (output, outline1); WRITELINE (Logfile, outline2); WRITE(outline1, header6); outline2 := outline1; WRITELINE (output, outline1); WRITELINE (Logfile, outline2); WRITE(outline1, header5); outline2 := outline1; WRITELINE (output, outline1); WRITELINE (Logfile, outline2); WRITE(outline1, header1); WRITE(outline1, InputSignalName); WRITE(outline1, empty); WRITE(outline1, now); WRITE(outline1, empty); WRITE(outline1, header4); WRITELINE (output, outline1); WRITELINE (Logfile, outline2); END PrintInputName; -- ---------------------------------------------------------------------------- PROCEDURE PrintInputChangeTime( ChangeTimeArray : IN VitalTimeArrayT ) IS VARIABLE outline1 : LINE; VARIABLE outline2 : LINE; CONSTANT header5 : STRING := "*************************************"; CONSTANT header6 : STRING:="ChangeTime Array : "; CONSTANT empty : STRING := " "; BEGIN WRITE(outline1, header5); outline2 := outline1; IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; WRITELINE (Logfile, outline1); WRITE(outline1, header6); FOR i in ChangeTimeArray'range LOOP WRITE(outline1, ChangeTimeArray(i)); WRITE(outline1, empty); END LOOP; outline2 := outline1; IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; WRITELINE (Logfile, outline1); WRITE(outline1, header5); outline2 := outline1; IF (PrintDebugMsg = '1') THEN WRITELINE (output, outline2); END IF; WRITELINE (Logfile, outline1); END PrintInputChangeTime; -- ---------------------------------------------------------------------------- PROCEDURE PrintInputChangeTime( ChangeTime : IN Time ) IS VARIABLE ChangeTimeArray : VitalTimeArrayT(0 DOWNTO 0); BEGIN ChangeTimeArray(0) := ChangeTime; PrintInputChangeTime(ChangeTimeArray); END PrintInputChangeTime; -- ---------------------------------------------------------------------------- -- for debug purpose CONSTANT MaxNoInputBits : INTEGER := 1000; TYPE VitalMemoryDelayType IS RECORD PropDelay : TIME; OutputRetainDelay : TIME; END RECORD; -- ---------------------------------------------------------------------------- -- PROCEDURE: IntToStr -- -- PARAMETERS: InputInt - Integer to be converted to String. -- ResultStr - String buffer for converted Integer -- AppendPos - Position in buffer to place result -- -- DESCRIPTION: This procedure is used to convert an input integer -- into a string representation. The converted string -- may be placed at a specific position in the result -- buffer. -- -- ---------------------------------------------------------------------------- PROCEDURE IntToStr ( InputInt : IN INTEGER ; ResultStr : INOUT STRING ( 1 TO 256) ; AppendPos : INOUT NATURAL ) IS -- Look-up table. Given an int, we can get the character. TYPE integer_table_type IS ARRAY (0 TO 9) OF CHARACTER ; CONSTANT integer_table : integer_table_type := ('0', '1', '2', '3', '4', '5', '6', '7', '8', '9') ; -- Local variables used in this function. VARIABLE inpVal : INTEGER := inputInt ; VARIABLE divisor : INTEGER := 10 ; VARIABLE tmpStrIndex : INTEGER := 1 ; VARIABLE tmpStr : STRING ( 1 TO 256 ) ; BEGIN IF ( inpVal = 0 ) THEN tmpStr(tmpStrIndex) := integer_table ( 0 ) ; tmpStrIndex := tmpStrIndex + 1 ; ELSE WHILE ( inpVal > 0 ) LOOP tmpStr(tmpStrIndex) := integer_table (inpVal mod divisor); tmpStrIndex := tmpStrIndex + 1 ; inpVal := inpVal / divisor ; END LOOP ; END IF ; IF (appendPos /= 1 ) THEN resultStr(appendPos) := ',' ; appendPos := appendPos + 1 ; END IF ; FOR i IN tmpStrIndex-1 DOWNTO 1 LOOP resultStr(appendPos) := tmpStr(i) ; appendPos := appendPos + 1 ; END LOOP ; END IntToStr ; -- ---------------------------------------------------------------------------- TYPE CheckType IS ( SetupCheck, HoldCheck, RecoveryCheck, RemovalCheck, PulseWidCheck, PeriodCheck ); TYPE CheckInfoType IS RECORD Violation : BOOLEAN; CheckKind : CheckType; ObsTime : TIME; ExpTime : TIME; DetTime : TIME; State : X01; END RECORD; TYPE LogicCvtTableType IS ARRAY (std_ulogic) OF CHARACTER; TYPE HiLoStrType IS ARRAY (std_ulogic RANGE 'X' TO '1') OF STRING(1 TO 4); CONSTANT LogicCvtTable : LogicCvtTableType := ( 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); CONSTANT HiLoStr : HiLoStrType := (" X ", " Low", "High" ); TYPE EdgeSymbolMatchType IS ARRAY (X01,X01,VitalEdgeSymbolType) OF BOOLEAN; -- last value, present value, edge symbol CONSTANT EdgeSymbolMatch : EdgeSymbolMatchType := ( 'X' => ( 'X'=>( OTHERS => FALSE), '0'=>('N'|'F'|'v'|'E'|'D'|'*' => TRUE, OTHERS => FALSE ), '1'=>('P'|'R'|'^'|'E'|'A'|'*' => TRUE, OTHERS => FALSE ) ), '0' => ( 'X'=>( 'r'|'p'|'R'|'A'|'*' => TRUE, OTHERS => FALSE ), '0'=>( OTHERS => FALSE ), '1'=>( '/'|'P'|'p'|'R'|'*' => TRUE, OTHERS => FALSE ) ), '1' => ( 'X'=>( 'f'|'n'|'F'|'D'|'*' => TRUE, OTHERS => FALSE ), '0'=>( '\'|'N'|'n'|'F'|'*' => TRUE, OTHERS => FALSE ), '1'=>( OTHERS => FALSE ) ) ); -- ---------------------------------------------------------------------------- FUNCTION Minimum ( CONSTANT t1, t2 : IN TIME ) RETURN TIME IS BEGIN IF (t1 < t2) THEN RETURN (t1); ELSE RETURN (t2); END IF; END Minimum; -- ---------------------------------------------------------------------------- FUNCTION Maximum ( CONSTANT t1, t2 : IN TIME ) RETURN TIME IS BEGIN IF (t1 < t2) THEN RETURN (t2); ELSE RETURN (t1); END IF; END Maximum; -- ---------------------------------------------------------------------------- -- FUNCTION: VitalMemoryCalcDelay -- Description: Select Transition dependent Delay. -- Used internally by VitalMemorySelectDelay. -- ---------------------------------------------------------------------------- FUNCTION VitalMemoryCalcDelay ( CONSTANT NewVal : IN STD_ULOGIC := 'X'; CONSTANT OldVal : IN STD_ULOGIC := 'X'; CONSTANT Delay : IN VitalDelayType01ZX ) RETURN VitalMemoryDelayType IS VARIABLE Result : VitalMemoryDelayType; BEGIN CASE Oldval IS WHEN '0' | 'L' => CASE Newval IS WHEN '0' | 'L' => Result.PropDelay := Delay(tr10); WHEN '1' | 'H' => Result.PropDelay := Delay(tr01); WHEN 'Z' => Result.PropDelay := Delay(tr0Z); WHEN OTHERS => Result.PropDelay := Minimum(Delay(tr01), Delay(tr0Z)); END CASE; Result.OutputRetainDelay := Delay(tr0X); WHEN '1' | 'H' => CASE Newval IS WHEN '0' | 'L' => Result.PropDelay := Delay(tr10); WHEN '1' | 'H' => Result.PropDelay := Delay(tr01); WHEN 'Z' => Result.PropDelay := Delay(tr1Z); WHEN OTHERS => Result.PropDelay := Minimum(Delay(tr10), Delay(tr1Z)); END CASE; Result.OutputRetainDelay := Delay(tr1X); WHEN 'Z' => CASE Newval IS WHEN '0' | 'L' => Result.PropDelay := Delay(trZ0); WHEN '1' | 'H' => Result.PropDelay := Delay(trZ1); WHEN 'Z' => Result.PropDelay := Maximum(Delay(tr1Z), Delay(tr0Z)); WHEN OTHERS => Result.PropDelay := Minimum(Delay(trZ1), Delay(trZ0)); END CASE; Result.OutputRetainDelay := Delay(trZX); WHEN OTHERS => CASE Newval IS WHEN '0' | 'L' => Result.PropDelay := Maximum(Delay(tr10), Delay(trZ0)); WHEN '1' | 'H' => Result.PropDelay := Maximum(Delay(tr01), Delay(trZ1)); WHEN 'Z' => Result.PropDelay := Maximum(Delay(tr1Z), Delay(tr0Z)); WHEN OTHERS => Result.PropDelay := Maximum(Delay(tr10), Delay(tr01)); END CASE; Result.OutputRetainDelay := Minimum(Delay(tr1X), Delay(tr0X)); END CASE; RETURN Result; END VitalMemoryCalcDelay; -- ---------------------------------------------------------------------------- FUNCTION VitalMemoryCalcDelay ( CONSTANT NewVal : IN STD_ULOGIC := 'X'; CONSTANT OldVal : IN STD_ULOGIC := 'X'; CONSTANT Delay : IN VitalDelayType01Z ) RETURN VitalMemoryDelayType IS VARIABLE Result : VitalMemoryDelayType; BEGIN CASE Oldval IS WHEN '0' | 'L' => CASE Newval IS WHEN '0' | 'L' => Result.PropDelay := Delay(tr10); WHEN '1' | 'H' => Result.PropDelay := Delay(tr01); WHEN OTHERS => Result.PropDelay := Minimum(Delay(tr01), Delay(tr10)); END CASE; Result.OutputRetainDelay := Delay(tr0Z); WHEN '1' | 'H' => CASE Newval IS WHEN '0' | 'L' => Result.PropDelay := Delay(tr10); WHEN '1' | 'H' => Result.PropDelay := Delay(tr01); WHEN OTHERS => Result.PropDelay := Minimum(Delay(tr10), Delay(tr01)); END CASE; Result.OutputRetainDelay := Delay(tr1Z); WHEN OTHERS => Result.PropDelay := Maximum(Delay(tr10),Delay(tr01)); Result.OutputRetainDelay := Minimum(Delay(tr1Z),Delay(tr0Z)); END CASE; RETURN Result; END VitalMemoryCalcDelay; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryUpdateInputChangeTime ( VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; VARIABLE NumBitsPerSubword : INTEGER ) IS VARIABLE LastInputValue : STD_LOGIC_VECTOR(InputSignal'LENGTH-1 downto 0); VARIABLE InSignalNorm : STD_LOGIC_VECTOR(InputSignal'LENGTH-1 downto 0); VARIABLE ChangeTimeNorm : VitalTimeArrayT(InputSignal'LENGTH-1 downto 0); VARIABLE BitsPerWord : INTEGER; BEGIN LastInputValue := InputSignal'LAST_VALUE; IF NumBitsPerSubword = DefaultNumBitsPerSubword THEN BitsPerWord := InputSignal'LENGTH; ELSE BitsPerWord := NumBitsPerSubword; END IF; FOR i IN InSignalNorm'RANGE LOOP IF (InSignalNorm(i) /= LastInputValue(i)) THEN ChangeTimeNorm(i/BitsPerWord) := NOW - InputSignal'LAST_EVENT; ELSE ChangeTimeNorm(i/BitsPerWord) := InputChangeTimeArray(i); END IF; END LOOP; FOR i IN ChangeTimeNorm'RANGE LOOP ChangeTimeNorm(i) := ChangeTimeNorm(i/BitsPerword); END LOOP; InputChangeTimeArray := ChangeTimeNorm; -- for debug purpose only PrintInputChangeTime(InputChangeTimeArray); END VitalMemoryUpdateInputChangeTime; -- ---------------------------------------------------------------------------- -- Procedure: VitalMemoryUpdateInputChangeTime -- Description: Time since previous event for each bit of the input -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryUpdateInputChangeTime ( VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; SIGNAL InputSignal : IN STD_LOGIC_VECTOR ) IS VARIABLE LastInputValue : STD_LOGIC_VECTOR(InputSignal'RANGE) ; BEGIN LastInputValue := InputSignal'LAST_VALUE; FOR i IN InputSignal'RANGE LOOP IF (InputSignal(i) /= LastInputValue(i)) THEN InputChangeTimeArray(i) := NOW - InputSignal'LAST_EVENT; END IF; END LOOP; -- for debug purpose only PrintInputChangeTime(InputChangeTimeArray); END VitalMemoryUpdateInputChangeTime; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryUpdateInputChangeTime ( VARIABLE InputChangeTime : INOUT TIME; SIGNAL InputSignal : IN STD_ULOGIC ) IS BEGIN InputChangeTime := NOW - InputSignal'LAST_EVENT; -- for debug purpose only PrintInputChangeTime(InputChangeTime); END VitalMemoryUpdateInputChangeTime; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryExpandPortFlag ( CONSTANT PortFlag : IN VitalPortFlagVectorType; CONSTANT NumBitsPerSubword : IN INTEGER; VARIABLE ExpandedPortFlag : OUT VitalPortFlagVectorType ) IS VARIABLE PortFlagNorm : VitalPortFlagVectorType( PortFlag'LENGTH-1 downto 0) := PortFlag; VARIABLE ExpandedPortFlagNorm : VitalPortFlagVectorType( ExpandedPortFlag'LENGTH-1 downto 0); VARIABLE SubwordIndex : INTEGER; BEGIN FOR Index IN INTEGER RANGE 0 to ExpandedPortFlag'LENGTH-1 LOOP IF NumBitsPerSubword = DefaultNumBitsPerSubword THEN SubwordIndex := 0; ELSE SubwordIndex := Index / NumBitsPerSubword; END IF; ExpandedPortFlagNorm(Index) := PortFlagNorm(SubWordIndex); END LOOP; ExpandedPortFlag := ExpandedPortFlagNorm; END VitalMemoryExpandPortFlag; -- ---------------------------------------------------------------------------- -- Procedure: VitalMemorySelectDelay -- Description : Select Propagation Delay. Used internally by -- VitalMemoryAddPathDelay. -- ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- VitalDelayArrayType01ZX -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySelectDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; VARIABLE InputChangeTimeArray : IN VitalTimeArrayT; CONSTANT OutputSignalName : IN STRING :=""; CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX; CONSTANT ArcType : IN VitalMemoryArcType; CONSTANT PathConditionArray : IN VitalBoolArrayT; CONSTANT OutputRetainFlag : IN BOOLEAN ) IS VARIABLE InputArrayLow : INTEGER := 0; VARIABLE InputArrayHigh : INTEGER := 0; VARIABLE DelayArrayIndex : INTEGER := 0; VARIABLE NumBitsPerSubWord : INTEGER := DefaultNumBitsPerSubword; VARIABLE NewValue : STD_ULOGIC; VARIABLE OldValue : STD_ULOGIC; VARIABLE OutputLength : INTEGER := 0; VARIABLE OutArrayIndex : INTEGER; VARIABLE PropDelay : TIME; VARIABLE RetainDelay : TIME; VARIABLE CurPropDelay : TIME; VARIABLE CurRetainDelay : TIME; VARIABLE InputAge : TIME; VARIABLE CurInputAge : TIME; VARIABLE InputChangeTimeNorm : VitalTimeArrayT( InputChangeTimeArray'LENGTH-1 downto 0):=InputChangeTimeArray; VARIABLE DelayArrayNorm : VitalDelayArrayType01ZX( PathDelayArray'LENGTH-1 downto 0):= PathDelayArray; VARIABLE ScheduleDataArrayNorm : VitalMemoryScheduleDatavectorType (ScheduleDataArray'LENGTH-1 downto 0):= ScheduleDataArray; -- for debug purpose VARIABLE debugprop : VitalTimeArrayT(MaxNoInputBits-1 downto 0); VARIABLE debugretain : VitalTimeArrayT(MaxNoInputBits-1 downto 0); BEGIN -- for debug purpose PrintArcType(ArcType); OutputLength := ScheduleDataArray'LENGTH; FOR OutBitPos IN 0 to (OutputLength -1) LOOP NEXT WHEN PathConditionArray(OutBitPos) = FALSE; NEXT WHEN ((ScheduleDataArrayNorm(OutBitPos).ScheduleValue = ScheduleDataArrayNorm(OutBitPos).OutputData) AND (ScheduleDataArrayNorm(OutBitPos).ScheduleTime <= NOW) AND (OutputRetainFlag = FALSE )); NewValue := ScheduleDataArrayNorm(OutBitPos).OutputData; OldValue := ScheduleDataArrayNorm(OutBitPos).LastOutputValue; PropDelay :=ScheduleDataArrayNorm(OutBitPos).PropDelay; InputAge := ScheduleDataArrayNorm(OutBitPos).InputAge; RetainDelay:=ScheduleDataArrayNorm(OutBitPos).OutputRetainDelay; NumBitsPerSubWord:=ScheduleDataArrayNorm(OutBitPos).NumBitsPerSubWord; CASE ArcType IS WHEN ParallelArc => InputArrayLow := OutBitPos; InputArrayHigh := OutBitPos; DelayArrayIndex := OutBitPos; WHEN CrossArc => InputArrayLow := 0; InputArrayHigh := InputChangeTimeArray'LENGTH - 1 ; DelayArrayIndex := OutBitPos; WHEN SubwordArc => InputArrayLow := OutBitPos / NumBitsPerSubWord; InputArrayHigh := OutBitPos / NumBitsPerSubWord; DelayArrayIndex := OutBitPos + (OutputLength * (OutBitPos / NumBitsPerSubWord)); END CASE; FOR i IN InputArrayLow TO InputArrayHigh LOOP (CurPropDelay,CurRetainDelay) := VitalMemoryCalcDelay ( NewValue, OldValue, DelayArrayNorm(DelayArrayIndex) ); IF (OutputRetainFlag = FALSE) THEN CurRetainDelay := TIME'HIGH; END IF; -- for debug purpose debugprop(i) := CurPropDelay; debugretain(i) := CurRetainDelay; IF ArcType = CrossArc THEN DelayArrayIndex := DelayArrayIndex + OutputLength; END IF; -- If there is one input change at a time, then choose the -- delay from that input. If there is simultaneous input -- change, then choose the minimum of propagation delays IF (InputChangeTimeNorm(i) < 0 ns)THEN CurInputAge := TIME'HIGH; ELSE CurInputAge := NOW - InputChangeTimeNorm(i); END IF; IF (CurInputAge < InputAge)THEN PropDelay := CurPropDelay; RetainDelay := CurRetainDelay; InputAge := CurInputAge; ELSIF (CurInputAge = InputAge)THEN IF (CurPropDelay < PropDelay) THEN PropDelay := CurPropDelay; END IF; IF (OutputRetainFlag = TRUE) THEN IF (CurRetainDelay < RetainDelay) THEN RetainDelay := CurRetainDelay; END IF; END IF; END IF; END LOOP; -- Store it back to data strucutre ScheduleDataArrayNorm(OutBitPos).PropDelay := PropDelay; ScheduleDataArrayNorm(OutBitPos).OutputRetainDelay:= RetainDelay; ScheduleDataArrayNorm(OutBitPos).InputAge := InputAge; -- for debug purpose PrintDelay(outbitPos,InputArrayLow, InputArrayHigh, debugprop, debugretain); END LOOP; ScheduleDataArray := ScheduleDataArrayNorm; END VitalMemorySelectDelay; -- ---------------------------------------------------------------------------- -- VitalDelayArrayType01Z -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySelectDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; VARIABLE InputChangeTimeArray : IN VitalTimeArrayT; CONSTANT OutputSignalName : IN STRING :=""; CONSTANT PathDelayArray : IN VitalDelayArrayType01Z; CONSTANT ArcType : IN VitalMemoryArcType; CONSTANT PathConditionArray : IN VitalBoolArrayT; CONSTANT OutputRetainFlag : IN BOOLEAN ) IS VARIABLE InputArrayLow : INTEGER := 0; VARIABLE InputArrayHigh : INTEGER := 0; VARIABLE DelayArrayIndex : INTEGER := 0; VARIABLE NumBitsPerSubWord : INTEGER := DefaultNumBitsPerSubword; VARIABLE NewValue : STD_ULOGIC; VARIABLE OldValue : STD_ULOGIC; VARIABLE OutputLength : INTEGER := 0; VARIABLE OutArrayIndex : INTEGER; VARIABLE PropDelay : TIME; VARIABLE RetainDelay : TIME; VARIABLE CurPropDelay : TIME; VARIABLE CurRetainDelay : TIME; VARIABLE InputAge : TIME; VARIABLE CurInputAge : TIME; VARIABLE InputChangeTimeNorm : VitalTimeArrayT( InputChangeTimeArray'LENGTH-1 downto 0):=InputChangeTimeArray; VARIABLE DelayArrayNorm : VitalDelayArrayType01Z( PathDelayArray'LENGTH-1 downto 0):= PathDelayArray; VARIABLE ScheduleDataArrayNorm : VitalMemoryScheduleDatavectorType (ScheduleDataArray'LENGTH-1 downto 0):=ScheduleDataArray; -- for debug purpose VARIABLE debugprop : VitalTimeArrayT(MaxNoInputBits-1 downto 0); VARIABLE debugretain : VitalTimeArrayT(MaxNoInputBits-1 downto 0); BEGIN -- for debug purpose PrintArcType(ArcType); OutputLength := ScheduleDataArray'LENGTH; FOR OutBitPos IN 0 to (OutputLength -1) LOOP NEXT WHEN PathConditionArray(OutBitPos) = FALSE; NEXT WHEN ((ScheduleDataArrayNorm(OutBitPos).ScheduleValue = ScheduleDataArrayNorm(OutBitPos).OutputData) AND (ScheduleDataArrayNorm(OutBitPos).ScheduleTime <= NOW) AND (OutputRetainFlag = FALSE)); NewValue := ScheduleDataArrayNorm(OutBitPos).OutputData; OldValue := ScheduleDataArrayNorm(OutBitPos).LastOutputValue; PropDelay :=ScheduleDataArrayNorm(OutBitPos).PropDelay; InputAge := ScheduleDataArrayNorm(OutBitPos).InputAge; RetainDelay:=ScheduleDataArrayNorm(OutBitPos).OutputRetainDelay; NumBitsPerSubWord:=ScheduleDataArrayNorm(OutBitPos).NumBitsPerSubWord; CASE ArcType IS WHEN ParallelArc => InputArrayLow := OutBitPos; InputArrayHigh := OutBitPos; DelayArrayIndex := OutBitPos; WHEN CrossArc => InputArrayLow := 0; InputArrayHigh := InputChangeTimeArray'LENGTH-1; DelayArrayIndex := OutBitPos; WHEN SubwordArc => InputArrayLow := OutBitPos / NumBitsPerSubWord; InputArrayHigh := OutBitPos / NumBitsPerSubWord; DelayArrayIndex := OutBitPos + (OutputLength * (OutBitPos / NumBitsPerSubWord)); END CASE; FOR i IN InputArrayLow TO InputArrayHigh LOOP (CurPropDelay, CurRetainDelay) := VitalMemoryCalcDelay ( NewValue, OldValue, DelayArrayNorm(DelayArrayIndex) ); IF (OutputRetainFlag = FALSE) THEN CurRetainDelay := TIME'HIGH; END IF; -- for debug purpose debugprop(i) := CurPropDelay; debugretain(i) := CurRetainDelay; IF (ArcType = CrossArc) THEN DelayArrayIndex := DelayArrayIndex + OutputLength; END IF; -- If there is one input change at a time, then choose the -- delay from that input. If there is simultaneous input -- change, then choose the minimum of propagation delays IF (InputChangeTimeNorm(i) < 0 ns) THEN CurInputAge := TIME'HIGH; ELSE CurInputAge := NOW - InputChangeTimeNorm(i); END IF; IF (CurInputAge < InputAge) THEN PropDelay := CurPropDelay; RetainDelay := CurRetainDelay; InputAge := CurInputAge; ELSIF (CurInputAge = InputAge) THEN IF (CurPropDelay < PropDelay) THEN PropDelay := CurPropDelay; END IF; IF (OutputRetainFlag = TRUE) THEN IF (CurRetainDelay < RetainDelay) THEN RetainDelay := CurRetainDelay; END IF; END IF; END IF; END LOOP; -- Store it back to data strucutre ScheduleDataArrayNorm(OutBitPos).PropDelay := PropDelay; ScheduleDataArrayNorm(OutBitPos).OutputRetainDelay:= RetainDelay; ScheduleDataArrayNorm(OutBitPos).InputAge := InputAge; -- for debug purpose PrintDelay(outbitPos, InputArrayLow, InputArrayHigh, debugprop, debugretain); END LOOP; ScheduleDataArray := ScheduleDataArrayNorm; END VitalMemorySelectDelay; -- ---------------------------------------------------------------------------- -- VitalDelayArrayType01 -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySelectDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; VARIABLE InputChangeTimeArray : IN VitalTimeArrayT; CONSTANT OutputSignalName : IN STRING :=""; CONSTANT PathDelayArray : IN VitalDelayArrayType01; CONSTANT ArcType : IN VitalMemoryArcType; CONSTANT PathConditionArray : IN VitalBoolArrayT ) IS VARIABLE CurPathDelay : VitalMemoryDelayType; VARIABLE InputArrayLow : INTEGER := 0; VARIABLE InputArrayHigh : INTEGER := 0; VARIABLE DelayArrayIndex : INTEGER := 0; VARIABLE NumBitsPerSubWord : INTEGER := DefaultNumBitsPerSubword; VARIABLE NewValue : STD_ULOGIC; VARIABLE OldValue : STD_ULOGIC; VARIABLE OutputLength : INTEGER := 0; VARIABLE OutArrayIndex : INTEGER; VARIABLE PropDelay : TIME; VARIABLE CurPropDelay : TIME; VARIABLE InputAge : TIME; VARIABLE CurInputAge : TIME; VARIABLE InputChangeTimeNorm : VitalTimeArrayT( InputChangeTimeArray'LENGTH-1 downto 0):= InputChangeTimeArray; VARIABLE DelayArrayNorm : VitalDelayArrayType01( PathDelayArray'LENGTH-1 downto 0):= PathDelayArray; VARIABLE ScheduleDataArrayNorm : VitalMemoryScheduleDatavectorType (ScheduleDataArray'LENGTH-1 downto 0):=ScheduleDataArray; -- for debug purpose VARIABLE debugprop : VitalTimeArrayT(MaxNoInputBits-1 downto 0); VARIABLE debugretain : VitalTimeArrayT(MaxNoInputBits-1 downto 0); BEGIN -- for debug purpose PrintArcType(ArcType); OutputLength := ScheduleDataArray'LENGTH; FOR OutBitPos IN 0 to (OutputLength -1) LOOP NEXT WHEN PathConditionArray(OutBitPos) = FALSE; NEXT WHEN ((ScheduleDataArrayNorm(OutBitPos).ScheduleValue = ScheduleDataArrayNorm(OutBitPos).OutputData) AND (ScheduleDataArrayNorm(OutBitPos).ScheduleTime <= NOW)); NewValue := ScheduleDataArrayNorm(OutBitPos).OutputData; OldValue := ScheduleDataArrayNorm(OutBitPos).LastOutputValue; PropDelay :=ScheduleDataArrayNorm(OutBitPos).PropDelay; InputAge := ScheduleDataArrayNorm(OutBitPos).InputAge; NumBitsPerSubWord:=ScheduleDataArrayNorm(OutBitPos).NumBitsPerSubWord; CASE ArcType IS WHEN ParallelArc => InputArrayLow := OutBitPos; InputArrayHigh := OutBitPos; DelayArrayIndex := OutBitPos; WHEN CrossArc => InputArrayLow := 0; InputArrayHigh := InputChangeTimeArray'LENGTH-1; DelayArrayIndex := OutBitPos; WHEN SubwordArc => InputArrayLow := OutBitPos / NumBitsPerSubWord; InputArrayHigh := OutBitPos / NumBitsPerSubWord; DelayArrayIndex := OutBitPos + (OutputLength * (OutBitPos / NumBitsPerSubWord)); END CASE; FOR i IN InputArrayLow TO InputArrayHigh LOOP CurPropDelay:= VitalCalcDelay (NewValue, OldValue, DelayArrayNorm(DelayArrayIndex)); -- for debug purpose debugprop(i) := CurPropDelay; debugretain(i) := TIME'HIGH; IF (ArcType = CrossArc) THEN DelayArrayIndex := DelayArrayIndex + OutputLength; END IF; -- If there is one input change at a time, then choose the -- delay from that input. If there is simultaneous input -- change, then choose the minimum of propagation delays IF (InputChangeTimeNorm(i) < 0 ns) THEN CurInputAge := TIME'HIGH; ELSE CurInputAge := NOW - InputChangeTimeNorm(i); END IF; IF (CurInputAge < InputAge) THEN PropDelay := CurPropDelay; InputAge := CurInputAge; ELSIF (CurInputAge = InputAge) THEN IF (CurPropDelay < PropDelay) THEN PropDelay := CurPropDelay; END IF; END IF; END LOOP; -- Store it back to data strucutre ScheduleDataArrayNorm(OutBitPos).PropDelay := PropDelay; ScheduleDataArrayNorm(OutBitPos).InputAge := InputAge; -- for debug purpose PrintDelay(outbitPos, InputArrayLow, InputArrayHigh, debugprop, debugretain); END LOOP; ScheduleDataArray := ScheduleDataArrayNorm; END VitalMemorySelectDelay; -- ---------------------------------------------------------------------------- -- VitalDelayArrayType -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySelectDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; VARIABLE InputChangeTimeArray : IN VitalTimeArrayT; CONSTANT OutputSignalName : IN STRING :=""; CONSTANT PathDelayArray : IN VitalDelayArrayType; CONSTANT ArcType : IN VitalMemoryArcType; CONSTANT PathConditionArray : IN VitalBoolArrayT ) IS VARIABLE InputArrayLow : INTEGER := 0; VARIABLE InputArrayHigh : INTEGER := 0; VARIABLE DelayArrayIndex : INTEGER := 0; VARIABLE NumBitsPerSubWord : INTEGER := DefaultNumBitsPerSubword; VARIABLE NewValue : STD_ULOGIC; VARIABLE OldValue : STD_ULOGIC; VARIABLE OutputLength : INTEGER := 0; VARIABLE OutArrayIndex : INTEGER; VARIABLE PropDelay : TIME; VARIABLE CurPropDelay : TIME; VARIABLE InputAge : TIME; VARIABLE CurInputAge : TIME; VARIABLE InputChangeTimeNorm : VitalTimeArrayT( InputChangeTimeArray'LENGTH-1 downto 0) := InputChangeTimeArray; VARIABLE DelayArrayNorm : VitalDelayArrayType( PathDelayArray'LENGTH-1 downto 0) := PathDelayArray; VARIABLE ScheduleDataArrayNorm : VitalMemoryScheduleDatavectorType (ScheduleDataArray'LENGTH-1 downto 0) := ScheduleDataArray; -- for debug purpose VARIABLE debugprop : VitalTimeArrayT(MaxNoInputBits-1 downto 0); VARIABLE debugretain : VitalTimeArrayT(MaxNoInputBits-1 downto 0); BEGIN -- for debug purpose PrintArcType(ArcType); OutputLength := ScheduleDataArray'LENGTH; FOR OutBitPos IN 0 to (OutputLength -1) LOOP NEXT WHEN PathConditionArray(OutBitPos) = FALSE; NEXT WHEN ((ScheduleDataArrayNorm(OutBitPos).ScheduleValue = ScheduleDataArrayNorm(OutBitPos).OutputData) AND (ScheduleDataArrayNorm(OutBitPos).ScheduleTime <= NOW)); NewValue := ScheduleDataArrayNorm(OutBitPos).OutputData; OldValue := ScheduleDataArrayNorm(OutBitPos).LastOutputValue; PropDelay :=ScheduleDataArrayNorm(OutBitPos).PropDelay; InputAge := ScheduleDataArrayNorm(OutBitPos).InputAge; NumBitsPerSubWord:=ScheduleDataArrayNorm(OutBitPos).NumBitsPerSubWord; CASE ArcType IS WHEN ParallelArc => InputArrayLow := OutBitPos; InputArrayHigh := OutBitPos; DelayArrayIndex := OutBitPos; WHEN CrossArc => InputArrayLow := 0; InputArrayHigh := InputChangeTimeArray'LENGTH-1; DelayArrayIndex := OutBitPos; WHEN SubwordArc => InputArrayLow := OutBitPos / NumBitsPerSubWord; InputArrayHigh := OutBitPos / NumBitsPerSubWord; DelayArrayIndex := OutBitPos + (OutputLength * (OutBitPos / NumBitsPerSubWord)); END CASE; FOR i IN InputArrayLow TO InputArrayHigh LOOP CurPropDelay := VitalCalcDelay (NewValue, OldValue, DelayArrayNorm(DelayArrayIndex)); -- for debug purpose debugprop(i) := CurPropDelay; debugretain(i) := TIME'HIGH; IF (ArcType = CrossArc) THEN DelayArrayIndex := DelayArrayIndex + OutputLength; END IF; -- If there is one input change at a time, then choose the -- delay from that input. If there is simultaneous input -- change, then choose the minimum of propagation delays IF (InputChangeTimeNorm(i) < 0 ns) THEN CurInputAge := TIME'HIGH; ELSE CurInputAge := NOW - InputChangeTimeNorm(i); END IF; IF (CurInputAge < InputAge) THEN PropDelay := CurPropDelay; InputAge := CurInputAge; ELSIF (CurInputAge = InputAge) THEN IF (CurPropDelay < PropDelay) THEN PropDelay := CurPropDelay; END IF; END IF; END LOOP; -- Store it back to data strucutre ScheduleDataArrayNorm(OutBitPos).PropDelay := PropDelay; ScheduleDataArrayNorm(OutBitPos).InputAge := InputAge; -- for debug purpose PrintDelay(outbitPos, InputArrayLow, InputArrayHigh, debugprop, debugretain); END LOOP; ScheduleDataArray := ScheduleDataArrayNorm; END VitalMemorySelectDelay; -- ---------------------------------------------------------------------------- -- Procedure: VitalMemoryInitPathDelay -- Description: To initialize Schedule Data structure for an -- output. -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryInitPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR; CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword ) IS BEGIN -- Initialize the ScheduleData Structure. FOR i IN OutputDataArray'RANGE LOOP ScheduleDataArray(i).OutputData := OutputDataArray(i); ScheduleDataArray(i).PropDelay := TIME'HIGH; ScheduleDataArray(i).OutputRetainDelay := TIME'HIGH; ScheduleDataArray(i).InputAge := TIME'HIGH; ScheduleDataArray(i).NumBitsPerSubWord := NumBitsPerSubWord; -- Update LastOutputValue of Output if the Output has -- already been scheduled. IF ((ScheduleDataArray(i).ScheduleValue /= OutputDataArray(i)) AND (ScheduleDataArray(i).ScheduleTime <= NOW)) THEN ScheduleDataArray(i).LastOutputValue := ScheduleDataArray(i).ScheduleValue; END IF; END LOOP; -- for debug purpose DebugMsg1; PrintScheduleDataArray(ScheduleDataArray); END VitalMemoryInitPathDelay; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryInitPathDelay ( VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType; VARIABLE OutputData : IN STD_ULOGIC ) IS VARIABLE ScheduledataArray: VitalMemoryScheduleDataVectorType (0 downto 0); VARIABLE OutputDataArray : STD_LOGIC_VECTOR(0 downto 0); BEGIN ScheduledataArray(0) := ScheduleData; OutputDataArray(0) := OutputData; VitalMemoryInitPathDelay ( ScheduleDataArray => ScheduleDataArray, OutputDataArray => OutputDataArray, NumBitsPerSubWord => DefaultNumBitsPerSubword ); -- for debug purpose DebugMsg1; PrintScheduleDataArray( ScheduleDataArray); END VitalMemoryInitPathDelay; -- ---------------------------------------------------------------------------- -- Procedure: VitalMemoryAddPathDelay -- Description: Declare a path for one scalar/vector input to -- the output for which Schedule Data has been -- initialized previously. -- ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- #1 -- DelayType - VitalMemoryDelayType -- Input - Scalar -- Output - Scalar -- Delay - Scalar -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelay : IN VitalDelayType; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE ) IS VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType(0 downto 0); VARIABLE PathDelayArray : VitalDelayArrayType(0 downto 0); VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0); BEGIN PathConditionArray(0) := PathCondition; ScheduleDataArray(0) := ScheduleData; PathDelayArray(0) := PathDelay; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #2 -- DelayType - VitalMemoryDelayType -- Input - Scalar -- Output - Vector -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelayArray : IN VitalDelayArrayType; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE ) IS VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN FOR i IN PathConditionArray'RANGE LOOP PathConditionArray(i) := PathCondition; END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray ); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #3 -- DelayType - VitalMemoryDelayType -- Input - Scalar -- Output - Vector -- Delay - Vector -- Condition - Vector PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelayArray : IN VitalDelayArrayType; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathConditionArray : IN VitalBoolArrayT ) IS VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArrayNorm : VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR Mem400 VARIABLE PathConditionArrayExp : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword; FOR i IN PathConditionArrayExp'RANGE LOOP PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword); END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArrayExp); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #4 -- DelayType - VitalMemoryDelayType -- Input - Vector -- Output - Scalar -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE ) IS VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0); BEGIN PathConditionArray(0) := PathCondition; ScheduleDataArray(0) := ScheduleData; VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #5 -- DelayType - VitalMemoryDelayType -- Input - Vector -- Output - Vector -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE ) IS VARIABLE PathConditionArray : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN FOR i IN PathConditionArray'RANGE LOOP PathConditionArray(i) := PathCondition; END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #6 -- DelayType - VitalMemoryDelayType -- Input - Vector -- Output - Vector -- Delay - Vector -- Condition - Vector PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathConditionArray : IN VitalBoolArrayT ) IS VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArrayNorm : VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400; VARIABLE PathConditionArrayExp : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword; FOR i IN PathConditionArrayExp'RANGE LOOP PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword); END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArrayExp); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #7 -- DelayType - VitalMemoryDelayType01 -- Input - Scalar -- Output - Scalar -- Delay - Scalar -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelay : IN VitalDelayType01; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE ) IS VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType(0 downto 0); VARIABLE PathDelayArray : VitalDelayArrayType01(0 downto 0); VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0); BEGIN PathConditionArray(0) := PathCondition; ScheduleDataArray(0) := ScheduleData; PathDelayArray(0) := PathDelay; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #8 -- DelayType - VitalMemoryDelayType01 -- Input - Scalar -- Output - Vector -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelayArray : IN VitalDelayArrayType01; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE ) IS VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN FOR i IN PathConditionArray'RANGE LOOP PathConditionArray(i) := PathCondition; END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #9 -- DelayType - VitalMemoryDelayType01 -- Input - Scalar -- Output - Vector -- Delay - Vector -- Condition - Vector PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelayArray : IN VitalDelayArrayType01; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathConditionArray: IN VitalBoolArrayT ) IS VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArrayNorm : VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400; VARIABLE PathConditionArrayExp : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword; FOR i IN PathConditionArrayExp'RANGE LOOP PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword); END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArrayExp); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #10 -- DelayType - VitalMemoryDelayType01 -- Input - Vector -- Output - Scalar -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray: INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType01; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE )IS VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0); BEGIN PathConditionArray(0) := PathCondition; ScheduleDataArray(0) := ScheduleData; VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #11 -- DelayType - VitalMemoryDelayType01 -- Input - Vector -- Output - Vector -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType01; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE ) IS VARIABLE PathConditionArray : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN FOR i IN PathConditionArray'RANGE LOOP PathConditionArray(i) := PathCondition; END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #12 -- DelayType - VitalMemoryDelayType01 -- Input - Vector -- Output - Vector -- Delay - Vector -- Condition - Vector PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType01; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathConditionArray : IN VitalBoolArrayT ) IS VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArrayNorm : VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400; VARIABLE PathConditionArrayExp : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword; FOR i IN PathConditionArrayExp'RANGE LOOP PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword); END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArrayExp); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #13 -- DelayType - VitalMemoryDelayType01Z -- Input - Scalar -- Output - Scalar -- Delay - Scalar -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelay : IN VitalDelayType01Z; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE ) IS VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType(0 downto 0); VARIABLE PathDelayArray : VitalDelayArrayType01Z(0 downto 0); VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0); BEGIN PathConditionArray(0) := PathCondition; ScheduleDataArray(0) := ScheduleData; PathDelayArray(0) := PathDelay; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #14 -- DelayType - VitalMemoryDelayType01Z -- Input - Scalar -- Output - Vector -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelayArray : IN VitalDelayArrayType01Z; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE ) IS VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN FOR i IN PathConditionArray'RANGE LOOP PathConditionArray(i) := PathCondition; END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #15 -- DelayType - VitalMemoryDelayType01Z -- Input - Scalar -- Output - Vector -- Delay - Vector -- Condition - Vector PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelayArray : IN VitalDelayArrayType01Z; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathConditionArray: IN VitalBoolArrayT; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE ) IS VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArrayNorm : VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0); VARIABLE PathConditionArrayExp : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword; FOR i IN PathConditionArrayExp'RANGE LOOP PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword); END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArrayExp, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #16 -- DelayType - VitalMemoryDelayType01Z -- Input - Vector -- Output - Scalar -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType01Z; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE; CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt ) IS VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType(0 downto 0); VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0); BEGIN PathConditionArray(0) := PathCondition; ScheduleDataArray(0) := ScheduleData; NumBitsPerSubword := ScheduleDataArray(0).NumBitsPerSubword; IF (OutputRetainBehavior = WordCorrupt AND ArcType = ParallelArc AND OutputRetainFlag = TRUE) THEN VitalMemoryUpdateInputChangeTime( InputChangeTimeArray, InputSignal, NumBitsPerSubword ); ELSE VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); END IF; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #17 -- DelayType - VitalMemoryDelayType01Z -- Input - Vector -- Output - Vector -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType01Z; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE; CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt ) IS VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArray : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN FOR i IN PathConditionArray'RANGE LOOP PathConditionArray(i) := PathCondition; END LOOP; NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'LEFT).NumBitsPerSubword; IF (OutputRetainBehavior = WordCorrupt AND ArcType = ParallelArc AND OutputRetainFlag = TRUE) THEN VitalMemoryUpdateInputChangeTime( InputChangeTimeArray, InputSignal, NumBitsPerSubword ); ELSE VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); END IF; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #18 -- DelayType - VitalMemoryDelayType01Z -- Input - Vector -- Output - Vector -- Delay - Vector -- Condition - Vector PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType01Z; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathConditionArray : IN VitalBoolArrayT; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE; CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt ) IS VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArrayNorm : VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0); VARIABLE PathConditionArrayExp : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword; FOR i IN PathConditionArrayExp'RANGE LOOP PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword); END LOOP; IF (OutputRetainBehavior = WordCorrupt AND ArcType = ParallelArc AND OutputRetainFlag = TRUE) THEN VitalMemoryUpdateInputChangeTime( InputChangeTimeArray, InputSignal, NumBitsPerSubword); ELSE VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); END IF; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArrayExp, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #19 -- DelayType - VitalMemoryDelayType01XZ -- Input - Scalar -- Output - Scalar -- Delay - Scalar -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelay : IN VitalDelayType01ZX; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE ) IS VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType(0 downto 0); VARIABLE PathDelayArray : VitalDelayArrayType01ZX(0 downto 0); VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0); BEGIN PathConditionArray(0) := PathCondition; ScheduleDataArray(0) := ScheduleData; PathDelayArray(0) := PathDelay; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #20 -- DelayType - VitalMemoryDelayType01XZ -- Input - Scalar -- Output - Vector -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray :INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE ) IS VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE PathConditionArray : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN FOR i IN PathConditionArray'RANGE LOOP PathConditionArray(i) := PathCondition; END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #21 -- DelayType - VitalMemoryDelayType01XZ -- Input - Scalar -- Output - Vector -- Delay - Vector -- Condition - Vector PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray :INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_ULOGIC; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTime : INOUT TIME; CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathConditionArray: IN VitalBoolArrayT; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE ) IS VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0); VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArrayNorm : VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400; VARIABLE PathConditionArrayExp : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword; FOR i IN PathConditionArrayExp'RANGE LOOP PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword); END LOOP; VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal); InputChangeTimeArray(0) := InputChangeTime; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArrayExp, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #22 -- DelayType - VitalMemoryDelayType01XZ -- Input - Vector -- Output - Scalar -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE; CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt ) IS VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType(0 downto 0); VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0); BEGIN PathConditionArray(0) := PathCondition; ScheduleDataArray(0) := ScheduleData; NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'LEFT).NumBitsPerSubword; IF (OutputRetainBehavior = WordCorrupt AND ArcType = ParallelArc AND OutputRetainFlag = TRUE) THEN VitalMemoryUpdateInputChangeTime( InputChangeTimeArray, InputSignal, NumBitsPerSubword); ELSE VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); END IF; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #23 -- DelayType - VitalMemoryDelayType01XZ -- Input - Vector -- Output - Vector -- Delay - Vector -- Condition - Scalar PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathCondition : IN BOOLEAN := TRUE; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE; CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt ) IS VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArray : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN FOR i IN PathConditionArray'RANGE LOOP PathConditionArray(i) := PathCondition; END LOOP; NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'LEFT).NumBitsPerSubword; IF (OutputRetainBehavior = WordCorrupt AND ArcType = ParallelArc AND OutputRetainFlag = TRUE) THEN VitalMemoryUpdateInputChangeTime( InputChangeTimeArray, InputSignal, NumBitsPerSubword); ELSE VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); END IF; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArray, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- #24 -- DelayType - VitalMemoryDelayType01XZ -- Input - Vector -- Output - Vector -- Delay - Vector -- Condition - Vector PROCEDURE VitalMemoryAddPathDelay ( VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType; SIGNAL InputSignal : IN STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT; CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT PathConditionArray : IN VitalBoolArrayT; CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE; CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt ) IS VARIABLE NumBitsPerSubword : INTEGER; VARIABLE PathConditionArrayNorm : VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400; VARIABLE PathConditionArrayExp : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0); BEGIN NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword; FOR i IN PathConditionArrayExp'RANGE LOOP PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword); END LOOP; IF (OutputRetainBehavior = WordCorrupt AND ArcType = ParallelArc AND OutputRetainFlag = TRUE) THEN VitalMemoryUpdateInputChangeTime( InputChangeTimeArray, InputSignal, NumBitsPerSubword); ELSE VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal); END IF; VitalMemorySelectDelay( ScheduleDataArray, InputChangeTimeArray, OutputSignalName, PathDelayArray, ArcType, PathConditionArrayExp, OutputRetainFlag); END VitalMemoryAddPathDelay; -- ---------------------------------------------------------------------------- -- Procedure: VitalMemorySchedulePathDelay -- Description: Schedule Output after Propagation Delay selected -- by checking all the paths added thru' -- VitalMemoryAddPathDelay. -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySchedulePathDelay ( SIGNAL OutSignal : OUT STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag; CONSTANT OutputMap : IN VitalOutputMapType:= VitalDefaultOutputMap; VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType ) IS VARIABLE Age : TIME; VARIABLE PropDelay : TIME; VARIABLE RetainDelay : TIME; VARIABLE Data : STD_ULOGIC; BEGIN IF (PortFlag.OutputDisable /= TRUE) THEN FOR i IN ScheduleDataArray'RANGE LOOP PropDelay := ScheduleDataArray(i).PropDelay; RetainDelay := ScheduleDataArray(i).OutputRetainDelay; NEXT WHEN PropDelay = TIME'HIGH; Age := ScheduleDataArray(i).InputAge; Data := ScheduleDataArray(i).OutputData; IF (Age < RetainDelay and RetainDelay < PropDelay) THEN OutSignal(i) <= TRANSPORT 'X' AFTER (RetainDelay - Age); END IF; IF (Age <= PropDelay) THEN OutSignal(i)<= TRANSPORT OutputMap(Data)AFTER (PropDelay-Age); ScheduleDataArray(i).ScheduleValue := Data; ScheduleDataArray(i).ScheduleTime := NOW + PropDelay - Age; END IF; END LOOP; END IF; -- for debug purpose PrintScheduleDataArray(ScheduleDataArray); -- for debug purpose ScheduleDebugMsg; END VitalMemorySchedulePathDelay; -- ---------------------------------------------------------------------------- -- Procedure: VitalMemorySchedulePathDelay -- Description: Schedule Output after Propagation Delay selected -- by checking all the paths added thru' -- VitalMemoryAddPathDelay. -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySchedulePathDelay ( SIGNAL OutSignal : OUT STD_LOGIC_VECTOR; CONSTANT OutputSignalName : IN STRING :=""; CONSTANT PortFlag : IN VitalPortFlagVectorType; CONSTANT OutputMap : IN VitalOutputMapType:= VitalDefaultOutputMap; VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType ) IS VARIABLE Age : TIME; VARIABLE PropDelay : TIME; VARIABLE RetainDelay : TIME; VARIABLE Data : STD_ULOGIC; VARIABLE ExpandedPortFlag : VitalPortFlagVectorType(ScheduleDataArray'RANGE); VARIABLE NumBitsPerSubword : INTEGER; BEGIN NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'LEFT).NumBitsPerSubword; VitalMemoryExpandPortFlag( PortFlag, NumBitsPerSubword, ExpandedPortFlag ); FOR i IN ScheduleDataArray'RANGE LOOP NEXT WHEN ExpandedPortFlag(i).OutputDisable = TRUE; PropDelay := ScheduleDataArray(i).PropDelay; RetainDelay := ScheduleDataArray(i).OutputRetainDelay; NEXT WHEN PropDelay = TIME'HIGH; Age := ScheduleDataArray(i).InputAge; Data := ScheduleDataArray(i).OutputData; IF (Age < RetainDelay and RetainDelay < PropDelay) THEN OutSignal(i) <= TRANSPORT 'X' AFTER (RetainDelay - Age); END IF; IF (Age <= PropDelay) THEN OutSignal(i)<= TRANSPORT OutputMap(Data)AFTER (PropDelay-Age); ScheduleDataArray(i).ScheduleValue := Data; ScheduleDataArray(i).ScheduleTime := NOW + PropDelay - Age; END IF; END LOOP; -- for debug purpose PrintScheduleDataArray(ScheduleDataArray); -- for debug purpose ScheduleDebugMsg; END VitalMemorySchedulePathDelay; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySchedulePathDelay ( SIGNAL OutSignal : OUT STD_ULOGIC; CONSTANT OutputSignalName: IN STRING :=""; CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag; CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap; VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType ) IS VARIABLE Age : TIME; VARIABLE PropDelay : TIME; VARIABLE RetainDelay : TIME; VARIABLE Data : STD_ULOGIC; VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType (0 downto 0); BEGIN IF (PortFlag.OutputDisable /= TRUE) THEN ScheduledataArray(0) := ScheduleData; PropDelay := ScheduleDataArray(0).PropDelay; RetainDelay := ScheduleDataArray(0).OutputRetainDelay; Age := ScheduleDataArray(0).InputAge; Data := ScheduleDataArray(0).OutputData; IF (Age < RetainDelay and RetainDelay < PropDelay) THEN OutSignal <= TRANSPORT 'X' AFTER (RetainDelay - Age); END IF; IF (Age <= PropDelay and PropDelay /= TIME'HIGH) THEN OutSignal <= TRANSPORT OutputMap(Data) AFTER (PropDelay - Age); ScheduleDataArray(0).ScheduleValue := Data; ScheduleDataArray(0).ScheduleTime := NOW + PropDelay - Age; END IF; END IF; -- for debug purpose PrintScheduleDataArray(ScheduleDataArray); -- for debug purpose ScheduleDebugMsg; END VitalMemorySchedulePathDelay; -- ---------------------------------------------------------------------------- -- Procedure : InternalTimingCheck -- ---------------------------------------------------------------------------- PROCEDURE InternalTimingCheck ( CONSTANT TestSignal : IN std_ulogic; CONSTANT RefSignal : IN std_ulogic; CONSTANT TestDelay : IN TIME := 0 ns; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN TIME := 0 ns; CONSTANT SetupLow : IN TIME := 0 ns; CONSTANT HoldHigh : IN TIME := 0 ns; CONSTANT HoldLow : IN TIME := 0 ns; VARIABLE RefTime : IN TIME; VARIABLE RefEdge : IN BOOLEAN; VARIABLE TestTime : IN TIME; VARIABLE TestEvent : IN BOOLEAN; VARIABLE SetupEn : INOUT BOOLEAN; VARIABLE HoldEn : INOUT BOOLEAN; VARIABLE CheckInfo : INOUT CheckInfoType; CONSTANT MsgOn : IN BOOLEAN ) IS VARIABLE bias : TIME; VARIABLE actualObsTime : TIME; VARIABLE BC : TIME; VARIABLE Message :LINE; BEGIN -- Check SETUP constraint IF (RefEdge) THEN IF (SetupEn) THEN CheckInfo.ObsTime := RefTime - TestTime; CheckInfo.State := To_X01(TestSignal); CASE CheckInfo.State IS WHEN '0' => CheckInfo.ExpTime := SetupLow; -- start of new code IR245-246 BC := HoldHigh; -- end of new code IR245-246 WHEN '1' => CheckInfo.ExpTime := SetupHigh; -- start of new code IR245-246 BC := HoldLow; -- end of new code IR245-246 WHEN 'X' => CheckInfo.ExpTime := Maximum(SetupHigh,SetupLow); -- start of new code IR245-246 BC := Maximum(HoldHigh,HoldLow); -- end of new code IR245-246 END CASE; -- added the second condition for IR 245-246 CheckInfo.Violation := ((CheckInfo.ObsTime < CheckInfo.ExpTime) AND ( NOT ((CheckInfo.ObsTime = BC) and (BC = 0 ns)))); -- start of new code IR245-246 IF (CheckInfo.ExpTime = 0 ns) THEN CheckInfo.CheckKind := HoldCheck; ELSE CheckInfo.CheckKind := SetupCheck; END IF; -- end of new code IR245-246 SetupEn := FALSE; ELSE CheckInfo.Violation := FALSE; END IF; -- Check HOLD constraint ELSIF (TestEvent) THEN IF HoldEn THEN CheckInfo.ObsTime := TestTime - RefTime; CheckInfo.State := To_X01(TestSignal); CASE CheckInfo.State IS WHEN '0' => CheckInfo.ExpTime := HoldHigh; -- new code for unnamed IR CheckInfo.State := '1'; -- start of new code IR245-246 BC := SetupLow; -- end of new code IR245-246 WHEN '1' => CheckInfo.ExpTime := HoldLow; -- new code for unnamed IR CheckInfo.State := '0'; -- start of new code IR245-246 BC := SetupHigh; -- end of new code IR245-246 WHEN 'X' => CheckInfo.ExpTime := Maximum(HoldHigh,HoldLow); -- start of new code IR245-246 BC := Maximum(SetupHigh,SetupLow); -- end of new code IR245-246 END CASE; -- added the second condition for IR 245-246 CheckInfo.Violation := ((CheckInfo.ObsTime < CheckInfo.ExpTime) AND ( NOT ((CheckInfo.ObsTime = BC) and (BC = 0 ns)))); -- start of new code IR245-246 IF (CheckInfo.ExpTime = 0 ns) THEN CheckInfo.CheckKind := SetupCheck; ELSE CheckInfo.CheckKind := HoldCheck; END IF; -- end of new code IR245-246 HoldEn := NOT CheckInfo.Violation; ELSE CheckInfo.Violation := FALSE; END IF; ELSE CheckInfo.Violation := FALSE; END IF; -- Adjust report values to account for internal model delays -- Note: TestDelay, RefDelay, TestTime, RefTime are non-negative -- Note: bias may be negative or positive IF MsgOn AND CheckInfo.Violation THEN -- modified the code for correct reporting of violation in case of -- order of signals being reversed because of internal delays -- new variable actualObsTime := (TestTime-TestDelay)-(RefTime-RefDelay); bias := TestDelay - RefDelay; IF (actualObsTime < 0 ns) THEN -- It should be a setup check IF ( CheckInfo.CheckKind = HoldCheck) THEN CheckInfo.CheckKind := SetupCheck; CASE CheckInfo.State IS WHEN '0' => CheckInfo.ExpTime := SetupLow; WHEN '1' => CheckInfo.ExpTime := SetupHigh; WHEN 'X' => CheckInfo.ExpTime := Maximum(SetupHigh,SetupLow); END CASE; END IF; CheckInfo.ObsTime := -actualObsTime; CheckInfo.ExpTime := CheckInfo.ExpTime + bias; CheckInfo.DetTime := RefTime - RefDelay; ELSE -- It should be a hold check IF (CheckInfo.CheckKind = SetupCheck) THEN CheckInfo.CheckKind := HoldCheck; CASE CheckInfo.State IS WHEN '0' => CheckInfo.ExpTime := HoldHigh; CheckInfo.State := '1'; WHEN '1' => CheckInfo.ExpTime := HoldLow; CheckInfo.State := '0'; WHEN 'X' => CheckInfo.ExpTime := Maximum(HoldHigh,HoldLow); END CASE; END IF; CheckInfo.ObsTime := actualObsTime; CheckInfo.ExpTime := CheckInfo.ExpTime - bias; CheckInfo.DetTime := TestTime - TestDelay; END IF; END IF; END InternalTimingCheck; -- ---------------------------------------------------------------------------- -- Setup and Hold Time Check Routine -- ---------------------------------------------------------------------------- PROCEDURE TimingArrayIndex ( SIGNAL InputSignal : IN Std_logic_vector; CONSTANT ArrayIndexNorm : IN INTEGER; VARIABLE Index : OUT INTEGER ) IS BEGIN IF (InputSignal'LEFT > InputSignal'RIGHT) THEN Index := ArrayIndexNorm + InputSignal'RIGHT; ELSE Index := InputSignal'RIGHT - ArrayIndexNorm; END IF; END TimingArrayIndex; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryReportViolation ( CONSTANT TestSignalName : IN STRING := ""; CONSTANT RefSignalName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT CheckInfo : IN CheckInfoType; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ) IS VARIABLE Message : LINE; BEGIN IF (NOT CheckInfo.Violation) THEN RETURN; END IF; Write ( Message, HeaderMsg ); CASE CheckInfo.CheckKind IS WHEN SetupCheck => Write ( Message, STRING'(" SETUP ") ); WHEN HoldCheck => Write ( Message, STRING'(" HOLD ") ); WHEN RecoveryCheck => Write ( Message, STRING'(" RECOVERY ") ); WHEN RemovalCheck => Write ( Message, STRING'(" REMOVAL ") ); WHEN PulseWidCheck => Write ( Message, STRING'(" PULSE WIDTH ")); WHEN PeriodCheck => Write ( Message, STRING'(" PERIOD ") ); END CASE; Write ( Message, HiLoStr(CheckInfo.State) ); Write ( Message, STRING'(" VIOLATION ON ") ); Write ( Message, TestSignalName ); IF (RefSignalName'LENGTH > 0) THEN Write ( Message, STRING'(" WITH RESPECT TO ") ); Write ( Message, RefSignalName ); END IF; Write ( Message, ';' & LF ); Write ( Message, STRING'(" Expected := ") ); Write ( Message, CheckInfo.ExpTime); Write ( Message, STRING'("; Observed := ") ); Write ( Message, CheckInfo.ObsTime); Write ( Message, STRING'("; At : ") ); Write ( Message, CheckInfo.DetTime); ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity; DEALLOCATE (Message); END VitalMemoryReportViolation; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryReportViolation ( CONSTANT TestSignalName : IN STRING := ""; CONSTANT RefSignalName : IN STRING := ""; CONSTANT TestArrayIndex : IN INTEGER; CONSTANT RefArrayIndex : IN INTEGER; SIGNAL TestSignal : IN std_logic_vector; SIGNAL RefSignal : IN std_logic_vector; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT CheckInfo : IN CheckInfoType; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ) IS VARIABLE Message : LINE; VARIABLE i, j : INTEGER; BEGIN IF (NOT CheckInfo.Violation) THEN RETURN; END IF; Write ( Message, HeaderMsg ); CASE CheckInfo.CheckKind IS WHEN SetupCheck => Write ( Message, STRING'(" SETUP ") ); WHEN HoldCheck => Write ( Message, STRING'(" HOLD ") ); WHEN PulseWidCheck => Write ( Message, STRING'(" PULSE WIDTH ")); WHEN PeriodCheck => Write ( Message, STRING'(" PERIOD ") ); WHEN OTHERS => Write ( Message, STRING'(" UNKNOWN ") ); END CASE; Write ( Message, HiLoStr(CheckInfo.State) ); Write ( Message, STRING'(" VIOLATION ON ") ); Write ( Message, TestSignalName ); TimingArrayIndex(TestSignal, TestArrayIndex, i); CASE MsgFormat IS WHEN Scalar => NULL; WHEN VectorEnum => Write ( Message, '_'); Write ( Message, i); WHEN Vector => Write ( Message, '('); Write ( Message, i); Write ( Message, ')'); END CASE; IF (RefSignalName'LENGTH > 0) THEN Write ( Message, STRING'(" WITH RESPECT TO ") ); Write ( Message, RefSignalName ); END IF; IF(RefSignal'LENGTH > 0) THEN TimingArrayIndex(RefSignal, RefArrayIndex, j); CASE MsgFormat IS WHEN Scalar => NULL; WHEN VectorEnum => Write ( Message, '_'); Write ( Message, j); WHEN Vector => Write ( Message, '('); Write ( Message, j); Write ( Message, ')'); END CASE; END IF; Write ( Message, ';' & LF ); Write ( Message, STRING'(" Expected := ") ); Write ( Message, CheckInfo.ExpTime); Write ( Message, STRING'("; Observed := ") ); Write ( Message, CheckInfo.ObsTime); Write ( Message, STRING'("; At : ") ); Write ( Message, CheckInfo.DetTime); ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity; DEALLOCATE (Message); END VitalMemoryReportViolation; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryReportViolation ( CONSTANT TestSignalName : IN STRING := ""; CONSTANT RefSignalName : IN STRING := ""; CONSTANT TestArrayIndex : IN INTEGER; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT CheckInfo : IN CheckInfoType; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ) IS VARIABLE Message : LINE; BEGIN IF (NOT CheckInfo.Violation) THEN RETURN; END IF; Write ( Message, HeaderMsg ); CASE CheckInfo.CheckKind IS WHEN SetupCheck => Write ( Message, STRING'(" SETUP ") ); WHEN HoldCheck => Write ( Message, STRING'(" HOLD ") ); WHEN PulseWidCheck => Write ( Message, STRING'(" PULSE WIDTH ")); WHEN PeriodCheck => Write ( Message, STRING'(" PERIOD ") ); WHEN OTHERS => Write ( Message, STRING'(" UNKNOWN ") ); END CASE; Write ( Message, HiLoStr(CheckInfo.State) ); Write ( Message, STRING'(" VIOLATION ON ") ); Write ( Message, TestSignalName ); CASE MsgFormat IS WHEN Scalar => NULL; WHEN VectorEnum => Write ( Message, '_'); Write ( Message, TestArrayIndex); WHEN Vector => Write ( Message, '('); Write ( Message, TestArrayIndex); Write ( Message, ')'); END CASE; IF (RefSignalName'LENGTH > 0) THEN Write ( Message, STRING'(" WITH RESPECT TO ") ); Write ( Message, RefSignalName ); END IF; Write ( Message, ';' & LF ); Write ( Message, STRING'(" Expected := ") ); Write ( Message, CheckInfo.ExpTime); Write ( Message, STRING'("; Observed := ") ); Write ( Message, CheckInfo.ObsTime); Write ( Message, STRING'("; At : ") ); Write ( Message, CheckInfo.DetTime); ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity; DEALLOCATE (Message); END VitalMemoryReportViolation; -- ---------------------------------------------------------------------------- FUNCTION VitalMemoryTimingDataInit RETURN VitalMemoryTimingDataType IS BEGIN RETURN (FALSE, 'X', 0 ns, FALSE, 'X', 0 ns, FALSE, NULL, NULL, NULL, NULL, NULL, NULL); END; -- ---------------------------------------------------------------------------- -- Procedure: VitalSetupHoldCheck -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySetupHoldCheck ( VARIABLE Violation : OUT X01ArrayT; VARIABLE TimingData : INOUT VitalMemoryTimingDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN VitalDelayType; CONSTANT SetupLow : IN VitalDelayType; CONSTANT HoldHigh : IN VitalDelayType; CONSTANT HoldLow : IN VitalDelayType; CONSTANT CheckEnabled : IN VitalBoolArrayT; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; --IR252 3/23/98 CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE ) IS VARIABLE CheckInfo : CheckInfoType; VARIABLE CheckEnScalar : BOOLEAN := FALSE; VARIABLE ViolationInt : X01ArrayT(CheckEnabled'RANGE); VARIABLE RefEdge : BOOLEAN; VARIABLE TestEvent : BOOLEAN; VARIABLE TestDly : TIME := Maximum(0 ns, TestDelay); VARIABLE RefDly : TIME := Maximum(0 ns, RefDelay); VARIABLE bias : TIME; BEGIN -- Initialization of working area. IF (TimingData.NotFirstFlag = FALSE) THEN TimingData.TestLast := To_X01(TestSignal); TimingData.RefLast := To_X01(RefSignal); TimingData.NotFirstFlag := TRUE; END IF; -- Detect reference edges and record the time of the last edge RefEdge := EdgeSymbolMatch(TimingData.RefLast, To_X01(RefSignal), RefTransition); TimingData.RefLast := To_X01(RefSignal); IF (RefEdge) THEN TimingData.RefTime := NOW; --TimingData.HoldEnA.all := (TestSignal'RANGE=>TRUE); --IR252 3/23/98 TimingData.SetupEn := TimingData.SetupEn AND EnableSetupOnRef; TimingData.HoldEn := EnableHoldOnRef; END IF; -- Detect test (data) changes and record the time of the last change TestEvent := TimingData.TestLast /= To_X01Z(TestSignal); TimingData.TestLast := To_X01Z(TestSignal); IF TestEvent THEN TimingData.SetupEn := EnableSetupOnTest ; --IR252 3/23/98 TimingData.HoldEn := TimingData.HoldEn AND EnableHoldOnTest ; --IR252 3/23/98 TimingData.TestTime := NOW; END IF; FOR i IN CheckEnabled'RANGE LOOP IF CheckEnabled(i) = TRUE THEN CheckEnScalar := TRUE; END IF; ViolationInt(i) := '0'; END LOOP; IF (CheckEnScalar) THEN InternalTimingCheck ( TestSignal => TestSignal, RefSignal => RefSignal, TestDelay => TestDly, RefDelay => RefDly, SetupHigh => SetupHigh, SetupLow => SetupLow, HoldHigh => HoldHigh, HoldLow => HoldLow, RefTime => TimingData.RefTime, RefEdge => RefEdge, TestTime => TimingData.TestTime, TestEvent => TestEvent, SetupEn => TimingData.SetupEn, HoldEn => TimingData.HoldEn, CheckInfo => CheckInfo, MsgOn => MsgOn ); -- Report any detected violations and set return violation flag IF CheckInfo.Violation THEN IF (MsgOn) THEN VitalMemoryReportViolation (TestSignalName, RefSignalName, HeaderMsg, CheckInfo, MsgSeverity ); END IF; IF (XOn) THEN FOR i IN CheckEnabled'RANGE LOOP IF CheckEnabled(i) = TRUE THEN ViolationInt(i) := 'X'; END IF; END LOOP; END IF; END IF; END IF; Violation := ViolationInt; END VitalMemorySetupHoldCheck; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySetupHoldCheck ( VARIABLE Violation : OUT X01ArrayT; VARIABLE TimingData : INOUT VitalMemoryTimingDataType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN VitalDelayArraytype; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN VitalDelayArraytype; CONSTANT SetupLow : IN VitalDelayArraytype; CONSTANT HoldHigh : IN VitalDelayArraytype; CONSTANT HoldLow : IN VitalDelayArraytype; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType; --IR252 3/23/98 CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE ) IS VARIABLE CheckInfo : CheckInfoType; VARIABLE RefEdge : BOOLEAN; VARIABLE TestEvent : VitalBoolArrayT(TestSignal'RANGE); VARIABLE TestDly : TIME; VARIABLE RefDly : TIME := Maximum(0 ns, RefDelay); VARIABLE bias : TIME; BEGIN -- Initialization of working area. IF (TimingData.NotFirstFlag = FALSE) THEN TimingData.TestLastA := NEW std_logic_vector(TestSignal'RANGE); TimingData.TestTimeA := NEW VitalTimeArrayT(TestSignal'RANGE); TimingData.HoldEnA := NEW VitalBoolArrayT(TestSignal'RANGE); TimingData.SetupEnA := NEW VitalBoolArrayT(TestSignal'RANGE); FOR i IN TestSignal'RANGE LOOP TimingData.TestLastA(i) := To_X01(TestSignal(i)); END LOOP; TimingData.RefLast := To_X01(RefSignal); TimingData.NotFirstFlag := TRUE; END IF; -- Detect reference edges and record the time of the last edge RefEdge := EdgeSymbolMatch(TimingData.RefLast, To_X01(RefSignal), RefTransition); TimingData.RefLast := To_X01(RefSignal); IF (RefEdge) THEN TimingData.RefTime := NOW; --TimingData.HoldEnA.all := (TestSignal'RANGE=>TRUE); --IR252 3/23/98 FOR i IN TestSignal'RANGE LOOP TimingData.SetupEnA(i) := TimingData.SetupEnA(i) AND EnableSetupOnRef; TimingData.HoldEnA(i) := EnableHoldOnRef; END LOOP; END IF; -- Detect test (data) changes and record the time of the last change FOR i IN TestSignal'RANGE LOOP TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignal(i)); TimingData.TestLastA(i) := To_X01Z(TestSignal(i)); IF TestEvent(i) THEN TimingData.SetupEnA(i) := EnableSetupOnTest ; --IR252 3/23/98 TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest ; --IR252 3/23/98 TimingData.TestTimeA(i) := NOW; --TimingData.SetupEnA(i) := TRUE; TimingData.TestTime := NOW; END IF; END LOOP; FOR i IN TestSignal'RANGE LOOP Violation(i) := '0'; IF (CheckEnabled) THEN TestDly := Maximum(0 ns, TestDelay(i)); InternalTimingCheck ( TestSignal => TestSignal(i), RefSignal => RefSignal, TestDelay => TestDly, RefDelay => RefDly, SetupHigh => SetupHigh(i), SetupLow => SetupLow(i), HoldHigh => HoldHigh(i), HoldLow => HoldLow(i), RefTime => TimingData.RefTime, RefEdge => RefEdge, TestTime => TimingData.TestTimeA(i), TestEvent => TestEvent(i), SetupEn => TimingData.SetupEnA(i), HoldEn => TimingData.HoldEnA(i), CheckInfo => CheckInfo, MsgOn => MsgOn ); -- Report any detected violations and set return violation flag IF CheckInfo.Violation THEN IF (MsgOn) THEN VitalMemoryReportViolation (TestSignalName, RefSignalName, i , HeaderMsg, CheckInfo, MsgFormat, MsgSeverity ); END IF; IF (XOn) THEN Violation(i) := 'X'; END IF; END IF; END IF; END LOOP; END VitalMemorySetupHoldCheck; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySetupHoldCheck ( VARIABLE Violation : OUT X01ArrayT; VARIABLE TimingData : INOUT VitalMemoryTimingDataType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN VitalDelayArraytype; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN VitalDelayArraytype; CONSTANT SetupLow : IN VitalDelayArraytype; CONSTANT HoldHigh : IN VitalDelayArraytype; CONSTANT HoldLow : IN VitalDelayArraytype; CONSTANT CheckEnabled : IN VitalBoolArrayT; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT NumBitsPerSubWord : IN INTEGER := 1; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType; --IR252 3/23/98 CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE ) IS VARIABLE CheckInfo : CheckInfoType; VARIABLE ViolationInt : X01ArrayT(TestSignal'RANGE); VARIABLE ViolationIntNorm: X01ArrayT(TestSignal'LENGTH-1 downto 0); VARIABLE ViolationNorm : X01ArrayT(Violation'LENGTH-1 downto 0); VARIABLE CheckEnInt : VitalBoolArrayT(TestSignal'RANGE); VARIABLE CheckEnIntNorm : VitalBoolArrayT(TestSignal'LENGTH-1 downto 0); VARIABLE CheckEnScalar : BOOLEAN := FALSE; --Mem IR 401 VARIABLE CheckEnabledNorm: VitalBoolArrayT(CheckEnabled'LENGTH-1 downto 0); VARIABLE RefEdge : BOOLEAN; VARIABLE TestEvent : VitalBoolArrayT(TestSignal'RANGE); VARIABLE TestDly : TIME; VARIABLE RefDly : TIME := Maximum(0 ns, RefDelay); VARIABLE bias : TIME; BEGIN -- Initialization of working area. IF (TimingData.NotFirstFlag = FALSE) THEN TimingData.TestLastA := NEW std_logic_vector(TestSignal'RANGE); TimingData.TestTimeA := NEW VitalTimeArrayT(TestSignal'RANGE); TimingData.HoldEnA := NEW VitalBoolArrayT(TestSignal'RANGE); TimingData.SetupEnA := NEW VitalBoolArrayT(TestSignal'RANGE); FOR i IN TestSignal'RANGE LOOP TimingData.TestLastA(i) := To_X01(TestSignal(i)); END LOOP; TimingData.RefLast := To_X01(RefSignal); TimingData.NotFirstFlag := TRUE; END IF; -- Detect reference edges and record the time of the last edge RefEdge := EdgeSymbolMatch(TimingData.RefLast, To_X01(RefSignal), RefTransition); TimingData.RefLast := To_X01(RefSignal); IF RefEdge THEN TimingData.RefTime := NOW; --TimingData.HoldEnA.all := (TestSignal'RANGE=>TRUE); --IR252 3/23/98 FOR i IN TestSignal'RANGE LOOP TimingData.SetupEnA(i) := TimingData.SetupEnA(i) AND EnableSetupOnRef; TimingData.HoldEnA(i) := EnableHoldOnRef; END LOOP; END IF; -- Detect test (data) changes and record the time of the last change FOR i IN TestSignal'RANGE LOOP TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignal(i)); TimingData.TestLastA(i) := To_X01Z(TestSignal(i)); IF TestEvent(i) THEN TimingData.SetupEnA(i) := EnableSetupOnTest ; --IR252 3/23/98 TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest ; --IR252 3/23/98 TimingData.TestTimeA(i) := NOW; --TimingData.SetupEnA(i) := TRUE; TimingData.TestTime := NOW; END IF; END LOOP; IF ArcType = CrossArc THEN CheckEnScalar := FALSE; FOR i IN CheckEnabled'RANGE LOOP IF CheckEnabled(i) = TRUE THEN CheckEnScalar := TRUE; END IF; END LOOP; FOR i IN CheckEnInt'RANGE LOOP CheckEnInt(i) := CheckEnScalar; END LOOP; ELSE FOR i IN CheckEnIntNorm'RANGE LOOP CheckEnIntNorm(i) := CheckEnabledNorm(i / NumBitsPerSubWord ); END LOOP; CheckEnInt := CheckEnIntNorm; END IF; FOR i IN TestSignal'RANGE LOOP ViolationInt(i) := '0'; IF (CheckEnInt(i)) THEN TestDly := Maximum(0 ns, TestDelay(i)); InternalTimingCheck ( TestSignal => TestSignal(i), RefSignal => RefSignal, TestDelay => TestDly, RefDelay => RefDly, SetupHigh => SetupHigh(i), SetupLow => SetupLow(i), HoldHigh => HoldHigh(i), HoldLow => HoldLow(i), RefTime => TimingData.RefTime, RefEdge => RefEdge, TestTime => TimingData.TestTimeA(i), TestEvent => TestEvent(i), SetupEn => TimingData.SetupEnA(i), HoldEn => TimingData.HoldEnA(i), CheckInfo => CheckInfo, MsgOn => MsgOn ); -- Report any detected violations and set return violation flag IF CheckInfo.Violation THEN IF (MsgOn) THEN VitalMemoryReportViolation (TestSignalName, RefSignalName, i , HeaderMsg, CheckInfo, MsgFormat, MsgSeverity ); END IF; IF (XOn) THEN ViolationInt(i) := 'X'; END IF; END IF; END IF; END LOOP; IF (ViolationInt'LENGTH = Violation'LENGTH) THEN Violation := ViolationInt; ELSE ViolationIntNorm := ViolationInt; FOR i IN ViolationNorm'RANGE LOOP ViolationNorm(i) := '0'; END LOOP; FOR i IN ViolationIntNorm'RANGE LOOP IF (ViolationIntNorm(i) = 'X') THEN ViolationNorm(i / NumBitsPerSubWord) := 'X'; END IF; END LOOP; Violation := ViolationNorm; END IF; END VitalMemorySetupHoldCheck; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySetupHoldCheck ( VARIABLE Violation : OUT X01ArrayT; VARIABLE TimingData : INOUT VitalMemoryTimingDataType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN VitalDelayArraytype; SIGNAL RefSignal : IN std_logic_vector; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN VitalDelayArraytype; CONSTANT SetupHigh : IN VitalDelayArraytype; CONSTANT SetupLow : IN VitalDelayArraytype; CONSTANT HoldHigh : IN VitalDelayArraytype; CONSTANT HoldLow : IN VitalDelayArraytype; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT NumBitsPerSubWord : IN INTEGER := 1; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType; --IR252 3/23/98 CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE ) IS VARIABLE CheckInfo : CheckInfoType; VARIABLE RefEdge : VitalBoolArrayT(RefSignal'LENGTH-1 downto 0); VARIABLE TestEvent : VitalBoolArrayT(TestSignal'LENGTH-1 downto 0); VARIABLE TestDly : TIME; VARIABLE RefDly : TIME; VARIABLE bias : TIME; VARIABLE NumTestBits : NATURAL := TestSignal'LENGTH; VARIABLE NumRefBits : NATURAL := RefSignal'LENGTH; VARIABLE NumChecks : NATURAL; VARIABLE ViolationTest : X01ArrayT(NumTestBits-1 downto 0); VARIABLE ViolationRef : X01ArrayT(NumRefBits-1 downto 0); VARIABLE TestSignalNorm : std_logic_vector(NumTestBits-1 downto 0) := TestSignal; VARIABLE TestDelayNorm : VitalDelayArraytype(NumTestBits-1 downto 0) := TestDelay; VARIABLE RefSignalNorm : std_logic_vector(NumRefBits-1 downto 0) := RefSignal; VARIABLE RefDelayNorm : VitalDelayArraytype(NumRefBits-1 downto 0) := RefDelay; VARIABLE SetupHighNorm : VitalDelayArraytype(SetupHigh'LENGTH-1 downto 0) := SetupHigh; VARIABLE SetupLowNorm : VitalDelayArraytype(SetupLow'LENGTH-1 downto 0) := SetupLow; VARIABLE HoldHighNorm : VitalDelayArraytype(HoldHigh'LENGTH-1 downto 0) := HoldHigh; VARIABLE HoldLowNorm : VitalDelayArraytype(HoldLow'LENGTH-1 downto 0) := HoldLow; VARIABLE RefBitLow : NATURAL; VARIABLE RefBitHigh : NATURAL; VARIABLE EnArrayIndex : NATURAL; VARIABLE TimingArrayIndex: NATURAL; BEGIN -- Initialization of working area. IF (TimingData.NotFirstFlag = FALSE) THEN TimingData.TestLastA := NEW std_logic_vector(NumTestBits-1 downto 0); TimingData.TestTimeA := NEW VitalTimeArrayT(NumTestBits-1 downto 0); TimingData.RefTimeA := NEW VitalTimeArrayT(NumRefBits-1 downto 0); TimingData.RefLastA := NEW X01ArrayT(NumRefBits-1 downto 0); IF (ArcType = CrossArc) THEN NumChecks := RefSignal'LENGTH * TestSignal'LENGTH; ELSE NumChecks := TestSignal'LENGTH; END IF; TimingData.HoldEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0); TimingData.SetupEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0); FOR i IN TestSignalNorm'RANGE LOOP TimingData.TestLastA(i) := To_X01(TestSignalNorm(i)); END LOOP; FOR i IN RefSignalNorm'RANGE LOOP TimingData.RefLastA(i) := To_X01(RefSignalNorm(i)); END LOOP; TimingData.NotFirstFlag := TRUE; END IF; -- Detect reference edges and record the time of the last edge FOR i IN RefSignalNorm'RANGE LOOP RefEdge(i) := EdgeSymbolMatch(TimingData.RefLastA(i), To_X01(RefSignalNorm(i)), RefTransition); TimingData.RefLastA(i) := To_X01(RefSignalNorm(i)); IF (RefEdge(i)) THEN TimingData.RefTimeA(i) := NOW; END IF; END LOOP; -- Detect test (data) changes and record the time of the last change FOR i IN TestSignalNorm'RANGE LOOP TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignalNorm(i)); TimingData.TestLastA(i) := To_X01Z(TestSignalNorm(i)); IF (TestEvent(i)) THEN TimingData.TestTimeA(i) := NOW; END IF; END LOOP; FOR i IN ViolationTest'RANGE LOOP ViolationTest(i) := '0'; END LOOP; FOR i IN ViolationRef'RANGE LOOP ViolationRef(i) := '0'; END LOOP; FOR i IN TestSignalNorm'RANGE LOOP IF (ArcType = CrossArc) THEN FOR j IN RefSignalNorm'RANGE LOOP IF (TestEvent(i)) THEN --TimingData.SetupEnA(i*NumRefBits+j) := TRUE; --IR252 TimingData.SetupEnA(i*NumRefBits+j) := EnableSetupOnTest; TimingData.HoldEnA(i*NumRefBits+j) := TimingData.HoldEnA(i*NumRefBits+j) AND EnableHoldOnTest; END IF; IF (RefEdge(j)) THEN --TimingData.HoldEnA(i*NumRefBits+j) := TRUE; --IR252 TimingData.HoldEnA(i*NumRefBits+j) := EnableHoldOnRef; TimingData.SetupEnA(i*NumRefBits+j) := TimingData.SetupEnA(i*NumRefBits+j) AND EnableSetupOnRef; END IF; END LOOP; RefBitLow := 0; RefBitHigh := NumRefBits-1; TimingArrayIndex := i; ELSE IF ArcType = SubwordArc THEN RefBitLow := i / NumBitsPerSubWord; TimingArrayIndex := i + NumTestBits * RefBitLow; ELSE RefBitLow := i; TimingArrayIndex := i; END IF; RefBitHigh := RefBitLow; IF TestEvent(i) THEN --TimingData.SetupEnA(i) := TRUE; --IR252 TimingData.SetupEnA(i) := EnableSetupOnTest; TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest; END IF; IF RefEdge(RefBitLow) THEN --TimingData.HoldEnA(i) := TRUE; --IR252 TimingData.HoldEnA(i) := EnableHoldOnRef; TimingData.SetupEnA(i) := TimingData.SetupEnA(i) AND EnableSetupOnRef; END IF; END IF; EnArrayIndex := i; FOR j IN RefBitLow to RefBitHigh LOOP IF (CheckEnabled) THEN TestDly := Maximum(0 ns, TestDelayNorm(i)); RefDly := Maximum(0 ns, RefDelayNorm(j)); InternalTimingCheck ( TestSignal => TestSignalNorm(i), RefSignal => RefSignalNorm(j), TestDelay => TestDly, RefDelay => RefDly, SetupHigh => SetupHighNorm(TimingArrayIndex), SetupLow => SetupLowNorm(TimingArrayIndex), HoldHigh => HoldHighNorm(TimingArrayIndex), HoldLow => HoldLowNorm(TimingArrayIndex), RefTime => TimingData.RefTimeA(j), RefEdge => RefEdge(j), TestTime => TimingData.TestTimeA(i), TestEvent => TestEvent(i), SetupEn => TimingData.SetupEnA(EnArrayIndex), HoldEn => TimingData.HoldEnA(EnArrayIndex), CheckInfo => CheckInfo, MsgOn => MsgOn ); -- Report any detected violations and set return violation flag IF (CheckInfo.Violation) THEN IF (MsgOn) THEN VitalMemoryReportViolation (TestSignalName, RefSignalName, i, j, TestSignal, RefSignal, HeaderMsg, CheckInfo, MsgFormat, MsgSeverity ); END IF; IF (XOn) THEN ViolationTest(i) := 'X'; ViolationRef(j) := 'X'; END IF; END IF; END IF; TimingArrayIndex := TimingArrayIndex + NumRefBits; EnArrayIndex := EnArrayIndex + NumRefBits; END LOOP; END LOOP; IF (ArcType = CrossArc) THEN Violation := ViolationRef; ELSE IF (Violation'LENGTH = ViolationRef'LENGTH) THEN Violation := ViolationRef; ELSE Violation := ViolationTest; END IF; END IF; END VitalMemorySetupHoldCheck; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySetupHoldCheck ( VARIABLE Violation : OUT X01ArrayT; VARIABLE TimingData : INOUT VitalMemoryTimingDataType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN VitalDelayArraytype; SIGNAL RefSignal : IN std_logic_vector; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN VitalDelayArraytype; CONSTANT SetupHigh : IN VitalDelayArraytype; CONSTANT SetupLow : IN VitalDelayArraytype; CONSTANT HoldHigh : IN VitalDelayArraytype; CONSTANT HoldLow : IN VitalDelayArraytype; CONSTANT CheckEnabled : IN VitalBoolArrayT; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT NumBitsPerSubWord : IN INTEGER := 1; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType; --IR252 3/23/98 CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE ) IS VARIABLE CheckInfo : CheckInfoType; VARIABLE RefEdge : VitalBoolArrayT(RefSignal'LENGTH-1 downto 0); VARIABLE TestEvent : VitalBoolArrayT(TestSignal'LENGTH-1 downto 0); VARIABLE TestDly : TIME; VARIABLE RefDly : TIME; VARIABLE bias : TIME; VARIABLE NumTestBits : NATURAL := TestSignal'LENGTH; VARIABLE NumRefBits : NATURAL := RefSignal'LENGTH; VARIABLE NumChecks : NATURAL; VARIABLE ViolationTest : X01ArrayT(NumTestBits-1 downto 0); VARIABLE ViolationRef : X01ArrayT(NumRefBits-1 downto 0); VARIABLE TestSignalNorm : std_logic_vector(NumTestBits-1 downto 0) := TestSignal; VARIABLE TestDelayNorm : VitalDelayArraytype(NumTestBits-1 downto 0) := TestDelay; VARIABLE RefSignalNorm : std_logic_vector(NumRefBits-1 downto 0) := RefSignal; VARIABLE RefDelayNorm : VitalDelayArraytype(NumRefBits-1 downto 0) := RefDelay; VARIABLE CheckEnNorm : VitalBoolArrayT(NumRefBits-1 downto 0) := CheckEnabled; VARIABLE SetupHighNorm : VitalDelayArraytype(SetupHigh'LENGTH-1 downto 0) := SetupHigh; VARIABLE SetupLowNorm : VitalDelayArraytype(SetupLow'LENGTH-1 downto 0) := SetupLow; VARIABLE HoldHighNorm : VitalDelayArraytype(HoldHigh'LENGTH-1 downto 0) := HoldHigh; VARIABLE HoldLowNorm : VitalDelayArraytype(HoldLow'LENGTH-1 downto 0) := HoldLow; VARIABLE RefBitLow : NATURAL; VARIABLE RefBitHigh : NATURAL; VARIABLE EnArrayIndex : NATURAL; VARIABLE TimingArrayIndex: NATURAL; BEGIN -- Initialization of working area. IF (TimingData.NotFirstFlag = FALSE) THEN TimingData.TestLastA := NEW std_logic_vector(NumTestBits-1 downto 0); TimingData.TestTimeA := NEW VitalTimeArrayT(NumTestBits-1 downto 0); TimingData.RefTimeA := NEW VitalTimeArrayT(NumRefBits-1 downto 0); TimingData.RefLastA := NEW X01ArrayT(NumRefBits-1 downto 0); IF ArcType = CrossArc THEN NumChecks := RefSignal'LENGTH * TestSignal'LENGTH; ELSE NumChecks := TestSignal'LENGTH; END IF; TimingData.HoldEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0); TimingData.SetupEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0); FOR i IN TestSignalNorm'RANGE LOOP TimingData.TestLastA(i) := To_X01(TestSignalNorm(i)); END LOOP; FOR i IN RefSignalNorm'RANGE LOOP TimingData.RefLastA(i) := To_X01(RefSignalNorm(i)); END LOOP; TimingData.NotFirstFlag := TRUE; END IF; -- Detect reference edges and record the time of the last edge FOR i IN RefSignalNorm'RANGE LOOP RefEdge(i) := EdgeSymbolMatch(TimingData.RefLastA(i), To_X01(RefSignalNorm(i)), RefTransition); TimingData.RefLastA(i) := To_X01(RefSignalNorm(i)); IF RefEdge(i) THEN TimingData.RefTimeA(i) := NOW; END IF; END LOOP; -- Detect test (data) changes and record the time of the last change FOR i IN TestSignalNorm'RANGE LOOP TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignalNorm(i)); TimingData.TestLastA(i) := To_X01Z(TestSignalNorm(i)); IF TestEvent(i) THEN TimingData.TestTimeA(i) := NOW; END IF; END LOOP; FOR i IN ViolationTest'RANGE LOOP ViolationTest(i) := '0'; END LOOP; FOR i IN ViolationRef'RANGE LOOP ViolationRef(i) := '0'; END LOOP; FOR i IN TestSignalNorm'RANGE LOOP IF (ArcType = CrossArc) THEN FOR j IN RefSignalNorm'RANGE LOOP IF (TestEvent(i)) THEN --TimingData.SetupEnA(i*NumRefBits+j) := TRUE; --IR252 TimingData.SetupEnA(i*NumRefBits+j) := EnableSetupOnTest; TimingData.HoldEnA(i*NumRefBits+j) := TimingData.HoldEnA(i*NumRefBits+j) AND EnableHoldOnTest; END IF; IF (RefEdge(j)) THEN --TimingData.HoldEnA(i*NumRefBits+j) := TRUE; --IR252 TimingData.HoldEnA(i*NumRefBits+j) := EnableHoldOnRef; TimingData.SetupEnA(i*NumRefBits+j) := TimingData.SetupEnA(i*NumRefBits+j) AND EnableSetupOnRef; END IF; END LOOP; RefBitLow := 0; RefBitHigh := NumRefBits-1; TimingArrayIndex := i; ELSE IF (ArcType = SubwordArc) THEN RefBitLow := i / NumBitsPerSubWord; TimingArrayIndex := i + NumTestBits * RefBitLow; ELSE RefBitLow := i; TimingArrayIndex := i; END IF; RefBitHigh := RefBitLow; IF (TestEvent(i)) THEN --TimingData.SetupEnA(i) := TRUE; --IR252 TimingData.SetupEnA(i) := EnableSetupOnTest; TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest; END IF; IF (RefEdge(RefBitLow)) THEN --TimingData.HoldEnA(i) := TRUE; --IR252 TimingData.HoldEnA(i) := EnableHoldOnRef; TimingData.SetupEnA(i) := TimingData.SetupEnA(i) AND EnableSetupOnRef; END IF; END IF; EnArrayIndex := i; FOR j IN RefBitLow to RefBitHigh LOOP IF (CheckEnNorm(j)) THEN TestDly := Maximum(0 ns, TestDelayNorm(i)); RefDly := Maximum(0 ns, RefDelayNorm(j)); InternalTimingCheck ( TestSignal => TestSignalNorm(i), RefSignal => RefSignalNorm(j), TestDelay => TestDly, RefDelay => RefDly, SetupHigh => SetupHighNorm(TimingArrayIndex), SetupLow => SetupLowNorm(TimingArrayIndex), HoldHigh => HoldHighNorm(TimingArrayIndex), HoldLow => HoldLowNorm(TimingArrayIndex), RefTime => TimingData.RefTimeA(j), RefEdge => RefEdge(j), TestTime => TimingData.TestTimeA(i), TestEvent => TestEvent(i), SetupEn => TimingData.SetupEnA(EnArrayIndex), HoldEn => TimingData.HoldEnA(EnArrayIndex), CheckInfo => CheckInfo, MsgOn => MsgOn ); -- Report any detected violations and set return violation flag IF (CheckInfo.Violation) THEN IF (MsgOn) THEN VitalMemoryReportViolation (TestSignalName, RefSignalName, i, j, TestSignal, RefSignal, HeaderMsg, CheckInfo, MsgFormat, MsgSeverity ); END IF; IF (XOn) THEN ViolationTest(i) := 'X'; ViolationRef(j) := 'X'; END IF; END IF; END IF; TimingArrayIndex := TimingArrayIndex + NumRefBits; EnArrayIndex := EnArrayIndex + NumRefBits; END LOOP; END LOOP; IF (ArcType = CrossArc) THEN Violation := ViolationRef; ELSE IF (Violation'LENGTH = ViolationRef'LENGTH) THEN Violation := ViolationRef; ELSE Violation := ViolationTest; END IF; END IF; END VitalMemorySetupHoldCheck; -- ---------------------------------------------------------------------------- -- scalar violations not needed -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySetupHoldCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalMemoryTimingDataType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN VitalDelayArraytype; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN VitalDelayArraytype; CONSTANT SetupLow : IN VitalDelayArraytype; CONSTANT HoldHigh : IN VitalDelayArraytype; CONSTANT HoldLow : IN VitalDelayArraytype; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType; --IR252 3/23/98 CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE ) IS VARIABLE CheckInfo : CheckInfoType; VARIABLE RefEdge : BOOLEAN; VARIABLE TestEvent : VitalBoolArrayT(TestSignal'RANGE); VARIABLE TestDly : TIME; VARIABLE RefDly : TIME := Maximum(0 ns, RefDelay); VARIABLE bias : TIME; BEGIN -- Initialization of working area. IF (TimingData.NotFirstFlag = FALSE) THEN TimingData.TestLastA := NEW std_logic_vector(TestSignal'RANGE); TimingData.TestTimeA := NEW VitalTimeArrayT(TestSignal'RANGE); TimingData.HoldEnA := NEW VitalBoolArrayT(TestSignal'RANGE); TimingData.SetupEnA := NEW VitalBoolArrayT(TestSignal'RANGE); FOR i IN TestSignal'RANGE LOOP TimingData.TestLastA(i) := To_X01(TestSignal(i)); END LOOP; TimingData.RefLast := To_X01(RefSignal); TimingData.NotFirstFlag := TRUE; END IF; -- Detect reference edges and record the time of the last edge RefEdge := EdgeSymbolMatch(TimingData.RefLast, To_X01(RefSignal), RefTransition); TimingData.RefLast := To_X01(RefSignal); IF (RefEdge) THEN TimingData.RefTime := NOW; --TimingData.HoldEnA.all := (TestSignal'RANGE=>TRUE); --IR252 3/23/98 FOR i IN TestSignal'RANGE LOOP TimingData.SetupEnA(i) := TimingData.SetupEnA(i) AND EnableSetupOnRef; TimingData.HoldEnA(i) := EnableHoldOnRef; END LOOP; END IF; -- Detect test (data) changes and record the time of the last change FOR i IN TestSignal'RANGE LOOP TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignal(i)); TimingData.TestLastA(i) := To_X01Z(TestSignal(i)); IF TestEvent(i) THEN TimingData.SetupEnA(i) := EnableSetupOnTest ; --IR252 3/23/98 TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest ; --IR252 3/23/98 TimingData.TestTimeA(i) := NOW; --TimingData.SetupEnA(i) := TRUE; TimingData.TestTime := NOW; END IF; END LOOP; Violation := '0'; FOR i IN TestSignal'RANGE LOOP IF (CheckEnabled) THEN TestDly := Maximum(0 ns, TestDelay(i)); InternalTimingCheck ( TestSignal => TestSignal(i), RefSignal => RefSignal, TestDelay => TestDly, RefDelay => RefDly, SetupHigh => SetupHigh(i), SetupLow => SetupLow(i), HoldHigh => HoldHigh(i), HoldLow => HoldLow(i), RefTime => TimingData.RefTime, RefEdge => RefEdge, TestTime => TimingData.TestTimeA(i), TestEvent => TestEvent(i), SetupEn => TimingData.SetupEnA(i), HoldEn => TimingData.HoldEnA(i), CheckInfo => CheckInfo, MsgOn => MsgOn ); -- Report any detected violations and set return violation flag IF CheckInfo.Violation THEN IF (MsgOn) THEN VitalMemoryReportViolation (TestSignalName, RefSignalName, i , HeaderMsg, CheckInfo, MsgFormat, MsgSeverity ); END IF; IF (XOn) THEN Violation := 'X'; END IF; END IF; END IF; END LOOP; END VitalMemorySetupHoldCheck; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemorySetupHoldCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalMemoryTimingDataType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN VitalDelayArraytype; SIGNAL RefSignal : IN std_logic_vector; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN VitalDelayArraytype; CONSTANT SetupHigh : IN VitalDelayArraytype; CONSTANT SetupLow : IN VitalDelayArraytype; CONSTANT HoldHigh : IN VitalDelayArraytype; CONSTANT HoldLow : IN VitalDelayArraytype; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT ArcType : IN VitalMemoryArcType := CrossArc; CONSTANT NumBitsPerSubWord : IN INTEGER := 1; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType; --IR252 3/23/98 CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE ) IS VARIABLE CheckInfo : CheckInfoType; VARIABLE RefEdge : VitalBoolArrayT(RefSignal'LENGTH-1 downto 0); VARIABLE TestEvent : VitalBoolArrayT(TestSignal'LENGTH-1 downto 0); VARIABLE TestDly : TIME; VARIABLE RefDly : TIME; VARIABLE bias : TIME; VARIABLE NumTestBits : NATURAL := TestSignal'LENGTH; VARIABLE NumRefBits : NATURAL := RefSignal'LENGTH; VARIABLE NumChecks : NATURAL; VARIABLE TestSignalNorm : std_logic_vector(NumTestBits-1 downto 0) := TestSignal; VARIABLE TestDelayNorm : VitalDelayArraytype(NumTestBits-1 downto 0) := TestDelay; VARIABLE RefSignalNorm : std_logic_vector(NumRefBits-1 downto 0) := RefSignal; VARIABLE RefDelayNorm : VitalDelayArraytype(NumRefBits-1 downto 0) := RefDelay; VARIABLE SetupHighNorm : VitalDelayArraytype(SetupHigh'LENGTH-1 downto 0) := SetupHigh; VARIABLE SetupLowNorm : VitalDelayArraytype(SetupLow'LENGTH-1 downto 0) := SetupLow; VARIABLE HoldHighNorm : VitalDelayArraytype(HoldHigh'LENGTH-1 downto 0) := HoldHigh; VARIABLE HoldLowNorm : VitalDelayArraytype(HoldLow'LENGTH-1 downto 0) := HoldLow; VARIABLE RefBitLow : NATURAL; VARIABLE RefBitHigh : NATURAL; VARIABLE EnArrayIndex : NATURAL; VARIABLE TimingArrayIndex: NATURAL; BEGIN -- Initialization of working area. IF (TimingData.NotFirstFlag = FALSE) THEN TimingData.TestLastA := NEW std_logic_vector(NumTestBits-1 downto 0); TimingData.TestTimeA := NEW VitalTimeArrayT(NumTestBits-1 downto 0); TimingData.RefTimeA := NEW VitalTimeArrayT(NumRefBits-1 downto 0); TimingData.RefLastA := NEW X01ArrayT(NumRefBits-1 downto 0); IF (ArcType = CrossArc) THEN NumChecks := RefSignal'LENGTH * TestSignal'LENGTH; ELSE NumChecks := TestSignal'LENGTH; END IF; TimingData.HoldEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0); TimingData.SetupEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0); FOR i IN TestSignalNorm'RANGE LOOP TimingData.TestLastA(i) := To_X01(TestSignalNorm(i)); END LOOP; FOR i IN RefSignalNorm'RANGE LOOP TimingData.RefLastA(i) := To_X01(RefSignalNorm(i)); END LOOP; TimingData.NotFirstFlag := TRUE; END IF; -- Detect reference edges and record the time of the last edge FOR i IN RefSignalNorm'RANGE LOOP RefEdge(i) := EdgeSymbolMatch(TimingData.RefLastA(i), To_X01(RefSignalNorm(i)), RefTransition); TimingData.RefLastA(i) := To_X01(RefSignalNorm(i)); IF (RefEdge(i)) THEN TimingData.RefTimeA(i) := NOW; END IF; END LOOP; -- Detect test (data) changes and record the time of the last change FOR i IN TestSignalNorm'RANGE LOOP TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignalNorm(i)); TimingData.TestLastA(i) := To_X01Z(TestSignalNorm(i)); IF (TestEvent(i)) THEN TimingData.TestTimeA(i) := NOW; END IF; END LOOP; FOR i IN TestSignalNorm'RANGE LOOP IF (ArcType = CrossArc) THEN FOR j IN RefSignalNorm'RANGE LOOP IF (TestEvent(i)) THEN --TimingData.SetupEnA(i*NumRefBits+j) := TRUE; --IR252 TimingData.SetupEnA(i*NumRefBits+j) := EnableSetupOnTest; TimingData.HoldEnA(i*NumRefBits+j) := TimingData.HoldEnA(i*NumRefBits+j) AND EnableHoldOnTest; END IF; IF (RefEdge(j)) THEN --TimingData.HoldEnA(i*NumRefBits+j) := TRUE; --IR252 TimingData.HoldEnA(i*NumRefBits+j) := EnableHoldOnRef; TimingData.SetupEnA(i*NumRefBits+j) := TimingData.SetupEnA(i*NumRefBits+j) AND EnableSetupOnRef; END IF; END LOOP; RefBitLow := 0; RefBitHigh := NumRefBits-1; TimingArrayIndex := i; ELSE IF (ArcType = SubwordArc) THEN RefBitLow := i / NumBitsPerSubWord; TimingArrayIndex := i + NumTestBits * RefBitLow; ELSE RefBitLow := i; TimingArrayIndex := i; END IF; RefBitHigh := RefBitLow; IF (TestEvent(i)) THEN --TimingData.SetupEnA(i) := TRUE; --IR252 TimingData.SetupEnA(i) := EnableSetupOnTest; TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest; END IF; IF (RefEdge(RefBitLow)) THEN --TimingData.HoldEnA(i) := TRUE; --IR252 TimingData.HoldEnA(i) := EnableHoldOnRef; TimingData.SetupEnA(i) := TimingData.SetupEnA(i) AND EnableSetupOnRef; END IF; END IF; EnArrayIndex := i; Violation := '0'; FOR j IN RefBitLow to RefBitHigh LOOP IF (CheckEnabled) THEN TestDly := Maximum(0 ns, TestDelayNorm(i)); RefDly := Maximum(0 ns, RefDelayNorm(j)); InternalTimingCheck ( TestSignal => TestSignalNorm(i), RefSignal => RefSignalNorm(j), TestDelay => TestDly, RefDelay => RefDly, SetupHigh => SetupHighNorm(TimingArrayIndex), SetupLow => SetupLowNorm(TimingArrayIndex), HoldHigh => HoldHighNorm(TimingArrayIndex), HoldLow => HoldLowNorm(TimingArrayIndex), RefTime => TimingData.RefTimeA(j), RefEdge => RefEdge(j), TestTime => TimingData.TestTimeA(i), TestEvent => TestEvent(i), SetupEn => TimingData.SetupEnA(EnArrayIndex), HoldEn => TimingData.HoldEnA(EnArrayIndex), CheckInfo => CheckInfo, MsgOn => MsgOn ); -- Report any detected violations and set return violation flag IF (CheckInfo.Violation) THEN IF (MsgOn) THEN VitalMemoryReportViolation (TestSignalName, RefSignalName, i, j, TestSignal, RefSignal, HeaderMsg, CheckInfo, MsgFormat, MsgSeverity ); END IF; IF (XOn) THEN Violation := 'X'; END IF; END IF; END IF; TimingArrayIndex := TimingArrayIndex + NumRefBits; EnArrayIndex := EnArrayIndex + NumRefBits; END LOOP; END LOOP; END VitalMemorySetupHoldCheck; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryPeriodPulseCheck ( VARIABLE Violation : OUT X01; VARIABLE PeriodData : INOUT VitalPeriodDataArrayType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName : IN STRING := ""; CONSTANT TestDelay : IN VitalDelayArraytype; CONSTANT Period : IN VitalDelayArraytype; CONSTANT PulseWidthHigh : IN VitalDelayArraytype; CONSTANT PulseWidthLow : IN VitalDelayArraytype; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType ) IS VARIABLE TestDly : VitalDelayType; VARIABLE CheckInfo : CheckInfoType; VARIABLE PeriodObs : VitalDelayType; VARIABLE PulseTest : BOOLEAN; VARIABLE PeriodTest: BOOLEAN; VARIABLE TestValue : X01; BEGIN -- Initialize for no violation Violation := '0'; --MEM IR 402 FOR i IN TestSignal'RANGE LOOP TestDly := Maximum(0 ns, TestDelay(i)); TestValue := To_X01(TestSignal(i)); IF (PeriodData(i).NotFirstFlag = FALSE) THEN PeriodData(i).Rise := -Maximum(Period(i), Maximum(PulseWidthHigh(i),PulseWidthLow(i))); PeriodData(i).Fall := -Maximum(Period(i), Maximum(PulseWidthHigh(i),PulseWidthLow(i))); PeriodData(i).Last := TestValue; PeriodData(i).NotFirstFlag := TRUE; END IF; -- Initialize for no violation -- Violation := '0'; --Mem IR 402 -- No violation possible if no test signal change NEXT WHEN (PeriodData(i).Last = TestValue); -- record starting pulse times IF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'P')) THEN -- Compute period times, then record the High Rise Time PeriodObs := NOW - PeriodData(i).Rise; PeriodData(i).Rise := NOW; PeriodTest := TRUE; ELSIF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'N')) THEN -- Compute period times, then record the Low Fall Time PeriodObs := NOW - PeriodData(i).Fall; PeriodData(i).Fall := NOW; PeriodTest := TRUE; ELSE PeriodTest := FALSE; END IF; -- do checks on pulse ends IF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'p')) THEN -- Compute pulse times CheckInfo.ObsTime := NOW - PeriodData(i).Fall; CheckInfo.ExpTime := PulseWidthLow(i); PulseTest := TRUE; ELSIF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'n')) THEN -- Compute pulse times CheckInfo.ObsTime := NOW - PeriodData(i).Rise; CheckInfo.ExpTime := PulseWidthHigh(i); PulseTest := TRUE; ELSE PulseTest := FALSE; END IF; IF (PulseTest AND CheckEnabled) THEN -- Verify Pulse Width [ignore 1st edge] IF (CheckInfo.ObsTime < CheckInfo.ExpTime) THEN IF (XOn) THEN Violation := 'X'; END IF; IF (MsgOn) THEN CheckInfo.Violation := TRUE; CheckInfo.CheckKind := PulseWidCheck; CheckInfo.DetTime := NOW - TestDly; CheckInfo.State := PeriodData(i).Last; VitalMemoryReportViolation (TestSignalName, "", i, HeaderMsg, CheckInfo, MsgFormat, MsgSeverity ); END IF; -- MsgOn END IF; END IF; IF (PeriodTest AND CheckEnabled) THEN -- Verify the Period [ignore 1st edge] CheckInfo.ObsTime := PeriodObs; CheckInfo.ExpTime := Period(i); IF ( CheckInfo.ObsTime < CheckInfo.ExpTime ) THEN IF (XOn) THEN Violation := 'X'; END IF; IF (MsgOn) THEN CheckInfo.Violation := TRUE; CheckInfo.CheckKind := PeriodCheck; CheckInfo.DetTime := NOW - TestDly; CheckInfo.State := TestValue; VitalMemoryReportViolation (TestSignalName, "", i, HeaderMsg, CheckInfo, MsgFormat, MsgSeverity ); END IF; -- MsgOn END IF; END IF; PeriodData(i).Last := TestValue; END LOOP; END VitalMemoryPeriodPulseCheck; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryPeriodPulseCheck ( VARIABLE Violation : OUT X01ArrayT; VARIABLE PeriodData : INOUT VitalPeriodDataArrayType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName : IN STRING := ""; CONSTANT TestDelay : IN VitalDelayArraytype; CONSTANT Period : IN VitalDelayArraytype; CONSTANT PulseWidthHigh : IN VitalDelayArraytype; CONSTANT PulseWidthLow : IN VitalDelayArraytype; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT MsgFormat : IN VitalMemoryMsgFormatType )IS VARIABLE TestDly : VitalDelayType; VARIABLE CheckInfo : CheckInfoType; VARIABLE PeriodObs : VitalDelayType; VARIABLE PulseTest : BOOLEAN; VARIABLE PeriodTest: BOOLEAN; VARIABLE TestValue : X01; BEGIN FOR i IN TestSignal'RANGE LOOP TestDly := Maximum(0 ns, TestDelay(i)); TestValue := To_X01(TestSignal(i)); IF (PeriodData(i).NotFirstFlag = FALSE) THEN PeriodData(i).Rise := -Maximum(Period(i), Maximum(PulseWidthHigh(i),PulseWidthLow(i))); PeriodData(i).Fall := -Maximum(Period(i), Maximum(PulseWidthHigh(i),PulseWidthLow(i))); PeriodData(i).Last := TestValue; PeriodData(i).NotFirstFlag := TRUE; END IF; -- Initialize for no violation Violation(i) := '0'; -- No violation possible if no test signal change NEXT WHEN (PeriodData(i).Last = TestValue); -- record starting pulse times IF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'P')) THEN -- Compute period times, then record the High Rise Time PeriodObs := NOW - PeriodData(i).Rise; PeriodData(i).Rise := NOW; PeriodTest := TRUE; ELSIF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'N')) THEN -- Compute period times, then record the Low Fall Time PeriodObs := NOW - PeriodData(i).Fall; PeriodData(i).Fall := NOW; PeriodTest := TRUE; ELSE PeriodTest := FALSE; END IF; -- do checks on pulse ends IF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'p')) THEN -- Compute pulse times CheckInfo.ObsTime := NOW - PeriodData(i).Fall; CheckInfo.ExpTime := PulseWidthLow(i); PulseTest := TRUE; ELSIF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'n')) THEN -- Compute pulse times CheckInfo.ObsTime := NOW - PeriodData(i).Rise; CheckInfo.ExpTime := PulseWidthHigh(i); PulseTest := TRUE; ELSE PulseTest := FALSE; END IF; IF (PulseTest AND CheckEnabled) THEN -- Verify Pulse Width [ignore 1st edge] IF (CheckInfo.ObsTime < CheckInfo.ExpTime) THEN IF (XOn) THEN Violation(i) := 'X'; END IF; IF (MsgOn) THEN CheckInfo.Violation := TRUE; CheckInfo.CheckKind := PulseWidCheck; CheckInfo.DetTime := NOW - TestDly; CheckInfo.State := PeriodData(i).Last; VitalMemoryReportViolation (TestSignalName, "", i, HeaderMsg, CheckInfo, MsgFormat, MsgSeverity ); END IF; -- MsgOn END IF; END IF; IF (PeriodTest AND CheckEnabled) THEN -- Verify the Period [ignore 1st edge] CheckInfo.ObsTime := PeriodObs; CheckInfo.ExpTime := Period(i); IF ( CheckInfo.ObsTime < CheckInfo.ExpTime ) THEN IF (XOn) THEN Violation(i) := 'X'; END IF; IF (MsgOn) THEN CheckInfo.Violation := TRUE; CheckInfo.CheckKind := PeriodCheck; CheckInfo.DetTime := NOW - TestDly; CheckInfo.State := TestValue; VitalMemoryReportViolation (TestSignalName, "", i, HeaderMsg, CheckInfo, MsgFOrmat, MsgSeverity ); END IF; -- MsgOn END IF; END IF; PeriodData(i).Last := TestValue; END LOOP; END VitalMemoryPeriodPulseCheck; -- ---------------------------------------------------------------------------- -- Functionality Section -- ---------------------------------------------------------------------------- -- Look-up table. Given an int, we can get the 4-bit bit_vector. TYPE HexToBitvTableType IS ARRAY (NATURAL RANGE <>) OF std_logic_vector(3 DOWNTO 0) ; CONSTANT HexToBitvTable : HexToBitvTableType (0 TO 15) := ( "0000", "0001", "0010", "0011", "0100", "0101", "0110", "0111", "1000", "1001", "1010", "1011", "1100", "1101", "1110", "1111" ) ; -- ---------------------------------------------------------------------------- -- Misc Utilities Local Utilities -- ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- Procedure: IsSpace -- Parameters: ch -- input character -- Description: Returns TRUE or FALSE depending on the input character -- being white space or not. -- ---------------------------------------------------------------------------- FUNCTION IsSpace (ch : character) RETURN boolean IS BEGIN RETURN ((ch = ' ') OR (ch = CR) OR (ch = HT) OR (ch = NUL)); END IsSpace; -- ---------------------------------------------------------------------------- -- Procedure: LenOfString -- Parameters: Str -- input string -- Description: Returns the NATURAL length of the input string. -- as terminated by the first NUL character. -- ---------------------------------------------------------------------------- FUNCTION LenOfString (Str : STRING) RETURN NATURAL IS VARIABLE StrRight : NATURAL; BEGIN StrRight := Str'RIGHT; FOR i IN Str'RANGE LOOP IF (Str(i) = NUL) THEN StrRight := i - 1; EXIT; END IF; END LOOP; RETURN (StrRight); END LenOfString; -- ---------------------------------------------------------------------------- -- Procedure: HexToInt -- Parameters: Hex -- input character or string -- Description: Converts input character or string interpreted as a -- hexadecimal representation to integer value. -- ---------------------------------------------------------------------------- FUNCTION HexToInt(Hex : CHARACTER) RETURN INTEGER IS CONSTANT HexChars : STRING := "0123456789ABCDEFabcdef"; CONSTANT XHiChar : CHARACTER := 'X'; CONSTANT XLoChar : CHARACTER := 'x'; BEGIN IF (Hex = XLoChar OR Hex = XHiChar) THEN RETURN (23); END IF; FOR i IN 1 TO 16 LOOP IF(Hex = HexChars(i)) THEN RETURN (i-1); END IF; END LOOP; FOR i IN 17 TO 22 LOOP IF (Hex = HexChars(i)) THEN RETURN (i-7); END IF; END LOOP; ASSERT FALSE REPORT "Invalid character received by HexToInt function" SEVERITY WARNING; RETURN (0); END HexToInt; -- ---------------------------------------------------------------------------- FUNCTION HexToInt (Hex : STRING) RETURN INTEGER IS VARIABLE Value : INTEGER := 0; VARIABLE Length : INTEGER; BEGIN Length := LenOfString(hex); IF (Length > 8) THEN ASSERT FALSE REPORT "Invalid string length received by HexToInt function" SEVERITY WARNING; ELSE FOR i IN 1 TO Length LOOP Value := Value + HexToInt(Hex(i)) * 16 ** (Length - i); END LOOP; END IF; RETURN (Value); END HexToInt; -- ---------------------------------------------------------------------------- -- Procedure: HexToBitv -- Parameters: Hex -- Input hex string -- Description: Converts input hex string to a std_logic_vector -- ---------------------------------------------------------------------------- FUNCTION HexToBitv( Hex : STRING ) RETURN std_logic_vector is VARIABLE Index : INTEGER := 0 ; VARIABLE ValHexToInt : INTEGER ; VARIABLE BitsPerHex : INTEGER := 4 ; -- Denotes no. of bits per hex char. VARIABLE HexLen : NATURAL := (BitsPerHex * LenOfString(Hex)) ; VARIABLE TableVal : std_logic_vector(3 DOWNTO 0) ; VARIABLE Result : std_logic_vector(HexLen-1 DOWNTO 0) ; BEGIN -- Assign 4-bit wide bit vector to result directly from a look-up table. Index := 0 ; WHILE ( Index < HexLen ) LOOP ValHexToInt := HexToInt( Hex((HexLen - Index)/BitsPerHex ) ); IF ( ValHexToInt = 23 ) THEN TableVal := "XXXX"; ELSE -- Look up from the table. TableVal := HexToBitvTable( ValHexToInt ) ; END IF; -- Assign now. Result(Index+3 DOWNTO Index) := TableVal ; -- Get ready for next block of 4-bits. Index := Index + 4 ; END LOOP ; RETURN Result ; END HexToBitv ; -- ---------------------------------------------------------------------------- -- Procedure: BinToBitv -- Parameters: Bin -- Input bin string -- Description: Converts input bin string to a std_logic_vector -- ---------------------------------------------------------------------------- FUNCTION BinToBitv( Bin : STRING ) RETURN std_logic_vector is VARIABLE Index : INTEGER := 0 ; VARIABLE Length : NATURAL := LenOfString(Bin); VARIABLE BitVal : std_ulogic; VARIABLE Result : std_logic_vector(Length-1 DOWNTO 0) ; BEGIN Index := 0 ; WHILE ( Index < Length ) LOOP IF (Bin(Length-Index) = '0') THEN BitVal := '0'; ELSIF (Bin(Length-Index) = '1') THEN BitVal := '1'; ELSE BitVal := 'X'; END IF ; -- Assign now. Result(Index) := BitVal ; Index := Index + 1 ; END LOOP ; RETURN Result ; END BinToBitv ; -- ---------------------------------------------------------------------------- -- For Memory Table Modeling -- ---------------------------------------------------------------------------- TYPE To_MemoryCharType IS ARRAY (VitalMemorySymbolType) OF CHARACTER; CONSTANT To_MemoryChar : To_MemoryCharType := ( '/', '\', 'P', 'N', 'r', 'f', 'p', 'n', 'R', 'F', '^', 'v', 'E', 'A', 'D', '*', 'X', '0', '1', '-', 'B', 'Z', 'S', 'g', 'u', 'i', 'G', 'U', 'I', 'w', 's', 'c', 'l', 'd', 'e', 'C', 'L', 'M', 'm', 't' ); TYPE ValidMemoryTableInputType IS ARRAY (VitalMemorySymbolType) OF BOOLEAN; CONSTANT ValidMemoryTableInput : ValidMemoryTableInputType := -- '/', '\', 'P', 'N', 'r', 'f', ( TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, -- 'p', 'n', 'R', 'F', '^', 'v', TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, -- 'E', 'A', 'D', '*', TRUE, TRUE, TRUE, TRUE, -- 'X', '0', '1', '-', 'B', 'Z', TRUE, TRUE, TRUE, TRUE, TRUE, FALSE, -- 'S', TRUE, -- 'g', 'u', 'i', 'G', 'U', 'I', FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, -- 'w', 's', FALSE, FALSE, -- 'c', 'l', 'd', 'e', 'C', 'L', FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, -- 'M', 'm', 't' FALSE, FALSE, FALSE); TYPE MemoryTableMatchType IS ARRAY (X01,X01,VitalMemorySymbolType) OF BOOLEAN; -- last value, present value, table symbol CONSTANT MemoryTableMatch : MemoryTableMatchType := ( ( -- X (lastvalue) -- / \ P N r f -- p n R F ^ v -- E A D * -- X 0 1 - B Z S -- g u i G U I -- w s -- c l d e, C L -- m t ( FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,FALSE, TRUE, FALSE,FALSE,TRUE, FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE), ( FALSE,FALSE,FALSE,TRUE, FALSE,FALSE, FALSE,FALSE,FALSE,TRUE, FALSE,TRUE, TRUE, FALSE,TRUE, TRUE, FALSE,TRUE, FALSE,TRUE, TRUE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE), ( FALSE,FALSE,TRUE, FALSE,FALSE,FALSE, FALSE,FALSE,TRUE, FALSE,TRUE, FALSE, TRUE, TRUE, FALSE,TRUE, FALSE,FALSE,TRUE, TRUE, TRUE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE) ), (-- 0 (lastvalue) -- / \ P N r f -- p n R F ^ v -- E A D * -- X 0 1 - B Z S -- g u i G U I -- w s -- c l d e, C L -- m t ( FALSE,FALSE,FALSE,FALSE,TRUE, FALSE, TRUE, FALSE,TRUE, FALSE,FALSE,FALSE, FALSE,TRUE, FALSE,TRUE, TRUE, FALSE,FALSE,TRUE, FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE), ( FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,FALSE, FALSE,TRUE, FALSE,TRUE, TRUE, FALSE,TRUE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE), ( TRUE, FALSE,TRUE, FALSE,FALSE,FALSE, TRUE, FALSE,TRUE, FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,TRUE, FALSE,FALSE,TRUE, TRUE, TRUE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE) ), (-- 1 (lastvalue) -- / \ P N r f -- p n R F ^ v -- E A D * -- X 0 1 - B Z S -- g u i G U I -- w s -- c l d e, C L -- m t ( FALSE,FALSE,FALSE,FALSE,FALSE,TRUE , FALSE,TRUE, FALSE,TRUE, FALSE,FALSE, FALSE,FALSE,TRUE, TRUE, TRUE, FALSE,FALSE,TRUE, FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE), ( FALSE,TRUE, FALSE,TRUE, FALSE,FALSE, FALSE,TRUE, FALSE,TRUE, FALSE,FALSE, FALSE,FALSE,FALSE,TRUE, FALSE,TRUE, FALSE,TRUE, TRUE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE), ( FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,TRUE, TRUE, TRUE, FALSE,TRUE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE, FALSE,FALSE,FALSE,FALSE,FALSE,FALSE, FALSE,FALSE,FALSE) ) ); -- ---------------------------------------------------------------------------- -- Error Message Types and Tables -- ---------------------------------------------------------------------------- TYPE VitalMemoryErrorType IS ( ErrGoodAddr, -- 'g' Good address (no transition) ErrUnknAddr, -- 'u' 'X' levels in address (no transition) ErrInvaAddr, -- 'i' Invalid address (no transition) ErrGoodTrAddr, -- 'G' Good address (with transition) ErrUnknTrAddr, -- 'U' 'X' levels in address (with transition) ErrInvaTrAddr, -- 'I' Invalid address (with transition) ErrWrDatMem, -- 'w' Writing data to memory ErrNoChgMem, -- 's' Retaining previous memory contents ErrCrAllMem, -- 'c' Corrupting entire memory with 'X' ErrCrWrdMem, -- 'l' Corrupting a word in memory with 'X' ErrCrBitMem, -- 'd' Corrupting a single bit in memory with 'X' ErrCrDatMem, -- 'e' Corrupting a word with 'X' based on data in ErrCrAllSubMem,-- 'C' Corrupting a sub-word entire memory with 'X' ErrCrWrdSubMem,-- 'L' Corrupting a sub-word in memory with 'X' ErrCrBitSubMem,-- 'D' Corrupting a single bit of a memory sub-word with 'X' ErrCrDatSubMem,-- 'E' Corrupting a sub-word with 'X' based on data in ErrCrWrdOut, -- 'l' Corrupting data out with 'X' ErrCrBitOut, -- 'd' Corrupting a single bit of data out with 'X' ErrCrDatOut, -- 'e' Corrupting data out with 'X' based on data in ErrCrWrdSubOut,-- 'L' Corrupting data out sub-word with 'X' ErrCrBitSubOut,-- 'D' Corrupting a single bit of data out sub-word with 'X' ErrCrDatSubOut,-- 'E' Corrupting data out sub-word with 'X' based on data in ErrImplOut, -- 'M' Implicit read from memory to data out ErrReadOut, -- 'm' Reading data from memory to data out ErrAssgOut, -- 't' Transfering from data in to data out ErrAsgXOut, -- 'X' Assigning unknown level to data out ErrAsg0Out, -- '0' Assigning low level to data out ErrAsg1Out, -- '1' Assigning high level to data out ErrAsgZOut, -- 'Z' Assigning high impedence to data out ErrAsgSOut, -- 'S' Keeping data out at steady value ErrAsgXMem, -- 'X' Assigning unknown level to memory location ErrAsg0Mem, -- '0' Assigning low level to memory location ErrAsg1Mem, -- '1' Assigning high level to memory location ErrAsgZMem, -- 'Z' Assigning high impedence to memory location ErrDefMemAct, -- No memory table match, using default action ErrInitMem, -- Initialize memory contents ErrMcpWrCont, -- Memory cross port to same port write contention ErrMcpCpCont, -- Memory cross port read/write data/memory contention ErrMcpCpRead, -- Memory cross port read to same port ErrMcpRdWrCo, -- Memory cross port read/write data only contention ErrMcpCpWrCont,-- Memory cross port to cross port write contention ErrUnknMemDo, -- Unknown memory action ErrUnknDatDo, -- Unknown data action ErrUnknSymbol, -- Illegal memory symbol ErrLdIlgArg, ErrLdAddrRng, ErrLdMemInfo, ErrLdFileEmpty, ErrPrintString ); TYPE VitalMemoryErrorSeverityType IS ARRAY (VitalMemoryErrorType) OF SEVERITY_LEVEL; CONSTANT VitalMemoryErrorSeverity : VitalMemoryErrorSeverityType := ( ErrGoodAddr => NOTE, ErrUnknAddr => WARNING, ErrInvaAddr => WARNING, ErrGoodTrAddr => NOTE, ErrUnknTrAddr => WARNING, ErrInvaTrAddr => WARNING, ErrWrDatMem => NOTE, ErrNoChgMem => NOTE, ErrCrAllMem => WARNING, ErrCrWrdMem => WARNING, ErrCrBitMem => WARNING, ErrCrDatMem => WARNING, ErrCrAllSubMem => WARNING, ErrCrWrdSubMem => WARNING, ErrCrBitSubMem => WARNING, ErrCrDatSubMem => WARNING, ErrCrWrdOut => WARNING, ErrCrBitOut => WARNING, ErrCrDatOut => WARNING, ErrCrWrdSubOut => WARNING, ErrCrBitSubOut => WARNING, ErrCrDatSubOut => WARNING, ErrImplOut => NOTE, ErrReadOut => NOTE, ErrAssgOut => NOTE, ErrAsgXOut => NOTE, ErrAsg0Out => NOTE, ErrAsg1Out => NOTE, ErrAsgZOut => NOTE, ErrAsgSOut => NOTE, ErrAsgXMem => NOTE, ErrAsg0Mem => NOTE, ErrAsg1Mem => NOTE, ErrAsgZMem => NOTE, ErrDefMemAct => NOTE, ErrInitMem => NOTE, ErrMcpWrCont => WARNING, ErrMcpCpCont => WARNING, ErrMcpCpRead => WARNING, ErrMcpRdWrCo => WARNING, ErrMcpCpWrCont => WARNING, ErrUnknMemDo => ERROR, ErrUnknDatDo => ERROR, ErrUnknSymbol => ERROR, ErrLdIlgArg => ERROR, ErrLdAddrRng => WARNING, ErrLdMemInfo => NOTE, ErrLdFileEmpty => ERROR, ErrPrintString => WARNING ); -- ---------------------------------------------------------------------------- CONSTANT MsgGoodAddr : STRING := "Good address (no transition)"; CONSTANT MsgUnknAddr : STRING := "Unknown address (no transition)"; CONSTANT MsgInvaAddr : STRING := "Invalid address (no transition)"; CONSTANT MsgGoodTrAddr : STRING := "Good address (with transition)"; CONSTANT MsgUnknTrAddr : STRING := "Unknown address (with transition)"; CONSTANT MsgInvaTrAddr : STRING := "Invalid address (with transition)"; CONSTANT MsgNoChgMem : STRING := "Retaining previous memory contents"; CONSTANT MsgWrDatMem : STRING := "Writing data to memory"; CONSTANT MsgCrAllMem : STRING := "Corrupting entire memory with 'X'"; CONSTANT MsgCrWrdMem : STRING := "Corrupting a word in memory with 'X'"; CONSTANT MsgCrBitMem : STRING := "Corrupting a single bit in memory with 'X'"; CONSTANT MsgCrDatMem : STRING := "Corrupting a word with 'X' based on data in"; CONSTANT MsgCrAllSubMem : STRING := "Corrupting a sub-word entire memory with 'X'"; CONSTANT MsgCrWrdSubMem : STRING := "Corrupting a sub-word in memory with 'X'"; CONSTANT MsgCrBitSubMem : STRING := "Corrupting a single bit of a sub-word with 'X'"; CONSTANT MsgCrDatSubMem : STRING := "Corrupting a sub-word with 'X' based on data in"; CONSTANT MsgCrWrdOut : STRING := "Corrupting data out with 'X'"; CONSTANT MsgCrBitOut : STRING := "Corrupting a single bit of data out with 'X'"; CONSTANT MsgCrDatOut : STRING := "Corrupting data out with 'X' based on data in"; CONSTANT MsgCrWrdSubOut : STRING := "Corrupting data out sub-word with 'X'"; CONSTANT MsgCrBitSubOut : STRING := "Corrupting a single bit of data out sub-word with 'X'"; CONSTANT MsgCrDatSubOut : STRING := "Corrupting data out sub-word with 'X' based on data in"; CONSTANT MsgImplOut : STRING := "Implicit read from memory to data out"; CONSTANT MsgReadOut : STRING := "Reading data from memory to data out"; CONSTANT MsgAssgOut : STRING := "Transfering from data in to data out"; CONSTANT MsgAsgXOut : STRING := "Assigning unknown level to data out"; CONSTANT MsgAsg0Out : STRING := "Assigning low level to data out"; CONSTANT MsgAsg1Out : STRING := "Assigning high level to data out"; CONSTANT MsgAsgZOut : STRING := "Assigning high impedance to data out"; CONSTANT MsgAsgSOut : STRING := "Keeping data out at steady value"; CONSTANT MsgAsgXMem : STRING := "Assigning unknown level to memory location"; CONSTANT MsgAsg0Mem : STRING := "Assigning low level to memory location"; CONSTANT MsgAsg1Mem : STRING := "Assigning high level to memory location"; CONSTANT MsgAsgZMem : STRING := "Assigning high impedance to memory location"; CONSTANT MsgDefMemAct : STRING := "No memory table match, using default action"; CONSTANT MsgInitMem : STRING := "Initializing memory contents"; CONSTANT MsgMcpWrCont : STRING := "Same port write contention"; CONSTANT MsgMcpCpCont : STRING := "Cross port read/write data/memory contention"; CONSTANT MsgMcpCpRead : STRING := "Cross port read to same port"; CONSTANT MsgMcpRdWrCo : STRING := "Cross port read/write data only contention"; CONSTANT MsgMcpCpWrCont : STRING := "Cross port write contention"; CONSTANT MsgUnknMemDo : STRING := "Unknown memory action"; CONSTANT MsgUnknDatDo : STRING := "Unknown data action"; CONSTANT MsgUnknSymbol : STRING := "Illegal memory symbol"; CONSTANT MsgLdIlgArg : STRING := "Illegal bit arguments while loading memory."; CONSTANT MsgLdMemInfo : STRING := "Loading data from the file into memory."; CONSTANT MsgLdAddrRng : STRING := "Address out of range while loading memory."; CONSTANT MsgLdFileEmpty : STRING := "Memory load file is empty."; CONSTANT MsgPrintString : STRING := ""; CONSTANT MsgUnknown : STRING := "Unknown error message."; CONSTANT MsgVMT : STRING := "VitalMemoryTable"; CONSTANT MsgVMV : STRING := "VitalMemoryViolation"; CONSTANT MsgVDM : STRING := "VitalDeclareMemory"; CONSTANT MsgVMCP : STRING := "VitalMemoryCrossPorts"; -- ---------------------------------------------------------------------------- -- LOCAL Utilities -- ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- Procedure: MemoryMessage -- Parameters: ErrorId -- Input error code -- Description: This function looks up the input error code and returns -- the string value of the associated message. -- ---------------------------------------------------------------------------- FUNCTION MemoryMessage ( CONSTANT ErrorId : IN VitalMemoryErrorType ) RETURN STRING IS BEGIN CASE ErrorId IS WHEN ErrGoodAddr => RETURN MsgGoodAddr ; WHEN ErrUnknAddr => RETURN MsgUnknAddr ; WHEN ErrInvaAddr => RETURN MsgInvaAddr ; WHEN ErrGoodTrAddr => RETURN MsgGoodTrAddr ; WHEN ErrUnknTrAddr => RETURN MsgUnknTrAddr ; WHEN ErrInvaTrAddr => RETURN MsgInvaTrAddr ; WHEN ErrWrDatMem => RETURN MsgWrDatMem ; WHEN ErrNoChgMem => RETURN MsgNoChgMem ; WHEN ErrCrAllMem => RETURN MsgCrAllMem ; WHEN ErrCrWrdMem => RETURN MsgCrWrdMem ; WHEN ErrCrBitMem => RETURN MsgCrBitMem ; WHEN ErrCrDatMem => RETURN MsgCrDatMem ; WHEN ErrCrAllSubMem => RETURN MsgCrAllSubMem; WHEN ErrCrWrdSubMem => RETURN MsgCrWrdSubMem; WHEN ErrCrBitSubMem => RETURN MsgCrBitSubMem; WHEN ErrCrDatSubMem => RETURN MsgCrDatSubMem; WHEN ErrCrWrdOut => RETURN MsgCrWrdOut ; WHEN ErrCrBitOut => RETURN MsgCrBitOut ; WHEN ErrCrDatOut => RETURN MsgCrDatOut ; WHEN ErrCrWrdSubOut => RETURN MsgCrWrdSubOut; WHEN ErrCrBitSubOut => RETURN MsgCrBitSubOut; WHEN ErrCrDatSubOut => RETURN MsgCrDatSubOut; WHEN ErrImplOut => RETURN MsgImplOut ; WHEN ErrReadOut => RETURN MsgReadOut ; WHEN ErrAssgOut => RETURN MsgAssgOut ; WHEN ErrAsgXOut => RETURN MsgAsgXOut ; WHEN ErrAsg0Out => RETURN MsgAsg0Out ; WHEN ErrAsg1Out => RETURN MsgAsg1Out ; WHEN ErrAsgZOut => RETURN MsgAsgZOut ; WHEN ErrAsgSOut => RETURN MsgAsgSOut ; WHEN ErrAsgXMem => RETURN MsgAsgXMem ; WHEN ErrAsg0Mem => RETURN MsgAsg0Mem ; WHEN ErrAsg1Mem => RETURN MsgAsg1Mem ; WHEN ErrAsgZMem => RETURN MsgAsgZMem ; WHEN ErrDefMemAct => RETURN MsgDefMemAct ; WHEN ErrInitMem => RETURN MsgInitMem ; WHEN ErrMcpWrCont => RETURN MsgMcpWrCont ; WHEN ErrMcpCpCont => RETURN MsgMcpCpCont ; WHEN ErrMcpCpRead => RETURN MsgMcpCpRead ; WHEN ErrMcpRdWrCo => RETURN MsgMcpRdWrCo ; WHEN ErrMcpCpWrCont => RETURN MsgMcpCpWrCont; WHEN ErrUnknMemDo => RETURN MsgUnknMemDo ; WHEN ErrUnknDatDo => RETURN MsgUnknDatDo ; WHEN ErrUnknSymbol => RETURN MsgUnknSymbol ; WHEN ErrLdIlgArg => RETURN MsgLdIlgArg ; WHEN ErrLdAddrRng => RETURN MsgLdAddrRng ; WHEN ErrLdMemInfo => RETURN MsgLdMemInfo ; WHEN ErrLdFileEmpty => RETURN MsgLdFileEmpty; WHEN ErrPrintString => RETURN MsgPrintString; WHEN OTHERS => RETURN MsgUnknown ; END CASE; END; -- ---------------------------------------------------------------------------- -- Procedure: PrintMemoryMessage -- Parameters: Routine -- String identifying the calling routine -- ErrorId -- Input error code for message lookup -- Info -- Output string or character -- InfoStr -- Additional output string -- Info1 -- Additional output integer -- Info2 -- Additional output integer -- Info3 -- Additional output integer -- Description: This procedure prints out a memory status message -- given the input error id and other status information. -- ---------------------------------------------------------------------------- PROCEDURE PrintMemoryMessage ( CONSTANT Routine : IN STRING; CONSTANT ErrorId : IN VitalMemoryErrorType ) IS BEGIN ASSERT FALSE REPORT Routine & ": " & MemoryMessage(ErrorId) SEVERITY VitalMemoryErrorSeverity(ErrorId); END; -- ---------------------------------------------------------------------------- PROCEDURE PrintMemoryMessage ( CONSTANT Routine : IN STRING; CONSTANT ErrorId : IN VitalMemoryErrorType; CONSTANT Info : IN STRING ) IS BEGIN ASSERT FALSE REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & Info SEVERITY VitalMemoryErrorSeverity(ErrorId); END; -- ---------------------------------------------------------------------------- PROCEDURE PrintMemoryMessage ( CONSTANT Routine : IN STRING; CONSTANT ErrorId : IN VitalMemoryErrorType; CONSTANT Info1 : IN STRING; CONSTANT Info2 : IN STRING ) IS BEGIN ASSERT FALSE REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & Info1 & " " & Info2 SEVERITY VitalMemoryErrorSeverity(ErrorId); END; -- ---------------------------------------------------------------------------- PROCEDURE PrintMemoryMessage ( CONSTANT Routine : IN STRING; CONSTANT ErrorId : IN VitalMemoryErrorType; CONSTANT Info : IN CHARACTER ) IS BEGIN ASSERT FALSE REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & Info SEVERITY VitalMemoryErrorSeverity(ErrorId); END; -- ---------------------------------------------------------------------------- PROCEDURE PrintMemoryMessage ( CONSTANT Routine : IN STRING; CONSTANT ErrorId : IN VitalMemoryErrorType; CONSTANT InfoStr : IN STRING; CONSTANT Info1 : IN NATURAL ) IS VARIABLE TmpStr : STRING ( 1 TO 256 ) ; VARIABLE TmpInt : INTEGER := 1; BEGIN IntToStr(Info1,TmpStr,TmpInt); ASSERT FALSE REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & InfoStr & " " & TmpStr SEVERITY VitalMemoryErrorSeverity(ErrorId); END; -- ---------------------------------------------------------------------------- PROCEDURE PrintMemoryMessage ( CONSTANT Routine : IN STRING; CONSTANT ErrorId : IN VitalMemoryErrorType; CONSTANT InfoStr : IN STRING; CONSTANT Info1 : IN NATURAL; CONSTANT Info2 : IN NATURAL ) IS VARIABLE TmpStr : STRING ( 1 TO 256 ) ; VARIABLE TmpInt : INTEGER := 1; BEGIN IntToStr(Info1,TmpStr,TmpInt); IntToStr(Info2,TmpStr,TmpInt); ASSERT FALSE REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & InfoStr & " " & TmpStr SEVERITY VitalMemoryErrorSeverity(ErrorId); END; -- ---------------------------------------------------------------------------- PROCEDURE PrintMemoryMessage ( CONSTANT Routine : IN STRING; CONSTANT ErrorId : IN VitalMemoryErrorType; CONSTANT InfoStr : IN STRING; CONSTANT Info1 : IN NATURAL; CONSTANT Info2 : IN NATURAL; CONSTANT Info3 : IN NATURAL ) IS VARIABLE TmpStr : STRING ( 1 TO 256 ) ; VARIABLE TmpInt : INTEGER := 1; BEGIN IntToStr(Info1,TmpStr,TmpInt); IntToStr(Info2,TmpStr,TmpInt); IntToStr(Info3,TmpStr,TmpInt); ASSERT FALSE REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & InfoStr & " " & TmpStr SEVERITY VitalMemoryErrorSeverity(ErrorId); END; -- ---------------------------------------------------------------------------- PROCEDURE PrintMemoryMessage ( CONSTANT Routine : IN STRING; CONSTANT Table : IN VitalMemoryTableType; CONSTANT Index : IN INTEGER; CONSTANT InfoStr : IN STRING ) IS CONSTANT TableEntries : INTEGER := Table'LENGTH(1); CONSTANT TableWidth : INTEGER := Table'LENGTH(2); VARIABLE TmpStr : STRING ( 1 TO 256 ) ; VARIABLE TmpInt : INTEGER := 1; BEGIN IF (Index < 0 AND Index > TableEntries-1) THEN ASSERT FALSE REPORT Routine & ": Memory table search failure" SEVERITY ERROR; END IF; ColLoop: FOR i IN 0 TO TableWidth-1 LOOP IF (i >= 64) THEN TmpStr(TmpInt) := '.'; TmpInt := TmpInt + 1; TmpStr(TmpInt) := '.'; TmpInt := TmpInt + 1; TmpStr(TmpInt) := '.'; TmpInt := TmpInt + 1; EXIT ColLoop; END IF; TmpStr(TmpInt) := '''; TmpInt := TmpInt + 1; TmpStr(TmpInt) := To_MemoryChar(Table(Index,i)); TmpInt := TmpInt + 1; TmpStr(TmpInt) := '''; TmpInt := TmpInt + 1; IF (i < TableWidth-1) THEN TmpStr(TmpInt) := ','; TmpInt := TmpInt + 1; END IF; END LOOP; ASSERT FALSE REPORT Routine & ": Port=" & InfoStr & " TableRow=" & TmpStr SEVERITY NOTE; END; -- ---------------------------------------------------------------------------- -- Procedure: DecodeAddress -- Parameters: Address - Converted address. -- AddrFlag - Flag to indicte address match -- MemoryData - Information about memory characteristics -- PrevAddressBus - Previous input address value -- AddressBus - Input address value. -- Description: This procedure is used for transforming a valid -- address value to an integer in order to access memory. -- It performs address bound checking as well. -- Sets Address to -1 for unknowns -- Sets Address to -2 for out of range -- ---------------------------------------------------------------------------- PROCEDURE DecodeAddress ( VARIABLE Address : INOUT INTEGER; VARIABLE AddrFlag : INOUT VitalMemorySymbolType; VARIABLE MemoryData : IN VitalMemoryDataType; CONSTANT PrevAddressBus : IN std_logic_vector; CONSTANT AddressBus : IN std_logic_vector ) IS VARIABLE Power : NATURAL; VARIABLE AddrUnkn : BOOLEAN; BEGIN Power := 0; AddrUnkn := FALSE; -- It is assumed that always Address'LEFT represents the Most significant bit. FOR i IN AddressBus'RANGE LOOP Power := Power * 2; IF (AddressBus(i) /= '1' AND AddressBus(i) /= '0') THEN AddrUnkn := TRUE; Power := 0; EXIT; ELSIF (AddressBus(i) = '1') THEN Power := Power + 1; END IF; END LOOP; Address := Power; AddrFlag := 'g'; IF (AddrUnkn) THEN AddrFlag := 'u'; -- unknown addr Address := -1; END IF; IF ( Power > (MemoryData.NoOfWords - 1)) THEN AddrFlag := 'i'; -- invalid addr Address := -2; END IF; IF (PrevAddressBus /= AddressBus) THEN CASE AddrFlag IS WHEN 'g' => AddrFlag := 'G'; WHEN 'u' => AddrFlag := 'U'; WHEN 'i' => AddrFlag := 'I'; WHEN OTHERS => ASSERT FALSE REPORT "DecodeAddress: Internal error. [AddrFlag]=" & To_MemoryChar(AddrFlag) SEVERITY ERROR; END CASE; END IF; END DecodeAddress; -- ---------------------------------------------------------------------------- -- Procedure: DecodeData -- Parameters: DataFlag - Flag to indicte data match -- PrevDataInBus - Previous input data value -- DataInBus - Input data value. -- HighBit - High bit offset value. -- LowBit - Low bit offset value. -- Description: This procedure is used for interpreting the input data -- as a data flag for subsequent table matching. -- ---------------------------------------------------------------------------- PROCEDURE DecodeData ( VARIABLE DataFlag : INOUT VitalMemorySymbolType; CONSTANT PrevDataInBus : IN std_logic_vector; CONSTANT DataInBus : IN std_logic_vector; CONSTANT HighBit : IN NATURAL; CONSTANT LowBit : IN NATURAL ) IS VARIABLE DataUnkn : BOOLEAN := FALSE; BEGIN FOR i IN LowBit TO HighBit LOOP IF DataInBus(i) /= '1' AND DataInBus(i) /= '0' THEN DataUnkn := TRUE; EXIT; END IF; END LOOP; DataFlag := 'g'; IF (DataUnkn) THEN DataFlag := 'u'; -- unknown addr END IF; IF (PrevDataInBus(HighBit DOWNTO LowBit) /= DataInBus(HighBit DOWNTO LowBit)) THEN CASE DataFlag IS WHEN 'g' => DataFlag := 'G'; WHEN 'u' => DataFlag := 'U'; WHEN OTHERS => ASSERT FALSE REPORT "DecodeData: Internal error. [DataFlag]=" & To_MemoryChar(DataFlag) SEVERITY ERROR; END CASE; END IF; END DecodeData; -- ---------------------------------------------------------------------------- -- Procedure: WriteMemory -- Parameters: MemoryPtr - Pointer to the memory array. -- DataInBus - Input Data to be written. -- Address - Address of the memory location. -- BitPosition - Position of bit in memory location. -- HighBit - High bit offset value. -- LowBit - Low bit offset value. -- Description: This procedure is used to write to a memory location -- on a bit/byte/word basis. -- The high bit and low bit offset are used for byte write -- operations.These parameters specify the data byte for write. -- In the case of word write the complete memory word is used. -- This procedure is overloaded for bit,byte and word write -- memory operations.The number of parameters may vary. -- ---------------------------------------------------------------------------- PROCEDURE WriteMemory ( VARIABLE MemoryPtr : INOUT VitalMemoryDataType; CONSTANT DataInBus : IN std_logic_vector; CONSTANT Address : IN INTEGER; CONSTANT HighBit : IN NATURAL; CONSTANT LowBit : IN NATURAL ) IS VARIABLE TmpData : std_logic_vector(DataInBus'LENGTH - 1 DOWNTO 0); BEGIN -- Address bound checking. IF ( Address < 0 OR Address > (MemoryPtr.NoOfWords - 1)) THEN PrintMemoryMessage ( "WriteMemory", ErrPrintString, "Aborting write operation as address is out of range.") ; RETURN; END IF; TmpData := To_UX01(DataInBus); FOR i in LowBit to HighBit LOOP MemoryPtr.MemoryArrayPtr(Address).all(i) := TmpData(i); END LOOP; END WriteMemory; -- ---------------------------------------------------------------------------- PROCEDURE WriteMemory ( VARIABLE MemoryPtr : INOUT VitalMemoryDataType; CONSTANT DataInBus : IN std_logic_vector; CONSTANT Address : IN INTEGER; CONSTANT BitPosition : IN NATURAL ) IS VARIABLE HighBit : NATURAL; VARIABLE LowBit : NATURAL; BEGIN HighBit := BitPosition; LowBit := BitPosition; WriteMemory (MemoryPtr, DataInBus, Address, HighBit, LowBit); END WriteMemory; -- ---------------------------------------------------------------------------- PROCEDURE WriteMemory ( VARIABLE MemoryPtr : INOUT VitalMemoryDataType; CONSTANT DataInBus : IN std_logic_vector; CONSTANT Address : IN INTEGER ) IS VARIABLE HighBit : NATURAL; VARIABLE LowBit : NATURAL; BEGIN HighBit := MemoryPtr.NoOfBitsPerWord - 1; LowBit := 0; WriteMemory (MemoryPtr, DataInBus, Address, HighBit, LowBit); END WriteMemory; -- ---------------------------------------------------------------------------- -- Procedure: ReadMemory -- Parameters: MemoryPtr - Pointer to the memory array. -- DataOut - Output Data to be read in this. -- Address - Address of the memory location. -- BitPosition - Position of bit in memory location. -- HighBit - High bit offset value. -- LowBit - Low bit offset value. -- Description: This procedure is used to read from a memory location -- on a bit/byte/word basis. -- The high bit and low bit offset are used for byte write -- operations.These parameters specify the data byte for -- read.In the case of word write the complete memory word -- is used.This procedure is overloaded for bit,byte and -- word write memory operations.The number of parameters -- may vary. -- ---------------------------------------------------------------------------- PROCEDURE ReadMemory ( VARIABLE MemoryPtr : INOUT VitalMemoryDataType; VARIABLE DataOut : OUT std_logic_vector; CONSTANT Address : IN INTEGER; CONSTANT HighBit : IN NATURAL; CONSTANT LowBit : IN NATURAL ) IS VARIABLE DataOutTmp : std_logic_vector(MemoryPtr.NoOfBitsPerWord-1 DOWNTO 0); VARIABLE length : NATURAL := (HighBit - LowBit + 1); BEGIN -- Address bound checking. IF ( Address > (MemoryPtr.NoOfWords - 1)) THEN PrintMemoryMessage ( "ReadMemory",ErrInvaAddr, "[Address,NoOfWords]=",Address,MemoryPtr.NoOfWords ); FOR i in LowBit to HighBit LOOP DataOutTmp(i) := 'X'; END LOOP; ELSE FOR i in LowBit to HighBit LOOP DataOutTmp(i) := MemoryPtr.MemoryArrayPtr (Address).all(i); END LOOP; END IF; DataOut := DataOutTmp; END ReadMemory; -- ---------------------------------------------------------------------------- PROCEDURE ReadMemory ( VARIABLE MemoryPtr : INOUT VitalMemoryDataType; VARIABLE DataOut : OUT std_logic_vector; CONSTANT Address : IN INTEGER; CONSTANT BitPosition : IN NATURAL ) IS VARIABLE HighBit : NATURAL; VARIABLE LowBit : NATURAL; BEGIN HighBit := BitPosition; LowBit := BitPosition; ReadMemory (MemoryPtr, DataOut, Address, HighBit, LowBit); END ReadMemory; -- ---------------------------------------------------------------------------- PROCEDURE ReadMemory ( VARIABLE MemoryPtr : INOUT VitalMemoryDataType; VARIABLE DataOut : OUT std_logic_vector; CONSTANT Address : IN INTEGER ) IS VARIABLE HighBit : NATURAL; VARIABLE LowBit : NATURAL; BEGIN HighBit := MemoryPtr.NoOfBitsPerWord - 1; LowBit := 0; ReadMemory (MemoryPtr, DataOut, Address, HighBit, LowBit); END ReadMemory; -- ---------------------------------------------------------------------------- -- Procedure: LoadMemory -- Parameters: MemoryPtr - Pointer to the memory array. -- FileName - Name of the output file. -- HighBit - High bit offset value. -- LowBit - Low bit offset value. -- Description: This procedure is used to load the contents of the memory -- from a specified input file. -- The high bit and low bit offset are used so that same task -- can be used for all bit/byte/word write operations. -- In the case of a bit write RAM the HighBit and LowBit have -- the same value. -- This procedure is overloaded for word write operations. -- ---------------------------------------------------------------------------- PROCEDURE LoadMemory ( VARIABLE MemoryPtr : INOUT VitalMemoryDataType; CONSTANT FileName : IN STRING; CONSTANT BinaryFile : IN BOOLEAN := FALSE ) IS FILE Fptr : TEXT OPEN read_mode IS FileName; VARIABLE OneLine : LINE; VARIABLE Ignore : CHARACTER; VARIABLE Index : NATURAL := 1; VARIABLE LineNo : NATURAL := 0; VARIABLE Address : INTEGER := 0; VARIABLE DataInBus : std_logic_vector(MemoryPtr.NoOfBitsPerWord-1 DOWNTO 0); VARIABLE AddrStr : STRING(1 TO 80) ; VARIABLE DataInStr : STRING(1 TO 255) ; BEGIN IF (ENDFILE(fptr)) THEN PrintMemoryMessage (MsgVDM, ErrLdFileEmpty, "[FileName]="&FileName); RETURN; END IF ; PrintMemoryMessage ( MsgVDM,ErrLdMemInfo, "[FileName]="&FileName ); WHILE (NOT ENDFILE(fptr)) LOOP ReadLine(Fptr, OneLine); LineNo := LineNo + 1 ; -- First ignoring leading spaces. WHILE (OneLine'LENGTH /= 0 and IsSpace(OneLine(1))) LOOP READ (OneLine, Ignore) ; -- Ignoring the space character. END LOOP ; -- Note that, by now oneline has been "stripped" of its leading spaces. IF ( OneLine(1) = '@' ) THEN READ (OneLine, Ignore); -- Ignore the '@' character and read the string. -- Now strip off spaces, if any, between '@' and Address string. WHILE (OneLine'LENGTH /= 0 and IsSpace(OneLine(1))) LOOP READ (OneLine, Ignore) ; -- Ignoring the space character. END LOOP ; -- Now get the string which represents the address into string variable. Index := 1; WHILE (OneLine'LENGTH /= 0 AND (NOT(IsSpace(OneLine(1))))) LOOP READ(OneLine, AddrStr(Index)); Index := Index + 1; END LOOP ; AddrStr(Index) := NUL; -- Now convert the hex string into a hex integer Address := HexToInt(AddrStr) ; ELSE IF ( LineNo /= 1 ) THEN Address := Address + 1; END IF; END IF ; IF ( Address > (MemoryPtr.NoOfWords - 1) ) THEN PrintMemoryMessage (MsgVDM, ErrLdAddrRng, "[Address,lineno]=", Address, LineNo) ; EXIT ; END IF; -- Now strip off spaces, between Address string and DataInBus string. WHILE (OneLine'LENGTH /= 0 AND IsSpace(OneLine(1))) LOOP READ (OneLine, Ignore) ; -- Ignoring the space character. END LOOP ; Index := 1; WHILE (OneLine'LENGTH /= 0 AND (NOT(IsSpace(OneLine(1))))) LOOP READ(OneLine, DataInStr(Index)); Index := Index + 1; END LOOP ; DataInStr(Index) := NUL; IF (BinaryFile) THEN DataInBus := BinToBitv (DataInStr); ELSE DataInBus := HexToBitv (DataInStr); END IF ; WriteMemory (MemoryPtr, DataInBus, Address); END LOOP ; END LoadMemory; -- ---------------------------------------------------------------------------- -- Procedure: MemoryMatch -- Parameters: Symbol - Symbol from memory table -- TestFlag - Interpreted data or address symbol -- In2 - input from VitalMemoryTable procedure -- to memory table -- In2LastValue - Previous value of input -- Err - TRUE if symbol is not a valid input symbol -- ReturnValue - TRUE if match occurred -- Description: This procedure sets ReturnValue to true if in2 matches -- symbol (from the memory table). If symbol is an edge -- value edge is set to true and in2 and in2LastValue are -- checked against symbol. Err is set to true if symbol -- is an invalid value for the input portion of the memory -- table. -- ---------------------------------------------------------------------------- PROCEDURE MemoryMatch ( CONSTANT Symbol : IN VitalMemorySymbolType; CONSTANT In2 : IN std_ulogic; CONSTANT In2LastValue : IN std_ulogic; VARIABLE Err : OUT BOOLEAN; VARIABLE ReturnValue : OUT BOOLEAN ) IS BEGIN IF (NOT ValidMemoryTableInput(Symbol) ) THEN PrintMemoryMessage(MsgVMT,ErrUnknSymbol,To_MemoryChar(Symbol)); Err := TRUE; ReturnValue := FALSE; ELSE ReturnValue := MemoryTableMatch(To_X01(In2LastValue), To_X01(In2), Symbol); Err := FALSE; END IF; END; -- ---------------------------------------------------------------------------- PROCEDURE MemoryMatch ( CONSTANT Symbol : IN VitalMemorySymbolType; CONSTANT TestFlag : IN VitalMemorySymbolType; VARIABLE Err : OUT BOOLEAN; VARIABLE ReturnValue : OUT BOOLEAN ) IS BEGIN Err := FALSE; ReturnValue := FALSE; CASE Symbol IS WHEN 'g'|'u'|'i'|'G'|'U'|'I'|'-'|'*'|'S' => IF (Symbol = TestFlag) THEN ReturnValue := TRUE; ELSE CASE Symbol IS WHEN '-' => ReturnValue := TRUE; Err := FALSE; WHEN '*' => IF (TestFlag = 'G' OR TestFlag = 'U' OR TestFlag = 'I') THEN ReturnValue := TRUE; Err := FALSE; END IF; WHEN 'S' => IF (TestFlag = 'g' OR TestFlag = 'u' OR TestFlag = 'i') THEN ReturnValue := TRUE; Err := FALSE; END IF; WHEN OTHERS => ReturnValue := FALSE; END CASE; END IF; WHEN OTHERS => Err := TRUE; RETURN; END CASE; END; -- ---------------------------------------------------------------------------- -- Procedure: MemoryTableCorruptMask -- Description: Compute memory and data corruption masks for memory table -- ---------------------------------------------------------------------------- PROCEDURE MemoryTableCorruptMask ( VARIABLE CorruptMask : OUT std_logic_vector; CONSTANT Action : IN VitalMemorySymbolType; CONSTANT EnableIndex : IN INTEGER; CONSTANT BitsPerWord : IN INTEGER; CONSTANT BitsPerSubWord : IN INTEGER; CONSTANT BitsPerEnable : IN INTEGER ) IS VARIABLE CorruptMaskTmp : std_logic_vector (CorruptMask'RANGE) := (OTHERS => '0'); VARIABLE ViolFlAryPosn : INTEGER; VARIABLE HighBit : INTEGER; VARIABLE LowBit : INTEGER; BEGIN CASE (Action) IS WHEN 'c'|'l'|'e' => -- Corrupt whole word CorruptMaskTmp := (OTHERS => 'X'); CorruptMask := CorruptMaskTmp; RETURN; WHEN 'd'|'C'|'L'|'D'|'E' => -- Process corruption below WHEN OTHERS => -- No data or memory corruption CorruptMaskTmp := (OTHERS => '0'); CorruptMask := CorruptMaskTmp; RETURN; END CASE; IF (Action = 'd') THEN CorruptMaskTmp := (OTHERS => 'X'); CorruptMask := CorruptMaskTmp; RETURN; END IF; -- Remaining are subword cases 'C', 'L', 'D', 'E' CorruptMaskTmp := (OTHERS => '0'); LowBit := 0; HighBit := BitsPerSubWord-1; SubWordLoop: FOR i IN 0 TO BitsPerEnable-1 LOOP IF (i = EnableIndex) THEN FOR j IN HighBit TO LowBit LOOP CorruptMaskTmp(j) := 'X'; END LOOP; END IF; -- Calculate HighBit and LowBit LowBit := LowBit + BitsPerSubWord; IF (LowBit > BitsPerWord) THEN LowBit := BitsPerWord; END IF; HighBit := LowBit + BitsPerSubWord; IF (HighBit > BitsPerWord) THEN HighBit := BitsPerWord; ELSE HighBit := HighBit - 1; END IF; END LOOP; CorruptMask := CorruptMaskTmp; RETURN; END; -- ---------------------------------------------------------------------------- PROCEDURE MemoryTableCorruptMask ( VARIABLE CorruptMask : OUT std_logic_vector; CONSTANT Action : IN VitalMemorySymbolType ) IS VARIABLE CorruptMaskTmp : std_logic_vector (0 TO CorruptMask'LENGTH-1) := (OTHERS => '0'); VARIABLE ViolFlAryPosn : INTEGER; VARIABLE HighBit : INTEGER; VARIABLE LowBit : INTEGER; BEGIN CASE (Action) IS WHEN 'c'|'l'|'d'|'e'|'C'|'L'|'D'|'E' => -- Corrupt whole word CorruptMaskTmp := (OTHERS => 'X'); CorruptMask := CorruptMaskTmp; RETURN; WHEN OTHERS => -- No data or memory corruption CorruptMaskTmp := (OTHERS => '0'); CorruptMask := CorruptMaskTmp; RETURN; END CASE; RETURN; END; -- ---------------------------------------------------------------------------- -- Procedure: MemoryTableCorruptMask -- Description: Compute memory and data corruption masks for violation table -- ---------------------------------------------------------------------------- PROCEDURE ViolationTableCorruptMask ( VARIABLE CorruptMask : OUT std_logic_vector; CONSTANT Action : IN VitalMemorySymbolType; CONSTANT ViolationFlags : IN std_logic_vector; CONSTANT ViolationFlagsArray : IN std_logic_vector; CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType; CONSTANT ViolationTable : IN VitalMemoryTableType; CONSTANT TableIndex : IN INTEGER; CONSTANT BitsPerWord : IN INTEGER; CONSTANT BitsPerSubWord : IN INTEGER; CONSTANT BitsPerEnable : IN INTEGER ) IS VARIABLE CorruptMaskTmp : std_logic_vector (CorruptMask'RANGE) := (OTHERS => '0'); VARIABLE ViolMaskTmp : std_logic_vector (CorruptMask'RANGE) := (OTHERS => '0'); VARIABLE ViolFlAryPosn : INTEGER; VARIABLE HighBit : INTEGER; VARIABLE LowBit : INTEGER; CONSTANT ViolFlagsSize : INTEGER := ViolationFlags'LENGTH; CONSTANT ViolFlArySize : INTEGER := ViolationFlagsArray'LENGTH; CONSTANT TableEntries : INTEGER := ViolationTable'LENGTH(1); CONSTANT TableWidth : INTEGER := ViolationTable'LENGTH(2); CONSTANT DatActionNdx : INTEGER := TableWidth - 1; CONSTANT MemActionNdx : INTEGER := TableWidth - 2; BEGIN CASE (Action) IS WHEN 'c'|'l'|'e' => -- Corrupt whole word CorruptMaskTmp := (OTHERS => 'X'); CorruptMask := CorruptMaskTmp; RETURN; WHEN 'd'|'C'|'L'|'D'|'E' => -- Process corruption below WHEN OTHERS => -- No data or memory corruption CorruptMaskTmp := (OTHERS => '0'); CorruptMask := CorruptMaskTmp; RETURN; END CASE; RowLoop: -- Check each element of the ViolationFlags FOR j IN 0 TO ViolFlagsSize LOOP IF (j = ViolFlagsSize) THEN ViolFlAryPosn := 0; RowLoop2: -- Check relevant elements of the ViolationFlagsArray FOR k IN 0 TO MemActionNdx - ViolFlagsSize - 1 LOOP IF (ViolationTable(TableIndex, k + ViolFlagsSize) = 'X') THEN MaskLoop: -- Set the 'X' bits in the violation mask FOR m IN INTEGER RANGE 0 TO CorruptMask'LENGTH-1 LOOP IF (m <= ViolationSizesArray(k)-1) THEN ViolMaskTmp(m) := ViolMaskTmp(m) XOR ViolationFlagsArray(ViolFlAryPosn+m); ELSE EXIT MaskLoop; END IF; END LOOP; END IF; ViolFlAryPosn := ViolFlAryPosn + ViolationSizesArray(k); END LOOP; ELSE IF (ViolationTable(TableIndex, j) = 'X') THEN ViolMaskTmp(0) := ViolMaskTmp(0) XOR ViolationFlags(j); END IF; END IF; END LOOP; IF (Action = 'd') THEN CorruptMask := ViolMaskTmp; RETURN; END IF; -- Remaining are subword cases 'C', 'L', 'D', 'E' CorruptMaskTmp := (OTHERS => '0'); LowBit := 0; HighBit := BitsPerSubWord-1; SubWordLoop: FOR i IN 0 TO BitsPerEnable-1 LOOP IF (ViolMaskTmp(i) = 'X') THEN FOR j IN HighBit TO LowBit LOOP CorruptMaskTmp(j) := 'X'; END LOOP; END IF; -- Calculate HighBit and LowBit LowBit := LowBit + BitsPerSubWord; IF (LowBit > BitsPerWord) THEN LowBit := BitsPerWord; END IF; HighBit := LowBit + BitsPerSubWord; IF (HighBit > BitsPerWord) THEN HighBit := BitsPerWord; ELSE HighBit := HighBit - 1; END IF; END LOOP; CorruptMask := CorruptMaskTmp; RETURN; END; -- ---------------------------------------------------------------------------- -- Procedure: MemoryTableLookUp -- Parameters: MemoryAction - Output memory action to be performed -- DataAction - Output data action to be performed -- PrevControls - Previous data in for edge detection -- PrevEnableBus - Previous enables for edge detection -- Controls - Agregate of scalar control lines -- EnableBus - Concatenation of vector control lines -- EnableIndex - Current slice of vector control lines -- AddrFlag - Matching symbol from address decoding -- DataFlag - Matching symbol from data decoding -- MemoryTable - Input memory action table -- PortName - Port name string for messages -- HeaderMsg - Header string for messages -- MsgOn - Control message output -- -- Description: This function is used to find the output of the -- MemoryTable corresponding to a given set of inputs. -- -- ---------------------------------------------------------------------------- PROCEDURE MemoryTableLookUp ( VARIABLE MemoryAction : OUT VitalMemorySymbolType; VARIABLE DataAction : OUT VitalMemorySymbolType; VARIABLE MemoryCorruptMask : OUT std_logic_vector; VARIABLE DataCorruptMask : OUT std_logic_vector; CONSTANT PrevControls : IN std_logic_vector; CONSTANT Controls : IN std_logic_vector; CONSTANT AddrFlag : IN VitalMemorySymbolType; CONSTANT DataFlag : IN VitalMemorySymbolType; CONSTANT MemoryTable : IN VitalMemoryTableType; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE ) IS CONSTANT ControlsSize : INTEGER := Controls'LENGTH; CONSTANT TableEntries : INTEGER := MemoryTable'LENGTH(1); CONSTANT TableWidth : INTEGER := MemoryTable'LENGTH(2); CONSTANT DatActionNdx : INTEGER := TableWidth - 1; CONSTANT MemActionNdx : INTEGER := TableWidth - 2; CONSTANT DataInBusNdx : INTEGER := TableWidth - 3; CONSTANT AddressBusNdx : INTEGER := TableWidth - 4; VARIABLE AddrFlagTable : VitalMemorySymbolType; VARIABLE Match : BOOLEAN; VARIABLE Err : BOOLEAN := FALSE; VARIABLE TableAlias : VitalMemoryTableType( 0 TO TableEntries - 1, 0 TO TableWidth - 1) := MemoryTable; BEGIN ColLoop: -- Compare each entry in the table FOR i IN TableAlias'RANGE(1) LOOP RowLoop: -- Check each element of the Controls FOR j IN 0 TO ControlsSize LOOP IF (j = ControlsSize) THEN -- a match occurred, now check AddrFlag, DataFlag MemoryMatch(TableAlias(i,AddressBusNdx),AddrFlag,Err,Match); IF (Match) THEN MemoryMatch(TableAlias(i,DataInBusNdx),DataFlag,Err,Match); IF (Match) THEN MemoryTableCorruptMask ( CorruptMask => MemoryCorruptMask , Action => TableAlias(i, MemActionNdx) ); MemoryTableCorruptMask ( CorruptMask => DataCorruptMask , Action => TableAlias(i, DatActionNdx) ); -- get the return memory and data actions MemoryAction := TableAlias(i, MemActionNdx); DataAction := TableAlias(i, DatActionNdx); -- DEBUG: The lines below report table search IF (MsgOn) THEN PrintMemoryMessage(MsgVMT,TableAlias,i,PortName); END IF; -- DEBUG: The lines above report table search RETURN; END IF; END IF; ELSE -- Match memory table inputs MemoryMatch ( TableAlias(i,j), Controls(j), PrevControls(j), Err, Match); END IF; EXIT RowLoop WHEN NOT(Match); EXIT ColLoop WHEN Err; END LOOP RowLoop; END LOOP ColLoop; -- no match found, return default action MemoryAction := 's'; -- no change to memory DataAction := 'S'; -- no change to dataout IF (MsgOn) THEN PrintMemoryMessage(MsgVMT,ErrDefMemAct,HeaderMsg,PortName); END IF; RETURN; END; -- ---------------------------------------------------------------------------- PROCEDURE MemoryTableLookUp ( VARIABLE MemoryAction : OUT VitalMemorySymbolType; VARIABLE DataAction : OUT VitalMemorySymbolType; VARIABLE MemoryCorruptMask : OUT std_logic_vector; VARIABLE DataCorruptMask : OUT std_logic_vector; CONSTANT PrevControls : IN std_logic_vector; CONSTANT PrevEnableBus : IN std_logic_vector; CONSTANT Controls : IN std_logic_vector; CONSTANT EnableBus : IN std_logic_vector; CONSTANT EnableIndex : IN INTEGER; CONSTANT BitsPerWord : IN INTEGER; CONSTANT BitsPerSubWord : IN INTEGER; CONSTANT BitsPerEnable : IN INTEGER; CONSTANT AddrFlag : IN VitalMemorySymbolType; CONSTANT DataFlag : IN VitalMemorySymbolType; CONSTANT MemoryTable : IN VitalMemoryTableType; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE ) IS CONSTANT ControlsSize : INTEGER := Controls'LENGTH; CONSTANT TableEntries : INTEGER := MemoryTable'LENGTH(1); CONSTANT TableWidth : INTEGER := MemoryTable'LENGTH(2); CONSTANT DatActionNdx : INTEGER := TableWidth - 1; CONSTANT MemActionNdx : INTEGER := TableWidth - 2; CONSTANT DataInBusNdx : INTEGER := TableWidth - 3; CONSTANT AddressBusNdx : INTEGER := TableWidth - 4; VARIABLE AddrFlagTable : VitalMemorySymbolType; VARIABLE Match : BOOLEAN; VARIABLE Err : BOOLEAN := FALSE; VARIABLE TableAlias : VitalMemoryTableType( 0 TO TableEntries - 1, 0 TO TableWidth - 1) := MemoryTable; BEGIN ColLoop: -- Compare each entry in the table FOR i IN TableAlias'RANGE(1) LOOP RowLoop: -- Check each element of the Controls FOR j IN 0 TO ControlsSize LOOP IF (j = ControlsSize) THEN -- a match occurred, now check EnableBus, AddrFlag, DataFlag IF (EnableIndex >= 0) THEN RowLoop2: -- Check relevant elements of the EnableBus FOR k IN 0 TO AddressBusNdx - ControlsSize - 1 LOOP MemoryMatch ( TableAlias(i,k + ControlsSize), EnableBus(k * BitsPerEnable + EnableIndex), PrevEnableBus(k * BitsPerEnable + EnableIndex), Err, Match); EXIT RowLoop2 WHEN NOT(Match); END LOOP; END IF; IF (Match) THEN MemoryMatch(TableAlias(i,AddressBusNdx),AddrFlag,Err,Match); IF (Match) THEN MemoryMatch(TableAlias(i,DataInBusNdx),DataFlag,Err,Match); IF (Match) THEN MemoryTableCorruptMask ( CorruptMask => MemoryCorruptMask , Action => TableAlias(i, MemActionNdx), EnableIndex => EnableIndex , BitsPerWord => BitsPerWord , BitsPerSubWord => BitsPerSubWord , BitsPerEnable => BitsPerEnable ); MemoryTableCorruptMask ( CorruptMask => DataCorruptMask , Action => TableAlias(i, DatActionNdx), EnableIndex => EnableIndex , BitsPerWord => BitsPerWord , BitsPerSubWord => BitsPerSubWord , BitsPerEnable => BitsPerEnable ); -- get the return memory and data actions MemoryAction := TableAlias(i, MemActionNdx); DataAction := TableAlias(i, DatActionNdx); -- DEBUG: The lines below report table search IF (MsgOn) THEN PrintMemoryMessage(MsgVMT,TableAlias,i,PortName); END IF; -- DEBUG: The lines above report table search RETURN; END IF; END IF; END IF; ELSE -- Match memory table inputs MemoryMatch ( TableAlias(i,j), Controls(j), PrevControls(j), Err, Match); END IF; EXIT RowLoop WHEN NOT(Match); EXIT ColLoop WHEN Err; END LOOP RowLoop; END LOOP ColLoop; -- no match found, return default action MemoryAction := 's'; -- no change to memory DataAction := 'S'; -- no change to dataout IF (MsgOn) THEN PrintMemoryMessage(MsgVMT,ErrDefMemAct,HeaderMsg,PortName); END IF; RETURN; END; -- ---------------------------------------------------------------------------- -- Procedure: ViolationTableLookUp -- Parameters: MemoryAction - Output memory action to be performed -- DataAction - Output data action to be performed -- TimingDataArray - This is currently not used (comment out) -- ViolationArray - Aggregation of violation variables -- ViolationTable - Input memory violation table -- PortName - Port name string for messages -- HeaderMsg - Header string for messages -- MsgOn - Control message output -- Description: This function is used to find the output of the -- ViolationTable corresponding to a given set of inputs. -- ---------------------------------------------------------------------------- PROCEDURE ViolationTableLookUp ( VARIABLE MemoryAction : OUT VitalMemorySymbolType; VARIABLE DataAction : OUT VitalMemorySymbolType; VARIABLE MemoryCorruptMask : OUT std_logic_vector; VARIABLE DataCorruptMask : OUT std_logic_vector; CONSTANT ViolationFlags : IN std_logic_vector; CONSTANT ViolationFlagsArray : IN std_logic_vector; CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType; CONSTANT ViolationTable : IN VitalMemoryTableType; CONSTANT BitsPerWord : IN INTEGER; CONSTANT BitsPerSubWord : IN INTEGER; CONSTANT BitsPerEnable : IN INTEGER; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE ) IS CONSTANT ViolFlagsSize : INTEGER := ViolationFlags'LENGTH; CONSTANT ViolFlArySize : INTEGER := ViolationFlagsArray'LENGTH; VARIABLE ViolFlAryPosn : INTEGER; VARIABLE ViolFlAryItem : std_ulogic; CONSTANT ViolSzArySize : INTEGER := ViolationSizesArray'LENGTH; CONSTANT TableEntries : INTEGER := ViolationTable'LENGTH(1); CONSTANT TableWidth : INTEGER := ViolationTable'LENGTH(2); CONSTANT DatActionNdx : INTEGER := TableWidth - 1; CONSTANT MemActionNdx : INTEGER := TableWidth - 2; VARIABLE HighBit : NATURAL := 0; VARIABLE LowBit : NATURAL := 0; VARIABLE Match : BOOLEAN; VARIABLE Err : BOOLEAN := FALSE; VARIABLE TableAlias : VitalMemoryTableType( 0 TO TableEntries - 1, 0 TO TableWidth - 1) := ViolationTable; BEGIN ColLoop: -- Compare each entry in the table FOR i IN TableAlias'RANGE(1) LOOP RowLoop: -- Check each element of the ViolationFlags FOR j IN 0 TO ViolFlagsSize LOOP IF (j = ViolFlagsSize) THEN ViolFlAryPosn := 0; RowLoop2: -- Check relevant elements of the ViolationFlagsArray FOR k IN 0 TO MemActionNdx - ViolFlagsSize - 1 LOOP ViolFlAryItem := '0'; SubwordLoop: -- Check for 'X' in ViolationFlagsArray chunk FOR s IN ViolFlAryPosn TO ViolFlAryPosn+ViolationSizesArray(k)-1 LOOP IF (ViolationFlagsArray(s) = 'X') THEN ViolFlAryItem := 'X'; EXIT SubwordLoop; END IF; END LOOP; MemoryMatch ( TableAlias(i,k + ViolFlagsSize), ViolFlAryItem,ViolFlAryItem, Err, Match); ViolFlAryPosn := ViolFlAryPosn + ViolationSizesArray(k); EXIT RowLoop2 WHEN NOT(Match); END LOOP; IF (Match) THEN -- Compute memory and data corruption masks ViolationTableCorruptMask( CorruptMask => MemoryCorruptMask , Action => TableAlias(i, MemActionNdx), ViolationFlags => ViolationFlags , ViolationFlagsArray => ViolationFlagsArray , ViolationSizesArray => ViolationSizesArray , ViolationTable => ViolationTable , TableIndex => i , BitsPerWord => BitsPerWord , BitsPerSubWord => BitsPerSubWord , BitsPerEnable => BitsPerEnable ); ViolationTableCorruptMask( CorruptMask => DataCorruptMask , Action => TableAlias(i, DatActionNdx), ViolationFlags => ViolationFlags , ViolationFlagsArray => ViolationFlagsArray , ViolationSizesArray => ViolationSizesArray , ViolationTable => ViolationTable , TableIndex => i , BitsPerWord => BitsPerWord , BitsPerSubWord => BitsPerSubWord , BitsPerEnable => BitsPerEnable ); -- get the return memory and data actions MemoryAction := TableAlias(i, MemActionNdx); DataAction := TableAlias(i, DatActionNdx); -- DEBUG: The lines below report table search IF (MsgOn) THEN PrintMemoryMessage(MsgVMV,TableAlias,i,PortName); END IF; -- DEBUG: The lines above report table search RETURN; END IF; ELSE -- Match violation table inputs Err := FALSE; Match := FALSE; IF (TableAlias(i,j) /= 'X' AND TableAlias(i,j) /= '0' AND TableAlias(i,j) /= '-') THEN Err := TRUE; ELSIF (TableAlias(i,j) = '-' OR (TableAlias(i,j) = 'X' AND ViolationFlags(j) = 'X') OR (TableAlias(i,j) = '0' AND ViolationFlags(j) = '0')) THEN Match := TRUE; END IF; END IF; EXIT RowLoop WHEN NOT(Match); EXIT ColLoop WHEN Err; END LOOP RowLoop; END LOOP ColLoop; -- no match found, return default action MemoryAction := 's'; -- no change to memory DataAction := 'S'; -- no change to dataout IF (MsgOn) THEN PrintMemoryMessage(MsgVMV,ErrDefMemAct,HeaderMsg,PortName); END IF; RETURN; END; -- ---------------------------------------------------------------------------- -- Procedure: HandleMemoryAction -- Parameters: MemoryData - Pointer to memory data structure -- PortFlag - Indicates read/write mode of port -- CorruptMask - XOR'ed with DataInBus when corrupting -- DataInBus - Current data bus in -- Address - Current address integer -- HighBit - Current address high bit -- LowBit - Current address low bit -- MemoryTable - Input memory action table -- MemoryAction - Memory action to be performed -- PortName - Port name string for messages -- HeaderMsg - Header string for messages -- MsgOn - Control message output -- Description: This procedure performs the specified memory action on -- the input memory data structure. -- ---------------------------------------------------------------------------- PROCEDURE HandleMemoryAction ( VARIABLE MemoryData : INOUT VitalMemoryDataType; VARIABLE PortFlag : INOUT VitalPortFlagType; CONSTANT CorruptMask : IN std_logic_vector; CONSTANT DataInBus : IN std_logic_vector; CONSTANT Address : IN INTEGER; CONSTANT HighBit : IN NATURAL; CONSTANT LowBit : IN NATURAL; CONSTANT MemoryTable : IN VitalMemoryTableType; CONSTANT MemoryAction : IN VitalMemorySymbolType; CONSTANT CallerName : IN STRING; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE ) IS VARIABLE DataInTmp : std_logic_vector(DataInBus'RANGE) := DataInBus; BEGIN -- Handle the memory action CASE MemoryAction IS WHEN 'w' => -- Writing data to memory IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrWrDatMem,HeaderMsg,PortName); END IF; WriteMemory(MemoryData,DataInBus,Address,HighBit,LowBit); PortFlag.MemoryCurrent := WRITE; WHEN 's' => -- Retaining previous memory contents IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrNoChgMem,HeaderMsg,PortName); END IF; -- Set memory current to quiet state PortFlag.MemoryCurrent := READ; WHEN 'c' => -- Corrupting entire memory with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrAllMem,HeaderMsg,PortName); END IF; DataInTmp := (OTHERS => 'X'); -- No need to CorruptMask FOR i IN 0 TO MemoryData.NoOfWords-1 LOOP WriteMemory(MemoryData,DataInTmp,i); END LOOP; PortFlag.MemoryCurrent := CORRUPT; WHEN 'l' => -- Corrupting a word in memory with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrWrdMem,HeaderMsg,PortName); END IF; DataInTmp := (OTHERS => 'X'); -- No need to CorruptMask WriteMemory(MemoryData,DataInTmp,Address); PortFlag.MemoryCurrent := CORRUPT; WHEN 'd' => -- Corrupting a single bit in memory with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrBitMem,HeaderMsg,PortName); END IF; ReadMemory(MemoryData,DataInTmp,Address); DataInTmp := DataInTmp XOR CorruptMask; WriteMemory(MemoryData,DataInTmp,Address,HighBit,LowBit); PortFlag.MemoryCurrent := CORRUPT; WHEN 'e' => -- Corrupting a word with 'X' based on data in IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrDatMem,HeaderMsg,PortName); END IF; ReadMemory(MemoryData,DataInTmp,Address); IF (DataInTmp /= DataInBus) THEN DataInTmp := (OTHERS => 'X'); -- No need to CorruptMask WriteMemory(MemoryData,DataInTmp,Address); END IF; PortFlag.MemoryCurrent := CORRUPT; WHEN 'C' => -- Corrupting a sub-word entire memory with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrAllSubMem,HeaderMsg,PortName); END IF; FOR i IN 0 TO MemoryData.NoOfWords-1 LOOP ReadMemory(MemoryData,DataInTmp,i); DataInTmp := DataInTmp XOR CorruptMask; WriteMemory(MemoryData,DataInTmp,i,HighBit,LowBit); END LOOP; PortFlag.MemoryCurrent := CORRUPT; WHEN 'L' => -- Corrupting a sub-word in memory with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrWrdSubMem,HeaderMsg,PortName); END IF; ReadMemory(MemoryData,DataInTmp,Address); DataInTmp := DataInTmp XOR CorruptMask; WriteMemory(MemoryData,DataInTmp,Address,HighBit,LowBit); PortFlag.MemoryCurrent := CORRUPT; WHEN 'D' => -- Corrupting a single bit of a memory sub-word with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrBitSubMem,HeaderMsg,PortName); END IF; ReadMemory(MemoryData,DataInTmp,Address); DataInTmp := DataInTmp XOR CorruptMask; WriteMemory(MemoryData,DataInTmp,Address,HighBit,LowBit); PortFlag.MemoryCurrent := CORRUPT; WHEN 'E' => -- Corrupting a sub-word with 'X' based on data in IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrDatSubMem,HeaderMsg,PortName); END IF; ReadMemory(MemoryData,DataInTmp,Address); IF (DataInBus(HighBit DOWNTO LowBit) /= DataInTmp(HighBit DOWNTO LowBit)) THEN DataInTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X'); WriteMemory(MemoryData,DataInTmp,Address,HighBit,LowBit); END IF; --PortFlag := WRITE; PortFlag.MemoryCurrent := CORRUPT; WHEN '0' => -- Assigning low level to memory location IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrAsg0Mem,HeaderMsg,PortName); END IF; DataInTmp := (OTHERS => '0'); WriteMemory(MemoryData,DataInTmp,Address, HighBit, LowBit); PortFlag.MemoryCurrent := WRITE; WHEN '1' => -- Assigning high level to memory location IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrAsg1Mem,HeaderMsg,PortName); END IF; DataInTmp := (OTHERS => '1'); WriteMemory(MemoryData,DataInTmp,Address, HighBit, LowBit); PortFlag.MemoryCurrent := WRITE; WHEN 'Z' => -- Assigning high impedence to memory location IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrAsgZMem,HeaderMsg,PortName); END IF; DataInTmp := (OTHERS => 'Z'); WriteMemory(MemoryData,DataInTmp,Address, HighBit, LowBit); PortFlag.MemoryCurrent := WRITE; WHEN OTHERS => -- Unknown memory action PortFlag.MemoryCurrent := UNDEF; IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrUnknMemDo,HeaderMsg,PortName); END IF; END CASE; -- Note: HandleMemoryAction does not change the PortFlag.OutputDisable END; -- ---------------------------------------------------------------------------- -- Procedure: HandleDataAction -- Parameters: DataOutBus - Output result of the data action -- MemoryData - Input pointer to memory data structure -- PortFlag - Indicates read/write mode of port -- CorruptMask - XOR'ed with DataInBus when corrupting -- DataInBus - Current data bus in -- Address - Current address integer -- HighBit - Current address high bit -- LowBit - Current address low bit -- MemoryTable - Input memory action table -- DataAction - Data action to be performed -- PortName - Port name string for messages -- HeaderMsg - Header string for messages -- MsgOn - Control message output -- Description: This procedure performs the specified data action based -- on the input memory data structure. Checks whether -- the previous state is HighZ. If yes then portFlag -- should be NOCHANGE for VMPD to ignore IORetain -- corruption. The idea is that the first Z should be -- propagated but later ones should be ignored. -- ---------------------------------------------------------------------------- PROCEDURE HandleDataAction ( VARIABLE DataOutBus : INOUT std_logic_vector; VARIABLE MemoryData : INOUT VitalMemoryDataType; VARIABLE PortFlag : INOUT VitalPortFlagType; CONSTANT CorruptMask : IN std_logic_vector; CONSTANT DataInBus : IN std_logic_vector; CONSTANT Address : IN INTEGER; CONSTANT HighBit : IN NATURAL; CONSTANT LowBit : IN NATURAL; CONSTANT MemoryTable : IN VitalMemoryTableType; CONSTANT DataAction : IN VitalMemorySymbolType; CONSTANT CallerName : IN STRING; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE ) IS VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE) := DataOutBus; BEGIN -- Handle the data action CASE DataAction IS WHEN 'l' => -- Corrupting data out with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrWrdOut,HeaderMsg,PortName); END IF; DataOutTmp := (OTHERS => 'X'); -- No need to CorruptMask PortFlag.DataCurrent := CORRUPT; WHEN 'd' => -- Corrupting a single bit of data out with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrBitOut,HeaderMsg,PortName); END IF; DataOutTmp(HighBit DOWNTO LowBit) := DataOutTmp(HighBit DOWNTO LowBit) XOR CorruptMask(HighBit DOWNTO LowBit); PortFlag.DataCurrent := CORRUPT; WHEN 'e' => -- Corrupting data out with 'X' based on data in IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrDatOut,HeaderMsg,PortName); END IF; ReadMemory(MemoryData,DataOutTmp,Address); IF (DataOutTmp /= DataInBus) THEN DataOutTmp := (OTHERS => 'X'); -- No need to CorruptMask END IF; PortFlag.DataCurrent := CORRUPT; WHEN 'L' => -- Corrupting data out sub-word with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrWrdSubOut,HeaderMsg,PortName); END IF; ReadMemory(MemoryData,DataOutTmp,Address); DataOutTmp(HighBit DOWNTO LowBit) := DataOutTmp(HighBit DOWNTO LowBit) XOR CorruptMask(HighBit DOWNTO LowBit); PortFlag.DataCurrent := CORRUPT; WHEN 'D' => -- Corrupting a single bit of data out sub-word with 'X' IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrBitSubOut,HeaderMsg,PortName); END IF; DataOutTmp(HighBit DOWNTO LowBit) := DataOutTmp(HighBit DOWNTO LowBit) XOR CorruptMask(HighBit DOWNTO LowBit); PortFlag.DataCurrent := CORRUPT; WHEN 'E' => -- Corrupting data out sub-word with 'X' based on data in IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrCrDatSubOut,HeaderMsg,PortName); END IF; ReadMemory(MemoryData,DataOutTmp,Address); IF (DataInBus(HighBit DOWNTO LowBit) /= DataOutTmp(HighBit DOWNTO LowBit)) THEN DataOutTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X'); -- No need to CorruptMask END IF; PortFlag.DataCurrent := CORRUPT; WHEN 'M' => -- Implicit read from memory to data out IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrImplOut,HeaderMsg,PortName); END IF; PortFlag.DataCurrent := READ; WHEN 'm' => -- Reading data from memory to data out IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrReadOut,HeaderMsg,PortName); END IF; ReadMemory(MemoryData,DataOutTmp,Address); PortFlag.DataCurrent := READ; WHEN 't' => -- Transfering from data in to data out IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrAssgOut,HeaderMsg,PortName); END IF; DataOutTmp := DataInBus; PortFlag.DataCurrent := READ; WHEN '0' => -- Assigning low level to data out IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrAsg0Out,HeaderMsg,PortName); END IF; DataOutTmp := (OTHERS => '0'); PortFlag.DataCurrent := READ; WHEN '1' => -- Assigning high level to data out IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrAsg1Out,HeaderMsg,PortName); END IF; DataOutTmp := (OTHERS => '1'); PortFlag.DataCurrent := READ; WHEN 'Z' => -- Assigning high impedence to data out IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrAsgZOut,HeaderMsg,PortName); END IF; DataOutTmp := (OTHERS => 'Z'); PortFlag.DataCurrent := HIGHZ; WHEN 'S' => -- Keeping data out at steady value PortFlag.OutputDisable := TRUE; IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrAsgSOut,HeaderMsg,PortName); END IF; WHEN OTHERS => -- Unknown data action PortFlag.DataCurrent := UNDEF; IF (MsgOn) THEN PrintMemoryMessage(CallerName,ErrUnknDatDo,HeaderMsg,PortName); END IF; END CASE; DataOutBus(HighBit DOWNTO LowBit) := DataOutTmp(HighBit DOWNTO LowBit); END; -- ---------------------------------------------------------------------------- -- Memory Table Modeling Primitives -- ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- Procedure: VitalDeclareMemory -- Parameters: NoOfWords - Number of words in the memory -- NoOfBitsPerWord - Number of bits per word in memory -- NoOfBitsPerSubWord - Number of bits per sub word -- MemoryLoadFile - Name of data file to load -- Description: This function is intended to be used to initialize -- memory data declarations, i.e. to be executed duing -- simulation elaboration time. Handles the allocation -- and initialization of memory for the memory data. -- Default NoOfBitsPerSubWord is NoOfBitsPerWord. -- ---------------------------------------------------------------------------- IMPURE FUNCTION VitalDeclareMemory ( CONSTANT NoOfWords : IN POSITIVE; CONSTANT NoOfBitsPerWord : IN POSITIVE; CONSTANT MemoryLoadFile : IN string := ""; CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE ) RETURN VitalMemoryDataType IS VARIABLE MemoryPtr : VitalMemoryDataType; BEGIN MemoryPtr := VitalDeclareMemory( NoOfWords => NoOfWords, NoOfBitsPerWord => NoOfBitsPerWord, NoOfBitsPerSubWord => NoOfBitsPerWord, MemoryLoadFile => MemoryLoadFile, BinaryLoadFile => BinaryLoadFile ); RETURN MemoryPtr; END; -- ---------------------------------------------------------------------------- IMPURE FUNCTION VitalDeclareMemory ( CONSTANT NoOfWords : IN POSITIVE; CONSTANT NoOfBitsPerWord : IN POSITIVE; CONSTANT NoOfBitsPerSubWord : IN POSITIVE; CONSTANT MemoryLoadFile : IN string := ""; CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE ) RETURN VitalMemoryDataType IS VARIABLE MemoryPtr : VitalMemoryDataType; VARIABLE BitsPerEnable : NATURAL := ((NoOfBitsPerWord-1) /NoOfBitsPerSubWord)+1; BEGIN PrintMemoryMessage(MsgVDM,ErrInitMem); MemoryPtr := new VitalMemoryArrayRecType '( NoOfWords => NoOfWords, NoOfBitsPerWord => NoOfBitsPerWord, NoOfBitsPerSubWord => NoOfBitsPerSubWord, NoOfBitsPerEnable => BitsPerEnable, MemoryArrayPtr => NULL ); MemoryPtr.MemoryArrayPtr := new MemoryArrayType (0 to MemoryPtr.NoOfWords - 1); FOR i IN 0 TO MemoryPtr.NoOfWords - 1 LOOP MemoryPtr.MemoryArrayPtr(i) := new MemoryWordType (MemoryPtr.NoOfBitsPerWord - 1 DOWNTO 0); END LOOP; IF (MemoryLoadFile /= "") THEN LoadMemory (MemoryPtr, MemoryLoadFile, BinaryLoadFile); END IF; RETURN MemoryPtr; END; -- ---------------------------------------------------------------------------- -- Procedure: VitalMemoryTable -- Parameters: DataOutBus - Output candidate zero delay data bus out -- MemoryData - Pointer to memory data structure -- PrevControls - Previous data in for edge detection -- PrevEnableBus - Previous enables for edge detection -- PrevDataInBus - Previous data bus for edge detection -- PrevAddressBus - Previous address bus for edge detection -- PortFlag - Indicates port operating mode -- PortFlagArray - Vector form of PortFlag for sub-word -- Controls - Agregate of scalar control lines -- EnableBus - Concatenation of vector control lines -- DataInBus - Input value of data bus in -- AddressBus - Input value of address bus in -- AddressValue - Decoded value of the AddressBus -- MemoryTable - Input memory action table -- PortType - The type of port (currently not used) -- PortName - Port name string for messages -- HeaderMsg - Header string for messages -- MsgOn - Control the generation of messages -- MsgSeverity - Control level of message generation -- Description: This procedure implements the majority of the memory -- modeling functionality via lookup of the memory action -- tables and performing the specified actions if matches -- are found, or the default actions otherwise. The -- overloadings are provided for the word and sub-word -- (using the EnableBus and PortFlagArray arguments) addressing -- cases. -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryTable ( VARIABLE DataOutBus : INOUT std_logic_vector; VARIABLE MemoryData : INOUT VitalMemoryDataType; VARIABLE PrevControls : INOUT std_logic_vector; VARIABLE PrevDataInBus : INOUT std_logic_vector; VARIABLE PrevAddressBus : INOUT std_logic_vector; VARIABLE PortFlag : INOUT VitalPortFlagVectorType; CONSTANT Controls : IN std_logic_vector; CONSTANT DataInBus : IN std_logic_vector; CONSTANT AddressBus : IN std_logic_vector; VARIABLE AddressValue : INOUT VitalAddressValueType; CONSTANT MemoryTable : IN VitalMemoryTableType; CONSTANT PortType : IN VitalPortType := UNDEF; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ) IS VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE) := DataOutBus; VARIABLE MemoryAction : VitalMemorySymbolType; VARIABLE DataAction : VitalMemorySymbolType; VARIABLE HighBit : NATURAL := MemoryData.NoOfBitsPerWord-1; VARIABLE LowBit : NATURAL := 0; VARIABLE Address : INTEGER := 0; VARIABLE PortFlagTmp : VitalPortFlagType; VARIABLE AddrFlag : VitalMemorySymbolType := 'g'; -- good addr VARIABLE DataFlag : VitalMemorySymbolType := 'g'; -- good data VARIABLE MemCorruptMask : std_logic_vector (DataOutBus'RANGE); VARIABLE DatCorruptMask : std_logic_vector (DataOutBus'RANGE); BEGIN -- Optimize for case when all current inputs are same as previous IF (PrevDataInBus = DataInBus AND PrevAddressBus = AddressBus AND PrevControls = Controls AND PortFlag(0).MemoryCurrent = PortFlag(0).MemoryPrevious AND PortFlag(0).DataCurrent = PortFlag(0).DataPrevious) THEN PortFlag(0).OutputDisable := TRUE; RETURN; END IF; PortFlag(0).DataPrevious := PortFlag(0).DataCurrent; PortFlag(0).MemoryPrevious := PortFlag(0).MemoryCurrent; PortFlag(0).OutputDisable := FALSE; PortFlagTmp := PortFlag(0); -- Convert address bus to integer value and table lookup flag DecodeAddress( Address => Address , AddrFlag => AddrFlag , MemoryData => MemoryData , PrevAddressBus => PrevAddressBus , AddressBus => AddressBus ); -- Interpret data bus as a table lookup flag DecodeData ( DataFlag => DataFlag , PrevDataInBus => PrevDataInBus , DataInBus => DataInBus , HighBit => HighBit , LowBit => LowBit ); -- Lookup memory and data actions MemoryTableLookUp( MemoryAction => MemoryAction , DataAction => DataAction , MemoryCorruptMask => MemCorruptMask , DataCorruptMask => DatCorruptMask , PrevControls => PrevControls , Controls => Controls , AddrFlag => AddrFlag , DataFlag => DataFlag , MemoryTable => MemoryTable , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn ); -- Handle data action before memory action -- This allows reading previous memory contents HandleDataAction( DataOutBus => DataOutTmp , MemoryData => MemoryData , PortFlag => PortFlagTmp , CorruptMask => DatCorruptMask , DataInBus => DataInBus , Address => Address , HighBit => HighBit , LowBit => LowBit , MemoryTable => MemoryTable , DataAction => DataAction , CallerName => MsgVMT , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn ); HandleMemoryAction( MemoryData => MemoryData , PortFlag => PortFlagTmp , CorruptMask => MemCorruptMask , DataInBus => DataInBus , Address => Address , HighBit => HighBit , LowBit => LowBit , MemoryTable => MemoryTable , MemoryAction => MemoryAction , CallerName => MsgVMT , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn ); -- Set the output PortFlag(0) value IF (DataAction = 'S') THEN PortFlagTmp.OutputDisable := TRUE; END IF; IF (PortFlagTmp.DataCurrent = PortFlagTmp.DataPrevious AND PortFlagTmp.DataCurrent = HIGHZ) THEN PortFlagTmp.OutputDisable := TRUE; END IF; PortFlag(0) := PortFlagTmp; -- Set previous values for subsequent edge detection PrevControls := Controls; PrevDataInBus := DataInBus; PrevAddressBus := AddressBus; -- Set the candidate zero delay return value DataOutBus := DataOutTmp; -- Set the output AddressValue for VitalMemoryCrossPorts AddressValue := Address; END VitalMemoryTable; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryTable ( VARIABLE DataOutBus : INOUT std_logic_vector; VARIABLE MemoryData : INOUT VitalMemoryDataType; VARIABLE PrevControls : INOUT std_logic_vector; VARIABLE PrevEnableBus : INOUT std_logic_vector; VARIABLE PrevDataInBus : INOUT std_logic_vector; VARIABLE PrevAddressBus : INOUT std_logic_vector; VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType; CONSTANT Controls : IN std_logic_vector; CONSTANT EnableBus : IN std_logic_vector; CONSTANT DataInBus : IN std_logic_vector; CONSTANT AddressBus : IN std_logic_vector; VARIABLE AddressValue : INOUT VitalAddressValueType; CONSTANT MemoryTable : IN VitalMemoryTableType; CONSTANT PortType : IN VitalPortType := UNDEF; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ) IS VARIABLE BitsPerWord : NATURAL := MemoryData.NoOfBitsPerWord; VARIABLE BitsPerSubWord : NATURAL := MemoryData.NoOfBitsPerSubWord; VARIABLE BitsPerEnable : NATURAL := MemoryData.NoOfBitsPerEnable; VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE) := DataOutBus; VARIABLE MemoryAction : VitalMemorySymbolType; VARIABLE DataAction : VitalMemorySymbolType; VARIABLE HighBit : NATURAL := BitsPerSubWord-1; VARIABLE LowBit : NATURAL := 0; VARIABLE Address : INTEGER := 0; VARIABLE PortFlagTmp : VitalPortFlagType; VARIABLE AddrFlag : VitalMemorySymbolType := 'g'; -- good addr VARIABLE DataFlag : VitalMemorySymbolType := 'g'; -- good data VARIABLE MemCorruptMask : std_logic_vector (DataOutBus'RANGE); VARIABLE DatCorruptMask : std_logic_vector (DataOutBus'RANGE); BEGIN -- Optimize for case when all current inputs are same as previous IF (PrevDataInBus = DataInBus AND PrevAddressBus = AddressBus AND PrevControls = Controls) THEN CheckFlags: FOR i IN 0 TO BitsPerEnable-1 LOOP IF (PortFlagArray(i).MemoryCurrent /= PortFlagArray(i).MemoryPrevious OR PortFlagArray(i).DataCurrent /= PortFlagArray(i).DataPrevious) THEN EXIT CheckFlags; END IF; IF (i = BitsPerEnable-1) THEN FOR j IN 0 TO BitsPerEnable-1 LOOP PortFlagArray(j).OutputDisable := TRUE; END LOOP; RETURN; END IF; END LOOP; END IF; -- Convert address bus to integer value and table lookup flag DecodeAddress( Address => Address, AddrFlag => AddrFlag, MemoryData => MemoryData, PrevAddressBus => PrevAddressBus, AddressBus => AddressBus ); -- Perform independent operations for each sub-word FOR i IN 0 TO BitsPerEnable-1 LOOP -- Set the output PortFlag(i) value PortFlagArray(i).DataPrevious := PortFlagArray(i).DataCurrent; PortFlagArray(i).MemoryPrevious := PortFlagArray(i).MemoryCurrent; PortFlagArray(i).OutputDisable := FALSE; PortFlagTmp := PortFlagArray(i); -- Interpret data bus as a table lookup flag DecodeData ( DataFlag => DataFlag , PrevDataInBus => PrevDataInBus , DataInBus => DataInBus , HighBit => HighBit , LowBit => LowBit ); -- Lookup memory and data actions MemoryTableLookUp( MemoryAction => MemoryAction , DataAction => DataAction , MemoryCorruptMask => MemCorruptMask , DataCorruptMask => DatCorruptMask , PrevControls => PrevControls , PrevEnableBus => PrevEnableBus , Controls => Controls , EnableBus => EnableBus , EnableIndex => i , BitsPerWord => BitsPerWord , BitsPerSubWord => BitsPerSubWord , BitsPerEnable => BitsPerEnable , AddrFlag => AddrFlag , DataFlag => DataFlag , MemoryTable => MemoryTable , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn ); -- Handle data action before memory action -- This allows reading previous memory contents HandleDataAction( DataOutBus => DataOutTmp , MemoryData => MemoryData , PortFlag => PortFlagTmp , CorruptMask => DatCorruptMask , DataInBus => DataInBus , Address => Address , HighBit => HighBit , LowBit => LowBit , MemoryTable => MemoryTable , DataAction => DataAction , CallerName => MsgVMT , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn ); HandleMemoryAction( MemoryData => MemoryData , PortFlag => PortFlagTmp , CorruptMask => MemCorruptMask , DataInBus => DataInBus , Address => Address , HighBit => HighBit , LowBit => LowBit , MemoryTable => MemoryTable , MemoryAction => MemoryAction , CallerName => MsgVMT , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn ); -- Set the output PortFlag(i) value IF (DataAction = 'S') THEN PortFlagTmp.OutputDisable := TRUE; END IF; IF (PortFlagTmp.DataCurrent = PortFlagTmp.DataPrevious AND PortFlagTmp.DataCurrent = HIGHZ) THEN PortFlagTmp.OutputDisable := TRUE; END IF; PortFlagArray(i) := PortFlagTmp; IF (i < BitsPerEnable-1) THEN -- Calculate HighBit and LowBit LowBit := LowBit + BitsPerSubWord; IF (LowBit > BitsPerWord) THEN LowBit := BitsPerWord; END IF; HighBit := LowBit + BitsPerSubWord; IF (HighBit > BitsPerWord) THEN HighBit := BitsPerWord; ELSE HighBit := HighBit - 1; END IF; END IF; END LOOP; -- Set previous values for subsequent edge detection PrevControls := Controls; PrevEnableBus := EnableBus; PrevDataInBus := DataInBus; PrevAddressBus := AddressBus; -- Set the candidate zero delay return value DataOutBus := DataOutTmp; -- Set the output AddressValue for VitalMemoryCrossPorts AddressValue := Address; END VitalMemoryTable; -- ---------------------------------------------------------------------------- -- Procedure: VitalMemoryCrossPorts -- Parameters: DataOutBus - Output candidate zero delay data bus out -- MemoryData - Pointer to memory data structure -- SamePortFlag - Operating mode for same port -- SamePortAddressValue - Operating modes for cross ports -- CrossPortAddressArray - Decoded AddressBus for cross ports -- CrossPortMode - Write contention and crossport read control -- PortName - Port name string for messages -- HeaderMsg - Header string for messages -- MsgOn - Control the generation of messages -- Description: These procedures control the effect of memory operations -- on a given port due to operations on other ports in a -- multi-port memory. -- This includes data write through when reading and writing -- to the same address, as well as write contention when -- there are multiple write to the same address. -- If addresses do not match then data bus is unchanged. -- The DataOutBus can be diabled with 'Z' value. -- If the WritePortFlag is 'CORRUPT', that would mean -- that the whole memory is corrupted. So, for corrupting -- the Read port, the Addresses need not be compared. -- -- CrossPortMode Enum Description -- 1. CpRead Allows Cross Port Read Only -- No contention checking. -- 2. WriteContention Allows for write contention checks -- only between multiple write ports -- 3. ReadWriteContention Allows contention between read and -- write ports. The action is to corrupt -- the memory and the output bus. -- 4. CpReadAndWriteContention Is a combination of 1 & 2 -- 5. CpReadAndReadContention Allows contention between read and -- write ports. The action is to corrupt -- the dataout bus only. The cp read is -- performed if not contending. -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryCrossPorts ( VARIABLE DataOutBus : INOUT std_logic_vector; VARIABLE MemoryData : INOUT VitalMemoryDataType; VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType; CONSTANT SamePortAddressValue : IN VitalAddressValueType; CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType; CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType; CONSTANT CrossPortMode : IN VitalCrossPortModeType := CpReadAndWriteContention; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE ) IS VARIABLE BitsPerWord : NATURAL := MemoryData.NoOfBitsPerWord; VARIABLE BitsPerSubWord : NATURAL := MemoryData.NoOfBitsPerSubWord; VARIABLE BitsPerEnable : NATURAL := MemoryData.NoOfBitsPerEnable; VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE) := (OTHERS => 'Z'); VARIABLE MemoryTmp : std_logic_vector(DataOutBus'RANGE); VARIABLE CrossPorts : NATURAL := CrossPortAddressArray'LENGTH; VARIABLE LowBit : NATURAL := 0; VARIABLE HighBit : NATURAL := BitsPerSubWord-1; VARIABLE Address : VitalAddressValueType := SamePortAddressValue; VARIABLE AddressJ : VitalAddressValueType; VARIABLE AddressK : VitalAddressValueType; VARIABLE PortFlagI : VitalPortFlagType; VARIABLE PortFlagIJ : VitalPortFlagType; VARIABLE PortFlagIK : VitalPortFlagType; VARIABLE DoCpRead : BOOLEAN := FALSE; VARIABLE DoWrCont : BOOLEAN := FALSE; VARIABLE DoCpCont : BOOLEAN := FALSE; VARIABLE DoRdWrCont : BOOLEAN := FALSE; VARIABLE CpWrCont : BOOLEAN := FALSE; VARIABLE ModeWrCont : BOOLEAN := (CrossPortMode=WriteContention) OR (CrossPortMode=CpReadAndWriteContention); VARIABLE ModeCpRead : BOOLEAN := (CrossPortMode=CpRead) OR (CrossPortMode=CpReadAndWriteContention); VARIABLE ModeCpCont : BOOLEAN := (CrossPortMode=ReadWriteContention); VARIABLE ModeRdWrCont : BOOLEAN := (CrossPortMode=CpReadAndReadContention); BEGIN -- Check for disabled port (i.e. OTHERS => 'Z') IF (DataOutBus = DataOutTmp) THEN RETURN; ELSE DataOutTmp := DataOutBus; END IF; -- Check for error in address IF (Address < 0) THEN RETURN; END IF; ReadMemory(MemoryData,MemoryTmp,Address); SubWordLoop: -- For each slice of the sub-word I FOR i IN 0 TO BitsPerEnable-1 LOOP PortFlagI := SamePortFlag(i); -- For each cross port J: check with same port address FOR j IN 0 TO CrossPorts-1 LOOP PortFlagIJ := CrossPortFlagArray(i+j*BitsPerEnable); AddressJ := CrossPortAddressArray(j); IF (AddressJ < 0) THEN NEXT; END IF; DoWrCont := (Address = AddressJ) AND (ModeWrCont = TRUE) AND ((PortFlagI.MemoryCurrent = WRITE) OR (PortFlagI.MemoryCurrent = CORRUPT)) AND ((PortFlagIJ.MemoryCurrent = WRITE) OR (PortFlagIJ.MemoryCurrent = CORRUPT)) ; DoCpRead := (Address = AddressJ) AND (ModeCpRead = TRUE) AND ((PortFlagI.MemoryCurrent = READ) OR (PortFlagI.OutputDisable = TRUE)) AND ((PortFlagIJ.MemoryCurrent = WRITE) OR (PortFlagIJ.MemoryCurrent = CORRUPT)) ; DoCpCont := (Address = AddressJ) AND (ModeCpCont = TRUE) AND ((PortFlagI.MemoryCurrent = READ) OR (PortFlagI.OutputDisable = TRUE)) AND ((PortFlagIJ.MemoryCurrent = WRITE) OR (PortFlagIJ.MemoryCurrent = CORRUPT)) ; DoRdWrCont:= (Address = AddressJ) AND (ModeRdWrCont = TRUE) AND ((PortFlagI.MemoryCurrent = READ) OR (PortFlagI.OutputDisable = TRUE)) AND ((PortFlagIJ.MemoryCurrent = WRITE) OR (PortFlagIJ.MemoryCurrent = CORRUPT)) ; IF (DoWrCont OR DoCpCont) THEN -- Corrupt dataout and memory MemoryTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X'); DataOutTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X'); SamePortFlag(i).MemoryCurrent := CORRUPT; SamePortFlag(i).DataCurrent := CORRUPT; SamePortFlag(i).OutputDisable := FALSE; EXIT; END IF; IF (DoCpRead) THEN -- Update dataout with memory DataOutTmp(HighBit DOWNTO LowBit) := MemoryTmp(HighBit DOWNTO LowBit); SamePortFlag(i).MemoryCurrent := READ; SamePortFlag(i).DataCurrent := READ; SamePortFlag(i).OutputDisable := FALSE; EXIT; END IF; IF (DoRdWrCont) THEN -- Corrupt dataout only DataOutTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X'); SamePortFlag(i).DataCurrent := CORRUPT; SamePortFlag(i).OutputDisable := FALSE; EXIT; END IF; END LOOP; IF (i < BitsPerEnable-1) THEN -- Calculate HighBit and LowBit LowBit := LowBit + BitsPerSubWord; IF (LowBit > BitsPerWord) THEN LowBit := BitsPerWord; END IF; HighBit := LowBit + BitsPerSubWord; IF (HighBit > BitsPerWord) THEN HighBit := BitsPerWord; ELSE HighBit := HighBit - 1; END IF; END IF; END LOOP; -- SubWordLoop DataOutBus := DataOutTmp; IF (DoWrCont) THEN IF (MsgOn) THEN PrintMemoryMessage(MsgVMCP,ErrMcpWrCont,HeaderMsg,PortName); END IF; WriteMemory(MemoryData,MemoryTmp,Address); END IF; IF (DoCpCont) THEN IF (MsgOn) THEN PrintMemoryMessage(MsgVMCP,ErrMcpCpCont,HeaderMsg,PortName); END IF; WriteMemory(MemoryData,MemoryTmp,Address); END IF; IF (DoCpRead) THEN IF (MsgOn) THEN PrintMemoryMessage(MsgVMCP,ErrMcpCpRead,HeaderMsg,PortName); END IF; END IF; IF (DoRdWrCont) THEN IF (MsgOn) THEN PrintMemoryMessage(MsgVMCP,ErrMcpRdWrCo,HeaderMsg,PortName); END IF; END IF; END VitalMemoryCrossPorts; -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryCrossPorts ( VARIABLE MemoryData : INOUT VitalMemoryDataType; CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType; CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE ) IS VARIABLE BitsPerWord : NATURAL := MemoryData.NoOfBitsPerWord; VARIABLE BitsPerSubWord : NATURAL := MemoryData.NoOfBitsPerSubWord; VARIABLE BitsPerEnable : NATURAL := MemoryData.NoOfBitsPerEnable; VARIABLE MemoryTmp : std_logic_vector(BitsPerWord-1 DOWNTO 0); VARIABLE CrossPorts : NATURAL := CrossPortAddressArray'LENGTH; VARIABLE LowBit : NATURAL := 0; VARIABLE HighBit : NATURAL := BitsPerSubWord-1; VARIABLE AddressJ : VitalAddressValueType; VARIABLE AddressK : VitalAddressValueType; VARIABLE PortFlagIJ : VitalPortFlagType; VARIABLE PortFlagIK : VitalPortFlagType; VARIABLE CpWrCont : BOOLEAN := FALSE; BEGIN SubWordLoop: -- For each slice of the sub-word I FOR i IN 0 TO BitsPerEnable-1 LOOP -- For each cross port J: check with each cross port K FOR j IN 0 TO CrossPorts-1 LOOP PortFlagIJ := CrossPortFlagArray(i+j*BitsPerEnable); AddressJ := CrossPortAddressArray(j); -- Check for error in address IF (AddressJ < 0) THEN NEXT; END IF; ReadMemory(MemoryData,MemoryTmp,AddressJ); -- For each cross port K FOR k IN 0 TO CrossPorts-1 LOOP IF (k <= j) THEN NEXT; END IF; PortFlagIK := CrossPortFlagArray(i+k*BitsPerEnable); AddressK := CrossPortAddressArray(k); -- Check for error in address IF (AddressK < 0) THEN NEXT; END IF; CpWrCont := ( (AddressJ = AddressK) AND (PortFlagIJ.MemoryCurrent = WRITE) AND (PortFlagIK.MemoryCurrent = WRITE) ) OR ( (PortFlagIJ.MemoryCurrent = WRITE) AND (PortFlagIK.MemoryCurrent = CORRUPT) ) OR ( (PortFlagIJ.MemoryCurrent = CORRUPT) AND (PortFlagIK.MemoryCurrent = WRITE) ) OR ( (PortFlagIJ.MemoryCurrent = CORRUPT) AND (PortFlagIK.MemoryCurrent = CORRUPT) ) ; IF (CpWrCont) THEN -- Corrupt memory only MemoryTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X'); EXIT; END IF; END LOOP; -- FOR k IN 0 TO CrossPorts-1 LOOP IF (CpWrCont = TRUE) THEN IF (MsgOn) THEN PrintMemoryMessage(MsgVMCP,ErrMcpCpWrCont,HeaderMsg); END IF; WriteMemory(MemoryData,MemoryTmp,AddressJ); END IF; END LOOP; -- FOR j IN 0 TO CrossPorts-1 LOOP IF (i < BitsPerEnable-1) THEN -- Calculate HighBit and LowBit LowBit := LowBit + BitsPerSubWord; IF (LowBit > BitsPerWord) THEN LowBit := BitsPerWord; END IF; HighBit := LowBit + BitsPerSubWord; IF (HighBit > BitsPerWord) THEN HighBit := BitsPerWord; ELSE HighBit := HighBit - 1; END IF; END IF; END LOOP; -- SubWordLoop END VitalMemoryCrossPorts; -- ---------------------------------------------------------------------------- -- Procedure: VitalMemoryViolation -- Parameters: DataOutBus - Output zero delay data bus out -- MemoryData - Pointer to memory data structure -- PortFlag - Indicates port operating mode -- TimingDataArray - This is currently not used (comment out) -- ViolationArray - Aggregation of violation variables -- DataInBus - Input value of data bus in -- AddressBus - Input value of address bus in -- AddressValue - Decoded value of the AddressBus -- ViolationTable - Input memory violation table -- PortName - Port name string for messages -- HeaderMsg - Header string for messages -- MsgOn - Control the generation of messages -- MsgSeverity - Control level of message generation -- Description: This procedure is intended to implement all actions on the -- memory contents and data out bus as a result of timing viols. -- It uses the memory action table to perform various corruption -- policies specified by the user. -- ---------------------------------------------------------------------------- PROCEDURE VitalMemoryViolation ( VARIABLE DataOutBus : INOUT std_logic_vector; VARIABLE MemoryData : INOUT VitalMemoryDataType; VARIABLE PortFlag : INOUT VitalPortFlagVectorType; CONSTANT DataInBus : IN std_logic_vector; CONSTANT AddressValue : IN VitalAddressValueType; CONSTANT ViolationFlags : IN std_logic_vector; CONSTANT ViolationFlagsArray : IN X01ArrayT; CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType; CONSTANT ViolationTable : IN VitalMemoryTableType; CONSTANT PortType : IN VitalPortType; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ) IS VARIABLE BitsPerWord : NATURAL := MemoryData.NoOfBitsPerWord; VARIABLE BitsPerSubWord : NATURAL := MemoryData.NoOfBitsPerSubWord; VARIABLE BitsPerEnable : NATURAL := MemoryData.NoOfBitsPerEnable; VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE) := DataOutBus; VARIABLE MemoryAction : VitalMemorySymbolType; VARIABLE DataAction : VitalMemorySymbolType; -- VMT relies on the corrupt masks so HighBit/LowBit are full word VARIABLE HighBit : NATURAL := BitsPerWord-1; VARIABLE LowBit : NATURAL := 0; VARIABLE PortFlagTmp : VitalPortFlagType; VARIABLE VFlagArrayTmp : std_logic_vector (0 TO ViolationFlagsArray'LENGTH-1); VARIABLE MemCorruptMask : std_logic_vector (DataOutBus'RANGE); VARIABLE DatCorruptMask : std_logic_vector (DataOutBus'RANGE); BEGIN -- Don't do anything if given an error address IF (AddressValue < 0) THEN RETURN; END IF; FOR i IN ViolationFlagsArray'RANGE LOOP VFlagArrayTmp(i) := ViolationFlagsArray(i); END LOOP; -- Lookup memory and data actions ViolationTableLookUp( MemoryAction => MemoryAction , DataAction => DataAction , MemoryCorruptMask => MemCorruptMask , DataCorruptMask => DatCorruptMask , ViolationFlags => ViolationFlags , ViolationFlagsArray => VFlagArrayTmp , ViolationSizesArray => ViolationSizesArray , ViolationTable => ViolationTable , BitsPerWord => BitsPerWord , BitsPerSubWord => BitsPerSubWord , BitsPerEnable => BitsPerEnable , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn ); -- Need to read incoming PF value (was not before) PortFlagTmp := PortFlag(0); IF (PortType = READ OR PortType = RDNWR) THEN -- Handle data action before memory action -- This allows reading previous memory contents HandleDataAction( DataOutBus => DataOutTmp , MemoryData => MemoryData , PortFlag => PortFlagTmp , CorruptMask => DatCorruptMask , DataInBus => DataInBus , Address => AddressValue , HighBit => HighBit , LowBit => LowBit , MemoryTable => ViolationTable , DataAction => DataAction , CallerName => MsgVMV , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn ); END IF; IF (PortType = WRITE OR PortType = RDNWR) THEN HandleMemoryAction( MemoryData => MemoryData , PortFlag => PortFlagTmp , CorruptMask => MemCorruptMask , DataInBus => DataInBus , Address => AddressValue , HighBit => HighBit , LowBit => LowBit , MemoryTable => ViolationTable , MemoryAction => MemoryAction , CallerName => MsgVMV , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn ); END IF; -- Check if we need to turn off PF.OutputDisable IF (DataAction /= 'S') THEN PortFlagTmp.OutputDisable := FALSE; -- Set the output PortFlag(0) value -- Note that all bits of PortFlag get PortFlagTmp FOR i IN PortFlag'RANGE LOOP PortFlag(i) := PortFlagTmp; END LOOP; END IF; -- Set the candidate zero delay return value DataOutBus := DataOutTmp; END; PROCEDURE VitalMemoryViolation ( VARIABLE DataOutBus : INOUT std_logic_vector; VARIABLE MemoryData : INOUT VitalMemoryDataType; VARIABLE PortFlag : INOUT VitalPortFlagVectorType; CONSTANT DataInBus : IN std_logic_vector; CONSTANT AddressValue : IN VitalAddressValueType; CONSTANT ViolationFlags : IN std_logic_vector; CONSTANT ViolationTable : IN VitalMemoryTableType; CONSTANT PortType : IN VitalPortType; CONSTANT PortName : IN STRING := ""; CONSTANT HeaderMsg : IN STRING := ""; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ) IS VARIABLE VFlagArrayTmp : X01ArrayT (0 TO 0); BEGIN VitalMemoryViolation ( DataOutBus => DataOutBus , MemoryData => MemoryData , PortFlag => PortFlag , DataInBus => DataInBus , AddressValue => AddressValue , ViolationFlags => ViolationFlags , ViolationFlagsArray => VFlagArrayTmp , ViolationSizesArray => ( 0 => 0 ) , ViolationTable => ViolationTable , PortType => PortType , PortName => PortName , HeaderMsg => HeaderMsg , MsgOn => MsgOn , MsgSeverity => MsgSeverity ); END; END Vital_Memory ;
-- This should be okay architecture rtl of fifo is -- Comment signal a : std_logic; -- Okay comment signal b : std_logic_vector; begin -- Comment 1 a <= b; -- Comment 2 c <= d; -- Comment 3 e <= f; -- BQ1/M3S1 rx_tdata_remapped <= rx_tdata(119 downto 112) & rx_tdata(127 downto 120) & -- BI1/M2S1 rx_tdata(87 downto 80) & rx_tdata(95 downto 88) & -- BQ0/M3S0 rx_tdata(103 downto 96) & rx_tdata(111 downto 104) & -- BQ0/M2S0 rx_tdata(71 downto 64) & rx_tdata(79 downto 72) & -- AQ1/M1S1 rx_tdata(55 downto 48) & rx_tdata(63 downto 56) & -- AI1/M0S1 rx_tdata(23 downto 16) & rx_tdata(31 downto 24) & -- AQ0/M1S0 rx_tdata(39 downto 32) & rx_tdata(47 downto 40) & -- AI0/M0S0 rx_tdata(7 downto 0) & rx_tdata(15 downto 8); end architecture rtl;
---------------------------------------------------------------------------------- -- -- comparator.vhd -- -- (c) 2015 -- L. Schrittwieser -- N. Huesser -- ---------------------------------------------------------------------------------- -- -- Old descision piece for the trigger units; obsolete -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity comparator is generic ( Width : integer := 14 ); port ( AxDI : in unsigned(Width - 1 downto 0); BxDI : in unsigned(Width - 1 downto 0); GreaterxSO : out std_logic; EqualxSO : out std_logic; LowerxSO : out std_logic ); end comparator; architecture Behavioral of comparator is begin process(AxDI, BxDI) begin GreaterxSO <= '0'; EqualxSO <= '0'; LowerxSO <= '0'; if AxDI > BxDI then GreaterxSO <= '1'; elsif AxDI = BxDI then EqualxSO <= '1'; else LowerxSO <= '1'; end if; end process; end Behavioral;
entity tc4 is end; library ieee; use ieee.std_logic_1164.all; architecture behav of tc4 is signal clk : std_logic; signal tg : std_logic; begin process (clk) is begin if falling_edge(clk) and tg then null; end if; end process; end behav;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 15 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0_15; USE axi_gpio_v2_0_15.axi_gpio; ENTITY zynq_design_1_axi_gpio_0_1 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END zynq_design_1_axi_gpio_0_1; ARCHITECTURE zynq_design_1_axi_gpio_0_1_arch OF zynq_design_1_axi_gpio_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_design_1_axi_gpio_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 8, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 0, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 1, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 0, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, gpio_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), gpio_io_o => gpio_io_o, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END zynq_design_1_axi_gpio_0_1_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 15 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0_15; USE axi_gpio_v2_0_15.axi_gpio; ENTITY zynq_design_1_axi_gpio_0_1 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END zynq_design_1_axi_gpio_0_1; ARCHITECTURE zynq_design_1_axi_gpio_0_1_arch OF zynq_design_1_axi_gpio_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_design_1_axi_gpio_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 8, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 0, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 1, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 0, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, gpio_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), gpio_io_o => gpio_io_o, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END zynq_design_1_axi_gpio_0_1_arch;
-- ************************************* -- * Circuito para generar objetos VGA * -- ************************************* library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity gen_obj is -- Generador de objetos estáticos port( obj_on : out std_logic; pixel_x, pixel_y: in std_logic_vector(9 downto 0); obj_rgb : out std_logic_vector(2 downto 0) ); end gen_obj; -------------------- -- Pared vertical -- -------------------- architecture pared of gen_obj is -- Coordenadas x, y (0, 0) signal px_x, px_y: unsigned(9 downto 0); ---------------------------------------------- -- Pared vertical (4 x Resolución vertical) -- ---------------------------------------------- -- Borde horizontal(x) izquierdo y derecho constant PARED_X_I: integer := 32; constant PARED_X_D: integer := 35; begin px_x <= unsigned(pixel_x); px_y <= unsigned(pixel_y); -- Pixel dentro de la pared obj_on <= '1' when (px_x >= PARED_X_I) and (px_x <= PARED_X_D) else '0'; -- Salida RGB de la pared obj_rgb <= "001"; -- Azul end pared; ----------------------------- -- Barra vertical (4 x 72) -- ----------------------------- architecture barra of gen_obj is -- Coordenadas x, y (0, 0) hasta (639, 479) signal px_x, px_y: unsigned(9 downto 0); constant MAX_X: integer := 640; constant MAX_Y: integer := 480; constant BAR_X_DIM: integer := 4; -- Dimensión/tamaño constant BAR_Y_DIM: integer := 72; -- Borde horizontal(x) izquierdo y derecho constant BAR_X_I: integer := 600; constant BAR_X_D: integer := BAR_X_I + BAR_X_DIM - 1; -- Borde vertical(y) superior e inferior constant BAR_Y_S: integer := MAX_Y/2 - BAR_Y_DIM/2; -- 204 constant BAR_Y_I: integer := BAR_Y_S + BAR_Y_DIM - 1; begin px_x <= unsigned(pixel_x); px_y <= unsigned(pixel_y); -- Pixel dentro de la barra obj_on <= '1' when (px_x >= BAR_X_I) and (px_x <= BAR_X_D) and (px_y >= BAR_Y_S) and (px_y <= BAR_Y_I) else '0'; -- Salida RGB de la barra obj_rgb <= "010"; -- Verde end barra; ---------------------------------------------- -- Bola (delimitada por un cuadrado de 8x8) -- ---------------------------------------------- architecture bola of gen_obj is -- Coordenadas x, y (0, 0) signal px_x, px_y: unsigned(9 downto 0); constant BOLA_DIM: integer := 8; -- Dimensión -- Borde horizontal(x) izquierdo y derecho signal bola_x_i, bola_x_d: unsigned(9 downto 0); -- Borde vertical(y) superior e inferior signal bola_y_s, bola_y_i: unsigned(9 downto 0); -- Señal que indica si las coordenadas del barrido se encuentran dentro de la -- región cuadrada signal cuadrado_on: std_logic; ---------------------------- -- Imagen ROM de una bola -- ---------------------------- type tipo_rom is array (0 to 7) of std_logic_vector(0 to 7); -- Definición de la memoria ROM constant BOLA_ROM: tipo_rom := ( "00111100", -- **** "01111110", -- ****** "11111111", -- ******** "11111111", -- ******** "11111111", -- ******** "11111111", -- ******** "01111110", -- ****** "00111100" -- **** ); signal rom_dir, rom_col: unsigned(2 downto 0); signal rom_datos: std_logic_vector(7 downto 0); signal rom_bit: std_logic; begin px_x <= unsigned(pixel_x); px_y <= unsigned(pixel_y); -- Declaración temporal bola_x_i <= to_unsigned(580, 10); bola_x_d <= to_unsigned(587, 10); bola_y_s <= to_unsigned(238, 10); bola_y_i <= to_unsigned(245, 10); -- Pixel dentro del cuadrado de 8x8 cuadrado_on <= '1' when (px_x >= bola_x_i) and (px_x <= bola_x_d) and (px_y >= bola_y_s) and (px_y <= bola_y_i) else '0'; -- Mapear la ubicación del píxel actual a la dir/col ROM rom_dir <= px_y(2 downto 0) - bola_y_s(2 downto 0); rom_col <= px_x(2 downto 0) - bola_x_i(2 downto 0); rom_datos <= BOLA_ROM(to_integer(rom_dir)); rom_bit <= rom_datos(to_integer(rom_col)); obj_on <= '1' when (cuadrado_on = '1') and (rom_bit = '1') else '0'; -- Salida RGB de la bola obj_rgb <= "100"; -- Rojo end bola;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity indices_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 16; DEPTH : integer := 1); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of indices_if_ap_fifo is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype := (others => (others => '0')); signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal internal_empty_n, internal_full_n : STD_LOGIC; signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint begin if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1'; internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1'; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); mFlag_nEF_hint <= '0'; -- empty hint elsif clk'event and clk = '1' then if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then if (mOutPtr = DEPTH -1) then mOutPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mOutPtr <= mOutPtr + 1; end if; end if; if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; if (mInPtr = DEPTH -1) then mInPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mInPtr <= mInPtr + 1; end if; end if; end if; end process; end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity indices_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 16; DEPTH : integer := 1); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of indices_if_ap_fifo is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype := (others => (others => '0')); signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal internal_empty_n, internal_full_n : STD_LOGIC; signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint begin if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1'; internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1'; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); mFlag_nEF_hint <= '0'; -- empty hint elsif clk'event and clk = '1' then if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then if (mOutPtr = DEPTH -1) then mOutPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mOutPtr <= mOutPtr + 1; end if; end if; if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; if (mInPtr = DEPTH -1) then mInPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mInPtr <= mInPtr + 1; end if; end if; end if; end process; end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: atahost_dma_fifo -- File: atahost_dma_fifo.vhd -- Author: Erik Jagre - Gaisler Research -- Description: Generic FIFO, based on syncram in grlib ----------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; library techmap; use techmap.gencomp.all; entity atahost_dma_fifo is generic(tech : integer:=0; abits : integer:=3; dbits : integer:=32; depth : integer:=8); port( clk : in std_logic; reset : in std_logic; write_enable : in std_logic; read_enable : in std_logic; data_in : in std_logic_vector(dbits-1 downto 0); data_out : out std_logic_vector(dbits-1 downto 0); write_error : out std_logic:='0'; read_error : out std_logic:='0'; level : out natural range 0 to depth; empty : out std_logic:='1'; full : out std_logic:='0'); end; architecture rtl of atahost_dma_fifo is type state_type is (full_state, empty_state, idle_state); type reg_type is record state : state_type; level : integer range 0 to depth; aw : integer range 0 to depth; ar : integer range 0 to depth; data_o : std_logic_vector(dbits-1 downto 0); rd : std_logic; wr : std_logic; erd : std_logic; ewr : std_logic; reset : std_logic; adr : std_logic_vector(abits-1 downto 0); end record; constant RESET_VECTOR : reg_type := (empty_state,0,0,0, conv_std_logic_vector(0,dbits),'0','0','0','0','0',(others=>'0')); signal r,ri : reg_type; signal s_ram_adr : std_logic_vector(abits-1 downto 0); begin -- comb:process(write_enable, read_enable, data_in,reset, r) Erik 2007-02-08 comb:process(write_enable, read_enable, reset, r) variable v : reg_type; variable vfull, vempty : std_logic; begin v:=r; v.wr:=write_enable; v.rd:=read_enable; v.reset:=reset; case r.state is when full_state=> if write_enable='1' and read_enable='0' and reset='0' then v.ewr:='1'; v.state:=full_state; elsif write_enable='0' and read_enable='1' and reset='0' then v.adr:=conv_std_logic_vector(r.ar,abits); if r.ar=depth-1 then v.ar:=0; else v.ar:=r.ar+1; end if; v.level:=r.level-1; if r.aw=v.ar then v.state:=empty_state; else v.state:=idle_state; end if; v.ewr:='0'; end if; when empty_state=> if write_enable='1' and read_enable='0' and reset='0' then v.adr:=conv_std_logic_vector(r.aw,abits); if r.aw=depth-1 then v.aw:=0; else v.aw:=r.aw+1; end if; v.level:=r.level+1; if v.aw=r.ar then v.state:=full_state; else v.state:=idle_state; end if; v.erd:='0'; elsif write_enable='0' and read_enable='1' and reset='0' then v.erd:='1'; v.state:=empty_state; end if; when idle_state=> if write_enable='1' and read_enable='0' and reset='0' then v.adr:=conv_std_logic_vector(r.aw,abits); if r.aw=depth-1 then v.aw:=0; else v.aw:=r.aw+1; end if; v.level:=r.level+1; if v.level=depth then v.state:=full_state; else v.state:=idle_state; end if; elsif write_enable='0' and read_enable='1' and reset='0' then v.adr:=conv_std_logic_vector(r.ar,abits); if r.ar=depth-1 then v.ar:=0; else v.ar:=r.ar+1; end if; v.level:=r.level-1; if v.level=0 then v.state:=empty_state; else v.state:=idle_state; end if; end if; end case; if r.level=0 then vempty:='1'; vfull:='0'; elsif r.level=depth then vempty:='0'; vfull:='1'; else vempty:='0'; vfull:='0'; end if; --reset logic if (reset='1') then v:=RESET_VECTOR; end if; ri<=v; s_ram_adr<=v.adr; --assigning outport write_error<=v.ewr; read_error<=v.erd; level<=v.level; empty<=vempty; full<=vfull; end process; ram : syncram generic map(tech=>tech, abits=>abits, dbits=>dbits) port map ( clk => clk, address => s_ram_adr, datain => data_in, dataout => data_out, enable => read_enable, write => write_enable ); sync:process(clk) --Activate on clock & reset begin if clk'event and clk='1' then r<=ri; end if; end process; end;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003, Gaisler Research -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: atahost_dma_fifo -- File: atahost_dma_fifo.vhd -- Author: Erik Jagre - Gaisler Research -- Description: Generic FIFO, based on syncram in grlib ----------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; library techmap; use techmap.gencomp.all; entity atahost_dma_fifo is generic(tech : integer:=0; abits : integer:=3; dbits : integer:=32; depth : integer:=8); port( clk : in std_logic; reset : in std_logic; write_enable : in std_logic; read_enable : in std_logic; data_in : in std_logic_vector(dbits-1 downto 0); data_out : out std_logic_vector(dbits-1 downto 0); write_error : out std_logic:='0'; read_error : out std_logic:='0'; level : out natural range 0 to depth; empty : out std_logic:='1'; full : out std_logic:='0'); end; architecture rtl of atahost_dma_fifo is type state_type is (full_state, empty_state, idle_state); type reg_type is record state : state_type; level : integer range 0 to depth; aw : integer range 0 to depth; ar : integer range 0 to depth; data_o : std_logic_vector(dbits-1 downto 0); rd : std_logic; wr : std_logic; erd : std_logic; ewr : std_logic; reset : std_logic; adr : std_logic_vector(abits-1 downto 0); end record; constant RESET_VECTOR : reg_type := (empty_state,0,0,0, conv_std_logic_vector(0,dbits),'0','0','0','0','0',(others=>'0')); signal r,ri : reg_type; signal s_ram_adr : std_logic_vector(abits-1 downto 0); begin -- comb:process(write_enable, read_enable, data_in,reset, r) Erik 2007-02-08 comb:process(write_enable, read_enable, reset, r) variable v : reg_type; variable vfull, vempty : std_logic; begin v:=r; v.wr:=write_enable; v.rd:=read_enable; v.reset:=reset; case r.state is when full_state=> if write_enable='1' and read_enable='0' and reset='0' then v.ewr:='1'; v.state:=full_state; elsif write_enable='0' and read_enable='1' and reset='0' then v.adr:=conv_std_logic_vector(r.ar,abits); if r.ar=depth-1 then v.ar:=0; else v.ar:=r.ar+1; end if; v.level:=r.level-1; if r.aw=v.ar then v.state:=empty_state; else v.state:=idle_state; end if; v.ewr:='0'; end if; when empty_state=> if write_enable='1' and read_enable='0' and reset='0' then v.adr:=conv_std_logic_vector(r.aw,abits); if r.aw=depth-1 then v.aw:=0; else v.aw:=r.aw+1; end if; v.level:=r.level+1; if v.aw=r.ar then v.state:=full_state; else v.state:=idle_state; end if; v.erd:='0'; elsif write_enable='0' and read_enable='1' and reset='0' then v.erd:='1'; v.state:=empty_state; end if; when idle_state=> if write_enable='1' and read_enable='0' and reset='0' then v.adr:=conv_std_logic_vector(r.aw,abits); if r.aw=depth-1 then v.aw:=0; else v.aw:=r.aw+1; end if; v.level:=r.level+1; if v.level=depth then v.state:=full_state; else v.state:=idle_state; end if; elsif write_enable='0' and read_enable='1' and reset='0' then v.adr:=conv_std_logic_vector(r.ar,abits); if r.ar=depth-1 then v.ar:=0; else v.ar:=r.ar+1; end if; v.level:=r.level-1; if v.level=0 then v.state:=empty_state; else v.state:=idle_state; end if; end if; end case; if r.level=0 then vempty:='1'; vfull:='0'; elsif r.level=depth then vempty:='0'; vfull:='1'; else vempty:='0'; vfull:='0'; end if; --reset logic if (reset='1') then v:=RESET_VECTOR; end if; ri<=v; s_ram_adr<=v.adr; --assigning outport write_error<=v.ewr; read_error<=v.erd; level<=v.level; empty<=vempty; full<=vfull; end process; ram : syncram generic map(tech=>tech, abits=>abits, dbits=>dbits) port map ( clk => clk, address => s_ram_adr, datain => data_in, dataout => data_out, enable => read_enable, write => write_enable ); sync:process(clk) --Activate on clock & reset begin if clk'event and clk='1' then r<=ri; end if; end process; end;
library verilog; use verilog.vl_types.all; entity Memory_ShiftOutput is port( Mem_data_out : in vl_logic_vector(31 downto 0); Mem_addr_in : in vl_logic_vector(1 downto 0); IR : in vl_logic_vector(31 downto 26); Mem_data_shift : out vl_logic_vector(31 downto 0) ); end Memory_ShiftOutput;
library verilog; use verilog.vl_types.all; entity Memory_ShiftOutput is port( Mem_data_out : in vl_logic_vector(31 downto 0); Mem_addr_in : in vl_logic_vector(1 downto 0); IR : in vl_logic_vector(31 downto 26); Mem_data_shift : out vl_logic_vector(31 downto 0) ); end Memory_ShiftOutput;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Wed Sep 20 21:13:47 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top zqynq_lab_1_design_auto_pc_3 -prefix -- zqynq_lab_1_design_auto_pc_3_ zqynq_lab_1_design_auto_pc_3_sim_netlist.vhdl -- Design : zqynq_lab_1_design_auto_pc_3 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \^m_axi_arready\ : STD_LOGIC; signal \^m_axi_awready\ : STD_LOGIC; signal \^m_axi_bid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_bresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_buser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_axi_bvalid\ : STD_LOGIC; signal \^m_axi_rdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^m_axi_rid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_rlast\ : STD_LOGIC; signal \^m_axi_rresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_ruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_axi_rvalid\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_araddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_arburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^s_axi_arlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_arprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_arqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_aruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_arvalid\ : STD_LOGIC; signal \^s_axi_awaddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_awburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^s_axi_awlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_awprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_awqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_awuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_awvalid\ : STD_LOGIC; signal \^s_axi_bready\ : STD_LOGIC; signal \^s_axi_rready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wlast\ : STD_LOGIC; signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_arready\ <= m_axi_arready; \^m_axi_awready\ <= m_axi_awready; \^m_axi_bid\(11 downto 0) <= m_axi_bid(11 downto 0); \^m_axi_bresp\(1 downto 0) <= m_axi_bresp(1 downto 0); \^m_axi_buser\(0) <= m_axi_buser(0); \^m_axi_bvalid\ <= m_axi_bvalid; \^m_axi_rdata\(31 downto 0) <= m_axi_rdata(31 downto 0); \^m_axi_rid\(11 downto 0) <= m_axi_rid(11 downto 0); \^m_axi_rlast\ <= m_axi_rlast; \^m_axi_rresp\(1 downto 0) <= m_axi_rresp(1 downto 0); \^m_axi_ruser\(0) <= m_axi_ruser(0); \^m_axi_rvalid\ <= m_axi_rvalid; \^m_axi_wready\ <= m_axi_wready; \^s_axi_araddr\(31 downto 0) <= s_axi_araddr(31 downto 0); \^s_axi_arburst\(1 downto 0) <= s_axi_arburst(1 downto 0); \^s_axi_arcache\(3 downto 0) <= s_axi_arcache(3 downto 0); \^s_axi_arid\(11 downto 0) <= s_axi_arid(11 downto 0); \^s_axi_arlen\(3 downto 0) <= s_axi_arlen(3 downto 0); \^s_axi_arlock\(0) <= s_axi_arlock(0); \^s_axi_arprot\(2 downto 0) <= s_axi_arprot(2 downto 0); \^s_axi_arqos\(3 downto 0) <= s_axi_arqos(3 downto 0); \^s_axi_arsize\(2 downto 0) <= s_axi_arsize(2 downto 0); \^s_axi_aruser\(0) <= s_axi_aruser(0); \^s_axi_arvalid\ <= s_axi_arvalid; \^s_axi_awaddr\(31 downto 0) <= s_axi_awaddr(31 downto 0); \^s_axi_awburst\(1 downto 0) <= s_axi_awburst(1 downto 0); \^s_axi_awcache\(3 downto 0) <= s_axi_awcache(3 downto 0); \^s_axi_awid\(11 downto 0) <= s_axi_awid(11 downto 0); \^s_axi_awlen\(3 downto 0) <= s_axi_awlen(3 downto 0); \^s_axi_awlock\(0) <= s_axi_awlock(0); \^s_axi_awprot\(2 downto 0) <= s_axi_awprot(2 downto 0); \^s_axi_awqos\(3 downto 0) <= s_axi_awqos(3 downto 0); \^s_axi_awsize\(2 downto 0) <= s_axi_awsize(2 downto 0); \^s_axi_awuser\(0) <= s_axi_awuser(0); \^s_axi_awvalid\ <= s_axi_awvalid; \^s_axi_bready\ <= s_axi_bready; \^s_axi_rready\ <= s_axi_rready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wlast\ <= s_axi_wlast; \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wuser\(0) <= s_axi_wuser(0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_araddr(31 downto 0) <= \^s_axi_araddr\(31 downto 0); m_axi_arburst(1 downto 0) <= \^s_axi_arburst\(1 downto 0); m_axi_arcache(3 downto 0) <= \^s_axi_arcache\(3 downto 0); m_axi_arid(11 downto 0) <= \^s_axi_arid\(11 downto 0); m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3 downto 0) <= \^s_axi_arlen\(3 downto 0); m_axi_arlock(0) <= \^s_axi_arlock\(0); m_axi_arprot(2 downto 0) <= \^s_axi_arprot\(2 downto 0); m_axi_arqos(3 downto 0) <= \^s_axi_arqos\(3 downto 0); m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2 downto 0) <= \^s_axi_arsize\(2 downto 0); m_axi_aruser(0) <= \^s_axi_aruser\(0); m_axi_arvalid <= \^s_axi_arvalid\; m_axi_awaddr(31 downto 0) <= \^s_axi_awaddr\(31 downto 0); m_axi_awburst(1 downto 0) <= \^s_axi_awburst\(1 downto 0); m_axi_awcache(3 downto 0) <= \^s_axi_awcache\(3 downto 0); m_axi_awid(11 downto 0) <= \^s_axi_awid\(11 downto 0); m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3 downto 0) <= \^s_axi_awlen\(3 downto 0); m_axi_awlock(0) <= \^s_axi_awlock\(0); m_axi_awprot(2 downto 0) <= \^s_axi_awprot\(2 downto 0); m_axi_awqos(3 downto 0) <= \^s_axi_awqos\(3 downto 0); m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2 downto 0) <= \^s_axi_awsize\(2 downto 0); m_axi_awuser(0) <= \^s_axi_awuser\(0); m_axi_awvalid <= \^s_axi_awvalid\; m_axi_bready <= \^s_axi_bready\; m_axi_rready <= \^s_axi_rready\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \^s_axi_wlast\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \^s_axi_wuser\(0); m_axi_wvalid <= \^s_axi_wvalid\; s_axi_arready <= \^m_axi_arready\; s_axi_awready <= \^m_axi_awready\; s_axi_bid(11 downto 0) <= \^m_axi_bid\(11 downto 0); s_axi_bresp(1 downto 0) <= \^m_axi_bresp\(1 downto 0); s_axi_buser(0) <= \^m_axi_buser\(0); s_axi_bvalid <= \^m_axi_bvalid\; s_axi_rdata(31 downto 0) <= \^m_axi_rdata\(31 downto 0); s_axi_rid(11 downto 0) <= \^m_axi_rid\(11 downto 0); s_axi_rlast <= \^m_axi_rlast\; s_axi_rresp(1 downto 0) <= \^m_axi_rresp\(1 downto 0); s_axi_ruser(0) <= \^m_axi_ruser\(0); s_axi_rvalid <= \^m_axi_rvalid\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_3 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zqynq_lab_1_design_auto_pc_3 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_auto_pc_3 : entity is "zqynq_lab_1_design_auto_pc_3,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_3 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of zqynq_lab_1_design_auto_pc_3 : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; end zqynq_lab_1_design_auto_pc_3; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_3 is signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 0; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 1; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_arid(11 downto 0) => m_axi_arid(11 downto 0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(11 downto 0) => m_axi_awid(11 downto 0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(11 downto 0) => m_axi_bid(11 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Wed Sep 20 21:13:47 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top zqynq_lab_1_design_auto_pc_3 -prefix -- zqynq_lab_1_design_auto_pc_3_ zqynq_lab_1_design_auto_pc_3_sim_netlist.vhdl -- Design : zqynq_lab_1_design_auto_pc_3 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \^m_axi_arready\ : STD_LOGIC; signal \^m_axi_awready\ : STD_LOGIC; signal \^m_axi_bid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_bresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_buser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_axi_bvalid\ : STD_LOGIC; signal \^m_axi_rdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^m_axi_rid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_rlast\ : STD_LOGIC; signal \^m_axi_rresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_ruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_axi_rvalid\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_araddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_arburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^s_axi_arlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_arprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_arqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_aruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_arvalid\ : STD_LOGIC; signal \^s_axi_awaddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_awburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^s_axi_awlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_awprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_awqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_awuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_awvalid\ : STD_LOGIC; signal \^s_axi_bready\ : STD_LOGIC; signal \^s_axi_rready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wlast\ : STD_LOGIC; signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_arready\ <= m_axi_arready; \^m_axi_awready\ <= m_axi_awready; \^m_axi_bid\(11 downto 0) <= m_axi_bid(11 downto 0); \^m_axi_bresp\(1 downto 0) <= m_axi_bresp(1 downto 0); \^m_axi_buser\(0) <= m_axi_buser(0); \^m_axi_bvalid\ <= m_axi_bvalid; \^m_axi_rdata\(31 downto 0) <= m_axi_rdata(31 downto 0); \^m_axi_rid\(11 downto 0) <= m_axi_rid(11 downto 0); \^m_axi_rlast\ <= m_axi_rlast; \^m_axi_rresp\(1 downto 0) <= m_axi_rresp(1 downto 0); \^m_axi_ruser\(0) <= m_axi_ruser(0); \^m_axi_rvalid\ <= m_axi_rvalid; \^m_axi_wready\ <= m_axi_wready; \^s_axi_araddr\(31 downto 0) <= s_axi_araddr(31 downto 0); \^s_axi_arburst\(1 downto 0) <= s_axi_arburst(1 downto 0); \^s_axi_arcache\(3 downto 0) <= s_axi_arcache(3 downto 0); \^s_axi_arid\(11 downto 0) <= s_axi_arid(11 downto 0); \^s_axi_arlen\(3 downto 0) <= s_axi_arlen(3 downto 0); \^s_axi_arlock\(0) <= s_axi_arlock(0); \^s_axi_arprot\(2 downto 0) <= s_axi_arprot(2 downto 0); \^s_axi_arqos\(3 downto 0) <= s_axi_arqos(3 downto 0); \^s_axi_arsize\(2 downto 0) <= s_axi_arsize(2 downto 0); \^s_axi_aruser\(0) <= s_axi_aruser(0); \^s_axi_arvalid\ <= s_axi_arvalid; \^s_axi_awaddr\(31 downto 0) <= s_axi_awaddr(31 downto 0); \^s_axi_awburst\(1 downto 0) <= s_axi_awburst(1 downto 0); \^s_axi_awcache\(3 downto 0) <= s_axi_awcache(3 downto 0); \^s_axi_awid\(11 downto 0) <= s_axi_awid(11 downto 0); \^s_axi_awlen\(3 downto 0) <= s_axi_awlen(3 downto 0); \^s_axi_awlock\(0) <= s_axi_awlock(0); \^s_axi_awprot\(2 downto 0) <= s_axi_awprot(2 downto 0); \^s_axi_awqos\(3 downto 0) <= s_axi_awqos(3 downto 0); \^s_axi_awsize\(2 downto 0) <= s_axi_awsize(2 downto 0); \^s_axi_awuser\(0) <= s_axi_awuser(0); \^s_axi_awvalid\ <= s_axi_awvalid; \^s_axi_bready\ <= s_axi_bready; \^s_axi_rready\ <= s_axi_rready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wlast\ <= s_axi_wlast; \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wuser\(0) <= s_axi_wuser(0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_araddr(31 downto 0) <= \^s_axi_araddr\(31 downto 0); m_axi_arburst(1 downto 0) <= \^s_axi_arburst\(1 downto 0); m_axi_arcache(3 downto 0) <= \^s_axi_arcache\(3 downto 0); m_axi_arid(11 downto 0) <= \^s_axi_arid\(11 downto 0); m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3 downto 0) <= \^s_axi_arlen\(3 downto 0); m_axi_arlock(0) <= \^s_axi_arlock\(0); m_axi_arprot(2 downto 0) <= \^s_axi_arprot\(2 downto 0); m_axi_arqos(3 downto 0) <= \^s_axi_arqos\(3 downto 0); m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2 downto 0) <= \^s_axi_arsize\(2 downto 0); m_axi_aruser(0) <= \^s_axi_aruser\(0); m_axi_arvalid <= \^s_axi_arvalid\; m_axi_awaddr(31 downto 0) <= \^s_axi_awaddr\(31 downto 0); m_axi_awburst(1 downto 0) <= \^s_axi_awburst\(1 downto 0); m_axi_awcache(3 downto 0) <= \^s_axi_awcache\(3 downto 0); m_axi_awid(11 downto 0) <= \^s_axi_awid\(11 downto 0); m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3 downto 0) <= \^s_axi_awlen\(3 downto 0); m_axi_awlock(0) <= \^s_axi_awlock\(0); m_axi_awprot(2 downto 0) <= \^s_axi_awprot\(2 downto 0); m_axi_awqos(3 downto 0) <= \^s_axi_awqos\(3 downto 0); m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2 downto 0) <= \^s_axi_awsize\(2 downto 0); m_axi_awuser(0) <= \^s_axi_awuser\(0); m_axi_awvalid <= \^s_axi_awvalid\; m_axi_bready <= \^s_axi_bready\; m_axi_rready <= \^s_axi_rready\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \^s_axi_wlast\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \^s_axi_wuser\(0); m_axi_wvalid <= \^s_axi_wvalid\; s_axi_arready <= \^m_axi_arready\; s_axi_awready <= \^m_axi_awready\; s_axi_bid(11 downto 0) <= \^m_axi_bid\(11 downto 0); s_axi_bresp(1 downto 0) <= \^m_axi_bresp\(1 downto 0); s_axi_buser(0) <= \^m_axi_buser\(0); s_axi_bvalid <= \^m_axi_bvalid\; s_axi_rdata(31 downto 0) <= \^m_axi_rdata\(31 downto 0); s_axi_rid(11 downto 0) <= \^m_axi_rid\(11 downto 0); s_axi_rlast <= \^m_axi_rlast\; s_axi_rresp(1 downto 0) <= \^m_axi_rresp\(1 downto 0); s_axi_ruser(0) <= \^m_axi_ruser\(0); s_axi_rvalid <= \^m_axi_rvalid\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_3 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zqynq_lab_1_design_auto_pc_3 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_auto_pc_3 : entity is "zqynq_lab_1_design_auto_pc_3,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_3 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of zqynq_lab_1_design_auto_pc_3 : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; end zqynq_lab_1_design_auto_pc_3; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_3 is signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 0; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 1; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.zqynq_lab_1_design_auto_pc_3_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_arid(11 downto 0) => m_axi_arid(11 downto 0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(11 downto 0) => m_axi_awid(11 downto 0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(11 downto 0) => m_axi_bid(11 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OMPzPVU1UOlORRas4RP0uYu8YjS8Esg8YM7yLemu0FkphUnvFeJogC88yFLL2XWMCT8m0HgGQfwR 6sa0U+Q0fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SYZf34STSFScEuM4u1+mYmjN2+8dAQYYhbrx51XmPuyvbzP8HC+h3+Jlnim2JPzxBrIkkDddjK7d fTEAof6gukf1fUs46zkq6RHgGuva4zMLPgpkUtr9qJ3O7kNxpK3TOmRW4lXYKg0cpe8LiEYuP2+r 1cvzAvxSQnPSmo9OJMY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oQn8/viq8H9x1vkjQR61Emk09tZdl3ISjGgHteRzQZesq5NpE20rZxlLsDrILW/J/HEQxS1Fbf+3 CvBugCEHUC7FpWvv5Njcdkx0DRJqNb4nISPxfMZ+f1cVLp+AsnaTenjrVUqn5cU089dJfrCXzpHj mvSlvZT8jP9x3XVy8pOEkGo1ZsDj3QErgKbeqznh96XCBNzrVfHnfl2ATo+fqe/FtBa0+mJmEDvj 5dpcTZ1/Nmoj5kegJOoAXu5wCxL5fvxklARLSW8LRm40ivorwyE766kvKIBPEMqCqulzcvVFGiVx aJZkZ/2zic55WG2PKri90hSGWO3cxpJm9bhsLA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vwrnyi2TmlSK1mbXcieqRimUkP8jUblT7kz4YhXLq0L+u2u13ScNYmRq09ASakKAwUFSFn5E0bDa 08u9R1tWBCZqAb9P0nUXvd20VMPBxKe/ZN2lRAixj6bKBbNnPdqBEn12TwxfQV9yhmRAXzrpuIAm VqPNDRfw2/2ADDiCF/w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lVskYteqXadoNxznnGRUaKHIoRbXsWfgTFw6JQj71O15N2wCVE3/dpV96BkNyfaT7aeslR5n48ox CM+pfrNNGF4cv0PpWc3SV4V2mHKkU9z3L0EWj+MpXI9z+hMWwn981LNwTe5uAx1yJPL+T2YxJ2Y7 ohTgqvD71WKiHKxqzNtuSOZEoOp2e5SQj9IQOURzxNPOm0zxB0XFOHTtxY+p5SC+sBkDkgQEmdEp CQByfsof+1Z8gG7xVKzQcFl+Akj3n4LTy/ZjxfJy5+aOMsJu2xV7ZOAhhDOoZVGOCHJFZBxXcI5b wXJm6Sk8mtFeM6PChG7LbgoCffA65LD63mYPuA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14576) `protect data_block lgOaN43zf3Dh6Hb04ZA0z9asq63HyjuvAXmRuWw+kn4Rtz9iSeVYsRA+bRHL7CzjwSASi8QPpGF7 2ws54+HmxljclS1uqyNiO5jGn67KBoip53bkBEwjSX7eu2zHzDwS7jN3fNuCfKnY0bkafziYLOhk /CT9syZLyLP71qrQiA/DG2/imnqIYupJ4SaVug8f0cThCou6NN62OhwjzlqqHm0qzA2tpbnQ1+Rz dRjGaBUHtRC//rrdDevg06AjIEzPvtFklhX2Vj6mmut8KOD8QubRw9FOnWlE7h8BR72/4P0EYjdL hye0bttc+/83/HSHaF/c8nkXoXZsXQhflOuoNlgWM/NaG5qGUsm6mHeyPYwAYVQPTJwInULE9vTG g+aWYYKxxVkRXUe2MuJsinvRMOctNP1JlMFe+iY086y3yqxt6cHgBkIUqN3IBXXSpGgqLCbyeDtP 1dgLVgsg1iB+c9ZMiuz5L3DCy/yeTeP1RXN18moOfK1eVG+AeDOYug+HLpdrMFmlAeXH9JppCYRf gg9P/ig6SPeZvt43NH4Lf7Z8F7oneBy1Yus27RIDYI/D93Hw43NnaYd6ohWr5y+vkXy9iDX1Um4J qo/eWNJafUOgd6gTrQeBt9h3H8WBUZkNN1DX1y1+tc2sOSR9NG+gNQKN+/tHVDqZwcGsCc74M0iq FU/GLATnNy82JOJag7IgWAkbcLNGR6bTvlQFDUy3g5uX2MGQmh1vEZjD/s/FuzKvCdOTDScRqABm 4UWesayHuI8w0u6khqPtIMeeInL3Pq3OKr7swbVTZvw4sLF3UrT7EJQS1kVIL65uU6lWZWYMq2GJ Ybm20GUl++KcE+BP6lWCFXFUl6352QVCIH4bH1z8wXBP4xIVdCj4GUKr5gxnmURTmHisfQRodbyx iIJaaCr73c1shrYzL6/kyeAPm43lUTgFvayqM/GPpMwz4yBd1oJRrE7JJ40V+uP2YLodl3nlrIYM e7+eOSO78M08aHtbm92osVEKafRJpyiYBqk5oLF9QJfbGRzov+VHBJPGwx4ypZxx7cG4ncGlPCNT 4WrM18iLMorUHe6EEd1WQqRaObOuP88hUNRO80ypQxcqMrXlA9RuckeaiAPduZSoIgKto/fRYHN4 HbQmdB/8sxQkaHpR2V9Nqxz2VciD4ck/pWpUoObk6pE2x90lqNOFI0MSK5yFzgPEFY3bXDOac692 mVP/YaOAIEfeq2fYyNDGNfUJM3IP8Ci1cVsoqBt79XlgUqaZdKZtZKxZJA9SOZS3QQv6dkK2r7ZF NwxaT1iknqyj0OuNzYIWrTnNAQhs8cFJtkQ+loDjntl6VLwt81I8Ewgn4CYqQW6jCs+xORx3oxZz EoWbF/P5kj9E0ri39T+H8lJUYfQCxZ047f1PLawen4rRKG1l/d69ZMgCbE7Ef8QRH/SHWRc81L+5 Lzv5K3+8Pi15eM/H9eeARPD1k3Z/GTuxMx2zZmyW6NJbXS2Z+2/xg6qM2CFu2AlkPt0MLU1BCN5e Htp/zMKffcc0GBEciZgV4FH6YlFL/o+lT+xkriu6hXtNvyUHW5+H0IWH2MBK7WhZJH8qCKGqLow7 F+fSjHzdz+J3YFhFxeD/J36FVjn2amgcUv2somiw8juGNb/elzaX+QgxRawP0ZoQ6Tzp/arPpZIv suJjwg8qMHiCZkmXzDV7q+Ga6VvB5Pko+56VEcXIYD3C7MXx3b8neWjkJL9EUU4MclOvscSNN6jV iHeSQ7YrYcX9NzmUALEKEn0+Z+ICASinlsW/Sh/LAsMv+0iR+7FXaCw5jx9P2EhqR65s3jZm4YoH 9CPe856Nxb6T0vJB8gH+ZCuJpNbnllrJakjtdFWGIfV59waVhdafCioY0nr9063z5BaJ0DGzpRMW jYilPbZ33O97VPrTmM4xF1Rxa2erdLa+wP9PM5jWUtX651O/iGQL8pcNJ90TD7Q8mtj2hEqhyHhJ b8YXGiC689KM8ryC2UCkdboXGwtYisJw/vvI9/7jWnxR+90UAL4m1CFel46FzE0ieTV6S/AFGN1Z jhpwyIVaJcPMePtTUAH3Po3RwgHx1wxpkKG58Azk+Oz0xaP6akplWmCenkMdRaDi+0gytPaB73rX CsesvkcPX5ytz+LgU/AEqjwySU7EjTABaRLkIITPJ9yjFrTuyq6wASNVqSPOd2GfZwVsVICn0yAM 6zdhmIxRvoxxdRXLq6IbK/RlE2vLyhVpLStYsxJUCPpeGMFk8hr29yhCxs+H1Y3SRhI/sCl/l1b3 hVtbC4m1JQ5K2mLx200IGm2MrVPPBLr6ETClyRY1hs99ywLLe5n40ZsT7lk24ybkkBDObMKxr2Xq EULMb+FvX0br3bRm8H9sqehqxNxxgn16vxrwugDZZpMRvqmiSm7Xgo/xJFR5PZPQWLiqzVMciiKq HTo77FvWb0DGaNSyBXk3zvLd/VJlMIC1MEU6/uY+wQP1DXT1zb8uw88sV4f2sagkUK17HL+cwRar 8/anuNhtjK/7W0Ytl1ETO5GSBEN0FGKjpy7MJeb2Z2QUYrUHpfycQr2oO47zcYfexOdR14NSqC7i IzubpCJnXxkrwQE9T4dtZj08kqCUlr6OaEraDe+Q/s4U550ls0NYYj8Am/uGaetoDWsHaToeHiVM RfV9Gp4QfaGWLAIfI8/YRwvr3fFo5nShkqmaVl4dASW3hiPFqUDG3UkYcw4X2cD4h8ovKeK0mkFg Rb1su3VzHEs7rHQNAxrhGPlB4pkoL8suaHqNUMYcuByQ0upDaRENRZ7UN0QTWj4A0NHIVBRHFEde oAWkIFafqVRPYx60+NdMJF1S+0jMpNLg05n78d7qRGQTV9fPKuHN10FbiA71rMTXTw9Ylm1MOG6Q ZqzcEIz8m4N9kh/Ok4RwUEvLb9sA/fOwmS91UHt22Zh+L5scEPlR/LfWfal/rmB5q8ITcU2utVyx fOP9Guymbb7NDAyRywI3/NjHBr0FlMzM1wzJ0vFslRajjLggEebGSyO1ARKq0LmZsRQpHu0yY1yo aLgpNY3teBEiM6tkU+gMcNpAJLf/9y9wHfmuEVruj8gPqr9Yhu+ShsKkLiV5wNl+cswMdXhKVlgY 12yE3THYGPZnEGfuihJqdCpyerWVfXB4/xKlPDYWHJvXypkq/jlvFVxAzLxyogHZQprgL0Xp3mt3 uP97tWmMHmhvjmuVjD2z1WYemQbYhzWMwoAftFtj2XkDYcUw7t8Y8FPxXopWY+4t6DvaBdbMsbLG NJzl8MkCx+dNFHQyW+FRd7pTFcwmXnMc3DviiHgyIUDhUp2mB8viCWx9VswNunhObnmawanoVHqV PP/JaggzKnuXFMDbe3O+FxXyyMAZt0AK9tS7r3gN8fFJr5cGbfOCMFP+3Fcjz5GRzbwVI0hkVwol Dn83M6hahYA1jMbx4EhHCxs+/IB7AbXsTVc4tG/6tVbdNbSdnwY0GvyD3NFwCv9dq+U5lX92v7Tg cBZpso0VhY2Xo+1RmDBhabR8f+1tP6zx95xiJyy2HGEf82EftW6eOxHZ8cnl5DLLGQDDYszB9ZJ5 TbLf1O4Z0OXtpcwSOLJIof62oCJCQVRhRKk3Gxt2KbuMfT0BdVN0mErIdTFFrWmJd4Yp6oYnaJJN sB6CJ6GgcqfXXSxHuQbhNex+o3xsSyX4pDULqo9FkRm2o/SWhqEcpblkdXPQ2L6CjUWi97pQ9vlt OyOX8wIQ7xHjmfp9pQV6hIxrapjOYT5dfvLEFPE0kihgCZV+1nr7UAqlVLbLY7lZmzkAmYty1gP5 F2J7v0D201YyrRoOg4rdDTP4MfEHVVLgz83NXTzVD47KYJg4BBQemmeO+7W8pK/MM8ys9nzDpGKO BWERLj2gAyOqiPD3PbsW/fihfpbj76Q9Wr703ViR32g/GctU2xgzLgLTZWG8elYRaqpqa9WR3Q9G 2/IME3C+2RzsYpMKC3nP1Z0TUXNkNeUIT2pXVCOVFUhYQA99YaxyMDr/KqZ0SUba5fQTrfPLBJSC WQKlKYIfeFAjhaAU+h5QzIDi0YVXyJLi5y0e6ohE/QEpLDDK9e3dMgXkk/yvGI89FSasACWXA4ya h122MWIQVvkBSR9dfRNQ8sM+mUwyhl0Y4Z+EYQ9Mz2i8xWAcbeqhNcQ/u01oNEJEGEb3V8vI1n4A Tv4ns5VEpmojFbY6D0E5msogTgbxE/ritykp/eFwXFS7oigGfA94nloztpTNSxQOPWBpdXJRN5fg qm1p7609a4yzW/Ie+ZfjDw3IpCu5OIu8emSmyUuJt3hbgnr6CWWnByRLdb/6XLgDrGTqcxP9mD7S 60to5B2qrRmz/uD9a2eNnYSKXOD58NbODHy/u9DItP0uXp0qEPmORkVSQE1mljhsHAEznhiIOv4E PvE6iaUnLZAsODRCN073obtdXbqZ/HQC/dfTugplOOWIUpAtESwHw2llGjlg6d9kvxiaZyMlzt7b uh/0sgAbvcwWBG00QFNtIBMbgeAxkIvMqR9pY8q2MPYQYkHEh/grF7THOa2mk1lc+wuBhfVCi1Bb Du5FEmlO9GxlJN9pL7Tt2SFGOSE015ZitQ32InkaiwlSUsVyNvIqxrMEIE9/UffLqgqYBMrS2v2d vIoUFkmOzv0/Bmt9scFkKGJdnEMt+3FfUwsRHemd7lIaFk9jR8qOjEa26tmunRMZcHo+kNcR2tri hNXeqeMiCXekZDAQjeDuGBGB6jWca6/m6tiVGr9x5oWdTpG+TT9S32SJOHBco5zT9ZjV+mNzkEIq YJri00iwYt59Hx9soJNlRYYZu3LYj1hFmXAg5/YbxVx+v5utfYmyy0K2E45fFestJF1yC0hdyUwy RHEvsycxhFE/SkUTlOvqY8jGu36lkHAmutDnUVtnnQIGSs5C0eFdgvP4s1vcs/psA3750crMsZSv woIQKvBjgosF3YfWLVb43uIeZgjI0TNXDJrMZCw57bZPQ1uYOfvDUsQXjb0WmOpqoyoYyxWhSu8g VEkRDtNgCKQf1kXItfucEeg2rRcVwwqAQQgWgeT/Ksk6jSkbLse7V2tf1fMXaXXmrWv4nZP1+jOB IyHU+YqIS4rM68EwiPGlIjlnZQj7cGmLfXJ54nwCfJAXN9LWIZTkgytZ3Ccetrjj8P6Np/R+GWtU hbOY7aIvKWWwXQwPhMiDZnZB7FaZig+u8dABMLz/wCCFiGw+KVXcB22M68fxaj3/vLGwIGJorkbE OHWqsGSXFZc7BUeLMvWncxsXVe/3cFF5zbiWZkpaV3sJBlNUT2f535ocwlgW0YhHjjB1HlF1Bo52 0FEKz73meMVPCCBuX78CG2h1Y4Zvh+FaurDtXOEOBQXwktj1KzYm7t6pmsk/wM1PjJPJ/7/24sYz FM5YRNqyMC7AWomuZoy99sg0mN+NlAn3+laI45Xi+np9+9oqVaBnKZcEVIlgurds1FTeeWOCvbPz yMs5RMvkpCF/8aAzAvgNEvBAgLuQ+uXf8VFXYLiCPp/ScN2WnFNL5xDRd4J6dTaJuO5Yzg7OujeL JJZtOhQHr6hO/6ROCCxRZl4VQs2pyzp7caYhluoB+cSc81b96iwo0rMl4/cjIiLJ0zBRLkr7/MVf MCLtjbfTRub+E1njVmAGyz3IpbJ2B11j6dEvHVMJaAeamTiti+fUTeRRkcVRGPziX/vNJLlvxdJ+ A9vBk8kAr+e9B+2oGwZt5S2vt9trTYHckmPhSzrNED5/Y7hFoEX5SmTz5U5Tx2FODUTu1LJkaRxt J5J5SdYgSX6/flHJv0LOfVM06gslcjP/f50GBh3jJKLQWRlVt8ZvBAAe98jShb0QIToDZp2PKEQh rBS1Cxz4krjkyzJ7Dj8aoitQqb89Aaig1chlHCOz6s+WBRvDxY1dTQ8yvKeXaWZFd4DC+JhNtixt 8TZO1wtbpSZxMFjBR1BIa0vM8uDPgkKaVptWojwgDk4PhZYec9V9WIH65w+6evrmNengRfrba8Qn 3bPtKCcgSy0SqizvApOVPb50T4bKq0SL2gNWHQC8/Z0LuzlL1qJJ694jUtPMSNnHKCWmhj/0Ca00 pyFjEcIk7jtcVVr6/FMkTQemZ+gzzxA22+kdFKKzsiqT9Fgp0Y37gj7D59vkaoHSFaUzfDVG0VKu 6xORG+bf2+k6o1C+DIZCnTEtj9HlkyFb4asOzgBCkLoYH44a9OLo8UcJ5PXlRQ2PM5Xo0rHIXPpR ii+VBXiCRRhGzXYLxz8CMN7VvGOu/DURkQMwYeVsJbyn96ZTrC2N8drdYs/XpoAzd3sxusEw82NU BgmWiDaVXTtLlPHc1PqB3QT5ABjZxTT36pDSGe3pQnwrG3HXgKwHnN25POcS2cL+JgdlUDSTOaP3 617HKynUx1o1IwxG7fswagDLb2lkvK8ebmDIqYzqca0gHJ1sKcFqFKqZ6VaHqyUefRprRmbzzyvt S87NCRh5nLmngUmdB/VPEpjg7Hq8BOB1Yv0CQiFHP+mDwQkJs3UvQszCMH3BdA5v2kabYSls/4q5 Vk7t4eTcaxlJI9I+rbYXoj2BpuKGz3vx3LUuA/EKqA7T7La+kVKV2qWpUvN9aMI/2d0eUZblkVVA I5AZSOpkue3Jr96nsNnYIBpz93FqiORYNvtxtE2Laib3MPRoiJd/YlNKI5K8D70eFp5nvzjz+ijI Ec2dfGiDyy8NP/POxGMcxzpGMiuqvy6W4tJLYYjWhQnagHFrpHNIMasalmFptxOJ6grWrsIZMKX9 HnYYuX2a+Gp5mjQ3pic98Ze/+Pq0cgoXlRN3j0OLe8SLEk2Qs7KTZNw5C28UJ4XlPb9jZw16Cmgw LRVQ0ADEdFOLuzUMi2az4652gKrxhv9vnzBg8xzbYY7K1Msprge2WuFr3e34f3yXEoNSfinSC03c ETdp9iboChDcf73eDX5VA5x0Kj+rrc3DcOkScyQV/kDd1bWKZAN0zOayTi/0xZ6o+beuG1poT/2G 3lkWY4VQZAum4jnupU+VoEOmbxRPCiSUYQxoWrWP5bpqhIgFqssFS/rihHk1ewkBBXOLYGdi+OIo E4PNyDGpfOGvjHDWFgXp6xjlwNd8ufzZlydMfPKbRCPsxf0P2xpsva/1lLwulYX313nrrQDJ00uM 0mwmL00JXxB/YgDU88XCDcnTAan2MOmzPo9qX68hueGZDg7osWntNCp/5zXL/f43oW+ybAk19ZvS 6UwthM15LsKfcgF3iecPBHiEiHs0wfNsyI9RTe6LRzMuowBFAYkXaVVZVFMBbrGWEOuIIpRKbURO uiXeV/xUjWl+t9sGFxPR0xO9+pDHzgXShSgWOumJrPYy8ZlHrjgHiFIz9Gb6U28+F3kCFJiABQfn OEvyOINlNoscN2QLnIPUGiVPq8GgX2kTflzUX+aJv9hD/4RB3Dvjx1NIcr90vmN0l4G8NwoGTGkG BzbuHd/pnd4SO0qZwxpYWtx3UFSGez5ih69Zwlt0MDGJ5hzF1RClf9T/QHuipzRgdoanSrzruDyf mDqSZdn89eXqo9m66v79QKCTE5zkAd7A8Z+uKbPkh4BtovcBqrqKO3/OxkwashOl4AuPV0L55COZ QXGcLMUYzV6RTsnEA6Yog5rQSNqPwIy3kDOpF7lwCJgV8oDCk14mEC0CLfepqblqKlUGnsMTBWvn ZIpXtUfGIrCzyRNSBCA9io6/hA3uX5Af27ewo0Yf2dAn+DZmi+EVmo/VDeBIHVc2Mmh7mgaMM9aR sJvDWZJ+9ABWG1gKURi1yLH+c2EjXw/lYbLui0H4Vcv/H8Ohnjsv4euT6005/9dN/bQbvTEvY9VD iMGxHPiQgBVRB9NyAZbDAyxdyppwufg5p6I+lxIHNrQSIs2mtYo2nYzF+gijg4/+jajHjTpu1qKD 1TdSPmZ8fSfm/6rPZ4UEB1obnUBiiDwFraUaN/wQ0EBfqCcsxBKduNbzWfIdh3Gg3MkshdXrjg4g 9qetpgWhK4WLkkpIrWMpO+jA2vHeoQi+t9rwdkRiiywnw82hQznAFyzCSEqAAt0WPyG3SWq3nJh6 FfKErnWTEALruIUfRgR0OmZ79jlaj8aSGY7STcTK/9Yv2rHu6h5n6hTA8XzL+1y+s4E1P7XovCOv vvkPJRSzvusFYr2tM6e3i7Vbkk+YyZeLR07ugXk2cZzOXo9ia8GM7bKkXdbYPY+5cvW33jaiX5iG QdLZ70Zw4tCpvhV3leZPDBy8gNJipScvdJdQ3eBRbL/Y4tYDn11Rhdl8wLQvFz6pfEc9QmzXWxPt OrF3BjtbUFIjitTCB8perrj1R/19/AuVaY9RmksZt0vUi7h1/blEhLxlwDCoFJddnMHE6nVPr/pp HTBkuqqUM9GNNXJFnpYE16tjvsL+//KqHafWdH+bbJCc40ca1d7VfuDrmwNoiNUbqJa/CufT8Eus YLZ/9q9j0m2phreUIs/EONFcs6mKQyUHOa29Xa90K8o4EFDNcuSszwOXZxl6hkf/L1cbcCPp/97F IQ8tsynQr+UVxzVHRV9us2z/OZAWl4PBSwNJs1B8Zog8OSOgkTmRNnC3LuWmmYQKDCqoNaqosQ/Y 0oOjVR6o+tN9hg6UBKDnnBf//L7Ct4kEnRMpx4Bs5w9UnfMMLO7LQsdWBiEez1OJtrYNO3OBjfNt OCINVxqzhbmbDVHBPlFjIZPHKyaCd9Ln8Nf48xDjSmApts0Am829AHG+juz84NwB4ALkY2sm/u7B fm0JM5S9UXYMjCAq3YKX6MhYBdng2MdznBcKuw9PmY35RNWr1IwC3NyUW7eyLQzcTrZvM8gO4Tyy LHSyMASMLQzt8ewBoXFHp9yyudSTPpyrMNGA4vlCf/24whBSEgQdsVzopokGNpTos3BpVLwL7yTW QN1bbg7lxj8dAJmI4LdbNHBXSfq6rnCBZlXHPsGvxicvCHQvBjiy9jl5TaFh4Nb9kZPB6jBd+s/7 gun7rx1wMZCuv0FeN5G5mG+OHFL8xs3ijRXacD/uCksJVJ/N1qzOerTbOiopVIklFlZPl7SZ8SDS tabjm7COcxaQlhV/juVpTNA7jeVppNsKtB1/jWZPr+YMNt21gBBFYXZ+muPXtTuOs1nks0aAoGpO YcRflLRmooFYEd7SVPZ+NbWiWcck5wuvxEUgZmR7qCr75au/y1TbKOnc556Uws+V+JG/OogpSttd QW5JUd+OxfVqOoNgzqAf7xQH/DJBWrmmMYWh3RCPD8GwCke9W1CqCIAnXNKE9UFhM2pueI4FybCc D30FymTNV70kNOdN6ji7o0OKi5vKVaCumoSyCEQSYJ3GuDW4/t2RuCLa67dE+2CXwhOcbK4PDgK1 x4KA4anIbZknoDkmebO0C8/lduXuRv/DtGSHBL8CSCS0vYuO1DcnskWzM9fkRtZmIEG1yKGkJ7nr QrGshswztnBVTnEXiq+6qLtWH43dM6PWyplYshgY96+V2nW0sKfweRXs31PyWr644iP4zdi649fI ODodKZAFEdzPEdVTSucYAY/eysyGdVyf2EWHWgNrFWwV8X7A8vJ+qKEIS0aT1xaV1AqUprW4CII7 3Qn/6cnQB4v26bSui3JL+5Ah3GEP3sN3G6dKohQe4npt36KAAAmAscgJc5LJ2ETHuXRdPfbjOdRO j/oCyCISwf52zNNaPeyINWggS/kTV4DQM3UpXeQEGXEozx+KMZUydJhdi7+j4f4uDppPQ3TyeOLp DPI+f38w5mfR2zfSNHo19WSKwzHBxNddfsqZ7tb6M06djn3sie73BS+28+wYvKiGAmUm6NSAJnFd N0lhbZwpSUSjI5Mq8uV8kyPeroUuF6auueUCU89ta5jHmn5GSQGA6pRB2JulZTxljviWtT9B4dKW qvXpDOmhgBXGFcGos4Vv0YMV6xX2PlNTRl3JQSokT1aAWwd+4hJNiE9A9gzWc/+kszpa5d1o0KFz DcLIXQrDo/OO8paSkyXZcJtkPvUh6c8vaEqg13U397+p8r/eRdqKNIOC7fVrGMFoK4SQKx11IyVn DirhqYRsRfv2/6bgHmkpDbwdsCdrtDSW2sko9Q3ed6beYN7U1c7yI1Ty0CSXUWA/EMzZJjaXuI00 F1oFBcHNrnNqi9BqHjVJ8Da6Hj1tadnyta+bSwGFfC+vSKplxHvI2rfKJQ5bGJ4NcmkAcTGwL9SV 0/9yYvju+CCHqxYQgLfby0FaP1JhrWUy4N6BQDdobq4bSe343HXD4nJp6gfMRm6rClyh33nZc5cG GGYN0bbzr8j6Df2rSJECOw5bz9r109A97/KpI4Wl9JoFqHOJtWwFX2kAaKi2T+rIYAJl/mrQQ43t WGEV8nSjqC3qlMAZMgH3mdSO+sNMYmO7tKKQUuEmndx8u3mAyizDn7vcu3dPOTnmfqUOEo790wYs LaVbXCzqpRyG57jnZKZhVTlvnOqQKDpakaSnt2kDaWKquFBbrcUU1sQzdxGQIBZjKi+sYgDPWMoB FkfACyh59ew9b05YDHr3LLLc87b+KgaDTFhpDiwy6e5+jM+cKSJ4GnF/VDhz/wS8JmX0BQRHSk5y Qh1Dee0VdXDITjnULRsqNUUaUoNgP4FuN+uqF29I/lRIc092W1YpKnEqC9+TRWnNC5O7/K356Xuh JKZM1SGktznkGoQN26sNrKcnKxlPKJ4yMcOtqzh9NmRv5o1IQHYRjYrlZjIUHRvPYe4eG4Zgwpeo hkkBi42uDBsDtnyIJJizeRO8eRDpL4AW0MpAk96kNVtSN/Hz1B/2QC2j1P4VA9g76Yy4YAcR6oLy n63oRPFj9CuuHvA1KToVgQCq9AOuT/jGaNRNzlcln/I7Jx7zADzeL9T2NVZHtoYdxX36CSgpP/d4 gpZvwX6HiIcm5v3YWFm9PEE9awgIq5JAKfTqyRDUSzgwObSLh30qnd6UmTEjYpxTnltwCow0/73J dZbNc37PuuL+nJbNP5GPjg/dTv1eaPI+TQYO3i0aH60LrOG7Ko7zZ3iYHBGR+fidwoWU/hNX9O30 pyw4BLq2rF8l1E2IjJ7fM+AN1syAjZnLeWYdGxettKRLYqzfZ+zjnXFahKvfX/At2UtPbVq6cnxL wol5AKXX6ZVmS8Hm3kiJLLblv8LjcGAFJjsCXLgJ/ru/w1Fhm9PCUq22QjnvoBQ0uwkiD+/hKL9z wWGelIJLHBFOonyI6i7n4EQpTg/HrR4TIfgjyg9nxWq7nrtmFUJt7zQ2Nqhsd+PLRNFD1cPaU8Wz SvfHmX6Bhb97/4drOm+EKQheyEYiZpwFIZeXuLgCpFKvZqCQNiiHikCKcOX4FUwdkIZ0hhUBtqad lFxSEKMSWV2WBmq/JueCXZJ92Dbftg0o133/Pi7ivGBq5vUd9fRWV8X9a13Q0K3/v7gAFKY1IaKR j1Q694O8cZInikNQdJnjO2GXj1Ke4acT+VtW8EHtGn/sqclDVxT5Fzh/2GxpVFmeyrgkNry3NuTj LWGHaWWuu2xf2o29hsXv2k1f9iWeFmAUxAOaoP9DMmgzxJWjQGmMq6dnYaWh3fqyKVrSspLja4HO n/W6a7s8XMLS95LH25c4oPO0W3UEg+X35MM9DWlojsEvBKYT0XZccPn/HayFGEOec0KbtOs1Phx8 6MQ2619zZ3SC5J/NzWnhD6e39ezpRTn4amW9IZJevcgauN0bF5I9dM0oujsxmDA4xU3e6235WSJw rK+cx9SJPFekCl0GefNIVgJgWweuRL1RoMj7MdpH4ilDxvZ6F0qLVa7k+Q6mXiGRnuRF1Xz/6+ej l3YvmONO2Ohhcvebv8WXQL2FhfrJ+veHzyk884de2TBhRP2WNu9iX67PLElffxYBENxqN68sX/Ly gTcHevwC6PqG701Ci3aJCjIj7BFdcDC9Ozn9G+Do1a8uBTVYtyt4+5+UM8wnpYIdGiDUKJTMEtep KMk/RiL0oOkHGUzn9KpT1clh1lTa9mHmZcV9fBRhPHT+6KCzoyUHV9QCRQeAtqvEjwBlQaq35kp6 Vh+tYDL1FtwnqFcg1xOySSBR4I+1xVA1QD5BqTKggAtzu1jr3wnhFRuX9wr2mrDUeTgv/NFQheAv a7tCYPL/GvaX1ouQF3RJQNqZ2r7XBwUEpPdd3kvTh8NnxYjcXNX1y7MvWSaaqqx4NOzjAn3treeP PNSjLmU5O2uJRLYPzMdOVOohLuPKdR/wqjY9uyZpCqrDEhy59P0x7HpspM0LuWjJr0snv+jAMd95 I9p4SL1fZfF5bXWZqlj2SCIgKcJOBqvnMltR82rKZAm+Ul57glBSx1/l/7pwBixJ0uwtHANk/dKj JAZbScaHtJNDU5wlaVirNzCk9sxwilga5LBzhDXrbHqajjk9c/cT/vTojuhASD5GYyGddzO/NWf2 mWij4inW7a4Vg+wisp7TfAtjIhjk2mRlFqyHH3lyYE0jUFRCY2vI03Oq9gWLjitmxxs+cMHM+ih5 zcFnphIm4fHnWVT77A3RYE7YL/B8m5qw8OW6Ei93pAgREpqLReeW5rxlyDH8B1qy48ivDDI7DExX /O4iRPpJciONwwMSzxUuaUjqmu2w5uBpoX8JVHUOYn0ti8rQbsEfmKIrGIoU00Z8lOdyIoVQxKso ZnLohmpS1Eh58wi6R1M3/lXmE5wbT1tG/dU+XXOkTvlgKJCpzAOOqzoj7qyM4LMj1eriqWVw4M3l KCfwookV7gFTSpHxQHJgUGAPPtc5QkP9vxnCpxrwpNNblDmsMsOm8l+KmmxqqDPMQjKbpuZEg8b4 FSLFIaIXvY8UXZUmZU/hHGrHwjixIo//Eh8L90cDz1dRCcU3vLwMG809u897nLZllQX8qxL4z18R EaScO4hYqC6OM+i2OxiZaMn1PQgNfdq/+uyFvq+s9Fw9D3MNt+dlSJud+B9+g112enmZX/qJq+kC M/MfUNZSNKX/f6el0/o1/7AHrHBkPJMP9uudrZSrBju+EauzxT9voWgBMgYh6mgNyobdhm5hlnhU 8CulRpXHrACfUEH9PUgM0EWmBgYCX+N2TYRk7hdpGOSLhAmfiyfT2ngffyGbL2myAVGQDAbFakpC Tfh4alEhqCv8+ZwmgOsCWh8ZZ0WX/NL0UaXnUjSaWA7B3JX3MLznjtege5spsMm71yfQoNYMlHuI t0vgTGcey5qqN2MMcJ1R0yZpudY84DDAwREJZlBeC+utug/E2qIAW8ODtzYvDwP+HGbitiPVFqxA stBVoXZ9S+I1HLkCGWB2ohBukMvZoYJkWce/r3CRy3y5MVR/M61peHZpUA6tOtomt05r4eda6Hig 1Iu+Gz61S1Qw0qcMPgfr6rJjdCcD1lGjVDkGC9EMMXMj2L5Zr9KCP0qvMA3JyEc5fTfeANxb++1O HolMJjlQXwcWCEI0V0NFgYU33WZ11ZX2FMWygodPWDaGmxbADZQ4xWd57+ezzwHqF9zsyNjfYS64 OGlhcnmUaz334fHNVDc5bq+nbObI3Eg0ghm51R61Bar7reAANQJtj90n28SsuJ4CrhvYWOZiWios F6IOqMaVVjjnhv5o3IhFX/ayKQSnh9eNdWxwXcIy02Wq7ALwhR7tRQSfHmkeStw6ZaF+AUa1l/4R 5AFP6XoWYr3OR/HJQ6mU1f4tPI+u6rPTr0EJZ5RwF3tmTKlTwPO7fVmEwZ1tdgJLpchzqpN+UAFS 6VX+//PZtBSrcoLAPeUtfu2/90oYqEMwPB4O3WMKul5zmAq8yfI6db5VAXGbjDDgVR6Ok/Ocj4pU SlQ/z+MdyBTNLgMZgVUQFk/sCueHC8kHicPXl37nExv7+JzxDigEnI8B2qWzOOVFOpfcd2a/UNFs Je5S65Xx+GhC5HWGMVMf/7srKcbmQK0lojKg6PMVk2/uhThKyiKAnu9m77Emem/8VjqMfQCI8dzC GlRgMU4yoA+do/aVC8EiLxRry+4EpJ/YlsuuPiKASMJoanU4tVTPaXDNLdpWuFLwC4h40Hl4JqUo jn1uU2/teM/HhygQEIR/OBD7bDAqfoxm7WfqBkpbXzta+vAB4Ea/NLD/UiUlhV1p2kpaREBgel/+ T/MIn/PPXZDpySvfrWrEjx9RCzC95N8tyZCODkLowl/cxP14CF9QvIgZQqvWnNYze1y/ljog/EHj aYkdb0nUMwO7cf9oejEdA9maYv44Nfvu/fXmIgh63pcB7G+0M9M+JjKaVKocSUAlt9TyStoFMkYH a5gJ469aREeH6zMweuWa3kReMQFqROQDgJ9bQUeemUgQ2N2jzk1GshAO8BNvOTq3VCCyZJ8I/mil QVRU1P8iP3bJdJ3+HGWblqeoJUHoogCKLJF79yKfYyn80vAf4jGc8SWqRUbUTlw8s9VWZyRxLKnh LhCnNwWRW3QIQZ9+8cQnGsA/H9lsig7wknYEHcyLzJ3oDLp90zSTKPPYXD+BdYeKgjP7pA/inIZh LJDhy6We9gli7UkeGDeeWu7vjOXia/kevU9rhNeo6T0evjh4PzqmerEAwZfWX13LoazP4HbBUsMr Dhb3n2t5+U5HzHYMePiZww/5SifPt2DgjBPoAYDZ1Ad+++MEMo9GGX6hSKDjRW4VLriJeq29tmH2 8IgQF6biCFs5+oeB1knUQ3/2onlyeswcxwCgbcIy62gUShG07e4Q9bITDmDqPQo6X6ax0oBj3D00 OUWWWD2/Cmv8q2Meq6tvpaAh75OYY6EJbkMgdRQwEgZB6/7/E5pYsiziTV2bXEjkMdv9kAnvbJQd ozcUmT6IvxJU6xILQur0wPnDzJaW2KYx5LPKEHbrXD2l+fTPJVr/vyRUElOxuDM6cGOQj5gEpX4x m8hIv1TwJlMTt5LurguKv3/9/Rl49VR/LMOsNPY88JS4e2h+EDZoz73eH8vxKn2d8VQbcrFfJ4ng 0ZnKhIHy51G+hN8LktMjeNKXAgUd+SWrImMETNBc7lEdwiblBSHcwJNFK3iS/8p62no+NWmqguCj mGVGy9V9btwHfavKzsReRBhBq9jmgKqmVa6UcM6MsGXNSGHM0sKqtL0sd7/DwrSwZs39MNMZs/pI Y+N93aDOzshjklwhXJxDUZoEG2Nh1Glt2tHNJsWAxqhhOT00I/WmsAmAMeUFQ0cCe5qUJhSjYalq C0uVevwcHAEjQaHAJeRcd0HFxaIUK4i93YjMs9F075cGhDO9SDOhHbolLy5aE4FHtI749YdfsCR5 pMn9x+mW2AHA9bXR/nxDg78yW8Ly93o7R+Accb5XSl9nujLEet88x7i+3x+prdYDH7837J1IV9+t hV9/CAXwb/DR0oHkIw71dwrz1BpnN4zfF5FV7T7Jiihs98P2SVhAYR7l/R6TaCGV4ZdKxC0pPE1h gxz5+Oz7OFzOtd4mT4yNBWzSGty/dE1fD3QQBy7iR8WvHR5+bk08cRyQo7Cx47I3B9+e+do9ovCU uTWVTHfQQBpQ5LZw9jKrc9v6fU7hfRU4Wb4GiHeShKYxdpAfRGYUi2+nWbt8zjMvSuPJCGH49moG uYF8vdJ3ioHe7q3YuntXOLfL42U6iYgKZOif6YdHhQByO7fwJQUghNNHdP6J7txuvVTawY8aKF9x cewff6YXpv/ccSKcWAYG5E8Sd8bk0qxth6JvWgIxg5iRa/0chSx3150E6BCLZMH50qQ31vkJsajT c85BLlX9cTr0IlQ927DnRaESS/SXZjtnmGdIyn8Mj1QuqoGHFF/7+9hj2O/pwm7TGUal/77lekYm JGFwIkuFSnO0jrIx3rg8UtK2HJLmawZeokRFuzZy4lvdDR6bCk+708HrP+nKoGXP2pcD7ZnBPqgI dZ/TL7CyevPJtl7dMwimG8NPnje0katS0mZiXVCmPEOMg6+LrRbeM3dmVfLSzNpDParl1pwvAp5E YcMXyh0tcT05pExVna2HTnDe/zQJ6CFMjmJazciM6twsFlv/F7m+FF7Hp3Ao6qQfDpUnbZo1eht2 2fjwAG5eVSrbIeW2mmeAbw5tXhD+FDV/+ZRiBKSlpW4jSO8+uXm8VxqivMbyfN4nCxlD9dtGrG7x Mo/4wXBKiVPaLAlfiCiDoUrYErujc9mYCAeHP611JSHv/SKuTcC21gpyF/ozoT0RHERF+OVM0kh8 4jTlxmakw0UiQSBz/RpIzT8EaVjd1ksSgF6U3tfTEnheUptxVjPQWiC8EWmz+TQjXmQKt0XxTKf1 RWXDUzBM7rup49jZHgSThNhIF07USJ45GoeSoJgeL7ehJcVa0Jf3BqsTkvibGsP0sZNP0QqRPmbl RyA9FT4oPwL54FJOWla/Yu+m3UoK3zL+yqf1fiOtkmPn0OBtGPfhTic1UnWdfUWxokR7fO4d/zXs S4S/HrT0GJJx7WkkgxyX3zC/yZlK4ehzNPab+qgP8CVcu2L+6R8iYXTTylPBisXHa1u9k2+5iMge Q0rPWGR3zBdexq7nlb0zy3bOP7gA75ahKPJCs3yDgwgk0a43IcrSBWDLW5Yy7U0CW2t8cssefdKh m/BbtCo14X5mY4FPXpeDvtbXDK6hS07ZYy7zDefpLZ+JZD/fsrLzRFAgEDdQ7JddwTppyxj19QVI D5gpRfZq2rQ/e6p2xuwOVaTIJy41ly4JWQju31A1qKBdJaa5bicsKT1AThJjw7Kc8Tjy2lP2h75o V4fGLm0s7li5foDFqRaLXGLL86vhuLDCvgSQzosXm7klxtLdI2ydtZ3XzoeP125zzW08vVaTs4/v JlcVyYgbMluPtkUr42cO7XiV0+5LiWAGs+5AeZQkUp5p4kx/5fDh/8F1YE/WWCgSH4f0md4fLe37 XY6TIg7C+n0JsmgYm+/Zm9KBLbJytT6g7cUzXA2H6euBVil6YchYU7ziZUTNbaRBDZpYG+1K8knj sDTlleDOUAqDjoaka37c+emvpvRg0s1HvTh2LCZRztnuvjILC0f1Cn6J4ALvd/U+USzWVXiVo/L8 eUpfVfFisbDIKLxBqUCGVRGnJ0vhqLMfhMvyAqklhWJED7NtovOaQlEsmHRanTic4j5jIdRUd+08 +10OGgL5XdRNOhnGvVrW5XXpUTP3R4gMt7ufIxfxaX2LteMWoijylJv4aUmj2PnqY5gILf006xJI /pIbBAx9P2N+++CC/Ykhv31l7X/K50xPAB0/RLxion3I1PTWZpYL8Mi0sXsoKEqb5+3oLxTdA7Ia ZVERgyP+gT/jpdiKaDe0Wp8YPa6+vSWtkrXkzYxaH2NmkT9ElvEKXIvFqLNo6+8usYrcwtQBuXPj L4satNnAS7wvHBCCLNeXIHnsv3Eaw4nyW51cpm62xMhgim5U/5RUo0NkzeaICS3EuBFeBMwYDJG5 sS4ZKV8JdpSKm0LbXIO9U0gw/wyBAY2WdlP1TMGNYHqQUggUBSmXtrDYaR9d6jvvaPt2onaw2Kqe 0VhMBjxtnp1LtfSN/d3RAJDABqEhfz9rVrt1+1jYFfGmP/vyrF9pgKWtePB5ZpJxmXjCd0eQE4Gw O9WmFpUbn4mEj+1zoYLfQXuD9/TpVuEVkV23SOJ1yANjdmHqLxZ2vL4Cm2/1a8KSWfmV7p9fRqCp AR6vbxjfX8dkWwR/8TsOiKQieYx5f1EE4zN2ahTHm1ftFlNX26JOZS14Uk+Y/NTs+sYzHUgXmife CkB+ZbllD5b0f4HnLH3yTd4+n5olfABzfuldNGt09GwjDmGoajtJSoj5Hzt9WdX99ohKi70fz35Y A8sIJGKRTLL8pnbDHtwFyZX+1Jt92+5atAdZDHKLhqep5pft42umWR11l3eh4y9yDutJ++TYm9an zGVgOweks0StcdLUSaUM+TXxtiLFxT4VZ9/ZWwn/i25SUAKswp5W/UVJgXI3/ww4kyfHx/NWuPsv yZP2Fo6NjOJCZUKIluZ/CY/xyaAreagqHC6sFN4TBPL/W3YlqYE4A6ZE3eD6kFiQSiCaLujuwuRx 1/aYbQQM1eCjO3aJMZA3wGW56wCe45MXIO0vHwhmaUr3Q+Zo/AoDCaVeyK1P69+fwehpZEFl7DTy yaWg/bpHbndOrvbGr/CNppmDez5hdswHfaWS3XYd4H+TIsMzxx4DfK6qIUnn5j1rdQwboBTL+pun +0t/YalouzWxAzYvOOugxmzCRzhcZncn7u7y6X5W4NbjFkOViu0ysEKL+Bwji3AzafKcP9h9fHll jFole799QxNnwp8aBUPTZlLIRjWLgnh3ov7xOgWqv2WsYbvDC5jQgHW7mvyS1JO+uVuExIU4EAvB perVj8D5W5DkpvMMAINc2849TN+TjJJcevQCq+bXCgiEoThDrtwyeTsx8JlU7091qNHegvOZf9Eg y0sqwO103jsptPDRYBvm+M0SRjvXUScyHptB4yMokoBrr2N+Ogcb7akNdU1WtX+nY+V9l3HiojEx +WEB0vYq6aPpxOHpO2q14P8gSUT4UuzlOzl7qhpGwDzTKM+9m9AfmO/8tfySuglPY8LXw6cgsYMO 2Pw6w2DdlKrKPBAMc1n6nI2PkLVHnAp/2d/i+LaO+G95y226yvSZ/LvDz2jtZJnShhmQuiEeFxE1 OgsMYFO3CGlMdoex7Y8Zux5nyTjDuNVd0cwPKncCtTZJY5iXl3mN/8i0yfJgbqanlPAb1Ujap+h+ /nqaK3T818gtUnhh8lulc07gjQrQqppulQTzXCUgaNB4Y4M5yGPy9PTKkQLz0KQoGagVvpj4X90y nK+MJ2Dhgj0dQTlYcLYkv7+ThBwhP4Ts60lm6D7gpJNvdZjcfFQtDP6hhSvCfsRwXiEgGVPEK46D q2NpfdRMY5LJTuyVHSc3RVctrYDD3diBptRUKJj0wUbO32+fNLIYvPhVQOkAo7bNbQr83GgVMjvE sVuo/jRcChL/VLlpHMaGGdgjuatXvXvMQkVW5RpwZzdt1SgchwcZ7ifzAT8TPNlc3EsUL0hDn7q0 FlYhnqrm6HXT8ksfB5r1tUcWYYxH5U4T2KQX3ZspZsJ60yMgKb7KaLXw+iJri3pI7B/vrkMNsdmq e2nq1GcNgAtTU+l7KO9n3qxc1BIPzWbAix3M7lE6R/p8qp7l2j5fD4+SZMGe+18Trd3FXs7UDeyP FJzSDvlSjqblfAUqSS/1blC4TXKhlVO9amzm+zrP2SWZInAyVhBsviOAt9xTDiWD+iB8J7Bhl7/n EbCphbzsWclaJ5OI+aIQqDvBEGfKVNfemNWXeOpXnJEORzsTAK2O9pBWEpWEnlC4vQkgZXfR+Wuo r10VQx1yjU/8h3XGUP94YQf/HqU8+S/zF+TLHEuXooB+o2cggy7u6FEG9m6dNrAgTP8apnkTNYiI GJwDa991bYNvWNZ/PS4rbEQ/9w587W4IGrAlnxEyuffYfiCjrv1QR5/a6T/se+b9eXN+if8Enwax rMiyBk/itGHTL8Bym/j2S5WzmoV3nvQmh5Vzb8m2yoCccqqAdD5679w= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OMPzPVU1UOlORRas4RP0uYu8YjS8Esg8YM7yLemu0FkphUnvFeJogC88yFLL2XWMCT8m0HgGQfwR 6sa0U+Q0fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SYZf34STSFScEuM4u1+mYmjN2+8dAQYYhbrx51XmPuyvbzP8HC+h3+Jlnim2JPzxBrIkkDddjK7d fTEAof6gukf1fUs46zkq6RHgGuva4zMLPgpkUtr9qJ3O7kNxpK3TOmRW4lXYKg0cpe8LiEYuP2+r 1cvzAvxSQnPSmo9OJMY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oQn8/viq8H9x1vkjQR61Emk09tZdl3ISjGgHteRzQZesq5NpE20rZxlLsDrILW/J/HEQxS1Fbf+3 CvBugCEHUC7FpWvv5Njcdkx0DRJqNb4nISPxfMZ+f1cVLp+AsnaTenjrVUqn5cU089dJfrCXzpHj mvSlvZT8jP9x3XVy8pOEkGo1ZsDj3QErgKbeqznh96XCBNzrVfHnfl2ATo+fqe/FtBa0+mJmEDvj 5dpcTZ1/Nmoj5kegJOoAXu5wCxL5fvxklARLSW8LRm40ivorwyE766kvKIBPEMqCqulzcvVFGiVx aJZkZ/2zic55WG2PKri90hSGWO3cxpJm9bhsLA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vwrnyi2TmlSK1mbXcieqRimUkP8jUblT7kz4YhXLq0L+u2u13ScNYmRq09ASakKAwUFSFn5E0bDa 08u9R1tWBCZqAb9P0nUXvd20VMPBxKe/ZN2lRAixj6bKBbNnPdqBEn12TwxfQV9yhmRAXzrpuIAm VqPNDRfw2/2ADDiCF/w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lVskYteqXadoNxznnGRUaKHIoRbXsWfgTFw6JQj71O15N2wCVE3/dpV96BkNyfaT7aeslR5n48ox CM+pfrNNGF4cv0PpWc3SV4V2mHKkU9z3L0EWj+MpXI9z+hMWwn981LNwTe5uAx1yJPL+T2YxJ2Y7 ohTgqvD71WKiHKxqzNtuSOZEoOp2e5SQj9IQOURzxNPOm0zxB0XFOHTtxY+p5SC+sBkDkgQEmdEp CQByfsof+1Z8gG7xVKzQcFl+Akj3n4LTy/ZjxfJy5+aOMsJu2xV7ZOAhhDOoZVGOCHJFZBxXcI5b wXJm6Sk8mtFeM6PChG7LbgoCffA65LD63mYPuA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14576) `protect data_block lgOaN43zf3Dh6Hb04ZA0z9asq63HyjuvAXmRuWw+kn4Rtz9iSeVYsRA+bRHL7CzjwSASi8QPpGF7 2ws54+HmxljclS1uqyNiO5jGn67KBoip53bkBEwjSX7eu2zHzDwS7jN3fNuCfKnY0bkafziYLOhk /CT9syZLyLP71qrQiA/DG2/imnqIYupJ4SaVug8f0cThCou6NN62OhwjzlqqHm0qzA2tpbnQ1+Rz dRjGaBUHtRC//rrdDevg06AjIEzPvtFklhX2Vj6mmut8KOD8QubRw9FOnWlE7h8BR72/4P0EYjdL hye0bttc+/83/HSHaF/c8nkXoXZsXQhflOuoNlgWM/NaG5qGUsm6mHeyPYwAYVQPTJwInULE9vTG g+aWYYKxxVkRXUe2MuJsinvRMOctNP1JlMFe+iY086y3yqxt6cHgBkIUqN3IBXXSpGgqLCbyeDtP 1dgLVgsg1iB+c9ZMiuz5L3DCy/yeTeP1RXN18moOfK1eVG+AeDOYug+HLpdrMFmlAeXH9JppCYRf gg9P/ig6SPeZvt43NH4Lf7Z8F7oneBy1Yus27RIDYI/D93Hw43NnaYd6ohWr5y+vkXy9iDX1Um4J qo/eWNJafUOgd6gTrQeBt9h3H8WBUZkNN1DX1y1+tc2sOSR9NG+gNQKN+/tHVDqZwcGsCc74M0iq FU/GLATnNy82JOJag7IgWAkbcLNGR6bTvlQFDUy3g5uX2MGQmh1vEZjD/s/FuzKvCdOTDScRqABm 4UWesayHuI8w0u6khqPtIMeeInL3Pq3OKr7swbVTZvw4sLF3UrT7EJQS1kVIL65uU6lWZWYMq2GJ Ybm20GUl++KcE+BP6lWCFXFUl6352QVCIH4bH1z8wXBP4xIVdCj4GUKr5gxnmURTmHisfQRodbyx iIJaaCr73c1shrYzL6/kyeAPm43lUTgFvayqM/GPpMwz4yBd1oJRrE7JJ40V+uP2YLodl3nlrIYM e7+eOSO78M08aHtbm92osVEKafRJpyiYBqk5oLF9QJfbGRzov+VHBJPGwx4ypZxx7cG4ncGlPCNT 4WrM18iLMorUHe6EEd1WQqRaObOuP88hUNRO80ypQxcqMrXlA9RuckeaiAPduZSoIgKto/fRYHN4 HbQmdB/8sxQkaHpR2V9Nqxz2VciD4ck/pWpUoObk6pE2x90lqNOFI0MSK5yFzgPEFY3bXDOac692 mVP/YaOAIEfeq2fYyNDGNfUJM3IP8Ci1cVsoqBt79XlgUqaZdKZtZKxZJA9SOZS3QQv6dkK2r7ZF NwxaT1iknqyj0OuNzYIWrTnNAQhs8cFJtkQ+loDjntl6VLwt81I8Ewgn4CYqQW6jCs+xORx3oxZz EoWbF/P5kj9E0ri39T+H8lJUYfQCxZ047f1PLawen4rRKG1l/d69ZMgCbE7Ef8QRH/SHWRc81L+5 Lzv5K3+8Pi15eM/H9eeARPD1k3Z/GTuxMx2zZmyW6NJbXS2Z+2/xg6qM2CFu2AlkPt0MLU1BCN5e Htp/zMKffcc0GBEciZgV4FH6YlFL/o+lT+xkriu6hXtNvyUHW5+H0IWH2MBK7WhZJH8qCKGqLow7 F+fSjHzdz+J3YFhFxeD/J36FVjn2amgcUv2somiw8juGNb/elzaX+QgxRawP0ZoQ6Tzp/arPpZIv suJjwg8qMHiCZkmXzDV7q+Ga6VvB5Pko+56VEcXIYD3C7MXx3b8neWjkJL9EUU4MclOvscSNN6jV iHeSQ7YrYcX9NzmUALEKEn0+Z+ICASinlsW/Sh/LAsMv+0iR+7FXaCw5jx9P2EhqR65s3jZm4YoH 9CPe856Nxb6T0vJB8gH+ZCuJpNbnllrJakjtdFWGIfV59waVhdafCioY0nr9063z5BaJ0DGzpRMW jYilPbZ33O97VPrTmM4xF1Rxa2erdLa+wP9PM5jWUtX651O/iGQL8pcNJ90TD7Q8mtj2hEqhyHhJ b8YXGiC689KM8ryC2UCkdboXGwtYisJw/vvI9/7jWnxR+90UAL4m1CFel46FzE0ieTV6S/AFGN1Z jhpwyIVaJcPMePtTUAH3Po3RwgHx1wxpkKG58Azk+Oz0xaP6akplWmCenkMdRaDi+0gytPaB73rX CsesvkcPX5ytz+LgU/AEqjwySU7EjTABaRLkIITPJ9yjFrTuyq6wASNVqSPOd2GfZwVsVICn0yAM 6zdhmIxRvoxxdRXLq6IbK/RlE2vLyhVpLStYsxJUCPpeGMFk8hr29yhCxs+H1Y3SRhI/sCl/l1b3 hVtbC4m1JQ5K2mLx200IGm2MrVPPBLr6ETClyRY1hs99ywLLe5n40ZsT7lk24ybkkBDObMKxr2Xq EULMb+FvX0br3bRm8H9sqehqxNxxgn16vxrwugDZZpMRvqmiSm7Xgo/xJFR5PZPQWLiqzVMciiKq HTo77FvWb0DGaNSyBXk3zvLd/VJlMIC1MEU6/uY+wQP1DXT1zb8uw88sV4f2sagkUK17HL+cwRar 8/anuNhtjK/7W0Ytl1ETO5GSBEN0FGKjpy7MJeb2Z2QUYrUHpfycQr2oO47zcYfexOdR14NSqC7i IzubpCJnXxkrwQE9T4dtZj08kqCUlr6OaEraDe+Q/s4U550ls0NYYj8Am/uGaetoDWsHaToeHiVM RfV9Gp4QfaGWLAIfI8/YRwvr3fFo5nShkqmaVl4dASW3hiPFqUDG3UkYcw4X2cD4h8ovKeK0mkFg Rb1su3VzHEs7rHQNAxrhGPlB4pkoL8suaHqNUMYcuByQ0upDaRENRZ7UN0QTWj4A0NHIVBRHFEde oAWkIFafqVRPYx60+NdMJF1S+0jMpNLg05n78d7qRGQTV9fPKuHN10FbiA71rMTXTw9Ylm1MOG6Q ZqzcEIz8m4N9kh/Ok4RwUEvLb9sA/fOwmS91UHt22Zh+L5scEPlR/LfWfal/rmB5q8ITcU2utVyx fOP9Guymbb7NDAyRywI3/NjHBr0FlMzM1wzJ0vFslRajjLggEebGSyO1ARKq0LmZsRQpHu0yY1yo aLgpNY3teBEiM6tkU+gMcNpAJLf/9y9wHfmuEVruj8gPqr9Yhu+ShsKkLiV5wNl+cswMdXhKVlgY 12yE3THYGPZnEGfuihJqdCpyerWVfXB4/xKlPDYWHJvXypkq/jlvFVxAzLxyogHZQprgL0Xp3mt3 uP97tWmMHmhvjmuVjD2z1WYemQbYhzWMwoAftFtj2XkDYcUw7t8Y8FPxXopWY+4t6DvaBdbMsbLG NJzl8MkCx+dNFHQyW+FRd7pTFcwmXnMc3DviiHgyIUDhUp2mB8viCWx9VswNunhObnmawanoVHqV PP/JaggzKnuXFMDbe3O+FxXyyMAZt0AK9tS7r3gN8fFJr5cGbfOCMFP+3Fcjz5GRzbwVI0hkVwol Dn83M6hahYA1jMbx4EhHCxs+/IB7AbXsTVc4tG/6tVbdNbSdnwY0GvyD3NFwCv9dq+U5lX92v7Tg cBZpso0VhY2Xo+1RmDBhabR8f+1tP6zx95xiJyy2HGEf82EftW6eOxHZ8cnl5DLLGQDDYszB9ZJ5 TbLf1O4Z0OXtpcwSOLJIof62oCJCQVRhRKk3Gxt2KbuMfT0BdVN0mErIdTFFrWmJd4Yp6oYnaJJN sB6CJ6GgcqfXXSxHuQbhNex+o3xsSyX4pDULqo9FkRm2o/SWhqEcpblkdXPQ2L6CjUWi97pQ9vlt OyOX8wIQ7xHjmfp9pQV6hIxrapjOYT5dfvLEFPE0kihgCZV+1nr7UAqlVLbLY7lZmzkAmYty1gP5 F2J7v0D201YyrRoOg4rdDTP4MfEHVVLgz83NXTzVD47KYJg4BBQemmeO+7W8pK/MM8ys9nzDpGKO BWERLj2gAyOqiPD3PbsW/fihfpbj76Q9Wr703ViR32g/GctU2xgzLgLTZWG8elYRaqpqa9WR3Q9G 2/IME3C+2RzsYpMKC3nP1Z0TUXNkNeUIT2pXVCOVFUhYQA99YaxyMDr/KqZ0SUba5fQTrfPLBJSC WQKlKYIfeFAjhaAU+h5QzIDi0YVXyJLi5y0e6ohE/QEpLDDK9e3dMgXkk/yvGI89FSasACWXA4ya h122MWIQVvkBSR9dfRNQ8sM+mUwyhl0Y4Z+EYQ9Mz2i8xWAcbeqhNcQ/u01oNEJEGEb3V8vI1n4A Tv4ns5VEpmojFbY6D0E5msogTgbxE/ritykp/eFwXFS7oigGfA94nloztpTNSxQOPWBpdXJRN5fg qm1p7609a4yzW/Ie+ZfjDw3IpCu5OIu8emSmyUuJt3hbgnr6CWWnByRLdb/6XLgDrGTqcxP9mD7S 60to5B2qrRmz/uD9a2eNnYSKXOD58NbODHy/u9DItP0uXp0qEPmORkVSQE1mljhsHAEznhiIOv4E PvE6iaUnLZAsODRCN073obtdXbqZ/HQC/dfTugplOOWIUpAtESwHw2llGjlg6d9kvxiaZyMlzt7b uh/0sgAbvcwWBG00QFNtIBMbgeAxkIvMqR9pY8q2MPYQYkHEh/grF7THOa2mk1lc+wuBhfVCi1Bb Du5FEmlO9GxlJN9pL7Tt2SFGOSE015ZitQ32InkaiwlSUsVyNvIqxrMEIE9/UffLqgqYBMrS2v2d vIoUFkmOzv0/Bmt9scFkKGJdnEMt+3FfUwsRHemd7lIaFk9jR8qOjEa26tmunRMZcHo+kNcR2tri hNXeqeMiCXekZDAQjeDuGBGB6jWca6/m6tiVGr9x5oWdTpG+TT9S32SJOHBco5zT9ZjV+mNzkEIq YJri00iwYt59Hx9soJNlRYYZu3LYj1hFmXAg5/YbxVx+v5utfYmyy0K2E45fFestJF1yC0hdyUwy RHEvsycxhFE/SkUTlOvqY8jGu36lkHAmutDnUVtnnQIGSs5C0eFdgvP4s1vcs/psA3750crMsZSv woIQKvBjgosF3YfWLVb43uIeZgjI0TNXDJrMZCw57bZPQ1uYOfvDUsQXjb0WmOpqoyoYyxWhSu8g VEkRDtNgCKQf1kXItfucEeg2rRcVwwqAQQgWgeT/Ksk6jSkbLse7V2tf1fMXaXXmrWv4nZP1+jOB IyHU+YqIS4rM68EwiPGlIjlnZQj7cGmLfXJ54nwCfJAXN9LWIZTkgytZ3Ccetrjj8P6Np/R+GWtU hbOY7aIvKWWwXQwPhMiDZnZB7FaZig+u8dABMLz/wCCFiGw+KVXcB22M68fxaj3/vLGwIGJorkbE OHWqsGSXFZc7BUeLMvWncxsXVe/3cFF5zbiWZkpaV3sJBlNUT2f535ocwlgW0YhHjjB1HlF1Bo52 0FEKz73meMVPCCBuX78CG2h1Y4Zvh+FaurDtXOEOBQXwktj1KzYm7t6pmsk/wM1PjJPJ/7/24sYz FM5YRNqyMC7AWomuZoy99sg0mN+NlAn3+laI45Xi+np9+9oqVaBnKZcEVIlgurds1FTeeWOCvbPz yMs5RMvkpCF/8aAzAvgNEvBAgLuQ+uXf8VFXYLiCPp/ScN2WnFNL5xDRd4J6dTaJuO5Yzg7OujeL JJZtOhQHr6hO/6ROCCxRZl4VQs2pyzp7caYhluoB+cSc81b96iwo0rMl4/cjIiLJ0zBRLkr7/MVf MCLtjbfTRub+E1njVmAGyz3IpbJ2B11j6dEvHVMJaAeamTiti+fUTeRRkcVRGPziX/vNJLlvxdJ+ A9vBk8kAr+e9B+2oGwZt5S2vt9trTYHckmPhSzrNED5/Y7hFoEX5SmTz5U5Tx2FODUTu1LJkaRxt J5J5SdYgSX6/flHJv0LOfVM06gslcjP/f50GBh3jJKLQWRlVt8ZvBAAe98jShb0QIToDZp2PKEQh rBS1Cxz4krjkyzJ7Dj8aoitQqb89Aaig1chlHCOz6s+WBRvDxY1dTQ8yvKeXaWZFd4DC+JhNtixt 8TZO1wtbpSZxMFjBR1BIa0vM8uDPgkKaVptWojwgDk4PhZYec9V9WIH65w+6evrmNengRfrba8Qn 3bPtKCcgSy0SqizvApOVPb50T4bKq0SL2gNWHQC8/Z0LuzlL1qJJ694jUtPMSNnHKCWmhj/0Ca00 pyFjEcIk7jtcVVr6/FMkTQemZ+gzzxA22+kdFKKzsiqT9Fgp0Y37gj7D59vkaoHSFaUzfDVG0VKu 6xORG+bf2+k6o1C+DIZCnTEtj9HlkyFb4asOzgBCkLoYH44a9OLo8UcJ5PXlRQ2PM5Xo0rHIXPpR ii+VBXiCRRhGzXYLxz8CMN7VvGOu/DURkQMwYeVsJbyn96ZTrC2N8drdYs/XpoAzd3sxusEw82NU BgmWiDaVXTtLlPHc1PqB3QT5ABjZxTT36pDSGe3pQnwrG3HXgKwHnN25POcS2cL+JgdlUDSTOaP3 617HKynUx1o1IwxG7fswagDLb2lkvK8ebmDIqYzqca0gHJ1sKcFqFKqZ6VaHqyUefRprRmbzzyvt S87NCRh5nLmngUmdB/VPEpjg7Hq8BOB1Yv0CQiFHP+mDwQkJs3UvQszCMH3BdA5v2kabYSls/4q5 Vk7t4eTcaxlJI9I+rbYXoj2BpuKGz3vx3LUuA/EKqA7T7La+kVKV2qWpUvN9aMI/2d0eUZblkVVA I5AZSOpkue3Jr96nsNnYIBpz93FqiORYNvtxtE2Laib3MPRoiJd/YlNKI5K8D70eFp5nvzjz+ijI Ec2dfGiDyy8NP/POxGMcxzpGMiuqvy6W4tJLYYjWhQnagHFrpHNIMasalmFptxOJ6grWrsIZMKX9 HnYYuX2a+Gp5mjQ3pic98Ze/+Pq0cgoXlRN3j0OLe8SLEk2Qs7KTZNw5C28UJ4XlPb9jZw16Cmgw LRVQ0ADEdFOLuzUMi2az4652gKrxhv9vnzBg8xzbYY7K1Msprge2WuFr3e34f3yXEoNSfinSC03c ETdp9iboChDcf73eDX5VA5x0Kj+rrc3DcOkScyQV/kDd1bWKZAN0zOayTi/0xZ6o+beuG1poT/2G 3lkWY4VQZAum4jnupU+VoEOmbxRPCiSUYQxoWrWP5bpqhIgFqssFS/rihHk1ewkBBXOLYGdi+OIo E4PNyDGpfOGvjHDWFgXp6xjlwNd8ufzZlydMfPKbRCPsxf0P2xpsva/1lLwulYX313nrrQDJ00uM 0mwmL00JXxB/YgDU88XCDcnTAan2MOmzPo9qX68hueGZDg7osWntNCp/5zXL/f43oW+ybAk19ZvS 6UwthM15LsKfcgF3iecPBHiEiHs0wfNsyI9RTe6LRzMuowBFAYkXaVVZVFMBbrGWEOuIIpRKbURO uiXeV/xUjWl+t9sGFxPR0xO9+pDHzgXShSgWOumJrPYy8ZlHrjgHiFIz9Gb6U28+F3kCFJiABQfn OEvyOINlNoscN2QLnIPUGiVPq8GgX2kTflzUX+aJv9hD/4RB3Dvjx1NIcr90vmN0l4G8NwoGTGkG BzbuHd/pnd4SO0qZwxpYWtx3UFSGez5ih69Zwlt0MDGJ5hzF1RClf9T/QHuipzRgdoanSrzruDyf mDqSZdn89eXqo9m66v79QKCTE5zkAd7A8Z+uKbPkh4BtovcBqrqKO3/OxkwashOl4AuPV0L55COZ QXGcLMUYzV6RTsnEA6Yog5rQSNqPwIy3kDOpF7lwCJgV8oDCk14mEC0CLfepqblqKlUGnsMTBWvn ZIpXtUfGIrCzyRNSBCA9io6/hA3uX5Af27ewo0Yf2dAn+DZmi+EVmo/VDeBIHVc2Mmh7mgaMM9aR sJvDWZJ+9ABWG1gKURi1yLH+c2EjXw/lYbLui0H4Vcv/H8Ohnjsv4euT6005/9dN/bQbvTEvY9VD iMGxHPiQgBVRB9NyAZbDAyxdyppwufg5p6I+lxIHNrQSIs2mtYo2nYzF+gijg4/+jajHjTpu1qKD 1TdSPmZ8fSfm/6rPZ4UEB1obnUBiiDwFraUaN/wQ0EBfqCcsxBKduNbzWfIdh3Gg3MkshdXrjg4g 9qetpgWhK4WLkkpIrWMpO+jA2vHeoQi+t9rwdkRiiywnw82hQznAFyzCSEqAAt0WPyG3SWq3nJh6 FfKErnWTEALruIUfRgR0OmZ79jlaj8aSGY7STcTK/9Yv2rHu6h5n6hTA8XzL+1y+s4E1P7XovCOv vvkPJRSzvusFYr2tM6e3i7Vbkk+YyZeLR07ugXk2cZzOXo9ia8GM7bKkXdbYPY+5cvW33jaiX5iG QdLZ70Zw4tCpvhV3leZPDBy8gNJipScvdJdQ3eBRbL/Y4tYDn11Rhdl8wLQvFz6pfEc9QmzXWxPt OrF3BjtbUFIjitTCB8perrj1R/19/AuVaY9RmksZt0vUi7h1/blEhLxlwDCoFJddnMHE6nVPr/pp HTBkuqqUM9GNNXJFnpYE16tjvsL+//KqHafWdH+bbJCc40ca1d7VfuDrmwNoiNUbqJa/CufT8Eus YLZ/9q9j0m2phreUIs/EONFcs6mKQyUHOa29Xa90K8o4EFDNcuSszwOXZxl6hkf/L1cbcCPp/97F IQ8tsynQr+UVxzVHRV9us2z/OZAWl4PBSwNJs1B8Zog8OSOgkTmRNnC3LuWmmYQKDCqoNaqosQ/Y 0oOjVR6o+tN9hg6UBKDnnBf//L7Ct4kEnRMpx4Bs5w9UnfMMLO7LQsdWBiEez1OJtrYNO3OBjfNt OCINVxqzhbmbDVHBPlFjIZPHKyaCd9Ln8Nf48xDjSmApts0Am829AHG+juz84NwB4ALkY2sm/u7B fm0JM5S9UXYMjCAq3YKX6MhYBdng2MdznBcKuw9PmY35RNWr1IwC3NyUW7eyLQzcTrZvM8gO4Tyy LHSyMASMLQzt8ewBoXFHp9yyudSTPpyrMNGA4vlCf/24whBSEgQdsVzopokGNpTos3BpVLwL7yTW QN1bbg7lxj8dAJmI4LdbNHBXSfq6rnCBZlXHPsGvxicvCHQvBjiy9jl5TaFh4Nb9kZPB6jBd+s/7 gun7rx1wMZCuv0FeN5G5mG+OHFL8xs3ijRXacD/uCksJVJ/N1qzOerTbOiopVIklFlZPl7SZ8SDS tabjm7COcxaQlhV/juVpTNA7jeVppNsKtB1/jWZPr+YMNt21gBBFYXZ+muPXtTuOs1nks0aAoGpO YcRflLRmooFYEd7SVPZ+NbWiWcck5wuvxEUgZmR7qCr75au/y1TbKOnc556Uws+V+JG/OogpSttd QW5JUd+OxfVqOoNgzqAf7xQH/DJBWrmmMYWh3RCPD8GwCke9W1CqCIAnXNKE9UFhM2pueI4FybCc D30FymTNV70kNOdN6ji7o0OKi5vKVaCumoSyCEQSYJ3GuDW4/t2RuCLa67dE+2CXwhOcbK4PDgK1 x4KA4anIbZknoDkmebO0C8/lduXuRv/DtGSHBL8CSCS0vYuO1DcnskWzM9fkRtZmIEG1yKGkJ7nr QrGshswztnBVTnEXiq+6qLtWH43dM6PWyplYshgY96+V2nW0sKfweRXs31PyWr644iP4zdi649fI ODodKZAFEdzPEdVTSucYAY/eysyGdVyf2EWHWgNrFWwV8X7A8vJ+qKEIS0aT1xaV1AqUprW4CII7 3Qn/6cnQB4v26bSui3JL+5Ah3GEP3sN3G6dKohQe4npt36KAAAmAscgJc5LJ2ETHuXRdPfbjOdRO j/oCyCISwf52zNNaPeyINWggS/kTV4DQM3UpXeQEGXEozx+KMZUydJhdi7+j4f4uDppPQ3TyeOLp DPI+f38w5mfR2zfSNHo19WSKwzHBxNddfsqZ7tb6M06djn3sie73BS+28+wYvKiGAmUm6NSAJnFd N0lhbZwpSUSjI5Mq8uV8kyPeroUuF6auueUCU89ta5jHmn5GSQGA6pRB2JulZTxljviWtT9B4dKW qvXpDOmhgBXGFcGos4Vv0YMV6xX2PlNTRl3JQSokT1aAWwd+4hJNiE9A9gzWc/+kszpa5d1o0KFz DcLIXQrDo/OO8paSkyXZcJtkPvUh6c8vaEqg13U397+p8r/eRdqKNIOC7fVrGMFoK4SQKx11IyVn DirhqYRsRfv2/6bgHmkpDbwdsCdrtDSW2sko9Q3ed6beYN7U1c7yI1Ty0CSXUWA/EMzZJjaXuI00 F1oFBcHNrnNqi9BqHjVJ8Da6Hj1tadnyta+bSwGFfC+vSKplxHvI2rfKJQ5bGJ4NcmkAcTGwL9SV 0/9yYvju+CCHqxYQgLfby0FaP1JhrWUy4N6BQDdobq4bSe343HXD4nJp6gfMRm6rClyh33nZc5cG GGYN0bbzr8j6Df2rSJECOw5bz9r109A97/KpI4Wl9JoFqHOJtWwFX2kAaKi2T+rIYAJl/mrQQ43t WGEV8nSjqC3qlMAZMgH3mdSO+sNMYmO7tKKQUuEmndx8u3mAyizDn7vcu3dPOTnmfqUOEo790wYs LaVbXCzqpRyG57jnZKZhVTlvnOqQKDpakaSnt2kDaWKquFBbrcUU1sQzdxGQIBZjKi+sYgDPWMoB FkfACyh59ew9b05YDHr3LLLc87b+KgaDTFhpDiwy6e5+jM+cKSJ4GnF/VDhz/wS8JmX0BQRHSk5y Qh1Dee0VdXDITjnULRsqNUUaUoNgP4FuN+uqF29I/lRIc092W1YpKnEqC9+TRWnNC5O7/K356Xuh JKZM1SGktznkGoQN26sNrKcnKxlPKJ4yMcOtqzh9NmRv5o1IQHYRjYrlZjIUHRvPYe4eG4Zgwpeo hkkBi42uDBsDtnyIJJizeRO8eRDpL4AW0MpAk96kNVtSN/Hz1B/2QC2j1P4VA9g76Yy4YAcR6oLy n63oRPFj9CuuHvA1KToVgQCq9AOuT/jGaNRNzlcln/I7Jx7zADzeL9T2NVZHtoYdxX36CSgpP/d4 gpZvwX6HiIcm5v3YWFm9PEE9awgIq5JAKfTqyRDUSzgwObSLh30qnd6UmTEjYpxTnltwCow0/73J dZbNc37PuuL+nJbNP5GPjg/dTv1eaPI+TQYO3i0aH60LrOG7Ko7zZ3iYHBGR+fidwoWU/hNX9O30 pyw4BLq2rF8l1E2IjJ7fM+AN1syAjZnLeWYdGxettKRLYqzfZ+zjnXFahKvfX/At2UtPbVq6cnxL wol5AKXX6ZVmS8Hm3kiJLLblv8LjcGAFJjsCXLgJ/ru/w1Fhm9PCUq22QjnvoBQ0uwkiD+/hKL9z wWGelIJLHBFOonyI6i7n4EQpTg/HrR4TIfgjyg9nxWq7nrtmFUJt7zQ2Nqhsd+PLRNFD1cPaU8Wz SvfHmX6Bhb97/4drOm+EKQheyEYiZpwFIZeXuLgCpFKvZqCQNiiHikCKcOX4FUwdkIZ0hhUBtqad lFxSEKMSWV2WBmq/JueCXZJ92Dbftg0o133/Pi7ivGBq5vUd9fRWV8X9a13Q0K3/v7gAFKY1IaKR j1Q694O8cZInikNQdJnjO2GXj1Ke4acT+VtW8EHtGn/sqclDVxT5Fzh/2GxpVFmeyrgkNry3NuTj LWGHaWWuu2xf2o29hsXv2k1f9iWeFmAUxAOaoP9DMmgzxJWjQGmMq6dnYaWh3fqyKVrSspLja4HO n/W6a7s8XMLS95LH25c4oPO0W3UEg+X35MM9DWlojsEvBKYT0XZccPn/HayFGEOec0KbtOs1Phx8 6MQ2619zZ3SC5J/NzWnhD6e39ezpRTn4amW9IZJevcgauN0bF5I9dM0oujsxmDA4xU3e6235WSJw rK+cx9SJPFekCl0GefNIVgJgWweuRL1RoMj7MdpH4ilDxvZ6F0qLVa7k+Q6mXiGRnuRF1Xz/6+ej l3YvmONO2Ohhcvebv8WXQL2FhfrJ+veHzyk884de2TBhRP2WNu9iX67PLElffxYBENxqN68sX/Ly gTcHevwC6PqG701Ci3aJCjIj7BFdcDC9Ozn9G+Do1a8uBTVYtyt4+5+UM8wnpYIdGiDUKJTMEtep KMk/RiL0oOkHGUzn9KpT1clh1lTa9mHmZcV9fBRhPHT+6KCzoyUHV9QCRQeAtqvEjwBlQaq35kp6 Vh+tYDL1FtwnqFcg1xOySSBR4I+1xVA1QD5BqTKggAtzu1jr3wnhFRuX9wr2mrDUeTgv/NFQheAv a7tCYPL/GvaX1ouQF3RJQNqZ2r7XBwUEpPdd3kvTh8NnxYjcXNX1y7MvWSaaqqx4NOzjAn3treeP PNSjLmU5O2uJRLYPzMdOVOohLuPKdR/wqjY9uyZpCqrDEhy59P0x7HpspM0LuWjJr0snv+jAMd95 I9p4SL1fZfF5bXWZqlj2SCIgKcJOBqvnMltR82rKZAm+Ul57glBSx1/l/7pwBixJ0uwtHANk/dKj JAZbScaHtJNDU5wlaVirNzCk9sxwilga5LBzhDXrbHqajjk9c/cT/vTojuhASD5GYyGddzO/NWf2 mWij4inW7a4Vg+wisp7TfAtjIhjk2mRlFqyHH3lyYE0jUFRCY2vI03Oq9gWLjitmxxs+cMHM+ih5 zcFnphIm4fHnWVT77A3RYE7YL/B8m5qw8OW6Ei93pAgREpqLReeW5rxlyDH8B1qy48ivDDI7DExX /O4iRPpJciONwwMSzxUuaUjqmu2w5uBpoX8JVHUOYn0ti8rQbsEfmKIrGIoU00Z8lOdyIoVQxKso ZnLohmpS1Eh58wi6R1M3/lXmE5wbT1tG/dU+XXOkTvlgKJCpzAOOqzoj7qyM4LMj1eriqWVw4M3l KCfwookV7gFTSpHxQHJgUGAPPtc5QkP9vxnCpxrwpNNblDmsMsOm8l+KmmxqqDPMQjKbpuZEg8b4 FSLFIaIXvY8UXZUmZU/hHGrHwjixIo//Eh8L90cDz1dRCcU3vLwMG809u897nLZllQX8qxL4z18R EaScO4hYqC6OM+i2OxiZaMn1PQgNfdq/+uyFvq+s9Fw9D3MNt+dlSJud+B9+g112enmZX/qJq+kC M/MfUNZSNKX/f6el0/o1/7AHrHBkPJMP9uudrZSrBju+EauzxT9voWgBMgYh6mgNyobdhm5hlnhU 8CulRpXHrACfUEH9PUgM0EWmBgYCX+N2TYRk7hdpGOSLhAmfiyfT2ngffyGbL2myAVGQDAbFakpC Tfh4alEhqCv8+ZwmgOsCWh8ZZ0WX/NL0UaXnUjSaWA7B3JX3MLznjtege5spsMm71yfQoNYMlHuI t0vgTGcey5qqN2MMcJ1R0yZpudY84DDAwREJZlBeC+utug/E2qIAW8ODtzYvDwP+HGbitiPVFqxA stBVoXZ9S+I1HLkCGWB2ohBukMvZoYJkWce/r3CRy3y5MVR/M61peHZpUA6tOtomt05r4eda6Hig 1Iu+Gz61S1Qw0qcMPgfr6rJjdCcD1lGjVDkGC9EMMXMj2L5Zr9KCP0qvMA3JyEc5fTfeANxb++1O HolMJjlQXwcWCEI0V0NFgYU33WZ11ZX2FMWygodPWDaGmxbADZQ4xWd57+ezzwHqF9zsyNjfYS64 OGlhcnmUaz334fHNVDc5bq+nbObI3Eg0ghm51R61Bar7reAANQJtj90n28SsuJ4CrhvYWOZiWios F6IOqMaVVjjnhv5o3IhFX/ayKQSnh9eNdWxwXcIy02Wq7ALwhR7tRQSfHmkeStw6ZaF+AUa1l/4R 5AFP6XoWYr3OR/HJQ6mU1f4tPI+u6rPTr0EJZ5RwF3tmTKlTwPO7fVmEwZ1tdgJLpchzqpN+UAFS 6VX+//PZtBSrcoLAPeUtfu2/90oYqEMwPB4O3WMKul5zmAq8yfI6db5VAXGbjDDgVR6Ok/Ocj4pU SlQ/z+MdyBTNLgMZgVUQFk/sCueHC8kHicPXl37nExv7+JzxDigEnI8B2qWzOOVFOpfcd2a/UNFs Je5S65Xx+GhC5HWGMVMf/7srKcbmQK0lojKg6PMVk2/uhThKyiKAnu9m77Emem/8VjqMfQCI8dzC GlRgMU4yoA+do/aVC8EiLxRry+4EpJ/YlsuuPiKASMJoanU4tVTPaXDNLdpWuFLwC4h40Hl4JqUo jn1uU2/teM/HhygQEIR/OBD7bDAqfoxm7WfqBkpbXzta+vAB4Ea/NLD/UiUlhV1p2kpaREBgel/+ T/MIn/PPXZDpySvfrWrEjx9RCzC95N8tyZCODkLowl/cxP14CF9QvIgZQqvWnNYze1y/ljog/EHj aYkdb0nUMwO7cf9oejEdA9maYv44Nfvu/fXmIgh63pcB7G+0M9M+JjKaVKocSUAlt9TyStoFMkYH a5gJ469aREeH6zMweuWa3kReMQFqROQDgJ9bQUeemUgQ2N2jzk1GshAO8BNvOTq3VCCyZJ8I/mil QVRU1P8iP3bJdJ3+HGWblqeoJUHoogCKLJF79yKfYyn80vAf4jGc8SWqRUbUTlw8s9VWZyRxLKnh LhCnNwWRW3QIQZ9+8cQnGsA/H9lsig7wknYEHcyLzJ3oDLp90zSTKPPYXD+BdYeKgjP7pA/inIZh LJDhy6We9gli7UkeGDeeWu7vjOXia/kevU9rhNeo6T0evjh4PzqmerEAwZfWX13LoazP4HbBUsMr Dhb3n2t5+U5HzHYMePiZww/5SifPt2DgjBPoAYDZ1Ad+++MEMo9GGX6hSKDjRW4VLriJeq29tmH2 8IgQF6biCFs5+oeB1knUQ3/2onlyeswcxwCgbcIy62gUShG07e4Q9bITDmDqPQo6X6ax0oBj3D00 OUWWWD2/Cmv8q2Meq6tvpaAh75OYY6EJbkMgdRQwEgZB6/7/E5pYsiziTV2bXEjkMdv9kAnvbJQd ozcUmT6IvxJU6xILQur0wPnDzJaW2KYx5LPKEHbrXD2l+fTPJVr/vyRUElOxuDM6cGOQj5gEpX4x m8hIv1TwJlMTt5LurguKv3/9/Rl49VR/LMOsNPY88JS4e2h+EDZoz73eH8vxKn2d8VQbcrFfJ4ng 0ZnKhIHy51G+hN8LktMjeNKXAgUd+SWrImMETNBc7lEdwiblBSHcwJNFK3iS/8p62no+NWmqguCj mGVGy9V9btwHfavKzsReRBhBq9jmgKqmVa6UcM6MsGXNSGHM0sKqtL0sd7/DwrSwZs39MNMZs/pI Y+N93aDOzshjklwhXJxDUZoEG2Nh1Glt2tHNJsWAxqhhOT00I/WmsAmAMeUFQ0cCe5qUJhSjYalq C0uVevwcHAEjQaHAJeRcd0HFxaIUK4i93YjMs9F075cGhDO9SDOhHbolLy5aE4FHtI749YdfsCR5 pMn9x+mW2AHA9bXR/nxDg78yW8Ly93o7R+Accb5XSl9nujLEet88x7i+3x+prdYDH7837J1IV9+t hV9/CAXwb/DR0oHkIw71dwrz1BpnN4zfF5FV7T7Jiihs98P2SVhAYR7l/R6TaCGV4ZdKxC0pPE1h gxz5+Oz7OFzOtd4mT4yNBWzSGty/dE1fD3QQBy7iR8WvHR5+bk08cRyQo7Cx47I3B9+e+do9ovCU uTWVTHfQQBpQ5LZw9jKrc9v6fU7hfRU4Wb4GiHeShKYxdpAfRGYUi2+nWbt8zjMvSuPJCGH49moG uYF8vdJ3ioHe7q3YuntXOLfL42U6iYgKZOif6YdHhQByO7fwJQUghNNHdP6J7txuvVTawY8aKF9x cewff6YXpv/ccSKcWAYG5E8Sd8bk0qxth6JvWgIxg5iRa/0chSx3150E6BCLZMH50qQ31vkJsajT c85BLlX9cTr0IlQ927DnRaESS/SXZjtnmGdIyn8Mj1QuqoGHFF/7+9hj2O/pwm7TGUal/77lekYm JGFwIkuFSnO0jrIx3rg8UtK2HJLmawZeokRFuzZy4lvdDR6bCk+708HrP+nKoGXP2pcD7ZnBPqgI dZ/TL7CyevPJtl7dMwimG8NPnje0katS0mZiXVCmPEOMg6+LrRbeM3dmVfLSzNpDParl1pwvAp5E YcMXyh0tcT05pExVna2HTnDe/zQJ6CFMjmJazciM6twsFlv/F7m+FF7Hp3Ao6qQfDpUnbZo1eht2 2fjwAG5eVSrbIeW2mmeAbw5tXhD+FDV/+ZRiBKSlpW4jSO8+uXm8VxqivMbyfN4nCxlD9dtGrG7x Mo/4wXBKiVPaLAlfiCiDoUrYErujc9mYCAeHP611JSHv/SKuTcC21gpyF/ozoT0RHERF+OVM0kh8 4jTlxmakw0UiQSBz/RpIzT8EaVjd1ksSgF6U3tfTEnheUptxVjPQWiC8EWmz+TQjXmQKt0XxTKf1 RWXDUzBM7rup49jZHgSThNhIF07USJ45GoeSoJgeL7ehJcVa0Jf3BqsTkvibGsP0sZNP0QqRPmbl RyA9FT4oPwL54FJOWla/Yu+m3UoK3zL+yqf1fiOtkmPn0OBtGPfhTic1UnWdfUWxokR7fO4d/zXs S4S/HrT0GJJx7WkkgxyX3zC/yZlK4ehzNPab+qgP8CVcu2L+6R8iYXTTylPBisXHa1u9k2+5iMge Q0rPWGR3zBdexq7nlb0zy3bOP7gA75ahKPJCs3yDgwgk0a43IcrSBWDLW5Yy7U0CW2t8cssefdKh m/BbtCo14X5mY4FPXpeDvtbXDK6hS07ZYy7zDefpLZ+JZD/fsrLzRFAgEDdQ7JddwTppyxj19QVI D5gpRfZq2rQ/e6p2xuwOVaTIJy41ly4JWQju31A1qKBdJaa5bicsKT1AThJjw7Kc8Tjy2lP2h75o V4fGLm0s7li5foDFqRaLXGLL86vhuLDCvgSQzosXm7klxtLdI2ydtZ3XzoeP125zzW08vVaTs4/v JlcVyYgbMluPtkUr42cO7XiV0+5LiWAGs+5AeZQkUp5p4kx/5fDh/8F1YE/WWCgSH4f0md4fLe37 XY6TIg7C+n0JsmgYm+/Zm9KBLbJytT6g7cUzXA2H6euBVil6YchYU7ziZUTNbaRBDZpYG+1K8knj sDTlleDOUAqDjoaka37c+emvpvRg0s1HvTh2LCZRztnuvjILC0f1Cn6J4ALvd/U+USzWVXiVo/L8 eUpfVfFisbDIKLxBqUCGVRGnJ0vhqLMfhMvyAqklhWJED7NtovOaQlEsmHRanTic4j5jIdRUd+08 +10OGgL5XdRNOhnGvVrW5XXpUTP3R4gMt7ufIxfxaX2LteMWoijylJv4aUmj2PnqY5gILf006xJI /pIbBAx9P2N+++CC/Ykhv31l7X/K50xPAB0/RLxion3I1PTWZpYL8Mi0sXsoKEqb5+3oLxTdA7Ia ZVERgyP+gT/jpdiKaDe0Wp8YPa6+vSWtkrXkzYxaH2NmkT9ElvEKXIvFqLNo6+8usYrcwtQBuXPj L4satNnAS7wvHBCCLNeXIHnsv3Eaw4nyW51cpm62xMhgim5U/5RUo0NkzeaICS3EuBFeBMwYDJG5 sS4ZKV8JdpSKm0LbXIO9U0gw/wyBAY2WdlP1TMGNYHqQUggUBSmXtrDYaR9d6jvvaPt2onaw2Kqe 0VhMBjxtnp1LtfSN/d3RAJDABqEhfz9rVrt1+1jYFfGmP/vyrF9pgKWtePB5ZpJxmXjCd0eQE4Gw O9WmFpUbn4mEj+1zoYLfQXuD9/TpVuEVkV23SOJ1yANjdmHqLxZ2vL4Cm2/1a8KSWfmV7p9fRqCp AR6vbxjfX8dkWwR/8TsOiKQieYx5f1EE4zN2ahTHm1ftFlNX26JOZS14Uk+Y/NTs+sYzHUgXmife CkB+ZbllD5b0f4HnLH3yTd4+n5olfABzfuldNGt09GwjDmGoajtJSoj5Hzt9WdX99ohKi70fz35Y A8sIJGKRTLL8pnbDHtwFyZX+1Jt92+5atAdZDHKLhqep5pft42umWR11l3eh4y9yDutJ++TYm9an zGVgOweks0StcdLUSaUM+TXxtiLFxT4VZ9/ZWwn/i25SUAKswp5W/UVJgXI3/ww4kyfHx/NWuPsv yZP2Fo6NjOJCZUKIluZ/CY/xyaAreagqHC6sFN4TBPL/W3YlqYE4A6ZE3eD6kFiQSiCaLujuwuRx 1/aYbQQM1eCjO3aJMZA3wGW56wCe45MXIO0vHwhmaUr3Q+Zo/AoDCaVeyK1P69+fwehpZEFl7DTy yaWg/bpHbndOrvbGr/CNppmDez5hdswHfaWS3XYd4H+TIsMzxx4DfK6qIUnn5j1rdQwboBTL+pun +0t/YalouzWxAzYvOOugxmzCRzhcZncn7u7y6X5W4NbjFkOViu0ysEKL+Bwji3AzafKcP9h9fHll jFole799QxNnwp8aBUPTZlLIRjWLgnh3ov7xOgWqv2WsYbvDC5jQgHW7mvyS1JO+uVuExIU4EAvB perVj8D5W5DkpvMMAINc2849TN+TjJJcevQCq+bXCgiEoThDrtwyeTsx8JlU7091qNHegvOZf9Eg y0sqwO103jsptPDRYBvm+M0SRjvXUScyHptB4yMokoBrr2N+Ogcb7akNdU1WtX+nY+V9l3HiojEx +WEB0vYq6aPpxOHpO2q14P8gSUT4UuzlOzl7qhpGwDzTKM+9m9AfmO/8tfySuglPY8LXw6cgsYMO 2Pw6w2DdlKrKPBAMc1n6nI2PkLVHnAp/2d/i+LaO+G95y226yvSZ/LvDz2jtZJnShhmQuiEeFxE1 OgsMYFO3CGlMdoex7Y8Zux5nyTjDuNVd0cwPKncCtTZJY5iXl3mN/8i0yfJgbqanlPAb1Ujap+h+ /nqaK3T818gtUnhh8lulc07gjQrQqppulQTzXCUgaNB4Y4M5yGPy9PTKkQLz0KQoGagVvpj4X90y nK+MJ2Dhgj0dQTlYcLYkv7+ThBwhP4Ts60lm6D7gpJNvdZjcfFQtDP6hhSvCfsRwXiEgGVPEK46D q2NpfdRMY5LJTuyVHSc3RVctrYDD3diBptRUKJj0wUbO32+fNLIYvPhVQOkAo7bNbQr83GgVMjvE sVuo/jRcChL/VLlpHMaGGdgjuatXvXvMQkVW5RpwZzdt1SgchwcZ7ifzAT8TPNlc3EsUL0hDn7q0 FlYhnqrm6HXT8ksfB5r1tUcWYYxH5U4T2KQX3ZspZsJ60yMgKb7KaLXw+iJri3pI7B/vrkMNsdmq e2nq1GcNgAtTU+l7KO9n3qxc1BIPzWbAix3M7lE6R/p8qp7l2j5fD4+SZMGe+18Trd3FXs7UDeyP FJzSDvlSjqblfAUqSS/1blC4TXKhlVO9amzm+zrP2SWZInAyVhBsviOAt9xTDiWD+iB8J7Bhl7/n EbCphbzsWclaJ5OI+aIQqDvBEGfKVNfemNWXeOpXnJEORzsTAK2O9pBWEpWEnlC4vQkgZXfR+Wuo r10VQx1yjU/8h3XGUP94YQf/HqU8+S/zF+TLHEuXooB+o2cggy7u6FEG9m6dNrAgTP8apnkTNYiI GJwDa991bYNvWNZ/PS4rbEQ/9w587W4IGrAlnxEyuffYfiCjrv1QR5/a6T/se+b9eXN+if8Enwax rMiyBk/itGHTL8Bym/j2S5WzmoV3nvQmh5Vzb8m2yoCccqqAdD5679w= `protect end_protected
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity add_191 is port ( result : out std_logic_vector(30 downto 0); in_a : in std_logic_vector(30 downto 0); in_b : in std_logic_vector(30 downto 0) ); end add_191; architecture augh of add_191 is signal carry_inA : std_logic_vector(32 downto 0); signal carry_inB : std_logic_vector(32 downto 0); signal carry_res : std_logic_vector(32 downto 0); begin -- To handle the CI input, the operation is '1' + CI -- If CI is not present, the operation is '1' + '0' carry_inA <= '0' & in_a & '1'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB)); -- Set the outputs result <= carry_res(31 downto 1); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity add_191 is port ( result : out std_logic_vector(30 downto 0); in_a : in std_logic_vector(30 downto 0); in_b : in std_logic_vector(30 downto 0) ); end add_191; architecture augh of add_191 is signal carry_inA : std_logic_vector(32 downto 0); signal carry_inB : std_logic_vector(32 downto 0); signal carry_res : std_logic_vector(32 downto 0); begin -- To handle the CI input, the operation is '1' + CI -- If CI is not present, the operation is '1' + '0' carry_inA <= '0' & in_a & '1'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB)); -- Set the outputs result <= carry_res(31 downto 1); end architecture;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_ATANLUT.VHD *** --*** *** --*** Function: ArcTangent Look Up Table *** --*** (Generated by MATLAB Utility) *** --*** *** --*** 22/12/09 ML *** --*** *** --*** (c) 2009 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_atanlut IS PORT ( add : IN STD_LOGIC_VECTOR (10 DOWNTO 1); data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1) ); END fp_atanlut; ARCHITECTURE rtl OF fp_atanlut IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "0000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); WHEN "0000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(255,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262058,18); WHEN "0000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(511,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261461,18); WHEN "0000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259840,18); WHEN "0000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(1023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256682,18); WHEN "0000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(1279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251477,18); WHEN "0000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(1535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243713,18); WHEN "0000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(1791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232877,18); WHEN "0000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(2047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218459,18); WHEN "0000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(2303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199947,18); WHEN "0000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(2559,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176830,18); WHEN "0000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(2815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148596,18); WHEN "0000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(3071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114736,18); WHEN "0000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(3327,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74739,18); WHEN "0000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(3583,18); data(18 DOWNTO 1) <= conv_std_logic_vector(28094,18); WHEN "0000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(3838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236436,18); WHEN "0000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(4094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174967,18); WHEN "0000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(4350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105322,18); WHEN "0000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(4606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26992,18); WHEN "0000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(4861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201613,18); WHEN "0000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(5117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104389,18); WHEN "0000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(5372,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259100,18); WHEN "0000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(5628,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140951,18); WHEN "0000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(5884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11580,18); WHEN "0000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(6139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132624,18); WHEN "0000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(6394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241434,18); WHEN "0000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(6650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75361,18); WHEN "0000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(6905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158188,18); WHEN "0000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(7160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227268,18); WHEN "0000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(7416,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19954,18); WHEN "0000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(7671,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60030,18); WHEN "0000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(7926,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84851,18); WHEN "0000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(8181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93916,18); WHEN "0000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(8436,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86725,18); WHEN "0000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(8691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62776,18); WHEN "0000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(8946,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21573,18); WHEN "0000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(9200,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224760,18); WHEN "0000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(9455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(147552,18); WHEN "0000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(9710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51596,18); WHEN "0000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(9964,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198541,18); WHEN "0000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(10219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63603,18); WHEN "0000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(10473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170578,18); WHEN "0000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(10727,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256827,18); WHEN "0000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(10982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59715,18); WHEN "0000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(11236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103038,18); WHEN "0000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(11490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124162,18); WHEN "0000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(11744,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122599,18); WHEN "0000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(11998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97859,18); WHEN "0000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(12252,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49456,18); WHEN "0000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(12505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239047,18); WHEN "0000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(12759,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141859,18); WHEN "0000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(13013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19553,18); WHEN "0000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(13266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133790,18); WHEN "0000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(13519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221944,18); WHEN "0000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(13773,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21390,18); WHEN "0000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(14026,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55937,18); WHEN "0000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(14279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62963,18); WHEN "0000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(14532,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41991,18); WHEN "0000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(14784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254689,18); WHEN "0000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(15037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176296,18); WHEN "0000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(15290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68480,18); WHEN "0000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(15542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192916,18); WHEN "0000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(15795,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24844,18); WHEN "0000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(16047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88083,18); WHEN "0001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(16299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120021,18); WHEN "0001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(16551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120191,18); WHEN "0001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(16803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88130,18); WHEN "0001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(17055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23371,18); WHEN "0001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(17306,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187599,18); WHEN "0001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(17558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56063,18); WHEN "0001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(17809,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152592,18); WHEN "0001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(18060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214584,18); WHEN "0001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(18311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241584,18); WHEN "0001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(18562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233135,18); WHEN "0001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(18813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188785,18); WHEN "0001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(19064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108082,18); WHEN "0001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(19314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252719,18); WHEN "0001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(19565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97960,18); WHEN "0001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(19815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167646,18); WHEN "0001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(20065,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199187,18); WHEN "0001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(20315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192140,18); WHEN "0001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(20565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146062,18); WHEN "0001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(20815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60512,18); WHEN "0001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(21064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197196,18); WHEN "0001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(21314,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31389,18); WHEN "0001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(21563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86943,18); WHEN "0001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(21812,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101281,18); WHEN "0001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(22061,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73969,18); WHEN "0001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(22310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4579,18); WHEN "0001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(22558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154826,18); WHEN "0001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(22806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(262139,18); WHEN "0001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(23055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(63948,18); WHEN "0001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(23303,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84120,18); WHEN "0001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(23551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60088,18); WHEN "0001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(23798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253578,18); WHEN "0001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(24046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(139883,18); WHEN "0001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(24293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242876,18); WHEN "0001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(24541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37856,18); WHEN "0001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(24788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48697,18); WHEN "0001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(25035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(12847,18); WHEN "0001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(25281,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192040,18); WHEN "0001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(25528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61583,18); WHEN "0001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(25774,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145360,18); WHEN "0001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(26020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180824,18); WHEN "0001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(26266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167574,18); WHEN "0001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(26512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105213,18); WHEN "0001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(26757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255489,18); WHEN "0001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(27003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93717,18); WHEN "0001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(27248,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143796,18); WHEN "0001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(27493,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143189,18); WHEN "0001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(27738,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91508,18); WHEN "0001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(27982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250512,18); WHEN "0001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(28227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95529,18); WHEN "0001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(28471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150463,18); WHEN "0001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(28715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152791,18); WHEN "0001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(28959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102135,18); WHEN "0001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(29202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260263,18); WHEN "0001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(29446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102513,18); WHEN "0001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(29689,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152801,18); WHEN "0001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(29932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148615,18); WHEN "0001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(30175,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89586,18); WHEN "0001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(30417,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237492,18); WHEN "0001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(30660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67684,18); WHEN "0001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(30902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104088,18); WHEN "0001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(31144,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84201,18); WHEN "0001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(31386,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7666,18); WHEN "0001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(31627,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136273,18); WHEN "0001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(31868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207526,18); WHEN "0010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(32109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(221074,18); WHEN "0010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(32350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176570,18); WHEN "0010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(32591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73668,18); WHEN "0010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(32831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174167,18); WHEN "0010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(33071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215584,18); WHEN "0010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(33311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197579,18); WHEN "0010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(33551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119815,18); WHEN "0010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(33790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244102,18); WHEN "0010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(34030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45819,18); WHEN "0010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(34269,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48923,18); WHEN "0010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(34507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253089,18); WHEN "0010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(34746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133701,18); WHEN "0010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(34984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214724,18); WHEN "0010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(35222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233694,18); WHEN "0010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(35460,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190291,18); WHEN "0010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(35698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84200,18); WHEN "0010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(35935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(177249,18); WHEN "0010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(36172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206983,18); WHEN "0010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(36409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173093,18); WHEN "0010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(36646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75271,18); WHEN "0010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(36882,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175356,18); WHEN "0010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(37118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210901,18); WHEN "0010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(37354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181607,18); WHEN "0010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(37590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87173,18); WHEN "0010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(37825,18); data(18 DOWNTO 1) <= conv_std_logic_vector(189450,18); WHEN "0010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(38060,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226000,18); WHEN "0010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(38295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196531,18); WHEN "0010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(38530,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100754,18); WHEN "0010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(38764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200528,18); WHEN "0010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(38998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233423,18); WHEN "0010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(39232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199158,18); WHEN "0010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(39466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97454,18); WHEN "0010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(39699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(190176,18); WHEN "0010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(39932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214908,18); WHEN "0010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(40165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171374,18); WHEN "0010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(40398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59307,18); WHEN "0010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(40630,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140580,18); WHEN "0010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(40862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152786,18); WHEN "0010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(41094,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95661,18); WHEN "0010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(41325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231088,18); WHEN "0010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(41557,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34520,18); WHEN "0010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(41788,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29989,18); WHEN "0010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(42018,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217242,18); WHEN "0010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(42249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71738,18); WHEN "0010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(42479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117517,18); WHEN "0010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(42709,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92187,18); WHEN "0010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(42938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257648,18); WHEN "0010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(43168,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89371,18); WHEN "0010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(43397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111402,18); WHEN "0010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(43626,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61360,18); WHEN "0010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(43854,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201155,18); WHEN "0010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(44083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6265,18); WHEN "0010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(44311,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(44538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184374,18); WHEN "0010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(44766,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32631,18); WHEN "0010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(44993,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69583,18); WHEN "0010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(45220,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32865,18); WHEN "0010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(45446,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184401,18); WHEN "0010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(45672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261830,18); WHEN "0010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(45899,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2795,18); WHEN "0010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(46124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193515,18); WHEN "0010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(46350,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47349,18); WHEN "0010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(46575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88377,18); WHEN "0010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(46800,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54250,18); WHEN "0011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(47024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206908,18); WHEN "0011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(47249,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21864,18); WHEN "0011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(47473,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23207,18); WHEN "0011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(47696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210741,18); WHEN "0011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(47920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59984,18); WHEN "0011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(48143,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95032,18); WHEN "0011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(48366,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53554,18); WHEN "0011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(48588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197504,18); WHEN "0011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(48811,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2412,18); WHEN "0011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(49032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254526,18); WHEN "0011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(49254,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167234,18); WHEN "0011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(49476,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2502,18); WHEN "0011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(49697,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22299,18); WHEN "0011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(49917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226451,18); WHEN "0011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(50138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90499,18); WHEN "0011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(50358,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138562,18); WHEN "0011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(50578,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108329,18); WHEN "0011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(50797,18); data(18 DOWNTO 1) <= conv_std_logic_vector(261779,18); WHEN "0011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(51017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74462,18); WHEN "0011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(51236,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70506,18); WHEN "0011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(51454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249754,18); WHEN "0011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(51673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87760,18); WHEN "0011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(51891,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108660,18); WHEN "0011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(52109,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50158,18); WHEN "0011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(52326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174249,18); WHEN "0011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(52543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218642,18); WHEN "0011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(52760,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183192,18); WHEN "0011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(52977,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67756,18); WHEN "0011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(53193,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134338,18); WHEN "0011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(53409,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120655,18); WHEN "0011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(53625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(26571,18); WHEN "0011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(53840,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114096,18); WHEN "0011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(54055,18); data(18 DOWNTO 1) <= conv_std_logic_vector(120953,18); WHEN "0011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(54270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47013,18); WHEN "0011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(54484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154291,18); WHEN "0011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(54698,18); data(18 DOWNTO 1) <= conv_std_logic_vector(180519,18); WHEN "0011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(54912,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125572,18); WHEN "0011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(55125,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251472,18); WHEN "0011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(55339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33813,18); WHEN "0011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(55551,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258909,18); WHEN "0011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(55764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140212,18); WHEN "0011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(55976,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201898,18); WHEN "0011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(56188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181710,18); WHEN "0011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(56400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79540,18); WHEN "0011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(56611,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157424,18); WHEN "0011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(56822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153114,18); WHEN "0011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(57033,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66506,18); WHEN "0011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(57243,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159643,18); WHEN "0011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(57453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170281,18); WHEN "0011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(57663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98325,18); WHEN "0011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(57872,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205822,18); WHEN "0011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(58081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230535,18); WHEN "0011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(58290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172373,18); WHEN "0011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(58499,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31247,18); WHEN "0011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(58707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69214,18); WHEN "0011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(58915,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24044,18); WHEN "0011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(59122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157797,18); WHEN "0011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(59329,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208248,18); WHEN "0011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(59536,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175318,18); WHEN "0011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(59743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58929,18); WHEN "0011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(59949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121149,18); WHEN "0011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(60155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99760,18); WHEN "0011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(60360,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256834,18); WHEN "0011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(60566,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68012,18); WHEN "0100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(60771,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57516,18); WHEN "0100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(60975,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225277,18); WHEN "0100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(61180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46944,18); WHEN "0100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(61384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46741,18); WHEN "0100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(61587,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224608,18); WHEN "0100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(61791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56198,18); WHEN "0100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(61994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65741,18); WHEN "0100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(62196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(253182,18); WHEN "0100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(62399,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94178,18); WHEN "0100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(62601,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112967,18); WHEN "0100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(62803,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47353,18); WHEN "0100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(63004,18); data(18 DOWNTO 1) <= conv_std_logic_vector(159433,18); WHEN "0100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(63205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187015,18); WHEN "0100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(63406,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130055,18); WHEN "0100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(63606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250654,18); WHEN "0100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(63807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24481,18); WHEN "0100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(64006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237930,18); WHEN "0100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(64206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104530,18); WHEN "0100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(64405,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148532,18); WHEN "0100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(64604,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107758,18); WHEN "0100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(64802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244320,18); WHEN "0100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(65001,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33897,18); WHEN "0100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(65199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(747,18); WHEN "0100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(65396,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144844,18); WHEN "0100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(65593,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204016,18); WHEN "0100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(65790,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178238,18); WHEN "0100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(65987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67488,18); WHEN "0100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(66183,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133888,18); WHEN "0100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(66379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115273,18); WHEN "0100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(66575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11625,18); WHEN "0100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(66770,18); data(18 DOWNTO 1) <= conv_std_logic_vector(85072,18); WHEN "0100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(66965,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73455,18); WHEN "0100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(67159,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238902,18); WHEN "0100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(67354,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57115,18); WHEN "0100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(67548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52371,18); WHEN "0100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(67741,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224661,18); WHEN "0100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(67935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49688,18); WHEN "0100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(68128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51735,18); WHEN "0100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(68320,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230798,18); WHEN "0100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(68513,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62585,18); WHEN "0100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(68705,18); data(18 DOWNTO 1) <= conv_std_logic_vector(71382,18); WHEN "0100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(68896,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257190,18); WHEN "0100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(69088,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95722,18); WHEN "0100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(69279,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111268,18); WHEN "0100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(69470,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41689,18); WHEN "0100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(69660,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149135,18); WHEN "0100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(69850,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171468,18); WHEN "0100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(70040,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108696,18); WHEN "0100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(70229,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222974,18); WHEN "0100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(70418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252170,18); WHEN "0100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(70607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196294,18); WHEN "0100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(70796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(55362,18); WHEN "0100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(70984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91533,18); WHEN "0100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(71172,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42680,18); WHEN "0100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(71359,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170964,18); WHEN "0100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(71546,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214261,18); WHEN "0100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(71733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172591,18); WHEN "0100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(71920,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45977,18); WHEN "0100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(72106,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96586,18); WHEN "0100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(72292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62298,18); WHEN "0100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(72477,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205284,18); WHEN "0100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(72663,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1281,18); WHEN "0100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(72847,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236752,18); WHEN "0100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(73032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125293,18); WHEN "0101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(73216,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191223,18); WHEN "0101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(73400,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172431,18); WHEN "0101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(73584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68949,18); WHEN "0101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(73767,18); data(18 DOWNTO 1) <= conv_std_logic_vector(142957,18); WHEN "0101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(73950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132346,18); WHEN "0101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(74133,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37152,18); WHEN "0101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(74315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119559,18); WHEN "0101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(74497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117461,18); WHEN "0101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(74679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30899,18); WHEN "0101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(74860,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122058,18); WHEN "0101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(75041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128837,18); WHEN "0101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(75222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51281,18); WHEN "0101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(75402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151578,18); WHEN "0101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(75582,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167630,18); WHEN "0101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(75762,18); data(18 DOWNTO 1) <= conv_std_logic_vector(99484,18); WHEN "0101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(75941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(209334,18); WHEN "0101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(76120,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235084,18); WHEN "0101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(76299,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176784,18); WHEN "0101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(76478,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34487,18); WHEN "0101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(76656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70389,18); WHEN "0101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(76834,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22400,18); WHEN "0101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(77011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152718,18); WHEN "0101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(77188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199256,18); WHEN "0101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(77365,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162070,18); WHEN "0101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(77542,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "0101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(77718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98901,18); WHEN "0101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(77894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(73038,18); WHEN "0101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(78069,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225832,18); WHEN "0101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(78245,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33058,18); WHEN "0101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(78420,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19065,18); WHEN "0101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(78594,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183917,18); WHEN "0101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(78769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3392,18); WHEN "0101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(78943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1843,18); WHEN "0101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(79116,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179336,18); WHEN "0101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(79290,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11651,18); WHEN "0101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(79463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23144,18); WHEN "0101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(79635,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213885,18); WHEN "0101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(79808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59656,18); WHEN "0101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(79980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(84815,18); WHEN "0101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(80152,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27291,18); WHEN "0101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(80323,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149301,18); WHEN "0101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(80494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188773,18); WHEN "0101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(80665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145784,18); WHEN "0101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(80836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20408,18); WHEN "0101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(81006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74865,18); WHEN "0101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(81176,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47089,18); WHEN "0101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(81345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199302,18); WHEN "0101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(81515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7293,18); WHEN "0101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(81683,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257575,18); WHEN "0101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(81852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163796,18); WHEN "0101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(82020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250325,18); WHEN "0101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(82188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(255100,18); WHEN "0101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(82356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178203,18); WHEN "0101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(82524,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19719,18); WHEN "0101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(82691,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41874,18); WHEN "0101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(82857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244755,18); WHEN "0101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(83024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104158,18); WHEN "0101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(83190,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144458,18); WHEN "0101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(83356,18); data(18 DOWNTO 1) <= conv_std_logic_vector(103598,18); WHEN "0101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(83521,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243810,18); WHEN "0101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(83687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40895,18); WHEN "0101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(83852,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19229,18); WHEN "0101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(84016,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178903,18); WHEN "0101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(84180,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257864,18); WHEN "0110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(84344,18); data(18 DOWNTO 1) <= conv_std_logic_vector(256201,18); WHEN "0110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(84508,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174008,18); WHEN "0110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(84672,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11377,18); WHEN "0110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(84835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30546,18); WHEN "0110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(84997,18); data(18 DOWNTO 1) <= conv_std_logic_vector(231607,18); WHEN "0110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(85160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(90368,18); WHEN "0110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(85322,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131213,18); WHEN "0110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(85484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92091,18); WHEN "0110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(85645,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235245,18); WHEN "0110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(85807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36483,18); WHEN "0110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(85968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20191,18); WHEN "0110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(86128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186467,18); WHEN "0110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(86289,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11121,18); WHEN "0110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(86449,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18541,18); WHEN "0110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(86608,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208828,18); WHEN "0110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(86768,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57793,18); WHEN "0110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(86927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89826,18); WHEN "0110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(87086,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42884,18); WHEN "0110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(87244,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179213,18); WHEN "0110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(87402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236772,18); WHEN "0110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(87560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215665,18); WHEN "0110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(87718,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115995,18); WHEN "0110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(87875,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200010,18); WHEN "0110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(88032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205672,18); WHEN "0110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(88189,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133084,18); WHEN "0110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(88345,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244498,18); WHEN "0110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(88502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15731,18); WHEN "0110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(88657,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233323,18); WHEN "0110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(88813,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110948,18); WHEN "0110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(88968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173002,18); WHEN "0110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(89123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157449,18); WHEN "0110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(89278,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64397,18); WHEN "0110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(89432,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156101,18); WHEN "0110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(89586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170525,18); WHEN "0110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(89740,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107780,18); WHEN "0110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(89893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230119,18); WHEN "0110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(90047,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13365,18); WHEN "0110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(90199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(244062,18); WHEN "0110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(90352,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135889,18); WHEN "0110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(90504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213247,18); WHEN "0110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(90656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214103,18); WHEN "0110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(90808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(138570,18); WHEN "0110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(90959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248907,18); WHEN "0110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(91111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20937,18); WHEN "0110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(91261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241208,18); WHEN "0110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(91412,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123401,18); WHEN "0110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(91562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191920,18); WHEN "0110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(91712,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184734,18); WHEN "0110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(91862,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101960,18); WHEN "0110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(92011,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205858,18); WHEN "0110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(92160,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234399,18); WHEN "0110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(92309,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187700,18); WHEN "0110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(92458,18); data(18 DOWNTO 1) <= conv_std_logic_vector(65877,18); WHEN "0110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(92606,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131192,18); WHEN "0110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(92754,18); data(18 DOWNTO 1) <= conv_std_logic_vector(121618,18); WHEN "0110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(92902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37273,18); WHEN "0110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(93049,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140418,18); WHEN "0110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(93196,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169029,18); WHEN "0110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(93343,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123223,18); WHEN "0110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(93490,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3119,18); WHEN "0110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(93636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70981,18); WHEN "0110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(93782,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64784,18); WHEN "0110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(93927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246792,18); WHEN "0110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(94073,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92836,18); WHEN "0111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(94218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127326,18); WHEN "0111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(94363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88237,18); WHEN "0111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(94507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237834,18); WHEN "0111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(94652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51950,18); WHEN "0111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(94796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54995,18); WHEN "0111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(94939,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247090,18); WHEN "0111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(95083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104069,18); WHEN "0111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(95226,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150342,18); WHEN "0111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(95369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123886,18); WHEN "0111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(95512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24825,18); WHEN "0111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(95654,18); data(18 DOWNTO 1) <= conv_std_logic_vector(115424,18); WHEN "0111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(95796,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133663,18); WHEN "0111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(95938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79665,18); WHEN "0111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(96079,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215697,18); WHEN "0111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(96221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17594,18); WHEN "0111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(96362,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9768,18); WHEN "0111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(96502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192343,18); WHEN "0111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(96643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41154,18); WHEN "0111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(96783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80615,18); WHEN "0111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(96923,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48704,18); WHEN "0111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(97062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207691,18); WHEN "0111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(97202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33412,18); WHEN "0111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(97341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50281,18); WHEN "0111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(97479,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258422,18); WHEN "0111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(97618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133671,18); WHEN "0111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(97756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200444,18); WHEN "0111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(97894,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196720,18); WHEN "0111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(98032,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122625,18); WHEN "0111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(98169,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240430,18); WHEN "0111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(98307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25971,18); WHEN "0111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(98444,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3664,18); WHEN "0111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(98580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173633,18); WHEN "0111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(98717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11717,18); WHEN "0111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(98853,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42330,18); WHEN "0111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(98989,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3456,18); WHEN "0111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(99124,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157363,18); WHEN "0111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(99259,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242035,18); WHEN "0111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(99394,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257599,18); WHEN "0111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(99529,18); data(18 DOWNTO 1) <= conv_std_logic_vector(204180,18); WHEN "0111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(99664,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81908,18); WHEN "0111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(99798,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153051,18); WHEN "0111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(99932,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155593,18); WHEN "0111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(100066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89662,18); WHEN "0111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(100199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217528,18); WHEN "0111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(100333,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15031,18); WHEN "0111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(100466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6586,18); WHEN "0111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(100598,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192320,18); WHEN "0111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(100731,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48074,18); WHEN "0111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(100863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98261,18); WHEN "0111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(100995,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80868,18); WHEN "0111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(101126,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258163,18); WHEN "0111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(101258,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105988,18); WHEN "0111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(101389,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148759,18); WHEN "0111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(101520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124458,18); WHEN "0111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(101651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33214,18); WHEN "0111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(101781,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137298,18); WHEN "0111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(101911,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174695,18); WHEN "0111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(102041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145532,18); WHEN "0111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(102171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49937,18); WHEN "0111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(102300,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150182,18); WHEN "0111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(102429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184251,18); WHEN "0111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(102558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152273,18); WHEN "0111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(102687,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54374,18); WHEN "0111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(102815,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152827,18); WHEN "1000000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(102943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185617,18); WHEN "1000000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(103071,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152870,18); WHEN "1000000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(103199,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54715,18); WHEN "1000000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(103326,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153425,18); WHEN "1000000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(103453,18); data(18 DOWNTO 1) <= conv_std_logic_vector(186982,18); WHEN "1000000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(103580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155515,18); WHEN "1000000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(103707,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59152,18); WHEN "1000000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(103833,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160165,18); WHEN "1000001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(103959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196538,18); WHEN "1000001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(104085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168399,18); WHEN "1000001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(104211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(75875,18); WHEN "1000001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(104336,18); data(18 DOWNTO 1) <= conv_std_logic_vector(181239,18); WHEN "1000001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(104461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222475,18); WHEN "1000001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(104586,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199709,18); WHEN "1000001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(104711,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113070,18); WHEN "1000001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(104835,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224830,18); WHEN "1000010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(104960,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10829,18); WHEN "1000010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(105083,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257625,18); WHEN "1000010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(105207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178916,18); WHEN "1000010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(105331,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36971,18); WHEN "1000010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(105454,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94064,18); WHEN "1000010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(105577,18); data(18 DOWNTO 1) <= conv_std_logic_vector(88176,18); WHEN "1000010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(105700,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19436,18); WHEN "1000010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(105822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150116,18); WHEN "1000011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(105944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218198,18); WHEN "1000011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(106066,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223809,18); WHEN "1000011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(106188,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167077,18); WHEN "1000011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(106310,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48129,18); WHEN "1000011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(106431,18); data(18 DOWNTO 1) <= conv_std_logic_vector(129236,18); WHEN "1000011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(106552,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148382,18); WHEN "1000011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(106673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105692,18); WHEN "1000011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(106794,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1293,18); WHEN "1000100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(106914,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97458,18); WHEN "1000100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107034,18); data(18 DOWNTO 1) <= conv_std_logic_vector(132167,18); WHEN "1000100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(107154,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105548,18); WHEN "1000100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(107274,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17728,18); WHEN "1000100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(107393,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130976,18); WHEN "1000100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(107512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(183276,18); WHEN "1000100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(107631,18); data(18 DOWNTO 1) <= conv_std_logic_vector(174753,18); WHEN "1000100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(107750,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105533,18); WHEN "1000101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(107868,18); data(18 DOWNTO 1) <= conv_std_logic_vector(237887,18); WHEN "1000101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(107987,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47653,18); WHEN "1000101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(108105,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59244,18); WHEN "1000101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(108223,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10643,18); WHEN "1000101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(108340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(164119,18); WHEN "1000101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(108457,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257653,18); WHEN "1000101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(108575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29228,18); WHEN "1000101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(108692,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3255,18); WHEN "1000110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(108808,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179862,18); WHEN "1000110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(108925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34885,18); WHEN "1000110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109041,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92737,18); WHEN "1000110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(109157,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91399,18); WHEN "1000110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(109273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30995,18); WHEN "1000110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(109388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173795,18); WHEN "1000110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(109503,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257779,18); WHEN "1000110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(109619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20928,18); WHEN "1000111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(109733,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249798,18); WHEN "1000111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(109848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158081,18); WHEN "1000111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(109963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8045,18); WHEN "1000111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61959,18); WHEN "1000111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(110191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(57801,18); WHEN "1000111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(110304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257841,18); WHEN "1000111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(110418,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137913,18); WHEN "1000111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(110531,18); data(18 DOWNTO 1) <= conv_std_logic_vector(222429,18); WHEN "1001000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(110644,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249369,18); WHEN "1001000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(110757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218855,18); WHEN "1001000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(110870,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131010,18); WHEN "1001000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(110982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248102,18); WHEN "1001000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45965,18); WHEN "1001000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(111207,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49010,18); WHEN "1001000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(111318,18); data(18 DOWNTO 1) <= conv_std_logic_vector(257360,18); WHEN "1001000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(111430,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146848,18); WHEN "1001001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(111541,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241886,18); WHEN "1001001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(111653,18); data(18 DOWNTO 1) <= conv_std_logic_vector(18306,18); WHEN "1001001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(111764,18); data(18 DOWNTO 1) <= conv_std_logic_vector(520,18); WHEN "1001001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(111874,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188648,18); WHEN "1001001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(111985,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58525,18); WHEN "1001001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112095,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134560,18); WHEN "1001001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(112205,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154730,18); WHEN "1001001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(112315,18); data(18 DOWNTO 1) <= conv_std_logic_vector(119156,18); WHEN "1001010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(112425,18); data(18 DOWNTO 1) <= conv_std_logic_vector(27960,18); WHEN "1001010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(112534,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143406,18); WHEN "1001010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(112643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203471,18); WHEN "1001010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(112752,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208276,18); WHEN "1001010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(112861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157941,18); WHEN "1001010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(112970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52586,18); WHEN "1001010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154475,18); WHEN "1001010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(113186,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201584,18); WHEN "1001011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(113294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194033,18); WHEN "1001011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(113402,18); data(18 DOWNTO 1) <= conv_std_logic_vector(131941,18); WHEN "1001011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(113510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15429,18); WHEN "1001011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(113617,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106759,18); WHEN "1001011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(113724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143905,18); WHEN "1001011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(113831,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126988,18); WHEN "1001011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(113938,18); data(18 DOWNTO 1) <= conv_std_logic_vector(56126,18); WHEN "1001011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114044,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193581,18); WHEN "1001100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114151,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15184,18); WHEN "1001100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(114257,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45342,18); WHEN "1001100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(114363,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22027,18); WHEN "1001100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(114468,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207502,18); WHEN "1001100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(114574,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77597,18); WHEN "1001100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(114679,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156717,18); WHEN "1001100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(114784,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182836,18); WHEN "1001100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(114889,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156070,18); WHEN "1001101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(114994,18); data(18 DOWNTO 1) <= conv_std_logic_vector(76538,18); WHEN "1001101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206498,18); WHEN "1001101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(115203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21782,18); WHEN "1001101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(115307,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46791,18); WHEN "1001101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(115411,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19500,18); WHEN "1001101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(115514,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202167,18); WHEN "1001101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(115618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70622,18); WHEN "1001101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(115721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(149267,18); WHEN "1001110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(115824,18); data(18 DOWNTO 1) <= conv_std_logic_vector(176075,18); WHEN "1001110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(115927,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151160,18); WHEN "1001110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74638,18); WHEN "1001110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116132,18); data(18 DOWNTO 1) <= conv_std_logic_vector(208767,18); WHEN "1001110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(116235,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29375,18); WHEN "1001110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(116337,18); data(18 DOWNTO 1) <= conv_std_logic_vector(60864,18); WHEN "1001110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(116439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41205,18); WHEN "1001110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(116540,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232655,18); WHEN "1001111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(116642,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111041,18); WHEN "1001111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(116743,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200765,18); WHEN "1001111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(116844,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239796,18); WHEN "1001111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(116945,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228248,18); WHEN "1001111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117046,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166234,18); WHEN "1001111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117147,18); data(18 DOWNTO 1) <= conv_std_logic_vector(53867,18); WHEN "1001111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(117247,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153404,18); WHEN "1001111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(117347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202814,18); WHEN "1010000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(117447,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202209,18); WHEN "1010000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(117547,18); data(18 DOWNTO 1) <= conv_std_logic_vector(151701,18); WHEN "1010000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(117647,18); data(18 DOWNTO 1) <= conv_std_logic_vector(51403,18); WHEN "1010000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(117746,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163571,18); WHEN "1010000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(117845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(226171,18); WHEN "1010000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(117944,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239317,18); WHEN "1010000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203118,18); WHEN "1010000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117687,18); WHEN "1010001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(118240,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245278,18); WHEN "1010001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(118339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61714,18); WHEN "1010001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(118437,18); data(18 DOWNTO 1) <= conv_std_logic_vector(91393,18); WHEN "1010001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(118535,18); data(18 DOWNTO 1) <= conv_std_logic_vector(72282,18); WHEN "1010001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(118633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4490,18); WHEN "1010001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(118730,18); data(18 DOWNTO 1) <= conv_std_logic_vector(150272,18); WHEN "1010001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(118827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247594,18); WHEN "1010001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(118925,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34421,18); WHEN "1010010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119022,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35150,18); WHEN "1010010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249890,18); WHEN "1010010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119215,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154463,18); WHEN "1010010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(119312,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11120,18); WHEN "1010010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(119408,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82116,18); WHEN "1010010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(119504,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105413,18); WHEN "1010010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(119600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81120,18); WHEN "1010010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(119696,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9344,18); WHEN "1010011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(119791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(152339,18); WHEN "1010011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(119886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248066,18); WHEN "1010011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(119982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(34490,18); WHEN "1010011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120077,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36005,18); WHEN "1010011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120171,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252718,18); WHEN "1010011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(120266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160448,18); WHEN "1010011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(120361,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21445,18); WHEN "1010011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(120455,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97961,18); WHEN "1010100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(120549,18); data(18 DOWNTO 1) <= conv_std_logic_vector(127955,18); WHEN "1010100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(120643,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111535,18); WHEN "1010100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(120737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48807,18); WHEN "1010100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(120830,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202019,18); WHEN "1010100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(120924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46988,18); WHEN "1010100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121017,18); data(18 DOWNTO 1) <= conv_std_logic_vector(108109,18); WHEN "1010100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121110,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123341,18); WHEN "1010100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(92789,18); WHEN "1010101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(121296,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16558,18); WHEN "1010101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(121388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156895,18); WHEN "1010101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(121480,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251761,18); WHEN "1010101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(121573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39116,18); WHEN "1010101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(121665,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43350,18); WHEN "1010101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(121757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2424,18); WHEN "1010101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(121848,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178584,18); WHEN "1010101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(121940,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47646,18); WHEN "1010110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122031,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134000,18); WHEN "1010110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122122,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175604,18); WHEN "1010110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122213,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172562,18); WHEN "1010110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(122304,18); data(18 DOWNTO 1) <= conv_std_logic_vector(124974,18); WHEN "1010110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(122395,18); data(18 DOWNTO 1) <= conv_std_logic_vector(32943,18); WHEN "1010110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(122485,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158715,18); WHEN "1010110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(122575,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240246,18); WHEN "1010110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(122666,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15495,18); WHEN "1010111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(122756,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8850,18); WHEN "1010111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(122845,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220412,18); WHEN "1010111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(122935,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125994,18); WHEN "1010111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123024,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249984,18); WHEN "1010111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123114,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68194,18); WHEN "1010111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123203,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105012,18); WHEN "1010111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123292,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98395,18); WHEN "1010111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(123381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48441,18); WHEN "1011000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(123469,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217394,18); WHEN "1011000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(123558,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81065,18); WHEN "1011000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(123646,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163841,18); WHEN "1011000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(123734,18); data(18 DOWNTO 1) <= conv_std_logic_vector(203676,18); WHEN "1011000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(123822,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200670,18); WHEN "1011000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(123910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(154921,18); WHEN "1011000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(123998,18); data(18 DOWNTO 1) <= conv_std_logic_vector(66526,18); WHEN "1011000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197727,18); WHEN "1011001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124173,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24335,18); WHEN "1011001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124260,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70734,18); WHEN "1011001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(124347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74878,18); WHEN "1011001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(124434,18); data(18 DOWNTO 1) <= conv_std_logic_vector(36865,18); WHEN "1011001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(124520,18); data(18 DOWNTO 1) <= conv_std_logic_vector(218933,18); WHEN "1011001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(124607,18); data(18 DOWNTO 1) <= conv_std_logic_vector(96893,18); WHEN "1011001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(124693,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195129,18); WHEN "1011001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(124779,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251593,18); WHEN "1011010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(124866,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4237,18); WHEN "1011010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(124951,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239588,18); WHEN "1011010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125037,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1011010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125123,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61644,18); WHEN "1011010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(172827,18); WHEN "1011010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125293,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242811,18); WHEN "1011010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(125379,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9546,18); WHEN "1011010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(125463,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259559,18); WHEN "1011011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(125548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206512,18); WHEN "1011011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(125633,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112644,18); WHEN "1011011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(125717,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240192,18); WHEN "1011011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(125802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(64962,18); WHEN "1011011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(125886,18); data(18 DOWNTO 1) <= conv_std_logic_vector(111335,18); WHEN "1011011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(125970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(117261,18); WHEN "1011011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126054,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82832,18); WHEN "1011011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126138,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8142,18); WHEN "1011100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(155428,18); WHEN "1011100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126305,18); data(18 DOWNTO 1) <= conv_std_logic_vector(492,18); WHEN "1011100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(126388,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67717,18); WHEN "1011100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(126471,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95050,18); WHEN "1011100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(126554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82582,18); WHEN "1011100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(126637,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30405,18); WHEN "1011100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(126719,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200755,18); WHEN "1011100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(126802,18); data(18 DOWNTO 1) <= conv_std_logic_vector(69435,18); WHEN "1011101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(126884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160824,18); WHEN "1011101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(126966,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212868,18); WHEN "1011101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127048,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225659,18); WHEN "1011101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(199286,18); WHEN "1011101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127212,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133839,18); WHEN "1011101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127294,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29409,18); WHEN "1011101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(127375,18); data(18 DOWNTO 1) <= conv_std_logic_vector(148230,18); WHEN "1011101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(127456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(228246,18); WHEN "1011110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(127538,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7403,18); WHEN "1011110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(127619,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10079,18); WHEN "1011110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(127699,18); data(18 DOWNTO 1) <= conv_std_logic_vector(236362,18); WHEN "1011110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(127780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162053,18); WHEN "1011110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(127861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49384,18); WHEN "1011110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(127941,18); data(18 DOWNTO 1) <= conv_std_logic_vector(160588,18); WHEN "1011110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128021,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233609,18); WHEN "1011110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(6390,18); WHEN "1011111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128182,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3308,18); WHEN "1011111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128261,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224450,18); WHEN "1011111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(145614,18); WHEN "1011111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(128421,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29034,18); WHEN "1011111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(128500,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136938,18); WHEN "1011111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(128579,18); data(18 DOWNTO 1) <= conv_std_logic_vector(207270,18); WHEN "1011111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(128658,18); data(18 DOWNTO 1) <= conv_std_logic_vector(240116,18); WHEN "1011111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(128737,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235563,18); WHEN "1100000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(128816,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193696,18); WHEN "1100000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(128895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(114602,18); WHEN "1100000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(128973,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260510,18); WHEN "1100000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129052,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107217,18); WHEN "1100000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129130,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179097,18); WHEN "1100000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214091,18); WHEN "1100000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(212284,18); WHEN "1100000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173760,18); WHEN "1100001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(129442,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98604,18); WHEN "1100001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(129519,18); data(18 DOWNTO 1) <= conv_std_logic_vector(249044,18); WHEN "1100001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(129597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(100876,18); WHEN "1100001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(129674,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178473,18); WHEN "1100001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(129751,18); data(18 DOWNTO 1) <= conv_std_logic_vector(219772,18); WHEN "1100001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(129828,18); data(18 DOWNTO 1) <= conv_std_logic_vector(224859,18); WHEN "1100001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(129905,18); data(18 DOWNTO 1) <= conv_std_logic_vector(193817,18); WHEN "1100001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(129982,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126728,18); WHEN "1100010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130059,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23675,18); WHEN "1100010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130135,18); data(18 DOWNTO 1) <= conv_std_logic_vector(146885,18); WHEN "1100010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234296,18); WHEN "1100010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130288,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23847,18); WHEN "1100010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39908,18); WHEN "1100010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(130440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(20417,18); WHEN "1100010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(130515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(227599,18); WHEN "1100010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(130591,18); data(18 DOWNTO 1) <= conv_std_logic_vector(137248,18); WHEN "1100011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(130667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11588,18); WHEN "1100011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(130742,18); data(18 DOWNTO 1) <= conv_std_logic_vector(112847,18); WHEN "1100011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(130817,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178959,18); WHEN "1100011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(130892,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210006,18); WHEN "1100011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(130967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206068,18); WHEN "1100011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131042,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167226,18); WHEN "1100011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131117,18); data(18 DOWNTO 1) <= conv_std_logic_vector(93560,18); WHEN "1100011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131191,18); data(18 DOWNTO 1) <= conv_std_logic_vector(247293,18); WHEN "1100100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131266,18); data(18 DOWNTO 1) <= conv_std_logic_vector(104218,18); WHEN "1100100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131340,18); data(18 DOWNTO 1) <= conv_std_logic_vector(188702,18); WHEN "1100100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(131414,18); data(18 DOWNTO 1) <= conv_std_logic_vector(238680,18); WHEN "1100100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(131488,18); data(18 DOWNTO 1) <= conv_std_logic_vector(254231,18); WHEN "1100100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(131562,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235435,18); WHEN "1100100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(131636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182370,18); WHEN "1100100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(131710,18); data(18 DOWNTO 1) <= conv_std_logic_vector(95115,18); WHEN "1100100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(131783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235893,18); WHEN "1100101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(131857,18); data(18 DOWNTO 1) <= conv_std_logic_vector(80492,18); WHEN "1100101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(131930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153280,18); WHEN "1100101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132003,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192190,18); WHEN "1100101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132076,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197300,18); WHEN "1100101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132149,18); data(18 DOWNTO 1) <= conv_std_logic_vector(168687,18); WHEN "1100101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132222,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106429,18); WHEN "1100101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132295,18); data(18 DOWNTO 1) <= conv_std_logic_vector(10602,18); WHEN "1100101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132367,18); data(18 DOWNTO 1) <= conv_std_logic_vector(143428,18); WHEN "1100110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(132439,18); data(18 DOWNTO 1) <= conv_std_logic_vector(242839,18); WHEN "1100110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(132512,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46768,18); WHEN "1100110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(132584,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79578,18); WHEN "1100110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(132656,18); data(18 DOWNTO 1) <= conv_std_logic_vector(79203,18); WHEN "1100110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(132728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(45718,18); WHEN "1100110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(132799,18); data(18 DOWNTO 1) <= conv_std_logic_vector(241342,18); WHEN "1100110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(132871,18); data(18 DOWNTO 1) <= conv_std_logic_vector(141864,18); WHEN "1100110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(132943,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9502,18); WHEN "1100111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133014,18); data(18 DOWNTO 1) <= conv_std_logic_vector(106476,18); WHEN "1100111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133085,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170717,18); WHEN "1100111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133156,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202300,18); WHEN "1100111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133227,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201300,18); WHEN "1100111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167790,18); WHEN "1100111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133369,18); data(18 DOWNTO 1) <= conv_std_logic_vector(101845,18); WHEN "1100111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(133440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3539,18); WHEN "1100111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(133510,18); data(18 DOWNTO 1) <= conv_std_logic_vector(135090,18); WHEN "1101000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(133580,18); data(18 DOWNTO 1) <= conv_std_logic_vector(234429,18); WHEN "1101000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(133651,18); data(18 DOWNTO 1) <= conv_std_logic_vector(39483,18); WHEN "1101000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(133721,18); data(18 DOWNTO 1) <= conv_std_logic_vector(74615,18); WHEN "1101000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(133791,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77754,18); WHEN "1101000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(133861,18); data(18 DOWNTO 1) <= conv_std_logic_vector(48973,18); WHEN "1101000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(133930,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250488,18); WHEN "1101000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134000,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158085,18); WHEN "1101000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134070,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33979,18); WHEN "1101001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134139,18); data(18 DOWNTO 1) <= conv_std_logic_vector(140388,18); WHEN "1101001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134208,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215238,18); WHEN "1101001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134277,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258603,18); WHEN "1101001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134347,18); data(18 DOWNTO 1) <= conv_std_logic_vector(8409,18); WHEN "1101001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134415,18); data(18 DOWNTO 1) <= conv_std_logic_vector(251160,18); WHEN "1101001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(134484,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200497,18); WHEN "1101001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(134553,18); data(18 DOWNTO 1) <= conv_std_logic_vector(118633,18); WHEN "1101001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(134622,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5640,18); WHEN "1101010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(134690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123732,18); WHEN "1101010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(134758,18); data(18 DOWNTO 1) <= conv_std_logic_vector(210837,18); WHEN "1101010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(134827,18); data(18 DOWNTO 1) <= conv_std_logic_vector(4881,18); WHEN "1101010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(134895,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30222,18); WHEN "1101010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(134963,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24786,18); WHEN "1101010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250788,18); WHEN "1101010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135098,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184008,18); WHEN "1101010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86662,18); WHEN "1101011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135233,18); data(18 DOWNTO 1) <= conv_std_logic_vector(220961,18); WHEN "1101011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135301,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62688,18); WHEN "1101011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135368,18); data(18 DOWNTO 1) <= conv_std_logic_vector(136199,18); WHEN "1101011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179420,18); WHEN "1101011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(135502,18); data(18 DOWNTO 1) <= conv_std_logic_vector(192419,18); WHEN "1101011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(135569,18); data(18 DOWNTO 1) <= conv_std_logic_vector(175264,18); WHEN "1101011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(135636,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128025,18); WHEN "1101011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(135703,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50768,18); WHEN "1101100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(135769,18); data(18 DOWNTO 1) <= conv_std_logic_vector(205708,18); WHEN "1101100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(135836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(68622,18); WHEN "1101100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(135902,18); data(18 DOWNTO 1) <= conv_std_logic_vector(163868,18); WHEN "1101100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(135968,18); data(18 DOWNTO 1) <= conv_std_logic_vector(229368,18); WHEN "1101100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136035,18); data(18 DOWNTO 1) <= conv_std_logic_vector(3045,18); WHEN "1101100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136101,18); data(18 DOWNTO 1) <= conv_std_logic_vector(9256,18); WHEN "1101100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136166,18); data(18 DOWNTO 1) <= conv_std_logic_vector(248067,18); WHEN "1101100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136232,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195258,18); WHEN "1101101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136298,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113037,18); WHEN "1101101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136364,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1473,18); WHEN "1101101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136429,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122776,18); WHEN "1101101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(136494,18); data(18 DOWNTO 1) <= conv_std_logic_vector(214867,18); WHEN "1101101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(136560,18); data(18 DOWNTO 1) <= conv_std_logic_vector(15669,18); WHEN "1101101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(136625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(49536,18); WHEN "1101101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(136690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(54389,18); WHEN "1101101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(136755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30294,18); WHEN "1101110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(136819,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239461,18); WHEN "1101110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(136884,18); data(18 DOWNTO 1) <= conv_std_logic_vector(157665,18); WHEN "1101110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(136949,18); data(18 DOWNTO 1) <= conv_std_logic_vector(47117,18); WHEN "1101110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(170026,18); WHEN "1101110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137078,18); data(18 DOWNTO 1) <= conv_std_logic_vector(2168,18); WHEN "1101110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67895,18); WHEN "1101110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137206,18); data(18 DOWNTO 1) <= conv_std_logic_vector(105128,18); WHEN "1101110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137270,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113931,18); WHEN "1101111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137334,18); data(18 DOWNTO 1) <= conv_std_logic_vector(94369,18); WHEN "1101111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137398,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46504,18); WHEN "1101111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137461,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232546,18); WHEN "1101111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(137525,18); data(18 DOWNTO 1) <= conv_std_logic_vector(128269,18); WHEN "1101111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(137588,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258024,18); WHEN "1101111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(137652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97588,18); WHEN "1101111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(137715,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171311,18); WHEN "1101111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(137778,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217112,18); WHEN "1110000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(137841,18); data(18 DOWNTO 1) <= conv_std_logic_vector(235053,18); WHEN "1110000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(137904,18); data(18 DOWNTO 1) <= conv_std_logic_vector(225198,18); WHEN "1110000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(137967,18); data(18 DOWNTO 1) <= conv_std_logic_vector(187609,18); WHEN "1110000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138030,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122349,18); WHEN "1110000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138093,18); data(18 DOWNTO 1) <= conv_std_logic_vector(29478,18); WHEN "1110000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138155,18); data(18 DOWNTO 1) <= conv_std_logic_vector(171204,18); WHEN "1110000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138218,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23299,18); WHEN "1110000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(110115,18); WHEN "1110001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138342,18); data(18 DOWNTO 1) <= conv_std_logic_vector(169567,18); WHEN "1110001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138404,18); data(18 DOWNTO 1) <= conv_std_logic_vector(201718,18); WHEN "1110001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138466,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206629,18); WHEN "1110001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(138528,18); data(18 DOWNTO 1) <= conv_std_logic_vector(184361,18); WHEN "1110001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(138590,18); data(18 DOWNTO 1) <= conv_std_logic_vector(134974,18); WHEN "1110001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(138652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58530,18); WHEN "1110001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(138713,18); data(18 DOWNTO 1) <= conv_std_logic_vector(217232,18); WHEN "1110001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(138775,18); data(18 DOWNTO 1) <= conv_std_logic_vector(86854,18); WHEN "1110010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(138836,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191743,18); WHEN "1110010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(138898,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7673,18); WHEN "1110010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(138959,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58989,18); WHEN "1110010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139020,18); data(18 DOWNTO 1) <= conv_std_logic_vector(83609,18); WHEN "1110010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139081,18); data(18 DOWNTO 1) <= conv_std_logic_vector(81593,18); WHEN "1110010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139142,18); data(18 DOWNTO 1) <= conv_std_logic_vector(52999,18); WHEN "1110010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139202,18); data(18 DOWNTO 1) <= conv_std_logic_vector(260030,18); WHEN "1110010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139263,18); data(18 DOWNTO 1) <= conv_std_logic_vector(178459,18); WHEN "1110011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139324,18); data(18 DOWNTO 1) <= conv_std_logic_vector(70488,18); WHEN "1110011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139384,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198320,18); WHEN "1110011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139445,18); data(18 DOWNTO 1) <= conv_std_logic_vector(37726,18); WHEN "1110011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139505,18); data(18 DOWNTO 1) <= conv_std_logic_vector(113052,18); WHEN "1110011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(139565,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162212,18); WHEN "1110011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(139625,18); data(18 DOWNTO 1) <= conv_std_logic_vector(185266,18); WHEN "1110011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(139685,18); data(18 DOWNTO 1) <= conv_std_logic_vector(182271,18); WHEN "1110011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(139745,18); data(18 DOWNTO 1) <= conv_std_logic_vector(153286,18); WHEN "1110100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(139805,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98367,18); WHEN "1110100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(139865,18); data(18 DOWNTO 1) <= conv_std_logic_vector(17573,18); WHEN "1110100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(139924,18); data(18 DOWNTO 1) <= conv_std_logic_vector(173106,18); WHEN "1110100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(139984,18); data(18 DOWNTO 1) <= conv_std_logic_vector(40734,18); WHEN "1110100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140043,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144803,18); WHEN "1110100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140102,18); data(18 DOWNTO 1) <= conv_std_logic_vector(223226,18); WHEN "1110100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140162,18); data(18 DOWNTO 1) <= conv_std_logic_vector(13916,18); WHEN "1110100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140221,18); data(18 DOWNTO 1) <= conv_std_logic_vector(41217,18); WHEN "1110101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140280,18); data(18 DOWNTO 1) <= conv_std_logic_vector(43044,18); WHEN "1110101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(19451,18); WHEN "1110101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232640,18); WHEN "1110101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140456,18); data(18 DOWNTO 1) <= conv_std_logic_vector(158378,18); WHEN "1110101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140515,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58866,18); WHEN "1110101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(140573,18); data(18 DOWNTO 1) <= conv_std_logic_vector(196304,18); WHEN "1110101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(140632,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46459,18); WHEN "1110101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(140690,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133676,18); WHEN "1110110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(140748,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195865,18); WHEN "1110110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(140806,18); data(18 DOWNTO 1) <= conv_std_logic_vector(233082,18); WHEN "1110110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(140864,18); data(18 DOWNTO 1) <= conv_std_logic_vector(245382,18); WHEN "1110110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(140922,18); data(18 DOWNTO 1) <= conv_std_logic_vector(232821,18); WHEN "1110110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(140980,18); data(18 DOWNTO 1) <= conv_std_logic_vector(195453,18); WHEN "1110110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141038,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133334,18); WHEN "1110110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141096,18); data(18 DOWNTO 1) <= conv_std_logic_vector(46517,18); WHEN "1110110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141153,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197201,18); WHEN "1110111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141211,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61153,18); WHEN "1110111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141268,18); data(18 DOWNTO 1) <= conv_std_logic_vector(162716,18); WHEN "1110111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141325,18); data(18 DOWNTO 1) <= conv_std_logic_vector(239798,18); WHEN "1110111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141383,18); data(18 DOWNTO 1) <= conv_std_logic_vector(30311,18); WHEN "1110111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141440,18); data(18 DOWNTO 1) <= conv_std_logic_vector(58595,18); WHEN "1110111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141497,18); data(18 DOWNTO 1) <= conv_std_logic_vector(62561,18); WHEN "1110111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(141554,18); data(18 DOWNTO 1) <= conv_std_logic_vector(42262,18); WHEN "1110111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(141610,18); data(18 DOWNTO 1) <= conv_std_logic_vector(259896,18); WHEN "1111000000" => data(36 DOWNTO 19) <= conv_std_logic_vector(141667,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191228,18); WHEN "1111000001" => data(36 DOWNTO 19) <= conv_std_logic_vector(141724,18); data(18 DOWNTO 1) <= conv_std_logic_vector(98455,18); WHEN "1111000010" => data(36 DOWNTO 19) <= conv_std_logic_vector(141780,18); data(18 DOWNTO 1) <= conv_std_logic_vector(243774,18); WHEN "1111000011" => data(36 DOWNTO 19) <= conv_std_logic_vector(141837,18); data(18 DOWNTO 1) <= conv_std_logic_vector(102950,18); WHEN "1111000100" => data(36 DOWNTO 19) <= conv_std_logic_vector(141893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(200324,18); WHEN "1111000101" => data(36 DOWNTO 19) <= conv_std_logic_vector(141950,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11660,18); WHEN "1111000110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142006,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61299,18); WHEN "1111000111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142062,18); data(18 DOWNTO 1) <= conv_std_logic_vector(87149,18); WHEN "1111001000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142118,18); data(18 DOWNTO 1) <= conv_std_logic_vector(89262,18); WHEN "1111001001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142174,18); data(18 DOWNTO 1) <= conv_std_logic_vector(67691,18); WHEN "1111001010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142230,18); data(18 DOWNTO 1) <= conv_std_logic_vector(22487,18); WHEN "1111001011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142285,18); data(18 DOWNTO 1) <= conv_std_logic_vector(215847,18); WHEN "1111001100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142341,18); data(18 DOWNTO 1) <= conv_std_logic_vector(123533,18); WHEN "1111001101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142397,18); data(18 DOWNTO 1) <= conv_std_logic_vector(7742,18); WHEN "1111001110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142452,18); data(18 DOWNTO 1) <= conv_std_logic_vector(130668,18); WHEN "1111001111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142507,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230220,18); WHEN "1111010000" => data(36 DOWNTO 19) <= conv_std_logic_vector(142563,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44304,18); WHEN "1111010001" => data(36 DOWNTO 19) <= conv_std_logic_vector(142618,18); data(18 DOWNTO 1) <= conv_std_logic_vector(97259,18); WHEN "1111010010" => data(36 DOWNTO 19) <= conv_std_logic_vector(142673,18); data(18 DOWNTO 1) <= conv_std_logic_vector(126992,18); WHEN "1111010011" => data(36 DOWNTO 19) <= conv_std_logic_vector(142728,18); data(18 DOWNTO 1) <= conv_std_logic_vector(133554,18); WHEN "1111010100" => data(36 DOWNTO 19) <= conv_std_logic_vector(142783,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116996,18); WHEN "1111010101" => data(36 DOWNTO 19) <= conv_std_logic_vector(142838,18); data(18 DOWNTO 1) <= conv_std_logic_vector(77368,18); WHEN "1111010110" => data(36 DOWNTO 19) <= conv_std_logic_vector(142893,18); data(18 DOWNTO 1) <= conv_std_logic_vector(14720,18); WHEN "1111010111" => data(36 DOWNTO 19) <= conv_std_logic_vector(142947,18); data(18 DOWNTO 1) <= conv_std_logic_vector(191247,18); WHEN "1111011000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143002,18); data(18 DOWNTO 1) <= conv_std_logic_vector(82710,18); WHEN "1111011001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143056,18); data(18 DOWNTO 1) <= conv_std_logic_vector(213448,18); WHEN "1111011010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143111,18); data(18 DOWNTO 1) <= conv_std_logic_vector(59223,18); WHEN "1111011011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143165,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144372,18); WHEN "1111011100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143219,18); data(18 DOWNTO 1) <= conv_std_logic_vector(206801,18); WHEN "1111011101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143273,18); data(18 DOWNTO 1) <= conv_std_logic_vector(246559,18); WHEN "1111011110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143328,18); data(18 DOWNTO 1) <= conv_std_logic_vector(1553,18); WHEN "1111011111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143381,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258262,18); WHEN "1111100000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143435,18); data(18 DOWNTO 1) <= conv_std_logic_vector(230304,18); WHEN "1111100001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143489,18); data(18 DOWNTO 1) <= conv_std_logic_vector(179872,18); WHEN "1111100010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143543,18); data(18 DOWNTO 1) <= conv_std_logic_vector(107014,18); WHEN "1111100011" => data(36 DOWNTO 19) <= conv_std_logic_vector(143597,18); data(18 DOWNTO 1) <= conv_std_logic_vector(11781,18); WHEN "1111100100" => data(36 DOWNTO 19) <= conv_std_logic_vector(143650,18); data(18 DOWNTO 1) <= conv_std_logic_vector(156363,18); WHEN "1111100101" => data(36 DOWNTO 19) <= conv_std_logic_vector(143704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(16522,18); WHEN "1111100110" => data(36 DOWNTO 19) <= conv_std_logic_vector(143757,18); data(18 DOWNTO 1) <= conv_std_logic_vector(116595,18); WHEN "1111100111" => data(36 DOWNTO 19) <= conv_std_logic_vector(143810,18); data(18 DOWNTO 1) <= conv_std_logic_vector(194484,18); WHEN "1111101000" => data(36 DOWNTO 19) <= conv_std_logic_vector(143863,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250238,18); WHEN "1111101001" => data(36 DOWNTO 19) <= conv_std_logic_vector(143917,18); data(18 DOWNTO 1) <= conv_std_logic_vector(21762,18); WHEN "1111101010" => data(36 DOWNTO 19) <= conv_std_logic_vector(143970,18); data(18 DOWNTO 1) <= conv_std_logic_vector(33391,18); WHEN "1111101011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144023,18); data(18 DOWNTO 1) <= conv_std_logic_vector(23028,18); WHEN "1111101100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144075,18); data(18 DOWNTO 1) <= conv_std_logic_vector(252866,18); WHEN "1111101101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144128,18); data(18 DOWNTO 1) <= conv_std_logic_vector(198664,18); WHEN "1111101110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144181,18); data(18 DOWNTO 1) <= conv_std_logic_vector(122614,18); WHEN "1111101111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144234,18); data(18 DOWNTO 1) <= conv_std_logic_vector(24761,18); WHEN "1111110000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144286,18); data(18 DOWNTO 1) <= conv_std_logic_vector(167299,18); WHEN "1111110001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144339,18); data(18 DOWNTO 1) <= conv_std_logic_vector(25984,18); WHEN "1111110010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144391,18); data(18 DOWNTO 1) <= conv_std_logic_vector(125154,18); WHEN "1111110011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144443,18); data(18 DOWNTO 1) <= conv_std_logic_vector(202709,18); WHEN "1111110100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144495,18); data(18 DOWNTO 1) <= conv_std_logic_vector(258698,18); WHEN "1111110101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144548,18); data(18 DOWNTO 1) <= conv_std_logic_vector(31022,18); WHEN "1111110110" => data(36 DOWNTO 19) <= conv_std_logic_vector(144600,18); data(18 DOWNTO 1) <= conv_std_logic_vector(44016,18); WHEN "1111110111" => data(36 DOWNTO 19) <= conv_std_logic_vector(144652,18); data(18 DOWNTO 1) <= conv_std_logic_vector(35582,18); WHEN "1111111000" => data(36 DOWNTO 19) <= conv_std_logic_vector(144704,18); data(18 DOWNTO 1) <= conv_std_logic_vector(5766,18); WHEN "1111111001" => data(36 DOWNTO 19) <= conv_std_logic_vector(144755,18); data(18 DOWNTO 1) <= conv_std_logic_vector(216758,18); WHEN "1111111010" => data(36 DOWNTO 19) <= conv_std_logic_vector(144807,18); data(18 DOWNTO 1) <= conv_std_logic_vector(144317,18); WHEN "1111111011" => data(36 DOWNTO 19) <= conv_std_logic_vector(144859,18); data(18 DOWNTO 1) <= conv_std_logic_vector(50632,18); WHEN "1111111100" => data(36 DOWNTO 19) <= conv_std_logic_vector(144910,18); data(18 DOWNTO 1) <= conv_std_logic_vector(197892,18); WHEN "1111111101" => data(36 DOWNTO 19) <= conv_std_logic_vector(144962,18); data(18 DOWNTO 1) <= conv_std_logic_vector(61856,18); WHEN "1111111110" => data(36 DOWNTO 19) <= conv_std_logic_vector(145013,18); data(18 DOWNTO 1) <= conv_std_logic_vector(166857,18); WHEN "1111111111" => data(36 DOWNTO 19) <= conv_std_logic_vector(145064,18); data(18 DOWNTO 1) <= conv_std_logic_vector(250795,18); WHEN others => data(36 DOWNTO 19) <= conv_std_logic_vector(0,18); data(18 DOWNTO 1) <= conv_std_logic_vector(0,18); END CASE; END PROCESS; END rtl;
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_bram_ctrl:3.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_bram_ctrl_v3_0; USE axi_bram_ctrl_v3_0.axi_bram_ctrl; ENTITY zynq_1_axi_bram_ctrl_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ); END zynq_1_axi_bram_ctrl_0_0; ARCHITECTURE zynq_1_axi_bram_ctrl_0_0_arch OF zynq_1_axi_bram_ctrl_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_bram_ctrl IS GENERIC ( C_MEMORY_DEPTH : INTEGER; C_FAMILY : STRING; C_BRAM_INST_MODE : STRING; C_BRAM_ADDR_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ID_WIDTH : INTEGER; C_S_AXI_PROTOCOL : STRING; C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER; C_SINGLE_PORT_BRAM : INTEGER; C_S_AXI_CTRL_ADDR_WIDTH : INTEGER; C_S_AXI_CTRL_DATA_WIDTH : INTEGER; C_ECC : INTEGER; C_ECC_TYPE : INTEGER; C_FAULT_INJECT : INTEGER; C_ECC_ONOFF_RESET_VALUE : INTEGER ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; ecc_interrupt : OUT STD_LOGIC; ecc_ue : OUT STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_ctrl_awvalid : IN STD_LOGIC; s_axi_ctrl_awready : OUT STD_LOGIC; s_axi_ctrl_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wvalid : IN STD_LOGIC; s_axi_ctrl_wready : OUT STD_LOGIC; s_axi_ctrl_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_bvalid : OUT STD_LOGIC; s_axi_ctrl_bready : IN STD_LOGIC; s_axi_ctrl_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_arvalid : IN STD_LOGIC; s_axi_ctrl_arready : OUT STD_LOGIC; s_axi_ctrl_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_rvalid : OUT STD_LOGIC; s_axi_ctrl_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rst_b : OUT STD_LOGIC; bram_clk_b : OUT STD_LOGIC; bram_en_b : OUT STD_LOGIC; bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_b : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_bram_ctrl; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLKIF CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RSTIF RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF bram_rst_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST"; ATTRIBUTE X_INTERFACE_INFO OF bram_clk_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF bram_en_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF bram_we_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF bram_addr_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; BEGIN U0 : axi_bram_ctrl GENERIC MAP ( C_MEMORY_DEPTH => 1024, C_FAMILY => "zynq", C_BRAM_INST_MODE => "EXTERNAL", C_BRAM_ADDR_WIDTH => 10, C_S_AXI_ADDR_WIDTH => 12, C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ID_WIDTH => 12, C_S_AXI_PROTOCOL => "AXI4", C_S_AXI_SUPPORTS_NARROW_BURST => 0, C_SINGLE_PORT_BRAM => 1, C_S_AXI_CTRL_ADDR_WIDTH => 32, C_S_AXI_CTRL_DATA_WIDTH => 32, C_ECC => 0, C_ECC_TYPE => 0, C_FAULT_INJECT => 0, C_ECC_ONOFF_RESET_VALUE => 0 ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awid => s_axi_awid, s_axi_awaddr => s_axi_awaddr, s_axi_awlen => s_axi_awlen, s_axi_awsize => s_axi_awsize, s_axi_awburst => s_axi_awburst, s_axi_awlock => s_axi_awlock, s_axi_awcache => s_axi_awcache, s_axi_awprot => s_axi_awprot, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wlast => s_axi_wlast, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bid => s_axi_bid, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_arid => s_axi_arid, s_axi_araddr => s_axi_araddr, s_axi_arlen => s_axi_arlen, s_axi_arsize => s_axi_arsize, s_axi_arburst => s_axi_arburst, s_axi_arlock => s_axi_arlock, s_axi_arcache => s_axi_arcache, s_axi_arprot => s_axi_arprot, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rid => s_axi_rid, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rlast => s_axi_rlast, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, s_axi_ctrl_awvalid => '0', s_axi_ctrl_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wvalid => '0', s_axi_ctrl_bready => '0', s_axi_ctrl_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_arvalid => '0', s_axi_ctrl_rready => '0', bram_rst_a => bram_rst_a, bram_clk_a => bram_clk_a, bram_en_a => bram_en_a, bram_we_a => bram_we_a, bram_addr_a => bram_addr_a, bram_wrdata_a => bram_wrdata_a, bram_rddata_a => bram_rddata_a, bram_rddata_b => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END zynq_1_axi_bram_ctrl_0_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlconcat:2.1 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlconcat; ENTITY RAT_xlconcat_0_0 IS PORT ( In0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); In1 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END RAT_xlconcat_0_0; ARCHITECTURE RAT_xlconcat_0_0_arch OF RAT_xlconcat_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_xlconcat_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlconcat IS GENERIC ( IN0_WIDTH : INTEGER; IN1_WIDTH : INTEGER; IN2_WIDTH : INTEGER; IN3_WIDTH : INTEGER; IN4_WIDTH : INTEGER; IN5_WIDTH : INTEGER; IN6_WIDTH : INTEGER; IN7_WIDTH : INTEGER; IN8_WIDTH : INTEGER; IN9_WIDTH : INTEGER; IN10_WIDTH : INTEGER; IN11_WIDTH : INTEGER; IN12_WIDTH : INTEGER; IN13_WIDTH : INTEGER; IN14_WIDTH : INTEGER; IN15_WIDTH : INTEGER; IN16_WIDTH : INTEGER; IN17_WIDTH : INTEGER; IN18_WIDTH : INTEGER; IN19_WIDTH : INTEGER; IN20_WIDTH : INTEGER; IN21_WIDTH : INTEGER; IN22_WIDTH : INTEGER; IN23_WIDTH : INTEGER; IN24_WIDTH : INTEGER; IN25_WIDTH : INTEGER; IN26_WIDTH : INTEGER; IN27_WIDTH : INTEGER; IN28_WIDTH : INTEGER; IN29_WIDTH : INTEGER; IN30_WIDTH : INTEGER; IN31_WIDTH : INTEGER; dout_width : INTEGER; NUM_PORTS : INTEGER ); PORT ( In0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); In1 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); In2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In3 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In4 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In5 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In6 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In7 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In8 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In9 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In10 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In11 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In12 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In13 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In14 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In15 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In16 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In17 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In18 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In19 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In20 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In21 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In22 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In23 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In24 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In25 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In26 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In27 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In28 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In29 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In30 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In31 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT xlconcat; BEGIN U0 : xlconcat GENERIC MAP ( IN0_WIDTH => 8, IN1_WIDTH => 2, IN2_WIDTH => 1, IN3_WIDTH => 1, IN4_WIDTH => 1, IN5_WIDTH => 1, IN6_WIDTH => 1, IN7_WIDTH => 1, IN8_WIDTH => 1, IN9_WIDTH => 1, IN10_WIDTH => 1, IN11_WIDTH => 1, IN12_WIDTH => 1, IN13_WIDTH => 1, IN14_WIDTH => 1, IN15_WIDTH => 1, IN16_WIDTH => 1, IN17_WIDTH => 1, IN18_WIDTH => 1, IN19_WIDTH => 1, IN20_WIDTH => 1, IN21_WIDTH => 1, IN22_WIDTH => 1, IN23_WIDTH => 1, IN24_WIDTH => 1, IN25_WIDTH => 1, IN26_WIDTH => 1, IN27_WIDTH => 1, IN28_WIDTH => 1, IN29_WIDTH => 1, IN30_WIDTH => 1, IN31_WIDTH => 1, dout_width => 10, NUM_PORTS => 2 ) PORT MAP ( In0 => In0, In1 => In1, In2 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In3 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In4 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In5 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In6 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In7 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In8 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In9 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In10 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In11 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In12 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In13 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In14 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In15 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In16 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In17 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In18 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In19 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In20 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In21 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In22 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In23 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In24 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In25 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In26 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In27 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In28 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In29 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In30 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In31 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), dout => dout ); END RAT_xlconcat_0_0_arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1807.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s01b00x00p07n01i01807ent IS END c07s01b00x00p07n01i01807ent; ARCHITECTURE c07s01b00x00p07n01i01807arch OF c07s01b00x00p07n01i01807ent IS signal POS : integer; signal P1 : integer := 2; signal P2 : integer := 2; BEGIN TESTING: PROCESS BEGIN POS <= P1 ** P2 after 20 ns; wait for 35 ns; assert NOT(POS = 4) report "***PASSED TEST: c07s01b00x00p07n01i01807" severity NOTE; assert (POS = 4) report "***FAILED TEST: c07s01b00x00p07n01i01807 - Primary**primary test failed." severity ERROR; wait; END PROCESS TESTING; END c07s01b00x00p07n01i01807arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1807.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s01b00x00p07n01i01807ent IS END c07s01b00x00p07n01i01807ent; ARCHITECTURE c07s01b00x00p07n01i01807arch OF c07s01b00x00p07n01i01807ent IS signal POS : integer; signal P1 : integer := 2; signal P2 : integer := 2; BEGIN TESTING: PROCESS BEGIN POS <= P1 ** P2 after 20 ns; wait for 35 ns; assert NOT(POS = 4) report "***PASSED TEST: c07s01b00x00p07n01i01807" severity NOTE; assert (POS = 4) report "***FAILED TEST: c07s01b00x00p07n01i01807 - Primary**primary test failed." severity ERROR; wait; END PROCESS TESTING; END c07s01b00x00p07n01i01807arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1807.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s01b00x00p07n01i01807ent IS END c07s01b00x00p07n01i01807ent; ARCHITECTURE c07s01b00x00p07n01i01807arch OF c07s01b00x00p07n01i01807ent IS signal POS : integer; signal P1 : integer := 2; signal P2 : integer := 2; BEGIN TESTING: PROCESS BEGIN POS <= P1 ** P2 after 20 ns; wait for 35 ns; assert NOT(POS = 4) report "***PASSED TEST: c07s01b00x00p07n01i01807" severity NOTE; assert (POS = 4) report "***FAILED TEST: c07s01b00x00p07n01i01807 - Primary**primary test failed." severity ERROR; wait; END PROCESS TESTING; END c07s01b00x00p07n01i01807arch;
-------------------------------------------------------------------------------- -- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. -- -- -- -- This file contains confidential and proprietary information -- -- of Xilinx, Inc. and is protected under U.S. and -- -- international copyright and other intellectual property -- -- laws. -- -- -- -- DISCLAIMER -- -- This disclaimer is not a license and does not grant any -- -- rights to the materials distributed herewith. Except as -- -- otherwise provided in a valid license issued to you by -- -- Xilinx, and to the maximum extent permitted by applicable -- -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- -- (2) Xilinx shall not be liable (whether in contract or tort, -- -- including negligence, or under any other theory of -- -- liability) for any loss or damage of any kind or nature -- -- related to, arising under or in connection with these -- -- materials, including for any direct, or any indirect, -- -- special, incidental, or consequential loss or damage -- -- (including loss of data, profits, goodwill, or any type of -- -- loss or damage suffered as a result of any action brought -- -- by a third party) even if such damage or loss was -- -- reasonably foreseeable or Xilinx had been advised of the -- -- possibility of the same. -- -- -- -- CRITICAL APPLICATIONS -- -- Xilinx products are not designed or intended to be fail- -- -- safe, or for use in any application requiring fail-safe -- -- performance, such as life-support or safety devices or -- -- systems, Class III medical devices, nuclear facilities, -- -- applications related to the deployment of airbags, or any -- -- other applications that could lead to death, personal -- -- injury, or severe property or environmental damage -- -- (individually and collectively, "Critical -- -- Applications"). Customer assumes the sole risk and -- -- liability of any use of Xilinx products in Critical -- -- Applications, subject only to applicable laws and -- -- regulations governing limitations on product liability. -- -- -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- Generated from component ID: xilinx.com:ip:fifo_generator:6.2 -- You must compile the wrapper file fifo_32x512.vhd when simulating -- the core, fifo_32x512. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY fifo_32x512 IS port ( rst: in std_logic; wr_clk: in std_logic; rd_clk: in std_logic; din: in std_logic_vector(31 downto 0); wr_en: in std_logic; rd_en: in std_logic; prog_full_thresh_assert: in std_logic_vector(9 downto 0); prog_full_thresh_negate: in std_logic_vector(9 downto 0); dout: out std_logic_vector(31 downto 0); full: out std_logic; empty: out std_logic; valid: out std_logic; prog_full: out std_logic); END fifo_32x512; ARCHITECTURE fifo_32x512_a OF fifo_32x512 IS -- synthesis translate_off component wrapped_fifo_32x512 port ( rst: in std_logic; wr_clk: in std_logic; rd_clk: in std_logic; din: in std_logic_vector(31 downto 0); wr_en: in std_logic; rd_en: in std_logic; prog_full_thresh_assert: in std_logic_vector(9 downto 0); prog_full_thresh_negate: in std_logic_vector(9 downto 0); dout: out std_logic_vector(31 downto 0); full: out std_logic; empty: out std_logic; valid: out std_logic; prog_full: out std_logic); end component; -- Configuration specification for all : wrapped_fifo_32x512 use entity XilinxCoreLib.fifo_generator_v6_2(behavioral) generic map( c_has_int_clk => 0, c_wr_response_latency => 1, c_rd_freq => 1, c_has_srst => 0, c_enable_rst_sync => 1, c_has_rd_data_count => 0, c_din_width => 32, c_has_wr_data_count => 0, c_full_flags_rst_val => 1, c_implementation_type => 2, c_family => "spartan6", c_use_embedded_reg => 0, c_has_wr_rst => 0, c_wr_freq => 1, c_use_dout_rst => 1, c_underflow_low => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_preload_latency => 1, c_dout_width => 32, c_msgon_val => 1, c_rd_depth => 1024, c_default_value => "BlankString", c_mif_file_name => "BlankString", c_error_injection_type => 0, c_has_underflow => 0, c_has_rd_rst => 0, c_has_almost_full => 0, c_has_rst => 1, c_data_count_width => 10, c_has_wr_ack => 0, c_use_ecc => 0, c_wr_ack_low => 0, c_common_clock => 0, c_rd_pntr_width => 10, c_use_fwft_data_count => 0, c_has_almost_empty => 0, c_rd_data_count_width => 10, c_enable_rlocs => 0, c_wr_pntr_width => 10, c_overflow_low => 0, c_prog_empty_type => 0, c_optimization_mode => 0, c_wr_data_count_width => 10, c_preload_regs => 0, c_dout_rst_val => "0", c_has_data_count => 0, c_prog_full_thresh_negate_val => 1020, c_wr_depth => 1024, c_prog_empty_thresh_negate_val => 3, c_prog_empty_thresh_assert_val => 2, c_has_valid => 1, c_init_wr_pntr_val => 0, c_prog_full_thresh_assert_val => 1021, c_use_fifo16_flags => 0, c_has_backup => 0, c_valid_low => 0, c_prim_fifo_type => "1kx36", c_count_type => 0, c_prog_full_type => 4, c_memory_type => 1); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_fifo_32x512 port map ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, prog_full_thresh_assert => prog_full_thresh_assert, prog_full_thresh_negate => prog_full_thresh_negate, dout => dout, full => full, empty => empty, valid => valid, prog_full => prog_full); -- synthesis translate_on END fifo_32x512_a;
-------------------------------------------------------------------------------- -- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. -- -- -- -- This file contains confidential and proprietary information -- -- of Xilinx, Inc. and is protected under U.S. and -- -- international copyright and other intellectual property -- -- laws. -- -- -- -- DISCLAIMER -- -- This disclaimer is not a license and does not grant any -- -- rights to the materials distributed herewith. Except as -- -- otherwise provided in a valid license issued to you by -- -- Xilinx, and to the maximum extent permitted by applicable -- -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- -- (2) Xilinx shall not be liable (whether in contract or tort, -- -- including negligence, or under any other theory of -- -- liability) for any loss or damage of any kind or nature -- -- related to, arising under or in connection with these -- -- materials, including for any direct, or any indirect, -- -- special, incidental, or consequential loss or damage -- -- (including loss of data, profits, goodwill, or any type of -- -- loss or damage suffered as a result of any action brought -- -- by a third party) even if such damage or loss was -- -- reasonably foreseeable or Xilinx had been advised of the -- -- possibility of the same. -- -- -- -- CRITICAL APPLICATIONS -- -- Xilinx products are not designed or intended to be fail- -- -- safe, or for use in any application requiring fail-safe -- -- performance, such as life-support or safety devices or -- -- systems, Class III medical devices, nuclear facilities, -- -- applications related to the deployment of airbags, or any -- -- other applications that could lead to death, personal -- -- injury, or severe property or environmental damage -- -- (individually and collectively, "Critical -- -- Applications"). Customer assumes the sole risk and -- -- liability of any use of Xilinx products in Critical -- -- Applications, subject only to applicable laws and -- -- regulations governing limitations on product liability. -- -- -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- Generated from component ID: xilinx.com:ip:fifo_generator:6.2 -- You must compile the wrapper file fifo_32x512.vhd when simulating -- the core, fifo_32x512. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY fifo_32x512 IS port ( rst: in std_logic; wr_clk: in std_logic; rd_clk: in std_logic; din: in std_logic_vector(31 downto 0); wr_en: in std_logic; rd_en: in std_logic; prog_full_thresh_assert: in std_logic_vector(9 downto 0); prog_full_thresh_negate: in std_logic_vector(9 downto 0); dout: out std_logic_vector(31 downto 0); full: out std_logic; empty: out std_logic; valid: out std_logic; prog_full: out std_logic); END fifo_32x512; ARCHITECTURE fifo_32x512_a OF fifo_32x512 IS -- synthesis translate_off component wrapped_fifo_32x512 port ( rst: in std_logic; wr_clk: in std_logic; rd_clk: in std_logic; din: in std_logic_vector(31 downto 0); wr_en: in std_logic; rd_en: in std_logic; prog_full_thresh_assert: in std_logic_vector(9 downto 0); prog_full_thresh_negate: in std_logic_vector(9 downto 0); dout: out std_logic_vector(31 downto 0); full: out std_logic; empty: out std_logic; valid: out std_logic; prog_full: out std_logic); end component; -- Configuration specification for all : wrapped_fifo_32x512 use entity XilinxCoreLib.fifo_generator_v6_2(behavioral) generic map( c_has_int_clk => 0, c_wr_response_latency => 1, c_rd_freq => 1, c_has_srst => 0, c_enable_rst_sync => 1, c_has_rd_data_count => 0, c_din_width => 32, c_has_wr_data_count => 0, c_full_flags_rst_val => 1, c_implementation_type => 2, c_family => "spartan6", c_use_embedded_reg => 0, c_has_wr_rst => 0, c_wr_freq => 1, c_use_dout_rst => 1, c_underflow_low => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_preload_latency => 1, c_dout_width => 32, c_msgon_val => 1, c_rd_depth => 1024, c_default_value => "BlankString", c_mif_file_name => "BlankString", c_error_injection_type => 0, c_has_underflow => 0, c_has_rd_rst => 0, c_has_almost_full => 0, c_has_rst => 1, c_data_count_width => 10, c_has_wr_ack => 0, c_use_ecc => 0, c_wr_ack_low => 0, c_common_clock => 0, c_rd_pntr_width => 10, c_use_fwft_data_count => 0, c_has_almost_empty => 0, c_rd_data_count_width => 10, c_enable_rlocs => 0, c_wr_pntr_width => 10, c_overflow_low => 0, c_prog_empty_type => 0, c_optimization_mode => 0, c_wr_data_count_width => 10, c_preload_regs => 0, c_dout_rst_val => "0", c_has_data_count => 0, c_prog_full_thresh_negate_val => 1020, c_wr_depth => 1024, c_prog_empty_thresh_negate_val => 3, c_prog_empty_thresh_assert_val => 2, c_has_valid => 1, c_init_wr_pntr_val => 0, c_prog_full_thresh_assert_val => 1021, c_use_fifo16_flags => 0, c_has_backup => 0, c_valid_low => 0, c_prim_fifo_type => "1kx36", c_count_type => 0, c_prog_full_type => 4, c_memory_type => 1); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_fifo_32x512 port map ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, prog_full_thresh_assert => prog_full_thresh_assert, prog_full_thresh_negate => prog_full_thresh_negate, dout => dout, full => full, empty => empty, valid => valid, prog_full => prog_full); -- synthesis translate_on END fifo_32x512_a;
--IITB RISC processor--- --Mux Module(2to1)--- -- author: Anakha library ieee; use ieee.std_logic_1164.all; entity mux2to1 is generic ( nbits : integer); port ( input0 : in std_logic_vector(nbits-1 downto 0); input1 : in std_logic_vector(nbits-1 downto 0); output : out std_logic_vector(nbits-1 downto 0); sel : in std_logic); end mux2to1; architecture behave of mux2to1 is begin -- mux2to1 process(input0,input1,sel) variable sel_var: std_logic; begin sel_var:= sel; case sel_var is when '0' => output <= input0; when '1' => output <= input1; when others => output <= "Z"; end case; end process; end behave;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port ROM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SROM -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SROM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SROM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SROM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST /= '0' ) THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS GENERIC ( C_ROM_SYNTH : INTEGER := 0 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); DATA_IN : IN STD_LOGIC_VECTOR (31 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL CHECK_DATA : STD_LOGIC := '0'; SIGNAL CHECK_DATA_R : STD_LOGIC := '0'; SIGNAL CHECK_DATA_2R : STD_LOGIC := '0'; SIGNAL DO_READ_REG: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(31 DOWNTO 0):= hex_to_std_logic_vector("0",32); BEGIN SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE type mem_type is array (15 downto 0) of std_logic_vector(31 downto 0); FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; function char_to_std_logic ( char : in character) return std_logic is variable data : std_logic; begin if char = '0' then data := '0'; elsif char = '1' then data := '1'; elsif char = 'X' then data := 'X'; else assert false report "character which is not '0', '1' or 'X'." severity warning; data := 'U'; end if; return data; end char_to_std_logic; impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER; C_LOAD_INIT_FILE : INTEGER ; C_INIT_FILE_NAME : STRING ; DEFAULT_DATA : STD_LOGIC_VECTOR(31 DOWNTO 0); width : INTEGER; depth : INTEGER) RETURN mem_type IS VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0); VARIABLE bitline : LINE; variable bitsgood : boolean := true; variable bitchar : character; VARIABLE i : INTEGER; VARIABLE j : INTEGER; BEGIN --Display output message indicating that the behavioral model is being --initialized ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE; -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN FOR i IN 0 TO depth-1 LOOP init_return(i) := DEFAULT_DATA; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, bitline); -- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO width-1 LOOP read(bitline,bitchar,bitsgood); init_return(i)(width-1-j) := char_to_std_logic(bitchar); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** constant c_init : mem_type := init_memory(0, 1, "sounds_mem.mif", DEFAULT_DATA, 32, 16); constant rom : mem_type := c_init; BEGIN EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr))); CHECKER_RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH =>16 ) PORT MAP( CLK => CLK, RST => RST, EN => CHECK_DATA_2R, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => CHECK_READ_ADDR ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R ='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN STATUS<='0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; -- Simulatable ROM --Synthesizable ROM SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R='1') THEN IF(DATA_IN=DEFAULT_DATA) THEN STATUS <= '0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; READ_ADDR_INT(3 DOWNTO 0) <= READ_ADDR(3 DOWNTO 0); ADDRA <= READ_ADDR_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); RD_PROCESS: PROCESS (CLK) BEGIN IF (RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_READ <= '0'; ELSE DO_READ <= '1'; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(0), CLK =>CLK, RST=>RST, D =>DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(I), CLK =>CLK, RST=>RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; CHECK_DATA_REG_1: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_2R, CLK =>CLK, RST=>RST, D =>CHECK_DATA_R ); CHECK_DATA_REG: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_R, CLK =>CLK, RST=>RST, D =>CHECK_DATA ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port ROM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SROM -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SROM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SROM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SROM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST /= '0' ) THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS GENERIC ( C_ROM_SYNTH : INTEGER := 0 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); DATA_IN : IN STD_LOGIC_VECTOR (31 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL CHECK_DATA : STD_LOGIC := '0'; SIGNAL CHECK_DATA_R : STD_LOGIC := '0'; SIGNAL CHECK_DATA_2R : STD_LOGIC := '0'; SIGNAL DO_READ_REG: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(31 DOWNTO 0):= hex_to_std_logic_vector("0",32); BEGIN SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE type mem_type is array (15 downto 0) of std_logic_vector(31 downto 0); FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; function char_to_std_logic ( char : in character) return std_logic is variable data : std_logic; begin if char = '0' then data := '0'; elsif char = '1' then data := '1'; elsif char = 'X' then data := 'X'; else assert false report "character which is not '0', '1' or 'X'." severity warning; data := 'U'; end if; return data; end char_to_std_logic; impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER; C_LOAD_INIT_FILE : INTEGER ; C_INIT_FILE_NAME : STRING ; DEFAULT_DATA : STD_LOGIC_VECTOR(31 DOWNTO 0); width : INTEGER; depth : INTEGER) RETURN mem_type IS VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0); VARIABLE bitline : LINE; variable bitsgood : boolean := true; variable bitchar : character; VARIABLE i : INTEGER; VARIABLE j : INTEGER; BEGIN --Display output message indicating that the behavioral model is being --initialized ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE; -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN FOR i IN 0 TO depth-1 LOOP init_return(i) := DEFAULT_DATA; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, bitline); -- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO width-1 LOOP read(bitline,bitchar,bitsgood); init_return(i)(width-1-j) := char_to_std_logic(bitchar); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** constant c_init : mem_type := init_memory(0, 1, "sounds_mem.mif", DEFAULT_DATA, 32, 16); constant rom : mem_type := c_init; BEGIN EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr))); CHECKER_RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH =>16 ) PORT MAP( CLK => CLK, RST => RST, EN => CHECK_DATA_2R, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => CHECK_READ_ADDR ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R ='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN STATUS<='0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; -- Simulatable ROM --Synthesizable ROM SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R='1') THEN IF(DATA_IN=DEFAULT_DATA) THEN STATUS <= '0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; READ_ADDR_INT(3 DOWNTO 0) <= READ_ADDR(3 DOWNTO 0); ADDRA <= READ_ADDR_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); RD_PROCESS: PROCESS (CLK) BEGIN IF (RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_READ <= '0'; ELSE DO_READ <= '1'; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(0), CLK =>CLK, RST=>RST, D =>DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(I), CLK =>CLK, RST=>RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; CHECK_DATA_REG_1: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_2R, CLK =>CLK, RST=>RST, D =>CHECK_DATA_R ); CHECK_DATA_REG: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_R, CLK =>CLK, RST=>RST, D =>CHECK_DATA ); END ARCHITECTURE;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_sm.vhd -- Description: This entity contains the S2MM DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_10; use axi_dma_v7_1_10.axi_dma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_s2mm_sm is generic ( C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for S2MM Write Port C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1; -- Enable or Disable use of Status Stream Rx Length. Only valid -- if C_SG_INCLUDE_STSCNTRL_STRM = 1 -- 0 = Don't use Rx Length -- 1 = Use Rx Length C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1 -- Depth of DataMover command FIFO ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- s2mm_stop : in std_logic ; -- -- -- S2MM Control and Status -- s2mm_run_stop : in std_logic ; -- s2mm_keyhole : in std_logic ; -- s2mm_ftch_idle : in std_logic ; -- s2mm_desc_flush : in std_logic ; -- s2mm_cmnd_idle : out std_logic ; -- s2mm_sts_idle : out std_logic ; -- s2mm_eof_set : out std_logic ; -- s2mm_eof_micro : in std_logic ; -- s2mm_sof_micro : in std_logic ; -- -- -- S2MM Descriptor Fetch Request -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; desc_available : in std_logic ; -- -- -- S2MM Status Stream RX Length -- s2mm_rxlength_valid : in std_logic ; -- s2mm_rxlength_clr : out std_logic ; -- s2mm_rxlength : in std_logic_vector -- (C_SG_LENGTH_WIDTH - 1 downto 0) ; -- -- -- DataMover Command -- s2mm_cmnd_wr : out std_logic ; -- s2mm_cmnd_data : out std_logic_vector -- ((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); -- s2mm_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- s2mm_desc_info : in std_logic_vector -- (31 downto 0); -- s2mm_desc_baddress : in std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0); -- s2mm_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0); -- s2mm_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) -- ); end axi_dma_s2mm_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant S2MM_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant S2MM_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant S2MM_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); -- Zero buffer length error - compare value constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); constant ZERO_BUFFER : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal s2mm_rxlength_clr_cmb : std_logic := '0'; signal rxlength : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_rxlength_set : std_logic := '0'; signal blength_grtr_rxlength : std_logic := '0'; signal rxlength_fetched : std_logic := '0'; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal desc_fetch_done_d1 : std_logic := '0'; signal zero_length_error : std_logic := '0'; signal s2mm_eof_set_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; signal eof_micro : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin EN_MICRO_DMA : if C_MICRO_DMA = 1 generate begin eof_micro <= s2mm_eof_micro; end generate EN_MICRO_DMA; NO_MICRO_DMA : if C_MICRO_DMA = 0 generate begin eof_micro <= '0'; end generate NO_MICRO_DMA; s2mm_eof_set <= s2mm_eof_set_i; burst_type <= '1' and (not s2mm_keyhole); -- A 0 s2mm_keyhole means incremental burst -- a 1 s2mm_keyhole means fixed burst ------------------------------------------------------------------------------- -- Not using rx length from status stream - (indeterminate length mode) ------------------------------------------------------------------------------- GEN_SM_FOR_NO_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate type SG_S2MM_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal s2mm_cs : SG_S2MM_STATE_TYPE; signal s2mm_ns : SG_S2MM_STATE_TYPE; begin -- For no status stream or not using length in status app field then eof set is -- generated from datamover status (see axi_dma_s2mm_cmdsts_if.vhd) s2mm_eof_set_i <= '0'; ------------------------------------------------------------------------------- -- S2MM Transfer State Machine ------------------------------------------------------------------------------- S2MM_MACHINE : process(s2mm_cs, s2mm_run_stop, desc_available, desc_fetch_done, desc_update_done, s2mm_cmnd_pending, s2mm_stop, s2mm_desc_flush, updt_pending -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; s2mm_cmnd_idle <= '0'; s2mm_ns <= s2mm_cs; case s2mm_cs is ------------------------------------------------------------------- when IDLE => -- fetch descriptor if desc available, not stopped and running -- if (updt_pending = '1') then -- s2mm_ns <= WAIT_STATUS; if(s2mm_run_stop = '1' and desc_available = '1' -- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then and s2mm_stop = '0' and updt_pending = '0')then if (C_SG_INCLUDE_DESC_QUEUE = 1) then s2mm_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; else s2mm_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; end if; else s2mm_cmnd_idle <= '1'; s2mm_ns <= IDLE; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- exit if error or descriptor flushed if(s2mm_desc_flush = '1' or s2mm_stop = '1')then s2mm_ns <= IDLE; -- wait until fetch complete then execute -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- s2mm_ns <= EXECUTE_XFER; elsif (s2mm_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then s2mm_ns <= IDLE; write_cmnd_cmb <= '1'; else -- coverage off s2mm_ns <= WAIT_STATUS; -- coverage on end if; end if; else s2mm_ns <= FETCH_DESCRIPTOR; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- if error exit -- if(s2mm_stop = '1')then -- s2mm_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(s2mm_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- s2mm_ns <= IDLE; -- else -- s2mm_ns <= WAIT_STATUS; -- end if; -- else -- s2mm_ns <= EXECUTE_XFER; -- end if; ------------------------------------------------------------------- when WAIT_STATUS => -- for no Q wait until desc updated if(desc_update_done = '1' or s2mm_stop = '1')then s2mm_ns <= IDLE; else s2mm_ns <= WAIT_STATUS; end if; ------------------------------------------------------------------- -- coverage off when others => s2mm_ns <= IDLE; -- coverage on end case; end process S2MM_MACHINE; ------------------------------------------------------------------------------- -- Register State Machine Statues ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cs <= IDLE; else s2mm_cs <= s2mm_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Register State Machine Signalse ------------------------------------------------------------------------------- -- SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; -- s2mm_cmnd_data <= (others => '0'); -- Fetch SM issued a command write elsif(write_cmnd_cmb = '1')then s2mm_cmnd_wr <= '1'; -- s2mm_cmnd_data <= s2mm_desc_info -- & s2mm_desc_blength_v -- & s2mm_desc_blength_s -- & S2MM_CMD_RSVD -- & "0000" -- Cat IOC to CMD TAG -- & s2mm_desc_baddress -- & '1' -- Always reset DRE -- & '0' -- For Indeterminate BTT mode do not set EOF -- & S2MM_CMD_DSA -- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 -- & PAD_VALUE -- & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else s2mm_cmnd_wr <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; s2mm_cmnd_data <= s2mm_desc_info & s2mm_desc_blength_v & s2mm_desc_blength_s & S2MM_CMD_RSVD & "00" & eof_micro & eof_micro --00" -- Cat IOC to CMD TAG & s2mm_desc_baddress & '1' -- Always reset DRE & eof_micro --'0' -- For Indeterminate BTT mode do not set EOF & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & PAD_VALUE & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; -- s2mm_cmnd_data <= (others => '0'); -- Fetch SM issued a command write elsif(write_cmnd_cmb = '1')then s2mm_cmnd_wr <= '1'; -- s2mm_cmnd_data <= s2mm_desc_info -- & s2mm_desc_blength_v -- & s2mm_desc_blength_s -- & S2MM_CMD_RSVD -- & "0000" -- Cat IOC to CMD TAG -- & s2mm_desc_baddress -- & '1' -- Always reset DRE -- & '0' -- For indeterminate BTT mode do not set EOF -- & S2MM_CMD_DSA -- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 -- & s2mm_desc_blength; else s2mm_cmnd_wr <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; s2mm_cmnd_data <= s2mm_desc_info & s2mm_desc_blength_v & s2mm_desc_blength_s & S2MM_CMD_RSVD & "00" & eof_micro & eof_micro -- "0000" -- Cat IOC to CMD TAG & s2mm_desc_baddress & '1' -- Always reset DRE & eof_micro -- For indeterminate BTT mode do not set EOF & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & s2mm_desc_blength; end generate GEN_CMD_BTT_EQL_23; -- Drive unused output to zero s2mm_rxlength_clr <= '0'; end generate GEN_SM_FOR_NO_LENGTH; ------------------------------------------------------------------------------- -- Generate state machine and support logic for Using RX Length from Status -- Stream ------------------------------------------------------------------------------- -- this would not hold good for MCDMA GEN_SM_FOR_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate type SG_S2MM_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, GET_RXLENGTH, CMPR_LENGTH, EXECUTE_XFER, WAIT_STATUS ); signal s2mm_cs : SG_S2MM_STATE_TYPE; signal s2mm_ns : SG_S2MM_STATE_TYPE; begin ------------------------------------------------------------------------------- -- S2MM Transfer State Machine ------------------------------------------------------------------------------- S2MM_MACHINE : process(s2mm_cs, s2mm_run_stop, desc_available, desc_update_done, -- desc_fetch_done, updt_pending, s2mm_rxlength_valid, rxlength_fetched, s2mm_cmnd_pending, zero_length_error, s2mm_stop, s2mm_desc_flush -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; s2mm_rxlength_clr_cmb <= '0'; write_cmnd_cmb <= '0'; s2mm_cmnd_idle <= '0'; s2mm_rxlength_set <= '0'; --rxlength_fetched_clr <= '0'; s2mm_ns <= s2mm_cs; case s2mm_cs is ------------------------------------------------------------------- when IDLE => if(s2mm_run_stop = '1' and desc_available = '1' -- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then and s2mm_stop = '0' and updt_pending = '0')then if (C_SG_INCLUDE_DESC_QUEUE = 0) then if(rxlength_fetched = '0')then s2mm_ns <= GET_RXLENGTH; else s2mm_ns <= CMPR_LENGTH; end if; else s2mm_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else s2mm_cmnd_idle <= '1'; s2mm_ns <= IDLE; --FETCH_DESCRIPTOR; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => desc_fetch_req_cmb <= '0'; -- exit if error or descriptor flushed if(s2mm_desc_flush = '1')then s2mm_ns <= IDLE; -- Descriptor fetch complete else --if(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; if(rxlength_fetched = '0')then s2mm_ns <= GET_RXLENGTH; else s2mm_ns <= CMPR_LENGTH; end if; -- else -- desc_fetch_req_cmb <= '1'; end if; ------------------------------------------------------------------- WHEN GET_RXLENGTH => if(s2mm_stop = '1')then s2mm_ns <= IDLE; -- Buffer length zero, do not compare lengths, execute -- command to force datamover to issue interror elsif(zero_length_error = '1')then s2mm_ns <= EXECUTE_XFER; elsif(s2mm_rxlength_valid = '1')then s2mm_rxlength_set <= '1'; s2mm_rxlength_clr_cmb <= '1'; s2mm_ns <= CMPR_LENGTH; else s2mm_ns <= GET_RXLENGTH; end if; ------------------------------------------------------------------- WHEN CMPR_LENGTH => s2mm_ns <= EXECUTE_XFER; ------------------------------------------------------------------- when EXECUTE_XFER => if(s2mm_stop = '1')then s2mm_ns <= IDLE; -- write new command if one is not already pending elsif(s2mm_cmnd_pending = '0')then write_cmnd_cmb <= '1'; -- If descriptor queuing enabled then -- do NOT need to wait for status if(C_SG_INCLUDE_DESC_QUEUE = 1)then s2mm_ns <= IDLE; -- No queuing therefore must wait for -- status before issuing next command else s2mm_ns <= WAIT_STATUS; end if; else s2mm_ns <= EXECUTE_XFER; end if; ------------------------------------------------------------------- -- coverage off when WAIT_STATUS => if(desc_update_done = '1' or s2mm_stop = '1')then s2mm_ns <= IDLE; else s2mm_ns <= WAIT_STATUS; end if; -- coverage on ------------------------------------------------------------------- -- coverage off when others => s2mm_ns <= IDLE; -- coverage on end case; end process S2MM_MACHINE; ------------------------------------------------------------------------------- -- Register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cs <= IDLE; else s2mm_cs <= s2mm_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Register state machine signals ------------------------------------------------------------------------------- SM_SIG_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_fetch_req <= '0' ; s2mm_rxlength_clr <= '0' ; else if (C_SG_INCLUDE_DESC_QUEUE = 0) then desc_fetch_req <= '1'; else desc_fetch_req <= desc_fetch_req_cmb ; end if; s2mm_rxlength_clr <= s2mm_rxlength_clr_cmb; end if; end if; end process SM_SIG_REGISTER; ------------------------------------------------------------------------------- -- Check for a ZERO value in descriptor buffer length. If there is -- then flag an error and skip waiting for valid rxlength. cmnd will -- get written to datamover with BTT=0 and datamover will flag dmaint error -- which will be logged in desc, reset required to clear error ------------------------------------------------------------------------------- REG_ALIGN_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_fetch_done_d1 <= '0'; else desc_fetch_done_d1 <= desc_fetch_done; end if; end if; end process REG_ALIGN_DONE; ------------------------------------------------------------------------------- -- Zero length error detection - for determinate mode, detect early to prevent -- rxlength calcuation from first taking place. This will force a 0 BTT -- command to be issued to the datamover causing an internal error. ------------------------------------------------------------------------------- REG_ZERO_LNGTH_ERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then zero_length_error <= '0'; elsif(desc_fetch_done_d1 = '1' and s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) = ZERO_LENGTH)then zero_length_error <= '1'; end if; end if; end process REG_ZERO_LNGTH_ERR; ------------------------------------------------------------------------------- -- Capture/Hold receive length from status stream. Also decrement length -- based on if received length is greater than descriptor buffer size. (i.e. is -- the case where multiple descriptors/buffers are used to describe one packet) ------------------------------------------------------------------------------- REG_RXLENGTH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then rxlength <= (others => '0'); -- If command register rxlength from status stream fifo elsif(s2mm_rxlength_set = '1')then rxlength <= s2mm_rxlength; -- On command write if current desc buffer size not greater -- than current rxlength then decrement rxlength in preperations -- for subsequent commands elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then rxlength <= std_logic_vector(unsigned(rxlength(C_SG_LENGTH_WIDTH-1 downto 0)) - unsigned(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0))); end if; end if; end process REG_RXLENGTH; ------------------------------------------------------------------------------- -- Calculate if Descriptor Buffer Length is 'Greater Than' or 'Equal To' -- Received Length value ------------------------------------------------------------------------------- REG_BLENGTH_GRTR_RXLNGTH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then blength_grtr_rxlength <= '0'; elsif(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) >= rxlength)then blength_grtr_rxlength <= '1'; else blength_grtr_rxlength <= '0'; end if; end if; end process REG_BLENGTH_GRTR_RXLNGTH; ------------------------------------------------------------------------------- -- On command assert rxlength fetched flag indicating length grabbed from -- status stream fifo ------------------------------------------------------------------------------- RXLENGTH_FTCHED_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_eof_set_i = '1')then rxlength_fetched <= '0'; elsif(s2mm_rxlength_set = '1')then rxlength_fetched <= '1'; end if; end if; end process RXLENGTH_FTCHED_PROCESS; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; s2mm_cmnd_data <= (others => '0'); s2mm_eof_set_i <= '0'; -- Current Desc Buffer will NOT hold entire rxlength of data therefore -- set EOF = based on Desc.EOF and pass buffer length for BTT elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD -- Command Tag & '0' & '0' & '0' -- Cat. EOF=0 to CMD Tag & '0' -- Cat. IOC to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '0' -- Not End of Frame & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & PAD_VALUE & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); s2mm_eof_set_i <= '0'; -- Current Desc Buffer will hold entire rxlength of data therefore -- set EOF = 1 and pass rxlength for BTT -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in s2mm_sg_if. elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD -- Command Tag & '0' & '0' & '1' -- Cat. EOF=1 to CMD Tag & '1' -- Cat. IOC to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '1' -- Set EOF=1 & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & PAD_VALUE & rxlength; s2mm_eof_set_i <= '1'; else -- s2mm_cmnd_data <= (others => '0'); s2mm_cmnd_wr <= '0'; s2mm_eof_set_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; s2mm_cmnd_data <= (others => '0'); s2mm_eof_set_i <= '0'; -- Current Desc Buffer will NOT hold entire rxlength of data therefore -- set EOF = based on Desc.EOF and pass buffer length for BTT elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD --& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG -- Command Tag & '0' & '0' & '0' -- Cat. EOF='0' to CMD Tag & '0' -- Cat. IOC='0' to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '0' -- Not End of Frame & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & s2mm_desc_blength; s2mm_eof_set_i <= '0'; -- Current Desc Buffer will hold entire rxlength of data therefore -- set EOF = 1 and pass rxlength for BTT -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in s2mm_sg_if. elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD --& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG -- Command Tag & '0' & '0' & '1' -- Cat. EOF='1' to CMD Tag & '1' -- Cat. IOC='1' to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '1' -- End of Frame & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & rxlength; s2mm_eof_set_i <= '1'; else -- s2mm_cmnd_data <= (others => '0'); s2mm_cmnd_wr <= '0'; s2mm_eof_set_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_EQL_23; end generate GEN_SM_FOR_LENGTH; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for s2mm is Idle. ------------------------------------------------------------------------------- -- Increment queue count for each command written if not occuring at -- same time a status from DM being updated to SG engine count_incr <= '1' when write_cmnd_cmb = '1' and desc_update_done = '0' else '0'; -- Decrement queue count for each status update to SG engine if not occuring -- at same time as command being written to DM count_decr <= '1' when write_cmnd_cmb = '0' and desc_update_done = '1' else '0'; -- keep track of number queue commands --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then cmnds_queued_shift (0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- indicate idle when no more queued commands --s2mm_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; s2mm_sts_idle <= not cmnds_queued_shift(0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift (C_PRMY_CMDFIFO_DEPTH-1)); --'0'; end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
library ieee; use ieee.std_logic_1164.all; use std.env.stop; entity test is generic ( SIM : boolean := false ); port ( val : in std_ulogic ); end entity test; architecture behaviour of test is begin process_0: process(all) begin if SIM and val = '1' then stop; end if; end process; end architecture behaviour;
-- ------------------------------------------------------------- -- -- File Name: hdl_prj/hdlsrc/hdl_ofdm_tx/TWDLROM_3_2.vhd -- Created: 2018-02-27 13:25:18 -- -- Generated by MATLAB 9.3 and HDL Coder 3.11 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: TWDLROM_3_2 -- Source Path: hdl_ofdm_tx/ifft/TWDLROM_3_2 -- Hierarchy Level: 2 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE work.hdl_ofdm_tx_pkg.ALL; ENTITY TWDLROM_3_2 IS PORT( clk : IN std_logic; reset : IN std_logic; enb_1_16_0 : IN std_logic; dout_2_vld : IN std_logic; softReset : IN std_logic; twdl_3_2_re : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En14 twdl_3_2_im : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En14 twdl_3_2_vld : OUT std_logic ); END TWDLROM_3_2; ARCHITECTURE rtl OF TWDLROM_3_2 IS -- Constants CONSTANT Twiddle_re_table_data : vector_of_signed16(0 TO 1) := (to_signed(16#4000#, 16), to_signed(16#3B21#, 16)); -- sfix16 [2] CONSTANT Twiddle_im_table_data : vector_of_signed16(0 TO 1) := (to_signed(16#0000#, 16), to_signed(-16#187E#, 16)); -- sfix16 [2] -- Signals SIGNAL Radix22TwdlMapping_cnt : unsigned(1 DOWNTO 0); -- ufix2 SIGNAL Radix22TwdlMapping_phase : unsigned(1 DOWNTO 0); -- ufix2 SIGNAL Radix22TwdlMapping_octantReg1 : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL Radix22TwdlMapping_twdlAddr_raw : unsigned(3 DOWNTO 0); -- ufix4 SIGNAL Radix22TwdlMapping_twdlAddrMap : std_logic; -- ufix1 SIGNAL Radix22TwdlMapping_twdl45Reg : std_logic; SIGNAL Radix22TwdlMapping_dvldReg1 : std_logic; SIGNAL Radix22TwdlMapping_dvldReg2 : std_logic; SIGNAL Radix22TwdlMapping_cnt_next : unsigned(1 DOWNTO 0); -- ufix2 SIGNAL Radix22TwdlMapping_phase_next : unsigned(1 DOWNTO 0); -- ufix2 SIGNAL Radix22TwdlMapping_octantReg1_next : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL Radix22TwdlMapping_twdlAddr_raw_next : unsigned(3 DOWNTO 0); -- ufix4 SIGNAL Radix22TwdlMapping_twdlAddrMap_next : std_logic; -- ufix1 SIGNAL Radix22TwdlMapping_twdl45Reg_next : std_logic; SIGNAL Radix22TwdlMapping_dvldReg1_next : std_logic; SIGNAL Radix22TwdlMapping_dvldReg2_next : std_logic; SIGNAL twdlAddr : std_logic; -- ufix1 SIGNAL twdlAddrVld : std_logic; SIGNAL twdlOctant : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL twdl45 : std_logic; SIGNAL Twiddle_re_cast : signed(31 DOWNTO 0); -- int32 SIGNAL twiddleS_re : signed(15 DOWNTO 0); -- sfix16_En14 SIGNAL twiddleReg_re : signed(15 DOWNTO 0); -- sfix16_En14 SIGNAL Twiddle_im_cast : signed(31 DOWNTO 0); -- int32 SIGNAL twiddleS_im : signed(15 DOWNTO 0); -- sfix16_En14 SIGNAL twiddleReg_im : signed(15 DOWNTO 0); -- sfix16_En14 SIGNAL twdlOctantReg : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL twdl45Reg : std_logic; SIGNAL twdl_3_2_re_tmp : signed(15 DOWNTO 0); -- sfix16_En14 SIGNAL twdl_3_2_im_tmp : signed(15 DOWNTO 0); -- sfix16_En14 BEGIN -- Radix22TwdlMapping Radix22TwdlMapping_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Radix22TwdlMapping_octantReg1 <= to_unsigned(16#0#, 3); Radix22TwdlMapping_twdlAddr_raw <= to_unsigned(16#0#, 4); Radix22TwdlMapping_twdlAddrMap <= '0'; Radix22TwdlMapping_twdl45Reg <= '0'; Radix22TwdlMapping_dvldReg1 <= '0'; Radix22TwdlMapping_dvldReg2 <= '0'; Radix22TwdlMapping_cnt <= to_unsigned(16#0#, 2); Radix22TwdlMapping_phase <= to_unsigned(16#1#, 2); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN Radix22TwdlMapping_cnt <= Radix22TwdlMapping_cnt_next; Radix22TwdlMapping_phase <= Radix22TwdlMapping_phase_next; Radix22TwdlMapping_octantReg1 <= Radix22TwdlMapping_octantReg1_next; Radix22TwdlMapping_twdlAddr_raw <= Radix22TwdlMapping_twdlAddr_raw_next; Radix22TwdlMapping_twdlAddrMap <= Radix22TwdlMapping_twdlAddrMap_next; Radix22TwdlMapping_twdl45Reg <= Radix22TwdlMapping_twdl45Reg_next; Radix22TwdlMapping_dvldReg1 <= Radix22TwdlMapping_dvldReg1_next; Radix22TwdlMapping_dvldReg2 <= Radix22TwdlMapping_dvldReg2_next; END IF; END IF; END PROCESS Radix22TwdlMapping_process; Radix22TwdlMapping_output : PROCESS (Radix22TwdlMapping_cnt, Radix22TwdlMapping_phase, Radix22TwdlMapping_octantReg1, Radix22TwdlMapping_twdlAddr_raw, Radix22TwdlMapping_twdlAddrMap, Radix22TwdlMapping_twdl45Reg, Radix22TwdlMapping_dvldReg1, Radix22TwdlMapping_dvldReg2, dout_2_vld) VARIABLE octant : unsigned(2 DOWNTO 0); VARIABLE addr_cast : unsigned(3 DOWNTO 0); VARIABLE c : unsigned(1 DOWNTO 0); VARIABLE sub_cast : signed(9 DOWNTO 0); VARIABLE sub_temp : signed(9 DOWNTO 0); VARIABLE sub_cast_0 : signed(5 DOWNTO 0); VARIABLE sub_temp_0 : signed(5 DOWNTO 0); VARIABLE sub_cast_1 : signed(5 DOWNTO 0); VARIABLE sub_temp_1 : signed(5 DOWNTO 0); VARIABLE sub_cast_2 : signed(9 DOWNTO 0); VARIABLE sub_temp_2 : signed(9 DOWNTO 0); VARIABLE sub_cast_3 : signed(9 DOWNTO 0); VARIABLE sub_temp_3 : signed(9 DOWNTO 0); BEGIN Radix22TwdlMapping_cnt_next <= Radix22TwdlMapping_cnt; Radix22TwdlMapping_phase_next <= Radix22TwdlMapping_phase; Radix22TwdlMapping_twdlAddr_raw_next <= Radix22TwdlMapping_twdlAddr_raw; Radix22TwdlMapping_twdlAddrMap_next <= Radix22TwdlMapping_twdlAddrMap; Radix22TwdlMapping_twdl45Reg_next <= Radix22TwdlMapping_twdl45Reg; Radix22TwdlMapping_dvldReg2_next <= Radix22TwdlMapping_dvldReg1; Radix22TwdlMapping_dvldReg1_next <= dout_2_vld; CASE Radix22TwdlMapping_twdlAddr_raw IS WHEN "0010" => octant := to_unsigned(16#0#, 3); Radix22TwdlMapping_twdl45Reg_next <= '1'; WHEN "0100" => octant := to_unsigned(16#1#, 3); Radix22TwdlMapping_twdl45Reg_next <= '0'; WHEN "0110" => octant := to_unsigned(16#2#, 3); Radix22TwdlMapping_twdl45Reg_next <= '1'; WHEN "1000" => octant := to_unsigned(16#3#, 3); Radix22TwdlMapping_twdl45Reg_next <= '0'; WHEN "1010" => octant := to_unsigned(16#4#, 3); Radix22TwdlMapping_twdl45Reg_next <= '1'; WHEN OTHERS => octant := Radix22TwdlMapping_twdlAddr_raw(3 DOWNTO 1); Radix22TwdlMapping_twdl45Reg_next <= '0'; END CASE; Radix22TwdlMapping_octantReg1_next <= octant; CASE octant IS WHEN "000" => Radix22TwdlMapping_twdlAddrMap_next <= Radix22TwdlMapping_twdlAddr_raw(0); WHEN "001" => sub_cast_0 := signed(resize(Radix22TwdlMapping_twdlAddr_raw, 6)); sub_temp_0 := to_signed(16#04#, 6) - sub_cast_0; Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_0(0); WHEN "010" => sub_cast_1 := signed(resize(Radix22TwdlMapping_twdlAddr_raw, 6)); sub_temp_1 := sub_cast_1 - to_signed(16#04#, 6); Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_1(0); WHEN "011" => sub_cast_2 := signed(resize(Radix22TwdlMapping_twdlAddr_raw & '0', 10)); sub_temp_2 := to_signed(16#010#, 10) - sub_cast_2; Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_2(1); WHEN "100" => sub_cast_3 := signed(resize(Radix22TwdlMapping_twdlAddr_raw & '0', 10)); sub_temp_3 := sub_cast_3 - to_signed(16#010#, 10); Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_3(1); WHEN OTHERS => sub_cast := signed(resize(Radix22TwdlMapping_twdlAddr_raw & '0', 10)); sub_temp := to_signed(16#018#, 10) - sub_cast; Radix22TwdlMapping_twdlAddrMap_next <= sub_temp(1); END CASE; c := unsigned'(Radix22TwdlMapping_cnt(0) & Radix22TwdlMapping_cnt(1)); IF Radix22TwdlMapping_phase = to_unsigned(16#0#, 2) THEN Radix22TwdlMapping_twdlAddr_raw_next <= to_unsigned(16#0#, 4); ELSIF Radix22TwdlMapping_phase = to_unsigned(16#1#, 2) THEN Radix22TwdlMapping_twdlAddr_raw_next <= resize(c, 4); ELSIF Radix22TwdlMapping_phase = to_unsigned(16#2#, 2) THEN Radix22TwdlMapping_twdlAddr_raw_next <= resize(c, 4) sll 1; ELSE addr_cast := resize(c, 4); Radix22TwdlMapping_twdlAddr_raw_next <= (addr_cast sll 1) + addr_cast; END IF; IF dout_2_vld = '1' THEN Radix22TwdlMapping_cnt_next <= Radix22TwdlMapping_cnt + to_unsigned(16#000000004#, 2); END IF; twdlAddr <= Radix22TwdlMapping_twdlAddrMap; twdlAddrVld <= Radix22TwdlMapping_dvldReg2; twdlOctant <= Radix22TwdlMapping_octantReg1; twdl45 <= Radix22TwdlMapping_twdl45Reg; END PROCESS Radix22TwdlMapping_output; -- Twiddle ROM1 Twiddle_re_cast <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & twdlAddr; twiddleS_re <= Twiddle_re_table_data(to_integer(Twiddle_re_cast)); TWIDDLEROM_RE_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twiddleReg_re <= to_signed(16#0000#, 16); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN twiddleReg_re <= twiddleS_re; END IF; END IF; END PROCESS TWIDDLEROM_RE_process; -- Twiddle ROM2 Twiddle_im_cast <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & twdlAddr; twiddleS_im <= Twiddle_im_table_data(to_integer(Twiddle_im_cast)); TWIDDLEROM_IM_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twiddleReg_im <= to_signed(16#0000#, 16); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN twiddleReg_im <= twiddleS_im; END IF; END IF; END PROCESS TWIDDLEROM_IM_process; intdelay_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdlOctantReg <= to_unsigned(16#0#, 3); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN twdlOctantReg <= twdlOctant; END IF; END IF; END PROCESS intdelay_process; intdelay_1_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl45Reg <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN twdl45Reg <= twdl45; END IF; END IF; END PROCESS intdelay_1_process; -- Radix22TwdlOctCorr Radix22TwdlOctCorr_output : PROCESS (twiddleReg_re, twiddleReg_im, twdlOctantReg, twdl45Reg) VARIABLE twdlIn_re : signed(15 DOWNTO 0); VARIABLE twdlIn_im : signed(15 DOWNTO 0); VARIABLE cast : signed(16 DOWNTO 0); VARIABLE cast_0 : signed(16 DOWNTO 0); VARIABLE cast_1 : signed(16 DOWNTO 0); VARIABLE cast_2 : signed(16 DOWNTO 0); VARIABLE cast_3 : signed(16 DOWNTO 0); VARIABLE cast_4 : signed(16 DOWNTO 0); VARIABLE cast_5 : signed(16 DOWNTO 0); VARIABLE cast_6 : signed(16 DOWNTO 0); VARIABLE cast_7 : signed(16 DOWNTO 0); VARIABLE cast_8 : signed(16 DOWNTO 0); VARIABLE cast_9 : signed(16 DOWNTO 0); VARIABLE cast_10 : signed(16 DOWNTO 0); BEGIN twdlIn_re := twiddleReg_re; twdlIn_im := twiddleReg_im; IF twdl45Reg = '1' THEN CASE twdlOctantReg IS WHEN "000" => twdlIn_re := to_signed(16#2D41#, 16); twdlIn_im := to_signed(-16#2D41#, 16); WHEN "010" => twdlIn_re := to_signed(-16#2D41#, 16); twdlIn_im := to_signed(-16#2D41#, 16); WHEN "100" => twdlIn_re := to_signed(-16#2D41#, 16); twdlIn_im := to_signed(16#2D41#, 16); WHEN OTHERS => twdlIn_re := to_signed(16#2D41#, 16); twdlIn_im := to_signed(-16#2D41#, 16); END CASE; ELSE CASE twdlOctantReg IS WHEN "000" => NULL; WHEN "001" => cast := resize(twiddleReg_im, 17); cast_0 := - (cast); twdlIn_re := cast_0(15 DOWNTO 0); cast_5 := resize(twiddleReg_re, 17); cast_6 := - (cast_5); twdlIn_im := cast_6(15 DOWNTO 0); WHEN "010" => twdlIn_re := twiddleReg_im; cast_7 := resize(twiddleReg_re, 17); cast_8 := - (cast_7); twdlIn_im := cast_8(15 DOWNTO 0); WHEN "011" => cast_1 := resize(twiddleReg_re, 17); cast_2 := - (cast_1); twdlIn_re := cast_2(15 DOWNTO 0); twdlIn_im := twiddleReg_im; WHEN "100" => cast_3 := resize(twiddleReg_re, 17); cast_4 := - (cast_3); twdlIn_re := cast_4(15 DOWNTO 0); cast_9 := resize(twiddleReg_im, 17); cast_10 := - (cast_9); twdlIn_im := cast_10(15 DOWNTO 0); WHEN OTHERS => twdlIn_re := twiddleReg_im; twdlIn_im := twiddleReg_re; END CASE; END IF; twdl_3_2_re_tmp <= twdlIn_re; twdl_3_2_im_tmp <= twdlIn_im; END PROCESS Radix22TwdlOctCorr_output; twdl_3_2_re <= std_logic_vector(twdl_3_2_re_tmp); twdl_3_2_im <= std_logic_vector(twdl_3_2_im_tmp); intdelay_2_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl_3_2_vld <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN twdl_3_2_vld <= twdlAddrVld; END IF; END IF; END PROCESS intdelay_2_process; END rtl;
------------------------------------------------------------------------------- -- File Name : DC_ROM.vhd -- -- Project : JPEG_ENC -- -- Module : DC_ROM -- -- Content : DC_ROM Luminance -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090228: (MK): Initial Creation. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity DC_ROM is port ( CLK : in std_logic; RST : in std_logic; VLI_size : in std_logic_vector(3 downto 0); VLC_DC_size : out std_logic_vector(3 downto 0); VLC_DC : out unsigned(8 downto 0) ); end entity DC_ROM; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of DC_ROM is ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin ------------------------------------------------------------------- -- DC-ROM ------------------------------------------------------------------- p_dc_rom : process(CLK) begin if CLK'event and CLK = '1' then case VLI_size is when X"0" => VLC_DC_size <= X"2"; VLC_DC <= resize("00", VLC_DC'length); when X"1" => VLC_DC_size <= X"3"; VLC_DC <= resize("010", VLC_DC'length); when X"2" => VLC_DC_size <= X"3"; VLC_DC <= resize("011", VLC_DC'length); when X"3" => VLC_DC_size <= X"3"; VLC_DC <= resize("100", VLC_DC'length); when X"4" => VLC_DC_size <= X"3"; VLC_DC <= resize("101", VLC_DC'length); when X"5" => VLC_DC_size <= X"3"; VLC_DC <= resize("110", VLC_DC'length); when X"6" => VLC_DC_size <= X"4"; VLC_DC <= resize("1110", VLC_DC'length); when X"7" => VLC_DC_size <= X"5"; VLC_DC <= resize("11110", VLC_DC'length); when X"8" => VLC_DC_size <= X"6"; VLC_DC <= resize("111110", VLC_DC'length); when X"9" => VLC_DC_size <= X"7"; VLC_DC <= resize("1111110", VLC_DC'length); when X"A" => VLC_DC_size <= X"8"; VLC_DC <= resize("11111110", VLC_DC'length); when X"B" => VLC_DC_size <= X"9"; VLC_DC <= resize("111111110", VLC_DC'length); when others => VLC_DC_size <= X"0"; VLC_DC <= (others => '0'); end case; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- File Name : DC_ROM.vhd -- -- Project : JPEG_ENC -- -- Module : DC_ROM -- -- Content : DC_ROM Luminance -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090228: (MK): Initial Creation. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity DC_ROM is port ( CLK : in std_logic; RST : in std_logic; VLI_size : in std_logic_vector(3 downto 0); VLC_DC_size : out std_logic_vector(3 downto 0); VLC_DC : out unsigned(8 downto 0) ); end entity DC_ROM; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of DC_ROM is ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin ------------------------------------------------------------------- -- DC-ROM ------------------------------------------------------------------- p_dc_rom : process(CLK) begin if CLK'event and CLK = '1' then case VLI_size is when X"0" => VLC_DC_size <= X"2"; VLC_DC <= resize("00", VLC_DC'length); when X"1" => VLC_DC_size <= X"3"; VLC_DC <= resize("010", VLC_DC'length); when X"2" => VLC_DC_size <= X"3"; VLC_DC <= resize("011", VLC_DC'length); when X"3" => VLC_DC_size <= X"3"; VLC_DC <= resize("100", VLC_DC'length); when X"4" => VLC_DC_size <= X"3"; VLC_DC <= resize("101", VLC_DC'length); when X"5" => VLC_DC_size <= X"3"; VLC_DC <= resize("110", VLC_DC'length); when X"6" => VLC_DC_size <= X"4"; VLC_DC <= resize("1110", VLC_DC'length); when X"7" => VLC_DC_size <= X"5"; VLC_DC <= resize("11110", VLC_DC'length); when X"8" => VLC_DC_size <= X"6"; VLC_DC <= resize("111110", VLC_DC'length); when X"9" => VLC_DC_size <= X"7"; VLC_DC <= resize("1111110", VLC_DC'length); when X"A" => VLC_DC_size <= X"8"; VLC_DC <= resize("11111110", VLC_DC'length); when X"B" => VLC_DC_size <= X"9"; VLC_DC <= resize("111111110", VLC_DC'length); when others => VLC_DC_size <= X"0"; VLC_DC <= (others => '0'); end case; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; entity AESL_axi_s_inStream is generic ( constant TV_IN_inStream_TDATA : STRING (1 to 62) := "../tv/cdatafile/c.doHistStretch.autotvin_inStream_V_data_V.dat"; constant INGRESS_STATUS_inStream_TDATA : STRING (1 to 61) := "../tv/stream_size/stream_ingress_status_inStream_V_data_V.dat"; constant TV_IN_inStream_TKEEP : STRING (1 to 62) := "../tv/cdatafile/c.doHistStretch.autotvin_inStream_V_keep_V.dat"; constant INGRESS_STATUS_inStream_TKEEP : STRING (1 to 61) := "../tv/stream_size/stream_ingress_status_inStream_V_keep_V.dat"; constant TV_IN_inStream_TSTRB : STRING (1 to 62) := "../tv/cdatafile/c.doHistStretch.autotvin_inStream_V_strb_V.dat"; constant INGRESS_STATUS_inStream_TSTRB : STRING (1 to 61) := "../tv/stream_size/stream_ingress_status_inStream_V_strb_V.dat"; constant TV_IN_inStream_TUSER : STRING (1 to 62) := "../tv/cdatafile/c.doHistStretch.autotvin_inStream_V_user_V.dat"; constant INGRESS_STATUS_inStream_TUSER : STRING (1 to 61) := "../tv/stream_size/stream_ingress_status_inStream_V_user_V.dat"; constant TV_IN_inStream_TLAST : STRING (1 to 62) := "../tv/cdatafile/c.doHistStretch.autotvin_inStream_V_last_V.dat"; constant INGRESS_STATUS_inStream_TLAST : STRING (1 to 61) := "../tv/stream_size/stream_ingress_status_inStream_V_last_V.dat"; constant TV_IN_inStream_TID : STRING (1 to 60) := "../tv/cdatafile/c.doHistStretch.autotvin_inStream_V_id_V.dat"; constant INGRESS_STATUS_inStream_TID : STRING (1 to 59) := "../tv/stream_size/stream_ingress_status_inStream_V_id_V.dat"; constant TV_IN_inStream_TDEST : STRING (1 to 62) := "../tv/cdatafile/c.doHistStretch.autotvin_inStream_V_dest_V.dat"; constant INGRESS_STATUS_inStream_TDEST : STRING (1 to 61) := "../tv/stream_size/stream_ingress_status_inStream_V_dest_V.dat"; constant INTERFACE_TYPE : STRING (1 to 5) := "axi_s"; constant AUTOTB_TRANSACTION_NUM : INTEGER := 1 ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; TRAN_inStream_TDATA : OUT STD_LOGIC_VECTOR (8 - 1 downto 0); inStream_TDATA_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TRAN_inStream_TKEEP : OUT STD_LOGIC_VECTOR (1 - 1 downto 0); inStream_TKEEP_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TRAN_inStream_TSTRB : OUT STD_LOGIC_VECTOR (1 - 1 downto 0); inStream_TSTRB_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TRAN_inStream_TUSER : OUT STD_LOGIC_VECTOR (2 - 1 downto 0); inStream_TUSER_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TRAN_inStream_TLAST : OUT STD_LOGIC_VECTOR (1 - 1 downto 0); inStream_TLAST_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TRAN_inStream_TID : OUT STD_LOGIC_VECTOR (5 - 1 downto 0); inStream_TID_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TRAN_inStream_TDEST : OUT STD_LOGIC_VECTOR (6 - 1 downto 0); inStream_TDEST_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TRAN_inStream_TVALID : OUT STD_LOGIC; TRAN_inStream_TREADY : IN STD_LOGIC; ready : IN STD_LOGIC; done : IN STD_LOGIC ); end AESL_axi_s_inStream; architecture behav of AESL_axi_s_inStream is ------------------------Local signal------------------- signal reg_inStream_TVALID : STD_LOGIC; signal inStream_TDATA_mInPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TDATA_mOutPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TDATA_mFlag_hint : STD_LOGIC := '0'; -- 0:empty hint, 1: full hint signal inStream_TDATA_empty_n : STD_LOGIC; signal inStream_TDATA_full_n : STD_LOGIC; type inStream_TDATA_arr2D is array(0 to 262144) of STD_LOGIC_VECTOR(8 - 1 downto 0); signal inStream_TDATA_mem : inStream_TDATA_arr2D := (others => (others => '0')); signal inStream_TDATA_ingress_status : INTEGER; signal inStream_TDATA_ingress_status_bit : STD_LOGIC; signal inStream_TDATA_in_i : INTEGER; signal inStream_TDATA_in_end : STD_LOGIC; signal inStream_TDATA_in_end_reg : STD_LOGIC; signal inStream_TDATA_in_size : INTEGER; signal inStream_TDATA_trans_num_sig : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TDATA_trans_num_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TKEEP_mInPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TKEEP_mOutPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TKEEP_mFlag_hint : STD_LOGIC := '0'; -- 0:empty hint, 1: full hint signal inStream_TKEEP_empty_n : STD_LOGIC; signal inStream_TKEEP_full_n : STD_LOGIC; type inStream_TKEEP_arr2D is array(0 to 262144) of STD_LOGIC_VECTOR(1 - 1 downto 0); signal inStream_TKEEP_mem : inStream_TKEEP_arr2D := (others => (others => '0')); signal inStream_TKEEP_ingress_status : INTEGER; signal inStream_TKEEP_ingress_status_bit : STD_LOGIC; signal inStream_TKEEP_in_i : INTEGER; signal inStream_TKEEP_in_end : STD_LOGIC; signal inStream_TKEEP_in_end_reg : STD_LOGIC; signal inStream_TKEEP_in_size : INTEGER; signal inStream_TKEEP_trans_num_sig : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TKEEP_trans_num_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TSTRB_mInPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TSTRB_mOutPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TSTRB_mFlag_hint : STD_LOGIC := '0'; -- 0:empty hint, 1: full hint signal inStream_TSTRB_empty_n : STD_LOGIC; signal inStream_TSTRB_full_n : STD_LOGIC; type inStream_TSTRB_arr2D is array(0 to 262144) of STD_LOGIC_VECTOR(1 - 1 downto 0); signal inStream_TSTRB_mem : inStream_TSTRB_arr2D := (others => (others => '0')); signal inStream_TSTRB_ingress_status : INTEGER; signal inStream_TSTRB_ingress_status_bit : STD_LOGIC; signal inStream_TSTRB_in_i : INTEGER; signal inStream_TSTRB_in_end : STD_LOGIC; signal inStream_TSTRB_in_end_reg : STD_LOGIC; signal inStream_TSTRB_in_size : INTEGER; signal inStream_TSTRB_trans_num_sig : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TSTRB_trans_num_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TUSER_mInPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TUSER_mOutPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TUSER_mFlag_hint : STD_LOGIC := '0'; -- 0:empty hint, 1: full hint signal inStream_TUSER_empty_n : STD_LOGIC; signal inStream_TUSER_full_n : STD_LOGIC; type inStream_TUSER_arr2D is array(0 to 262144) of STD_LOGIC_VECTOR(2 - 1 downto 0); signal inStream_TUSER_mem : inStream_TUSER_arr2D := (others => (others => '0')); signal inStream_TUSER_ingress_status : INTEGER; signal inStream_TUSER_ingress_status_bit : STD_LOGIC; signal inStream_TUSER_in_i : INTEGER; signal inStream_TUSER_in_end : STD_LOGIC; signal inStream_TUSER_in_end_reg : STD_LOGIC; signal inStream_TUSER_in_size : INTEGER; signal inStream_TUSER_trans_num_sig : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TUSER_trans_num_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TLAST_mInPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TLAST_mOutPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TLAST_mFlag_hint : STD_LOGIC := '0'; -- 0:empty hint, 1: full hint signal inStream_TLAST_empty_n : STD_LOGIC; signal inStream_TLAST_full_n : STD_LOGIC; type inStream_TLAST_arr2D is array(0 to 262144) of STD_LOGIC_VECTOR(1 - 1 downto 0); signal inStream_TLAST_mem : inStream_TLAST_arr2D := (others => (others => '0')); signal inStream_TLAST_ingress_status : INTEGER; signal inStream_TLAST_ingress_status_bit : STD_LOGIC; signal inStream_TLAST_in_i : INTEGER; signal inStream_TLAST_in_end : STD_LOGIC; signal inStream_TLAST_in_end_reg : STD_LOGIC; signal inStream_TLAST_in_size : INTEGER; signal inStream_TLAST_trans_num_sig : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TLAST_trans_num_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TID_mInPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TID_mOutPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TID_mFlag_hint : STD_LOGIC := '0'; -- 0:empty hint, 1: full hint signal inStream_TID_empty_n : STD_LOGIC; signal inStream_TID_full_n : STD_LOGIC; type inStream_TID_arr2D is array(0 to 262144) of STD_LOGIC_VECTOR(5 - 1 downto 0); signal inStream_TID_mem : inStream_TID_arr2D := (others => (others => '0')); signal inStream_TID_ingress_status : INTEGER; signal inStream_TID_ingress_status_bit : STD_LOGIC; signal inStream_TID_in_i : INTEGER; signal inStream_TID_in_end : STD_LOGIC; signal inStream_TID_in_end_reg : STD_LOGIC; signal inStream_TID_in_size : INTEGER; signal inStream_TID_trans_num_sig : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TID_trans_num_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TDEST_mInPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TDEST_mOutPtr : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); signal inStream_TDEST_mFlag_hint : STD_LOGIC := '0'; -- 0:empty hint, 1: full hint signal inStream_TDEST_empty_n : STD_LOGIC; signal inStream_TDEST_full_n : STD_LOGIC; type inStream_TDEST_arr2D is array(0 to 262144) of STD_LOGIC_VECTOR(6 - 1 downto 0); signal inStream_TDEST_mem : inStream_TDEST_arr2D := (others => (others => '0')); signal inStream_TDEST_ingress_status : INTEGER; signal inStream_TDEST_ingress_status_bit : STD_LOGIC; signal inStream_TDEST_in_i : INTEGER; signal inStream_TDEST_in_end : STD_LOGIC; signal inStream_TDEST_in_end_reg : STD_LOGIC; signal inStream_TDEST_in_size : INTEGER; signal inStream_TDEST_trans_num_sig : STD_LOGIC_VECTOR(31 DOWNTO 0); signal inStream_TDEST_trans_num_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); signal reset_reg : STD_LOGIC; function esl_icmp_eq(v1, v2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is variable res : STD_LOGIC_VECTOR(0 downto 0); begin if v1 = v2 then res := "1"; else res := "0"; end if; return res; end function; procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING; token_len: out INTEGER) is variable whitespace : CHARACTER; variable i : INTEGER; variable ok: BOOLEAN; variable buff: STRING(1 to token'length); begin ok := false; i := 1; loop_main: while not endfile(textfile) loop if textline = null or textline'length = 0 then readline(textfile, textline); end if; loop_remove_whitespace: while textline'length > 0 loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then read(textline, whitespace); else exit loop_remove_whitespace; end if; end loop; loop_aesl_read_token: while textline'length > 0 and i <= buff'length loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then exit loop_aesl_read_token; else read(textline, buff(i)); i := i + 1; end if; ok := true; end loop; if ok = true then exit loop_main; end if; end loop; buff(i) := ' '; token := buff; token_len:= i-1; end procedure esl_read_token; procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING) is variable i : INTEGER; begin esl_read_token (textfile, textline, token, i); end procedure esl_read_token; function esl_add(v1, v2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is variable res : unsigned(v1'length-1 downto 0); begin res := unsigned(v1) + unsigned(v2); return std_logic_vector(res); end function; function esl_icmp_ult(v1, v2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is variable res : STD_LOGIC_VECTOR(0 downto 0); begin if unsigned(v1) < unsigned(v2) then res := "1"; else res := "0"; end if; return res; end function; function esl_str2lv_hex (RHS : STRING; data_width : INTEGER) return STD_LOGIC_VECTOR is variable ret : STD_LOGIC_VECTOR(data_width - 1 downto 0); variable idx : integer := 3; begin ret := (others => '0'); if (RHS(1) /= '0' and (RHS(2) /= 'x' or RHS(2) /= 'X')) then report "Error! The format of hex number is not initialed by 0x"; end if; while true loop if (data_width > 4) then case RHS(idx) is when '0' => ret := ret(data_width - 5 downto 0) & "0000"; when '1' => ret := ret(data_width - 5 downto 0) & "0001"; when '2' => ret := ret(data_width - 5 downto 0) & "0010"; when '3' => ret := ret(data_width - 5 downto 0) & "0011"; when '4' => ret := ret(data_width - 5 downto 0) & "0100"; when '5' => ret := ret(data_width - 5 downto 0) & "0101"; when '6' => ret := ret(data_width - 5 downto 0) & "0110"; when '7' => ret := ret(data_width - 5 downto 0) & "0111"; when '8' => ret := ret(data_width - 5 downto 0) & "1000"; when '9' => ret := ret(data_width - 5 downto 0) & "1001"; when 'a' | 'A' => ret := ret(data_width - 5 downto 0) & "1010"; when 'b' | 'B' => ret := ret(data_width - 5 downto 0) & "1011"; when 'c' | 'C' => ret := ret(data_width - 5 downto 0) & "1100"; when 'd' | 'D' => ret := ret(data_width - 5 downto 0) & "1101"; when 'e' | 'E' => ret := ret(data_width - 5 downto 0) & "1110"; when 'f' | 'F' => ret := ret(data_width - 5 downto 0) & "1111"; when 'x' | 'X' => ret := ret(data_width - 5 downto 0) & "XXXX"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 4) then case RHS(idx) is when '0' => ret := "0000"; when '1' => ret := "0001"; when '2' => ret := "0010"; when '3' => ret := "0011"; when '4' => ret := "0100"; when '5' => ret := "0101"; when '6' => ret := "0110"; when '7' => ret := "0111"; when '8' => ret := "1000"; when '9' => ret := "1001"; when 'a' | 'A' => ret := "1010"; when 'b' | 'B' => ret := "1011"; when 'c' | 'C' => ret := "1100"; when 'd' | 'D' => ret := "1101"; when 'e' | 'E' => ret := "1110"; when 'f' | 'F' => ret := "1111"; when 'x' | 'X' => ret := "XXXX"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 3) then case RHS(idx) is when '0' => ret := "000"; when '1' => ret := "001"; when '2' => ret := "010"; when '3' => ret := "011"; when '4' => ret := "100"; when '5' => ret := "101"; when '6' => ret := "110"; when '7' => ret := "111"; when 'x' | 'X' => ret := "XXX"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 2) then case RHS(idx) is when '0' => ret := "00"; when '1' => ret := "01"; when '2' => ret := "10"; when '3' => ret := "11"; when 'x' | 'X' => ret := "XX"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 1) then case RHS(idx) is when '0' => ret := "0"; when '1' => ret := "1"; when 'x' | 'X' => ret := "X"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; else report string'("Wrong data_width."); return ret; end if; idx := idx + 1; end loop; return ret; end function; function esl_conv_string_hex (lv : STD_LOGIC_VECTOR) return STRING is constant str_len : integer := (lv'length + 3)/4; variable ret : STRING (1 to str_len); variable i, tmp: INTEGER; variable normal_lv : STD_LOGIC_VECTOR(lv'length - 1 downto 0); variable tmp_lv : STD_LOGIC_VECTOR(3 downto 0); begin normal_lv := lv; for i in 1 to str_len loop if (i = 1) then if ((lv'length mod 4) = 3) then tmp_lv(2 downto 0) := normal_lv(lv'length - 1 downto lv'length - 3); case tmp_lv(2 downto 0) is when "000" => ret(i) := '0'; when "001" => ret(i) := '1'; when "010" => ret(i) := '2'; when "011" => ret(i) := '3'; when "100" => ret(i) := '4'; when "101" => ret(i) := '5'; when "110" => ret(i) := '6'; when "111" => ret(i) := '7'; when others => ret(i) := 'X'; end case; elsif ((lv'length mod 4) = 2) then tmp_lv(1 downto 0) := normal_lv(lv'length - 1 downto lv'length - 2); case tmp_lv(1 downto 0) is when "00" => ret(i) := '0'; when "01" => ret(i) := '1'; when "10" => ret(i) := '2'; when "11" => ret(i) := '3'; when others => ret(i) := 'X'; end case; elsif ((lv'length mod 4) = 1) then tmp_lv(0 downto 0) := normal_lv(lv'length - 1 downto lv'length - 1); case tmp_lv(0 downto 0) is when "0" => ret(i) := '0'; when "1" => ret(i) := '1'; when others=> ret(i) := 'X'; end case; elsif ((lv'length mod 4) = 0) then tmp_lv(3 downto 0) := normal_lv(lv'length - 1 downto lv'length - 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := 'X'; end case; end if; else tmp_lv(3 downto 0) := normal_lv((str_len - i) * 4 + 3 downto (str_len - i) * 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := 'X'; end case; end if; end loop; return ret; end function; function esl_str_dec2int (RHS : STRING) return INTEGER is variable ret : integer; variable idx : integer := 1; begin ret := 0; while true loop case RHS(idx) is when '0' => ret := ret * 10 + 0; when '1' => ret := ret * 10 + 1; when '2' => ret := ret * 10 + 2; when '3' => ret := ret * 10 + 3; when '4' => ret := ret * 10 + 4; when '5' => ret := ret * 10 + 5; when '6' => ret := ret * 10 + 6; when '7' => ret := ret * 10 + 7; when '8' => ret := ret * 10 + 8; when '9' => ret := ret * 10 + 9; when ' ' => return ret; when others => report "Wrong dec char " & RHS(idx); return ret; end case; idx := idx + 1; end loop; return ret; end esl_str_dec2int; begin TRAN_inStream_TVALID_proc : process( inStream_TDATA_empty_n, inStream_TKEEP_empty_n, inStream_TSTRB_empty_n, inStream_TUSER_empty_n, inStream_TLAST_empty_n, inStream_TID_empty_n, inStream_TDEST_empty_n, reset, inStream_TDATA_ingress_status_bit , inStream_TKEEP_ingress_status_bit , inStream_TSTRB_ingress_status_bit , inStream_TUSER_ingress_status_bit , inStream_TLAST_ingress_status_bit , inStream_TID_ingress_status_bit , inStream_TDEST_ingress_status_bit) begin if reset = '0' then TRAN_inStream_TVALID <= '0'; reg_inStream_TVALID <= '0'; else TRAN_inStream_TVALID <= (inStream_TDATA_ingress_status_bit and inStream_TKEEP_ingress_status_bit and inStream_TSTRB_ingress_status_bit and inStream_TUSER_ingress_status_bit and inStream_TLAST_ingress_status_bit and inStream_TID_ingress_status_bit and inStream_TDEST_ingress_status_bit) or ('1' and inStream_TDATA_empty_n and inStream_TKEEP_empty_n and inStream_TSTRB_empty_n and inStream_TUSER_empty_n and inStream_TLAST_empty_n and inStream_TID_empty_n and inStream_TDEST_empty_n and '1'); reg_inStream_TVALID <= (inStream_TDATA_ingress_status_bit and inStream_TKEEP_ingress_status_bit and inStream_TSTRB_ingress_status_bit and inStream_TUSER_ingress_status_bit and inStream_TLAST_ingress_status_bit and inStream_TID_ingress_status_bit and inStream_TDEST_ingress_status_bit) or ('1' and inStream_TDATA_empty_n and inStream_TKEEP_empty_n and inStream_TSTRB_empty_n and inStream_TUSER_empty_n and inStream_TLAST_empty_n and inStream_TID_empty_n and inStream_TDEST_empty_n and '1'); end if; end process; ------------------------Read-only axi_s------------------- -- Write operation for read_only axi_s port inStream_TDATA_ingress_status_bit <= '1' when inStream_TDATA_ingress_status > 0 else '0'; inStream_TKEEP_ingress_status_bit <= '1' when inStream_TKEEP_ingress_status > 0 else '0'; inStream_TSTRB_ingress_status_bit <= '1' when inStream_TSTRB_ingress_status > 0 else '0'; inStream_TUSER_ingress_status_bit <= '1' when inStream_TUSER_ingress_status > 0 else '0'; inStream_TLAST_ingress_status_bit <= '1' when inStream_TLAST_ingress_status > 0 else '0'; inStream_TID_ingress_status_bit <= '1' when inStream_TID_ingress_status > 0 else '0'; inStream_TDEST_ingress_status_bit <= '1' when inStream_TDEST_ingress_status > 0 else '0'; proc_gen_reset_reg: process(clk) begin if(clk'event and clk = '1') then reset_reg <= reset; end if; end process; ------------------------------- inStream_TDATA -------------------------------- inStream_TDATA_empty_n_proc : process(inStream_TDATA_mInPtr, inStream_TDATA_mOutPtr, inStream_TDATA_mFlag_hint) begin if (esl_icmp_eq(inStream_TDATA_mInPtr, inStream_TDATA_mOutPtr) = "1" and (inStream_TDATA_mFlag_hint = '0')) then inStream_TDATA_empty_n <= '0'; else inStream_TDATA_empty_n <= '1'; end if; end process; proc_gen_inStream_TDATA_in_size: process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable i : INTEGER; variable token_line : LINE; variable token : STRING(1 to 200); begin file_open(fstatus, fp,"../tv/stream_size/stream_size_in_inStream_V_data_V.dat" , READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & "../tv/stream_size/stream_size_in_inStream_V_data_V.dat" & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); wait until reset = '1'; wait until clk'event and clk = '1'; while(token(1 to 14) /= "[[[/runtime]]]") loop i := 0; if(token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); inStream_TDATA_in_size <= esl_str_dec2int(token); wait until clk'event and clk = '0'; while (inStream_TDATA_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); --[[/transaction]] esl_read_token(fp, token_line, token); end loop; if(token(1 to 14) /= "[[[/runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; wait until clk'event and clk = '1'; file_close (fp); wait; end process; inStream_TDATA_in_end <= '1' when ((inStream_TDATA_in_size = 0) and (reset_reg = '1')) or ((inStream_TDATA_in_i = (inStream_TDATA_in_size - 1)) and (TRAN_inStream_TREADY = '1')) else '0'; inStream_TDATA_trans_num_sig <= inStream_TDATA_trans_num_reg + 1 when ((inStream_TDATA_in_end = '1') and (inStream_TDATA_trans_num_reg /= AUTOTB_TRANSACTION_NUM + 1)) else inStream_TDATA_trans_num_reg; inStream_TDATA_trans_num <= inStream_TDATA_trans_num_sig; proc_gen_inStream_TDATA_in_i : process(reset, clk) begin if(reset = '0') then inStream_TDATA_in_i <= 0; elsif(clk'event and clk = '1') then if(TRAN_inStream_TREADY = '1' and inStream_TDATA_in_i < inStream_TDATA_in_size - 1) then inStream_TDATA_in_i <= inStream_TDATA_in_i + 1; elsif(inStream_TDATA_in_end = '1') then inStream_TDATA_in_i <= 0; end if; end if; end process; proc_gen_inStream_TDATA_trans_num_reg : process(reset, clk) begin if(reset = '0') then inStream_TDATA_trans_num_reg <= X"00000001"; elsif(clk'event and clk = '1') then inStream_TDATA_trans_num_reg <= inStream_TDATA_trans_num_sig; end if; end process; proc_gen_inStream_TDATA_in_end_reg: process(reset, clk) begin if(reset = '0') then inStream_TDATA_in_end_reg <= '0'; elsif(clk'event and clk = '1') then inStream_TDATA_in_end_reg <= inStream_TDATA_in_end; end if; end process; inStream_TDATA_read_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128); variable token_len : INTEGER; variable token_int : INTEGER; file fp_ingress_status : TEXT; variable fstatus_ingress_status : FILE_OPEN_STATUS; variable token_line_ingress_status : LINE; variable token_ingress_status : STRING(1 to 128); variable ingress_status_var : INTEGER; variable transaction_idx : INTEGER; variable inStream_TDATA_mInPtr_var : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); variable inStream_TDATA_mem_var : inStream_TDATA_arr2D := (others => (others => '0')); begin inStream_TDATA_mFlag_hint <= '0'; transaction_idx := 0; wait until reset = '1'; wait until clk'event and clk = '1'; file_open(fstatus, fp, TV_IN_inStream_TDATA, READ_MODE); if (fstatus /= OPEN_OK) then assert false report "Open file " & TV_IN_inStream_TDATA & " failed!!!" severity failure; end if; esl_read_token(fp, token_line, token); if (token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); file_open(fstatus_ingress_status, fp_ingress_status, INGRESS_STATUS_inStream_TDATA, READ_MODE); if (fstatus_ingress_status /= OPEN_OK) then assert false report "Open file " & INGRESS_STATUS_inStream_TDATA & " failed!!!" severity failure; end if; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); while (token(1 to 14) /= "[[[/runtime]]]") loop if (token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number -- Start to read data for every transaction round esl_read_token(fp, token_line, token); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); -- Skip transaction number esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); inStream_TDATA_mInPtr_var := (others => '0'); while (token(1 to 16) /= "[[/transaction]]") loop if (CONV_INTEGER(inStream_TDATA_mInPtr_var) > 262144 - 1) then assert false report "Fifo overflow!" severity failure; end if; inStream_TDATA_mem_var(CONV_INTEGER(inStream_TDATA_mInPtr_var)) := esl_str2lv_hex(token, 8); inStream_TDATA_mInPtr_var := esl_add(inStream_TDATA_mInPtr_var, "1"); esl_read_token(fp, token_line, token); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); end loop; inStream_TDATA_mInPtr <= inStream_TDATA_mInPtr_var; inStream_TDATA_mem <= inStream_TDATA_mem_var; inStream_TDATA_mFlag_hint <= '0'; wait until clk'event and clk = '0'; while (inStream_TDATA_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); inStream_TDATA_ingress_status <= ingress_status_var; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); transaction_idx := transaction_idx + 1; end loop; file_close(fp); wait until clk'event and clk = '0'; while (inStream_TDATA_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; inStream_TDATA_mFlag_hint <= '1'; wait; end process; TRAN_inStream_TDATA <= inStream_TDATA_mem(CONV_INTEGER(inStream_TDATA_mOutPtr)); inStream_TDATA_mOutPtr_proc : process(clk) begin if (clk'event and clk = '0') then if (ready = '1') then inStream_TDATA_mOutPtr <= (others => '0'); end if; elsif (clk'event and clk = '1') then if (reg_inStream_TVALID = '1' and TRAN_inStream_TREADY = '1') then if (esl_icmp_ult(inStream_TDATA_mOutPtr,inStream_TDATA_mInPtr) = "1") then inStream_TDATA_mOutPtr <= esl_add(inStream_TDATA_mOutPtr, "1"); end if; end if; end if; end process; ------------------------------- inStream_TKEEP -------------------------------- inStream_TKEEP_empty_n_proc : process(inStream_TKEEP_mInPtr, inStream_TKEEP_mOutPtr, inStream_TKEEP_mFlag_hint) begin if (esl_icmp_eq(inStream_TKEEP_mInPtr, inStream_TKEEP_mOutPtr) = "1" and (inStream_TKEEP_mFlag_hint = '0')) then inStream_TKEEP_empty_n <= '0'; else inStream_TKEEP_empty_n <= '1'; end if; end process; proc_gen_inStream_TKEEP_in_size: process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable i : INTEGER; variable token_line : LINE; variable token : STRING(1 to 200); begin file_open(fstatus, fp,"../tv/stream_size/stream_size_in_inStream_V_keep_V.dat" , READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & "../tv/stream_size/stream_size_in_inStream_V_keep_V.dat" & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); wait until reset = '1'; wait until clk'event and clk = '1'; while(token(1 to 14) /= "[[[/runtime]]]") loop i := 0; if(token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); inStream_TKEEP_in_size <= esl_str_dec2int(token); wait until clk'event and clk = '0'; while (inStream_TKEEP_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); --[[/transaction]] esl_read_token(fp, token_line, token); end loop; if(token(1 to 14) /= "[[[/runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; wait until clk'event and clk = '1'; file_close (fp); wait; end process; inStream_TKEEP_in_end <= '1' when ((inStream_TKEEP_in_size = 0) and (reset_reg = '1')) or ((inStream_TKEEP_in_i = (inStream_TKEEP_in_size - 1)) and (TRAN_inStream_TREADY = '1')) else '0'; inStream_TKEEP_trans_num_sig <= inStream_TKEEP_trans_num_reg + 1 when ((inStream_TKEEP_in_end = '1') and (inStream_TKEEP_trans_num_reg /= AUTOTB_TRANSACTION_NUM + 1)) else inStream_TKEEP_trans_num_reg; inStream_TKEEP_trans_num <= inStream_TKEEP_trans_num_sig; proc_gen_inStream_TKEEP_in_i : process(reset, clk) begin if(reset = '0') then inStream_TKEEP_in_i <= 0; elsif(clk'event and clk = '1') then if(TRAN_inStream_TREADY = '1' and inStream_TKEEP_in_i < inStream_TKEEP_in_size - 1) then inStream_TKEEP_in_i <= inStream_TKEEP_in_i + 1; elsif(inStream_TKEEP_in_end = '1') then inStream_TKEEP_in_i <= 0; end if; end if; end process; proc_gen_inStream_TKEEP_trans_num_reg : process(reset, clk) begin if(reset = '0') then inStream_TKEEP_trans_num_reg <= X"00000001"; elsif(clk'event and clk = '1') then inStream_TKEEP_trans_num_reg <= inStream_TKEEP_trans_num_sig; end if; end process; proc_gen_inStream_TKEEP_in_end_reg: process(reset, clk) begin if(reset = '0') then inStream_TKEEP_in_end_reg <= '0'; elsif(clk'event and clk = '1') then inStream_TKEEP_in_end_reg <= inStream_TKEEP_in_end; end if; end process; inStream_TKEEP_read_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128); variable token_len : INTEGER; variable token_int : INTEGER; file fp_ingress_status : TEXT; variable fstatus_ingress_status : FILE_OPEN_STATUS; variable token_line_ingress_status : LINE; variable token_ingress_status : STRING(1 to 128); variable ingress_status_var : INTEGER; variable transaction_idx : INTEGER; variable inStream_TKEEP_mInPtr_var : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); variable inStream_TKEEP_mem_var : inStream_TKEEP_arr2D := (others => (others => '0')); begin inStream_TKEEP_mFlag_hint <= '0'; transaction_idx := 0; wait until reset = '1'; wait until clk'event and clk = '1'; file_open(fstatus, fp, TV_IN_inStream_TKEEP, READ_MODE); if (fstatus /= OPEN_OK) then assert false report "Open file " & TV_IN_inStream_TKEEP & " failed!!!" severity failure; end if; esl_read_token(fp, token_line, token); if (token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); file_open(fstatus_ingress_status, fp_ingress_status, INGRESS_STATUS_inStream_TKEEP, READ_MODE); if (fstatus_ingress_status /= OPEN_OK) then assert false report "Open file " & INGRESS_STATUS_inStream_TKEEP & " failed!!!" severity failure; end if; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); while (token(1 to 14) /= "[[[/runtime]]]") loop if (token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number -- Start to read data for every transaction round esl_read_token(fp, token_line, token); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); -- Skip transaction number esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); inStream_TKEEP_mInPtr_var := (others => '0'); while (token(1 to 16) /= "[[/transaction]]") loop if (CONV_INTEGER(inStream_TKEEP_mInPtr_var) > 262144 - 1) then assert false report "Fifo overflow!" severity failure; end if; inStream_TKEEP_mem_var(CONV_INTEGER(inStream_TKEEP_mInPtr_var)) := esl_str2lv_hex(token, 1); inStream_TKEEP_mInPtr_var := esl_add(inStream_TKEEP_mInPtr_var, "1"); esl_read_token(fp, token_line, token); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); end loop; inStream_TKEEP_mInPtr <= inStream_TKEEP_mInPtr_var; inStream_TKEEP_mem <= inStream_TKEEP_mem_var; inStream_TKEEP_mFlag_hint <= '0'; wait until clk'event and clk = '0'; while (inStream_TKEEP_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); inStream_TKEEP_ingress_status <= ingress_status_var; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); transaction_idx := transaction_idx + 1; end loop; file_close(fp); wait until clk'event and clk = '0'; while (inStream_TKEEP_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; inStream_TKEEP_mFlag_hint <= '1'; wait; end process; TRAN_inStream_TKEEP <= inStream_TKEEP_mem(CONV_INTEGER(inStream_TKEEP_mOutPtr)); inStream_TKEEP_mOutPtr_proc : process(clk) begin if (clk'event and clk = '0') then if (ready = '1') then inStream_TKEEP_mOutPtr <= (others => '0'); end if; elsif (clk'event and clk = '1') then if (reg_inStream_TVALID = '1' and TRAN_inStream_TREADY = '1') then if (esl_icmp_ult(inStream_TKEEP_mOutPtr,inStream_TKEEP_mInPtr) = "1") then inStream_TKEEP_mOutPtr <= esl_add(inStream_TKEEP_mOutPtr, "1"); end if; end if; end if; end process; ------------------------------- inStream_TSTRB -------------------------------- inStream_TSTRB_empty_n_proc : process(inStream_TSTRB_mInPtr, inStream_TSTRB_mOutPtr, inStream_TSTRB_mFlag_hint) begin if (esl_icmp_eq(inStream_TSTRB_mInPtr, inStream_TSTRB_mOutPtr) = "1" and (inStream_TSTRB_mFlag_hint = '0')) then inStream_TSTRB_empty_n <= '0'; else inStream_TSTRB_empty_n <= '1'; end if; end process; proc_gen_inStream_TSTRB_in_size: process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable i : INTEGER; variable token_line : LINE; variable token : STRING(1 to 200); begin file_open(fstatus, fp,"../tv/stream_size/stream_size_in_inStream_V_strb_V.dat" , READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & "../tv/stream_size/stream_size_in_inStream_V_strb_V.dat" & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); wait until reset = '1'; wait until clk'event and clk = '1'; while(token(1 to 14) /= "[[[/runtime]]]") loop i := 0; if(token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); inStream_TSTRB_in_size <= esl_str_dec2int(token); wait until clk'event and clk = '0'; while (inStream_TSTRB_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); --[[/transaction]] esl_read_token(fp, token_line, token); end loop; if(token(1 to 14) /= "[[[/runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; wait until clk'event and clk = '1'; file_close (fp); wait; end process; inStream_TSTRB_in_end <= '1' when ((inStream_TSTRB_in_size = 0) and (reset_reg = '1')) or ((inStream_TSTRB_in_i = (inStream_TSTRB_in_size - 1)) and (TRAN_inStream_TREADY = '1')) else '0'; inStream_TSTRB_trans_num_sig <= inStream_TSTRB_trans_num_reg + 1 when ((inStream_TSTRB_in_end = '1') and (inStream_TSTRB_trans_num_reg /= AUTOTB_TRANSACTION_NUM + 1)) else inStream_TSTRB_trans_num_reg; inStream_TSTRB_trans_num <= inStream_TSTRB_trans_num_sig; proc_gen_inStream_TSTRB_in_i : process(reset, clk) begin if(reset = '0') then inStream_TSTRB_in_i <= 0; elsif(clk'event and clk = '1') then if(TRAN_inStream_TREADY = '1' and inStream_TSTRB_in_i < inStream_TSTRB_in_size - 1) then inStream_TSTRB_in_i <= inStream_TSTRB_in_i + 1; elsif(inStream_TSTRB_in_end = '1') then inStream_TSTRB_in_i <= 0; end if; end if; end process; proc_gen_inStream_TSTRB_trans_num_reg : process(reset, clk) begin if(reset = '0') then inStream_TSTRB_trans_num_reg <= X"00000001"; elsif(clk'event and clk = '1') then inStream_TSTRB_trans_num_reg <= inStream_TSTRB_trans_num_sig; end if; end process; proc_gen_inStream_TSTRB_in_end_reg: process(reset, clk) begin if(reset = '0') then inStream_TSTRB_in_end_reg <= '0'; elsif(clk'event and clk = '1') then inStream_TSTRB_in_end_reg <= inStream_TSTRB_in_end; end if; end process; inStream_TSTRB_read_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128); variable token_len : INTEGER; variable token_int : INTEGER; file fp_ingress_status : TEXT; variable fstatus_ingress_status : FILE_OPEN_STATUS; variable token_line_ingress_status : LINE; variable token_ingress_status : STRING(1 to 128); variable ingress_status_var : INTEGER; variable transaction_idx : INTEGER; variable inStream_TSTRB_mInPtr_var : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); variable inStream_TSTRB_mem_var : inStream_TSTRB_arr2D := (others => (others => '0')); begin inStream_TSTRB_mFlag_hint <= '0'; transaction_idx := 0; wait until reset = '1'; wait until clk'event and clk = '1'; file_open(fstatus, fp, TV_IN_inStream_TSTRB, READ_MODE); if (fstatus /= OPEN_OK) then assert false report "Open file " & TV_IN_inStream_TSTRB & " failed!!!" severity failure; end if; esl_read_token(fp, token_line, token); if (token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); file_open(fstatus_ingress_status, fp_ingress_status, INGRESS_STATUS_inStream_TSTRB, READ_MODE); if (fstatus_ingress_status /= OPEN_OK) then assert false report "Open file " & INGRESS_STATUS_inStream_TSTRB & " failed!!!" severity failure; end if; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); while (token(1 to 14) /= "[[[/runtime]]]") loop if (token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number -- Start to read data for every transaction round esl_read_token(fp, token_line, token); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); -- Skip transaction number esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); inStream_TSTRB_mInPtr_var := (others => '0'); while (token(1 to 16) /= "[[/transaction]]") loop if (CONV_INTEGER(inStream_TSTRB_mInPtr_var) > 262144 - 1) then assert false report "Fifo overflow!" severity failure; end if; inStream_TSTRB_mem_var(CONV_INTEGER(inStream_TSTRB_mInPtr_var)) := esl_str2lv_hex(token, 1); inStream_TSTRB_mInPtr_var := esl_add(inStream_TSTRB_mInPtr_var, "1"); esl_read_token(fp, token_line, token); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); end loop; inStream_TSTRB_mInPtr <= inStream_TSTRB_mInPtr_var; inStream_TSTRB_mem <= inStream_TSTRB_mem_var; inStream_TSTRB_mFlag_hint <= '0'; wait until clk'event and clk = '0'; while (inStream_TSTRB_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); inStream_TSTRB_ingress_status <= ingress_status_var; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); transaction_idx := transaction_idx + 1; end loop; file_close(fp); wait until clk'event and clk = '0'; while (inStream_TSTRB_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; inStream_TSTRB_mFlag_hint <= '1'; wait; end process; TRAN_inStream_TSTRB <= inStream_TSTRB_mem(CONV_INTEGER(inStream_TSTRB_mOutPtr)); inStream_TSTRB_mOutPtr_proc : process(clk) begin if (clk'event and clk = '0') then if (ready = '1') then inStream_TSTRB_mOutPtr <= (others => '0'); end if; elsif (clk'event and clk = '1') then if (reg_inStream_TVALID = '1' and TRAN_inStream_TREADY = '1') then if (esl_icmp_ult(inStream_TSTRB_mOutPtr,inStream_TSTRB_mInPtr) = "1") then inStream_TSTRB_mOutPtr <= esl_add(inStream_TSTRB_mOutPtr, "1"); end if; end if; end if; end process; ------------------------------- inStream_TUSER -------------------------------- inStream_TUSER_empty_n_proc : process(inStream_TUSER_mInPtr, inStream_TUSER_mOutPtr, inStream_TUSER_mFlag_hint) begin if (esl_icmp_eq(inStream_TUSER_mInPtr, inStream_TUSER_mOutPtr) = "1" and (inStream_TUSER_mFlag_hint = '0')) then inStream_TUSER_empty_n <= '0'; else inStream_TUSER_empty_n <= '1'; end if; end process; proc_gen_inStream_TUSER_in_size: process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable i : INTEGER; variable token_line : LINE; variable token : STRING(1 to 200); begin file_open(fstatus, fp,"../tv/stream_size/stream_size_in_inStream_V_user_V.dat" , READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & "../tv/stream_size/stream_size_in_inStream_V_user_V.dat" & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); wait until reset = '1'; wait until clk'event and clk = '1'; while(token(1 to 14) /= "[[[/runtime]]]") loop i := 0; if(token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); inStream_TUSER_in_size <= esl_str_dec2int(token); wait until clk'event and clk = '0'; while (inStream_TUSER_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); --[[/transaction]] esl_read_token(fp, token_line, token); end loop; if(token(1 to 14) /= "[[[/runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; wait until clk'event and clk = '1'; file_close (fp); wait; end process; inStream_TUSER_in_end <= '1' when ((inStream_TUSER_in_size = 0) and (reset_reg = '1')) or ((inStream_TUSER_in_i = (inStream_TUSER_in_size - 1)) and (TRAN_inStream_TREADY = '1')) else '0'; inStream_TUSER_trans_num_sig <= inStream_TUSER_trans_num_reg + 1 when ((inStream_TUSER_in_end = '1') and (inStream_TUSER_trans_num_reg /= AUTOTB_TRANSACTION_NUM + 1)) else inStream_TUSER_trans_num_reg; inStream_TUSER_trans_num <= inStream_TUSER_trans_num_sig; proc_gen_inStream_TUSER_in_i : process(reset, clk) begin if(reset = '0') then inStream_TUSER_in_i <= 0; elsif(clk'event and clk = '1') then if(TRAN_inStream_TREADY = '1' and inStream_TUSER_in_i < inStream_TUSER_in_size - 1) then inStream_TUSER_in_i <= inStream_TUSER_in_i + 1; elsif(inStream_TUSER_in_end = '1') then inStream_TUSER_in_i <= 0; end if; end if; end process; proc_gen_inStream_TUSER_trans_num_reg : process(reset, clk) begin if(reset = '0') then inStream_TUSER_trans_num_reg <= X"00000001"; elsif(clk'event and clk = '1') then inStream_TUSER_trans_num_reg <= inStream_TUSER_trans_num_sig; end if; end process; proc_gen_inStream_TUSER_in_end_reg: process(reset, clk) begin if(reset = '0') then inStream_TUSER_in_end_reg <= '0'; elsif(clk'event and clk = '1') then inStream_TUSER_in_end_reg <= inStream_TUSER_in_end; end if; end process; inStream_TUSER_read_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128); variable token_len : INTEGER; variable token_int : INTEGER; file fp_ingress_status : TEXT; variable fstatus_ingress_status : FILE_OPEN_STATUS; variable token_line_ingress_status : LINE; variable token_ingress_status : STRING(1 to 128); variable ingress_status_var : INTEGER; variable transaction_idx : INTEGER; variable inStream_TUSER_mInPtr_var : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); variable inStream_TUSER_mem_var : inStream_TUSER_arr2D := (others => (others => '0')); begin inStream_TUSER_mFlag_hint <= '0'; transaction_idx := 0; wait until reset = '1'; wait until clk'event and clk = '1'; file_open(fstatus, fp, TV_IN_inStream_TUSER, READ_MODE); if (fstatus /= OPEN_OK) then assert false report "Open file " & TV_IN_inStream_TUSER & " failed!!!" severity failure; end if; esl_read_token(fp, token_line, token); if (token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); file_open(fstatus_ingress_status, fp_ingress_status, INGRESS_STATUS_inStream_TUSER, READ_MODE); if (fstatus_ingress_status /= OPEN_OK) then assert false report "Open file " & INGRESS_STATUS_inStream_TUSER & " failed!!!" severity failure; end if; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); while (token(1 to 14) /= "[[[/runtime]]]") loop if (token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number -- Start to read data for every transaction round esl_read_token(fp, token_line, token); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); -- Skip transaction number esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); inStream_TUSER_mInPtr_var := (others => '0'); while (token(1 to 16) /= "[[/transaction]]") loop if (CONV_INTEGER(inStream_TUSER_mInPtr_var) > 262144 - 1) then assert false report "Fifo overflow!" severity failure; end if; inStream_TUSER_mem_var(CONV_INTEGER(inStream_TUSER_mInPtr_var)) := esl_str2lv_hex(token, 2); inStream_TUSER_mInPtr_var := esl_add(inStream_TUSER_mInPtr_var, "1"); esl_read_token(fp, token_line, token); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); end loop; inStream_TUSER_mInPtr <= inStream_TUSER_mInPtr_var; inStream_TUSER_mem <= inStream_TUSER_mem_var; inStream_TUSER_mFlag_hint <= '0'; wait until clk'event and clk = '0'; while (inStream_TUSER_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); inStream_TUSER_ingress_status <= ingress_status_var; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); transaction_idx := transaction_idx + 1; end loop; file_close(fp); wait until clk'event and clk = '0'; while (inStream_TUSER_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; inStream_TUSER_mFlag_hint <= '1'; wait; end process; TRAN_inStream_TUSER <= inStream_TUSER_mem(CONV_INTEGER(inStream_TUSER_mOutPtr)); inStream_TUSER_mOutPtr_proc : process(clk) begin if (clk'event and clk = '0') then if (ready = '1') then inStream_TUSER_mOutPtr <= (others => '0'); end if; elsif (clk'event and clk = '1') then if (reg_inStream_TVALID = '1' and TRAN_inStream_TREADY = '1') then if (esl_icmp_ult(inStream_TUSER_mOutPtr,inStream_TUSER_mInPtr) = "1") then inStream_TUSER_mOutPtr <= esl_add(inStream_TUSER_mOutPtr, "1"); end if; end if; end if; end process; ------------------------------- inStream_TLAST -------------------------------- inStream_TLAST_empty_n_proc : process(inStream_TLAST_mInPtr, inStream_TLAST_mOutPtr, inStream_TLAST_mFlag_hint) begin if (esl_icmp_eq(inStream_TLAST_mInPtr, inStream_TLAST_mOutPtr) = "1" and (inStream_TLAST_mFlag_hint = '0')) then inStream_TLAST_empty_n <= '0'; else inStream_TLAST_empty_n <= '1'; end if; end process; proc_gen_inStream_TLAST_in_size: process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable i : INTEGER; variable token_line : LINE; variable token : STRING(1 to 200); begin file_open(fstatus, fp,"../tv/stream_size/stream_size_in_inStream_V_last_V.dat" , READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & "../tv/stream_size/stream_size_in_inStream_V_last_V.dat" & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); wait until reset = '1'; wait until clk'event and clk = '1'; while(token(1 to 14) /= "[[[/runtime]]]") loop i := 0; if(token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); inStream_TLAST_in_size <= esl_str_dec2int(token); wait until clk'event and clk = '0'; while (inStream_TLAST_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); --[[/transaction]] esl_read_token(fp, token_line, token); end loop; if(token(1 to 14) /= "[[[/runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; wait until clk'event and clk = '1'; file_close (fp); wait; end process; inStream_TLAST_in_end <= '1' when ((inStream_TLAST_in_size = 0) and (reset_reg = '1')) or ((inStream_TLAST_in_i = (inStream_TLAST_in_size - 1)) and (TRAN_inStream_TREADY = '1')) else '0'; inStream_TLAST_trans_num_sig <= inStream_TLAST_trans_num_reg + 1 when ((inStream_TLAST_in_end = '1') and (inStream_TLAST_trans_num_reg /= AUTOTB_TRANSACTION_NUM + 1)) else inStream_TLAST_trans_num_reg; inStream_TLAST_trans_num <= inStream_TLAST_trans_num_sig; proc_gen_inStream_TLAST_in_i : process(reset, clk) begin if(reset = '0') then inStream_TLAST_in_i <= 0; elsif(clk'event and clk = '1') then if(TRAN_inStream_TREADY = '1' and inStream_TLAST_in_i < inStream_TLAST_in_size - 1) then inStream_TLAST_in_i <= inStream_TLAST_in_i + 1; elsif(inStream_TLAST_in_end = '1') then inStream_TLAST_in_i <= 0; end if; end if; end process; proc_gen_inStream_TLAST_trans_num_reg : process(reset, clk) begin if(reset = '0') then inStream_TLAST_trans_num_reg <= X"00000001"; elsif(clk'event and clk = '1') then inStream_TLAST_trans_num_reg <= inStream_TLAST_trans_num_sig; end if; end process; proc_gen_inStream_TLAST_in_end_reg: process(reset, clk) begin if(reset = '0') then inStream_TLAST_in_end_reg <= '0'; elsif(clk'event and clk = '1') then inStream_TLAST_in_end_reg <= inStream_TLAST_in_end; end if; end process; inStream_TLAST_read_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128); variable token_len : INTEGER; variable token_int : INTEGER; file fp_ingress_status : TEXT; variable fstatus_ingress_status : FILE_OPEN_STATUS; variable token_line_ingress_status : LINE; variable token_ingress_status : STRING(1 to 128); variable ingress_status_var : INTEGER; variable transaction_idx : INTEGER; variable inStream_TLAST_mInPtr_var : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); variable inStream_TLAST_mem_var : inStream_TLAST_arr2D := (others => (others => '0')); begin inStream_TLAST_mFlag_hint <= '0'; transaction_idx := 0; wait until reset = '1'; wait until clk'event and clk = '1'; file_open(fstatus, fp, TV_IN_inStream_TLAST, READ_MODE); if (fstatus /= OPEN_OK) then assert false report "Open file " & TV_IN_inStream_TLAST & " failed!!!" severity failure; end if; esl_read_token(fp, token_line, token); if (token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); file_open(fstatus_ingress_status, fp_ingress_status, INGRESS_STATUS_inStream_TLAST, READ_MODE); if (fstatus_ingress_status /= OPEN_OK) then assert false report "Open file " & INGRESS_STATUS_inStream_TLAST & " failed!!!" severity failure; end if; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); while (token(1 to 14) /= "[[[/runtime]]]") loop if (token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number -- Start to read data for every transaction round esl_read_token(fp, token_line, token); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); -- Skip transaction number esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); inStream_TLAST_mInPtr_var := (others => '0'); while (token(1 to 16) /= "[[/transaction]]") loop if (CONV_INTEGER(inStream_TLAST_mInPtr_var) > 262144 - 1) then assert false report "Fifo overflow!" severity failure; end if; inStream_TLAST_mem_var(CONV_INTEGER(inStream_TLAST_mInPtr_var)) := esl_str2lv_hex(token, 1); inStream_TLAST_mInPtr_var := esl_add(inStream_TLAST_mInPtr_var, "1"); esl_read_token(fp, token_line, token); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); end loop; inStream_TLAST_mInPtr <= inStream_TLAST_mInPtr_var; inStream_TLAST_mem <= inStream_TLAST_mem_var; inStream_TLAST_mFlag_hint <= '0'; wait until clk'event and clk = '0'; while (inStream_TLAST_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); inStream_TLAST_ingress_status <= ingress_status_var; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); transaction_idx := transaction_idx + 1; end loop; file_close(fp); wait until clk'event and clk = '0'; while (inStream_TLAST_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; inStream_TLAST_mFlag_hint <= '1'; wait; end process; TRAN_inStream_TLAST <= inStream_TLAST_mem(CONV_INTEGER(inStream_TLAST_mOutPtr)); inStream_TLAST_mOutPtr_proc : process(clk) begin if (clk'event and clk = '0') then if (ready = '1') then inStream_TLAST_mOutPtr <= (others => '0'); end if; elsif (clk'event and clk = '1') then if (reg_inStream_TVALID = '1' and TRAN_inStream_TREADY = '1') then if (esl_icmp_ult(inStream_TLAST_mOutPtr,inStream_TLAST_mInPtr) = "1") then inStream_TLAST_mOutPtr <= esl_add(inStream_TLAST_mOutPtr, "1"); end if; end if; end if; end process; ------------------------------- inStream_TID -------------------------------- inStream_TID_empty_n_proc : process(inStream_TID_mInPtr, inStream_TID_mOutPtr, inStream_TID_mFlag_hint) begin if (esl_icmp_eq(inStream_TID_mInPtr, inStream_TID_mOutPtr) = "1" and (inStream_TID_mFlag_hint = '0')) then inStream_TID_empty_n <= '0'; else inStream_TID_empty_n <= '1'; end if; end process; proc_gen_inStream_TID_in_size: process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable i : INTEGER; variable token_line : LINE; variable token : STRING(1 to 200); begin file_open(fstatus, fp,"../tv/stream_size/stream_size_in_inStream_V_id_V.dat" , READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & "../tv/stream_size/stream_size_in_inStream_V_id_V.dat" & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); wait until reset = '1'; wait until clk'event and clk = '1'; while(token(1 to 14) /= "[[[/runtime]]]") loop i := 0; if(token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); inStream_TID_in_size <= esl_str_dec2int(token); wait until clk'event and clk = '0'; while (inStream_TID_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); --[[/transaction]] esl_read_token(fp, token_line, token); end loop; if(token(1 to 14) /= "[[[/runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; wait until clk'event and clk = '1'; file_close (fp); wait; end process; inStream_TID_in_end <= '1' when ((inStream_TID_in_size = 0) and (reset_reg = '1')) or ((inStream_TID_in_i = (inStream_TID_in_size - 1)) and (TRAN_inStream_TREADY = '1')) else '0'; inStream_TID_trans_num_sig <= inStream_TID_trans_num_reg + 1 when ((inStream_TID_in_end = '1') and (inStream_TID_trans_num_reg /= AUTOTB_TRANSACTION_NUM + 1)) else inStream_TID_trans_num_reg; inStream_TID_trans_num <= inStream_TID_trans_num_sig; proc_gen_inStream_TID_in_i : process(reset, clk) begin if(reset = '0') then inStream_TID_in_i <= 0; elsif(clk'event and clk = '1') then if(TRAN_inStream_TREADY = '1' and inStream_TID_in_i < inStream_TID_in_size - 1) then inStream_TID_in_i <= inStream_TID_in_i + 1; elsif(inStream_TID_in_end = '1') then inStream_TID_in_i <= 0; end if; end if; end process; proc_gen_inStream_TID_trans_num_reg : process(reset, clk) begin if(reset = '0') then inStream_TID_trans_num_reg <= X"00000001"; elsif(clk'event and clk = '1') then inStream_TID_trans_num_reg <= inStream_TID_trans_num_sig; end if; end process; proc_gen_inStream_TID_in_end_reg: process(reset, clk) begin if(reset = '0') then inStream_TID_in_end_reg <= '0'; elsif(clk'event and clk = '1') then inStream_TID_in_end_reg <= inStream_TID_in_end; end if; end process; inStream_TID_read_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128); variable token_len : INTEGER; variable token_int : INTEGER; file fp_ingress_status : TEXT; variable fstatus_ingress_status : FILE_OPEN_STATUS; variable token_line_ingress_status : LINE; variable token_ingress_status : STRING(1 to 128); variable ingress_status_var : INTEGER; variable transaction_idx : INTEGER; variable inStream_TID_mInPtr_var : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); variable inStream_TID_mem_var : inStream_TID_arr2D := (others => (others => '0')); begin inStream_TID_mFlag_hint <= '0'; transaction_idx := 0; wait until reset = '1'; wait until clk'event and clk = '1'; file_open(fstatus, fp, TV_IN_inStream_TID, READ_MODE); if (fstatus /= OPEN_OK) then assert false report "Open file " & TV_IN_inStream_TID & " failed!!!" severity failure; end if; esl_read_token(fp, token_line, token); if (token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); file_open(fstatus_ingress_status, fp_ingress_status, INGRESS_STATUS_inStream_TID, READ_MODE); if (fstatus_ingress_status /= OPEN_OK) then assert false report "Open file " & INGRESS_STATUS_inStream_TID & " failed!!!" severity failure; end if; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); while (token(1 to 14) /= "[[[/runtime]]]") loop if (token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number -- Start to read data for every transaction round esl_read_token(fp, token_line, token); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); -- Skip transaction number esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); inStream_TID_mInPtr_var := (others => '0'); while (token(1 to 16) /= "[[/transaction]]") loop if (CONV_INTEGER(inStream_TID_mInPtr_var) > 262144 - 1) then assert false report "Fifo overflow!" severity failure; end if; inStream_TID_mem_var(CONV_INTEGER(inStream_TID_mInPtr_var)) := esl_str2lv_hex(token, 5); inStream_TID_mInPtr_var := esl_add(inStream_TID_mInPtr_var, "1"); esl_read_token(fp, token_line, token); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); end loop; inStream_TID_mInPtr <= inStream_TID_mInPtr_var; inStream_TID_mem <= inStream_TID_mem_var; inStream_TID_mFlag_hint <= '0'; wait until clk'event and clk = '0'; while (inStream_TID_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); inStream_TID_ingress_status <= ingress_status_var; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); transaction_idx := transaction_idx + 1; end loop; file_close(fp); wait until clk'event and clk = '0'; while (inStream_TID_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; inStream_TID_mFlag_hint <= '1'; wait; end process; TRAN_inStream_TID <= inStream_TID_mem(CONV_INTEGER(inStream_TID_mOutPtr)); inStream_TID_mOutPtr_proc : process(clk) begin if (clk'event and clk = '0') then if (ready = '1') then inStream_TID_mOutPtr <= (others => '0'); end if; elsif (clk'event and clk = '1') then if (reg_inStream_TVALID = '1' and TRAN_inStream_TREADY = '1') then if (esl_icmp_ult(inStream_TID_mOutPtr,inStream_TID_mInPtr) = "1") then inStream_TID_mOutPtr <= esl_add(inStream_TID_mOutPtr, "1"); end if; end if; end if; end process; ------------------------------- inStream_TDEST -------------------------------- inStream_TDEST_empty_n_proc : process(inStream_TDEST_mInPtr, inStream_TDEST_mOutPtr, inStream_TDEST_mFlag_hint) begin if (esl_icmp_eq(inStream_TDEST_mInPtr, inStream_TDEST_mOutPtr) = "1" and (inStream_TDEST_mFlag_hint = '0')) then inStream_TDEST_empty_n <= '0'; else inStream_TDEST_empty_n <= '1'; end if; end process; proc_gen_inStream_TDEST_in_size: process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable i : INTEGER; variable token_line : LINE; variable token : STRING(1 to 200); begin file_open(fstatus, fp,"../tv/stream_size/stream_size_in_inStream_V_dest_V.dat" , READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & "../tv/stream_size/stream_size_in_inStream_V_dest_V.dat" & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); wait until reset = '1'; wait until clk'event and clk = '1'; while(token(1 to 14) /= "[[[/runtime]]]") loop i := 0; if(token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); inStream_TDEST_in_size <= esl_str_dec2int(token); wait until clk'event and clk = '0'; while (inStream_TDEST_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); --[[/transaction]] esl_read_token(fp, token_line, token); end loop; if(token(1 to 14) /= "[[[/runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; wait until clk'event and clk = '1'; file_close (fp); wait; end process; inStream_TDEST_in_end <= '1' when ((inStream_TDEST_in_size = 0) and (reset_reg = '1')) or ((inStream_TDEST_in_i = (inStream_TDEST_in_size - 1)) and (TRAN_inStream_TREADY = '1')) else '0'; inStream_TDEST_trans_num_sig <= inStream_TDEST_trans_num_reg + 1 when ((inStream_TDEST_in_end = '1') and (inStream_TDEST_trans_num_reg /= AUTOTB_TRANSACTION_NUM + 1)) else inStream_TDEST_trans_num_reg; inStream_TDEST_trans_num <= inStream_TDEST_trans_num_sig; proc_gen_inStream_TDEST_in_i : process(reset, clk) begin if(reset = '0') then inStream_TDEST_in_i <= 0; elsif(clk'event and clk = '1') then if(TRAN_inStream_TREADY = '1' and inStream_TDEST_in_i < inStream_TDEST_in_size - 1) then inStream_TDEST_in_i <= inStream_TDEST_in_i + 1; elsif(inStream_TDEST_in_end = '1') then inStream_TDEST_in_i <= 0; end if; end if; end process; proc_gen_inStream_TDEST_trans_num_reg : process(reset, clk) begin if(reset = '0') then inStream_TDEST_trans_num_reg <= X"00000001"; elsif(clk'event and clk = '1') then inStream_TDEST_trans_num_reg <= inStream_TDEST_trans_num_sig; end if; end process; proc_gen_inStream_TDEST_in_end_reg: process(reset, clk) begin if(reset = '0') then inStream_TDEST_in_end_reg <= '0'; elsif(clk'event and clk = '1') then inStream_TDEST_in_end_reg <= inStream_TDEST_in_end; end if; end process; inStream_TDEST_read_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128); variable token_len : INTEGER; variable token_int : INTEGER; file fp_ingress_status : TEXT; variable fstatus_ingress_status : FILE_OPEN_STATUS; variable token_line_ingress_status : LINE; variable token_ingress_status : STRING(1 to 128); variable ingress_status_var : INTEGER; variable transaction_idx : INTEGER; variable inStream_TDEST_mInPtr_var : STD_LOGIC_VECTOR (19 downto 0) := (others => '0'); variable inStream_TDEST_mem_var : inStream_TDEST_arr2D := (others => (others => '0')); begin inStream_TDEST_mFlag_hint <= '0'; transaction_idx := 0; wait until reset = '1'; wait until clk'event and clk = '1'; file_open(fstatus, fp, TV_IN_inStream_TDEST, READ_MODE); if (fstatus /= OPEN_OK) then assert false report "Open file " & TV_IN_inStream_TDEST & " failed!!!" severity failure; end if; esl_read_token(fp, token_line, token); if (token(1 to 13) /= "[[[runtime]]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); file_open(fstatus_ingress_status, fp_ingress_status, INGRESS_STATUS_inStream_TDEST, READ_MODE); if (fstatus_ingress_status /= OPEN_OK) then assert false report "Open file " & INGRESS_STATUS_inStream_TDEST & " failed!!!" severity failure; end if; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); while (token(1 to 14) /= "[[[/runtime]]]") loop if (token(1 to 15) /= "[[transaction]]") then assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number -- Start to read data for every transaction round esl_read_token(fp, token_line, token); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); -- Skip transaction number esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); inStream_TDEST_mInPtr_var := (others => '0'); while (token(1 to 16) /= "[[/transaction]]") loop if (CONV_INTEGER(inStream_TDEST_mInPtr_var) > 262144 - 1) then assert false report "Fifo overflow!" severity failure; end if; inStream_TDEST_mem_var(CONV_INTEGER(inStream_TDEST_mInPtr_var)) := esl_str2lv_hex(token, 6); inStream_TDEST_mInPtr_var := esl_add(inStream_TDEST_mInPtr_var, "1"); esl_read_token(fp, token_line, token); ingress_status_var := esl_str_dec2int(token_ingress_status); esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); end loop; inStream_TDEST_mInPtr <= inStream_TDEST_mInPtr_var; inStream_TDEST_mem <= inStream_TDEST_mem_var; inStream_TDEST_mFlag_hint <= '0'; wait until clk'event and clk = '0'; while (inStream_TDEST_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; esl_read_token(fp, token_line, token); inStream_TDEST_ingress_status <= ingress_status_var; esl_read_token(fp_ingress_status, token_line_ingress_status, token_ingress_status); transaction_idx := transaction_idx + 1; end loop; file_close(fp); wait until clk'event and clk = '0'; while (inStream_TDEST_in_end_reg /= '1') loop wait until clk'event and clk = '0'; end loop; inStream_TDEST_mFlag_hint <= '1'; wait; end process; TRAN_inStream_TDEST <= inStream_TDEST_mem(CONV_INTEGER(inStream_TDEST_mOutPtr)); inStream_TDEST_mOutPtr_proc : process(clk) begin if (clk'event and clk = '0') then if (ready = '1') then inStream_TDEST_mOutPtr <= (others => '0'); end if; elsif (clk'event and clk = '1') then if (reg_inStream_TVALID = '1' and TRAN_inStream_TREADY = '1') then if (esl_icmp_ult(inStream_TDEST_mOutPtr,inStream_TDEST_mInPtr) = "1") then inStream_TDEST_mOutPtr <= esl_add(inStream_TDEST_mOutPtr, "1"); end if; end if; end if; end process; end behav;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:18:42 04/23/2015 -- Design Name: -- Module Name: convolutional_encoder - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; --use IEEE.STD_logic_arith.ALL; use IEEE.std_logic_unsigned.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity convolutional_encoder is Generic ( TAM_REG : integer := 7; SAT_ESPERA : integer := 2); Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; button : in STD_LOGIC; bit_in : in STD_LOGIC; ok_bit_in : in STD_LOGIC; fin_rx : in STD_LOGIC; sat : in STD_LOGIC; first_bit_out : out STD_LOGIC; second_bit_out : out STD_LOGIC; ok_bit_out : out STD_LOGIC; fin_tx : out STD_LOGIC); end convolutional_encoder; architecture Behavioral of convolutional_encoder is type estado is ( reposo, normal, relleno); signal estado_actual, estado_nuevo : estado; --signal estado_nuevo : estado; signal reg, p_reg : STD_LOGIC_VECTOR (TAM_REG -1 downto 0); signal cont_relleno, p_cont_relleno : integer range 0 to TAM_REG -1; --contador para ceross de relleno signal p_ok_bit_out, p_fin_tx : STD_LOGIC; begin comb : process(estado_actual, reg, ok_bit_in, bit_in, cont_relleno, fin_rx, button, sat) begin p_reg <= reg; p_cont_relleno <= cont_relleno; p_ok_bit_out <= '0'; p_fin_tx <= '0'; estado_nuevo <= estado_actual; first_bit_out <= reg(6) xor reg(5) xor reg(3) xor reg(1) xor reg(0); second_bit_out <= reg(6) xor reg(3) xor reg(2) xor reg(0); case estado_actual is when reposo => if ( button = '1' ) then estado_nuevo <= normal; p_reg <= (others => '0'); end if; when normal => if ( ok_bit_in = '1' ) then p_reg(5 downto 0) <= reg(6 downto 1); p_reg(6) <= bit_in; p_ok_bit_out <= '1'; end if; if ( fin_rx = '1' ) then estado_nuevo <= relleno; p_cont_relleno <= TAM_REG -1; end if; when relleno => if ( cont_relleno = 0 and sat = '1') then estado_nuevo <= reposo; p_fin_tx <= '1'; elsif ( sat = '1' ) then p_cont_relleno <= cont_relleno -1; p_reg(5 downto 0) <= reg(6 downto 1); p_reg(6) <= '0'; p_ok_bit_out <= '1'; end if; end case; end process; sinc : process (clk, reset) begin if ( reset = '1' ) then reg <= (others => '0'); ok_bit_out <= '0'; estado_actual <= reposo; cont_relleno <= TAM_REG -1; elsif ( rising_edge(clk) ) then cont_relleno <= p_cont_relleno; reg <= p_reg; fin_tx <= p_fin_tx; ok_bit_out <= p_ok_bit_out; estado_actual <= estado_nuevo; end if; end process; end Behavioral;
architecture RTL of ENT is begin -- Align left = no align paren = no n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); n_bar <= a or b and c xor z and x or w and z; n_bar <= a or b and c xor z and x or w and z; -- Align left = no align paren = yes n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); n_bar <= a or b and c xor z and x or w and z; n_bar <= a or b and c xor z and x or w and z; -- Align left = yes and align paren = no n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); n_bar <= a or b and c xor z and x or w and z; n_bar <= a or b and c xor z and x or w and z; -- Align left = yes and align paren = yes n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); n_bar <= a or b and c xor z and x or w and z; n_bar <= a or b and c xor z and x or w and z; end architecture RTL;
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Shift_Unit -- Project Name: OurALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Shift Unit -- Operations - Shift Left, Shift Right --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ALU_Shift_Unit is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); COUNT : in STD_LOGIC_VECTOR (2 downto 0); OP : in STD_LOGIC; RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end ALU_Shift_Unit; architecture Combinational of ALU_Shift_Unit is signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0'); begin shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT)); shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT)); RESULT <= shift_left when OP='0' else shift_right; end Combinational;
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Shift_Unit -- Project Name: OurALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Shift Unit -- Operations - Shift Left, Shift Right --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ALU_Shift_Unit is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); COUNT : in STD_LOGIC_VECTOR (2 downto 0); OP : in STD_LOGIC; RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end ALU_Shift_Unit; architecture Combinational of ALU_Shift_Unit is signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0'); begin shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT)); shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT)); RESULT <= shift_left when OP='0' else shift_right; end Combinational;
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Shift_Unit -- Project Name: OurALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Shift Unit -- Operations - Shift Left, Shift Right --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ALU_Shift_Unit is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); COUNT : in STD_LOGIC_VECTOR (2 downto 0); OP : in STD_LOGIC; RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end ALU_Shift_Unit; architecture Combinational of ALU_Shift_Unit is signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0'); begin shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT)); shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT)); RESULT <= shift_left when OP='0' else shift_right; end Combinational;
architecture RTl of FIFO is component fifo IS end component fifo; -- Failures below component fifo IS end component fifo; component fifo IS end component fifo; begin end architecture RTL;
---------------------------------------------------------------------------------- -- Company: @Home -- Engineer: Zoltan Pekic ([email protected]) -- -- Create Date: 21:07:52 02/22/2016 -- Design Name: -- Module Name: converter24to12 - Behavioral -- Project Name: Alarm Clock -- Target Devices: Mercury FPGA + Baseboard (http://www.micro-nova.com/mercury/) -- Tool versions: Xilinx ISE 14.7 (nt64)-- Company: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity converter24to12 is Port ( select_12hr : in STD_LOGIC; hour24 : in STD_LOGIC_VECTOR (7 downto 0); hour_ispm : out STD_LOGIC; hour_12or24 : out STD_LOGIC_VECTOR (7 downto 0)); end converter24to12; architecture Behavioral of converter24to12 is begin convert: process(select_12hr, hour24) begin if (select_12hr = '1') then -- convert to 12hr mode (note both input and outputs are BCD!) case hour24 is when "00000000" => -- 00 is 12am hour_12or24 <= "00010010"; hour_ispm <= '0'; when "00000001" => -- 01 is 01am hour_12or24 <= "00000001"; hour_ispm <= '0'; when "00000010" => -- 02 is 02am hour_12or24 <= "00000010"; hour_ispm <= '0'; when "00000011" => -- 03 is 03am hour_12or24 <= "00000011"; hour_ispm <= '0'; when "00000100" => -- 04 is 04am hour_12or24 <= "00000100"; hour_ispm <= '0'; when "00000101" => -- 05 is 05am hour_12or24 <= "00000101"; hour_ispm <= '0'; when "00000110" => -- 06 is 06am hour_12or24 <= "00000110"; hour_ispm <= '0'; when "00000111" => -- 07 is 07am hour_12or24 <= "00000111"; hour_ispm <= '0'; when "00001000" => -- 08 is 08am hour_12or24 <= "00001000"; hour_ispm <= '0'; when "00001001" => -- 09 is 09am hour_12or24 <= "00001001"; hour_ispm <= '0'; when "00010000" => -- 10 is 10am hour_12or24 <= "00010000"; hour_ispm <= '0'; when "00010001" => -- 11 is 11am hour_12or24 <= "00010001"; hour_ispm <= '0'; when "00010010" => -- 12 is 12pm hour_12or24 <= "00010010"; hour_ispm <= '1'; when "00010011" => -- 13 is 01pm hour_12or24 <= "00000001"; hour_ispm <= '1'; when "00010100" => -- 14 is 02pm hour_12or24 <= "00000010"; hour_ispm <= '1'; when "00010101" => -- 15 is 03pm hour_12or24 <= "00000011"; hour_ispm <= '1'; when "00010110" => -- 16 is 04pm hour_12or24 <= "00000100"; hour_ispm <= '1'; when "00010111" => -- 17 is 05pm hour_12or24 <= "00000101"; hour_ispm <= '1'; when "00011000" => -- 18 is 06pm hour_12or24 <= "00000110"; hour_ispm <= '1'; when "00011001" => -- 19 is 07pm hour_12or24 <= "00000111"; hour_ispm <= '1'; when "00100000" => -- 20 is 08pm hour_12or24 <= "00001000"; hour_ispm <= '1'; when "00100001" => -- 21 is 09pm hour_12or24 <= "00001001"; hour_ispm <= '1'; when "00100010" => -- 22 is 10pm hour_12or24 <= "00010000"; hour_ispm <= '1'; when "00100011" => -- 23 is 11pm hour_12or24 <= "00010001"; hour_ispm <= '1'; when others => null; end case; else -- we are in 24hr mode, no change hour_12or24 <= hour24; hour_ispm <= '0'; end if; end process; end Behavioral;
package body upf is function supply_on ( constant supply_name : string; constant voltage : real) return boolean is begin return true; end supply_on; function supply_partial_on ( constant supply_name : string; constant voltage : real) return boolean is begin return true; end supply_partial_on; function supply_off ( constant supply_name : string) return boolean is begin return true; end supply_off; end upf;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY SDPRAM_9A16x9B16 IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) ); END SDPRAM_9A16x9B16; ARCHITECTURE SDPRAM_9A16x9B16_arch OF SDPRAM_9A16x9B16 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(8 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); sleep : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF SDPRAM_9A16x9B16_arch : ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=SDPRAM_9A16x9B16.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=9,C_READ_WIDTH_A=9,C_WRITE_DEPTH_A=16,C_READ_DEPTH_A=16,C_ADDRA_WIDTH=4,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=9,C_READ_WIDTH_B=9,C_WRITE_DEPTH_B=16,C_READ_DEPTH_B=16,C_ADDRB_WIDTH=4,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.7261500000000001 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 1, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 0, C_INIT_FILE_NAME => "no_coe_file_loaded", C_INIT_FILE => "SDPRAM_9A16x9B16.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "NO_CHANGE", C_WRITE_WIDTH_A => 9, C_READ_WIDTH_A => 9, C_WRITE_DEPTH_A => 16, C_READ_DEPTH_A => 16, C_ADDRA_WIDTH => 4, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 1, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "READ_FIRST", C_WRITE_WIDTH_B => 9, C_READ_WIDTH_B => 9, C_WRITE_DEPTH_B => 16, C_READ_DEPTH_B => 16, C_ADDRB_WIDTH => 4, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 1, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "0", C_COUNT_18K_BRAM => "1", C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.7261500000000001 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, clkb => clkb, rstb => '0', enb => enb, regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => addrb, dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), doutb => doutb, injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END SDPRAM_9A16x9B16_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY SDPRAM_9A16x9B16 IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) ); END SDPRAM_9A16x9B16; ARCHITECTURE SDPRAM_9A16x9B16_arch OF SDPRAM_9A16x9B16 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(8 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); sleep : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF SDPRAM_9A16x9B16_arch : ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=SDPRAM_9A16x9B16.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=9,C_READ_WIDTH_A=9,C_WRITE_DEPTH_A=16,C_READ_DEPTH_A=16,C_ADDRA_WIDTH=4,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=9,C_READ_WIDTH_B=9,C_WRITE_DEPTH_B=16,C_READ_DEPTH_B=16,C_ADDRB_WIDTH=4,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.7261500000000001 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 1, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 0, C_INIT_FILE_NAME => "no_coe_file_loaded", C_INIT_FILE => "SDPRAM_9A16x9B16.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "NO_CHANGE", C_WRITE_WIDTH_A => 9, C_READ_WIDTH_A => 9, C_WRITE_DEPTH_A => 16, C_READ_DEPTH_A => 16, C_ADDRA_WIDTH => 4, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 1, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "READ_FIRST", C_WRITE_WIDTH_B => 9, C_READ_WIDTH_B => 9, C_WRITE_DEPTH_B => 16, C_READ_DEPTH_B => 16, C_ADDRB_WIDTH => 4, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 1, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "0", C_COUNT_18K_BRAM => "1", C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.7261500000000001 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, clkb => clkb, rstb => '0', enb => enb, regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => addrb, dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), doutb => doutb, injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END SDPRAM_9A16x9B16_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY SDPRAM_9A16x9B16 IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) ); END SDPRAM_9A16x9B16; ARCHITECTURE SDPRAM_9A16x9B16_arch OF SDPRAM_9A16x9B16 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(8 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); sleep : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF SDPRAM_9A16x9B16_arch : ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=SDPRAM_9A16x9B16.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=9,C_READ_WIDTH_A=9,C_WRITE_DEPTH_A=16,C_READ_DEPTH_A=16,C_ADDRA_WIDTH=4,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=9,C_READ_WIDTH_B=9,C_WRITE_DEPTH_B=16,C_READ_DEPTH_B=16,C_ADDRB_WIDTH=4,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.7261500000000001 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 1, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 0, C_INIT_FILE_NAME => "no_coe_file_loaded", C_INIT_FILE => "SDPRAM_9A16x9B16.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "NO_CHANGE", C_WRITE_WIDTH_A => 9, C_READ_WIDTH_A => 9, C_WRITE_DEPTH_A => 16, C_READ_DEPTH_A => 16, C_ADDRA_WIDTH => 4, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 1, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "READ_FIRST", C_WRITE_WIDTH_B => 9, C_READ_WIDTH_B => 9, C_WRITE_DEPTH_B => 16, C_READ_DEPTH_B => 16, C_ADDRB_WIDTH => 4, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 1, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "0", C_COUNT_18K_BRAM => "1", C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.7261500000000001 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, clkb => clkb, rstb => '0', enb => enb, regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => addrb, dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), doutb => doutb, injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END SDPRAM_9A16x9B16_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY SDPRAM_9A16x9B16 IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) ); END SDPRAM_9A16x9B16; ARCHITECTURE SDPRAM_9A16x9B16_arch OF SDPRAM_9A16x9B16 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(8 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); sleep : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF SDPRAM_9A16x9B16_arch : ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=SDPRAM_9A16x9B16.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=9,C_READ_WIDTH_A=9,C_WRITE_DEPTH_A=16,C_READ_DEPTH_A=16,C_ADDRA_WIDTH=4,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=9,C_READ_WIDTH_B=9,C_WRITE_DEPTH_B=16,C_READ_DEPTH_B=16,C_ADDRB_WIDTH=4,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.7261500000000001 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 1, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 0, C_INIT_FILE_NAME => "no_coe_file_loaded", C_INIT_FILE => "SDPRAM_9A16x9B16.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "NO_CHANGE", C_WRITE_WIDTH_A => 9, C_READ_WIDTH_A => 9, C_WRITE_DEPTH_A => 16, C_READ_DEPTH_A => 16, C_ADDRA_WIDTH => 4, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 1, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "READ_FIRST", C_WRITE_WIDTH_B => 9, C_READ_WIDTH_B => 9, C_WRITE_DEPTH_B => 16, C_READ_DEPTH_B => 16, C_ADDRB_WIDTH => 4, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 1, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "0", C_COUNT_18K_BRAM => "1", C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.7261500000000001 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, clkb => clkb, rstb => '0', enb => enb, regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => addrb, dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), doutb => doutb, injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END SDPRAM_9A16x9B16_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY SDPRAM_9A16x9B16 IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) ); END SDPRAM_9A16x9B16; ARCHITECTURE SDPRAM_9A16x9B16_arch OF SDPRAM_9A16x9B16 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(8 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); sleep : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF SDPRAM_9A16x9B16_arch : ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=SDPRAM_9A16x9B16.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=9,C_READ_WIDTH_A=9,C_WRITE_DEPTH_A=16,C_READ_DEPTH_A=16,C_ADDRA_WIDTH=4,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=9,C_READ_WIDTH_B=9,C_WRITE_DEPTH_B=16,C_READ_DEPTH_B=16,C_ADDRB_WIDTH=4,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.7261500000000001 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 1, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 0, C_INIT_FILE_NAME => "no_coe_file_loaded", C_INIT_FILE => "SDPRAM_9A16x9B16.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "NO_CHANGE", C_WRITE_WIDTH_A => 9, C_READ_WIDTH_A => 9, C_WRITE_DEPTH_A => 16, C_READ_DEPTH_A => 16, C_ADDRA_WIDTH => 4, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 1, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "READ_FIRST", C_WRITE_WIDTH_B => 9, C_READ_WIDTH_B => 9, C_WRITE_DEPTH_B => 16, C_READ_DEPTH_B => 16, C_ADDRB_WIDTH => 4, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 1, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "0", C_COUNT_18K_BRAM => "1", C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.7261500000000001 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, clkb => clkb, rstb => '0', enb => enb, regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => addrb, dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), doutb => doutb, injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END SDPRAM_9A16x9B16_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY SDPRAM_9A16x9B16 IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) ); END SDPRAM_9A16x9B16; ARCHITECTURE SDPRAM_9A16x9B16_arch OF SDPRAM_9A16x9B16 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(8 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(8 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); sleep : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF SDPRAM_9A16x9B16_arch : ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF SDPRAM_9A16x9B16_arch: ARCHITECTURE IS "SDPRAM_9A16x9B16,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=SDPRAM_9A16x9B16.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=9,C_READ_WIDTH_A=9,C_WRITE_DEPTH_A=16,C_READ_DEPTH_A=16,C_ADDRA_WIDTH=4,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=9,C_READ_WIDTH_B=9,C_WRITE_DEPTH_B=16,C_READ_DEPTH_B=16,C_ADDRB_WIDTH=4,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.7261500000000001 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 1, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 0, C_INIT_FILE_NAME => "no_coe_file_loaded", C_INIT_FILE => "SDPRAM_9A16x9B16.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "NO_CHANGE", C_WRITE_WIDTH_A => 9, C_READ_WIDTH_A => 9, C_WRITE_DEPTH_A => 16, C_READ_DEPTH_A => 16, C_ADDRA_WIDTH => 4, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 1, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "READ_FIRST", C_WRITE_WIDTH_B => 9, C_READ_WIDTH_B => 9, C_WRITE_DEPTH_B => 16, C_READ_DEPTH_B => 16, C_ADDRB_WIDTH => 4, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 1, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "0", C_COUNT_18K_BRAM => "1", C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.7261500000000001 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, clkb => clkb, rstb => '0', enb => enb, regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => addrb, dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), doutb => doutb, injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END SDPRAM_9A16x9B16_arch;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; entity stimulus_generator is end entity stimulus_generator; architecture test of stimulus_generator is begin -- code from book stimulus_generator : process is type directory_file is file of string; file directory : directory_file open read_mode is "stimulus-directory"; variable file_name : string(1 to 50); variable file_name_length : natural; variable open_status : file_open_status; subtype stimulus_vector is std_logic_vector(0 to 9); type stimulus_file is file of stimulus_vector; file stimuli : stimulus_file; variable current_stimulus : stimulus_vector; -- . . . begin file_loop : while not endfile(directory) loop read( directory, file_name, file_name_length ); if file_name_length > file_name'length then report "file name too long: " & file_name & "... - file skipped" severity warning; next file_loop; end if; file_open ( open_status, stimuli, file_name(1 to file_name_length), read_mode ); if open_status /= open_ok then report file_open_status'image(open_status) & " while opening file " & file_name(1 to file_name_length) & " - file skipped" severity warning; next file_loop; end if; stimulus_loop : while not endfile(stimuli) loop read(stimuli, current_stimulus); -- . . . -- apply the stimulus end loop stimulus_loop; file_close(stimuli); end loop file_loop; wait; end process stimulus_generator; -- end code from book end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; entity stimulus_generator is end entity stimulus_generator; architecture test of stimulus_generator is begin -- code from book stimulus_generator : process is type directory_file is file of string; file directory : directory_file open read_mode is "stimulus-directory"; variable file_name : string(1 to 50); variable file_name_length : natural; variable open_status : file_open_status; subtype stimulus_vector is std_logic_vector(0 to 9); type stimulus_file is file of stimulus_vector; file stimuli : stimulus_file; variable current_stimulus : stimulus_vector; -- . . . begin file_loop : while not endfile(directory) loop read( directory, file_name, file_name_length ); if file_name_length > file_name'length then report "file name too long: " & file_name & "... - file skipped" severity warning; next file_loop; end if; file_open ( open_status, stimuli, file_name(1 to file_name_length), read_mode ); if open_status /= open_ok then report file_open_status'image(open_status) & " while opening file " & file_name(1 to file_name_length) & " - file skipped" severity warning; next file_loop; end if; stimulus_loop : while not endfile(stimuli) loop read(stimuli, current_stimulus); -- . . . -- apply the stimulus end loop stimulus_loop; file_close(stimuli); end loop file_loop; wait; end process stimulus_generator; -- end code from book end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; entity stimulus_generator is end entity stimulus_generator; architecture test of stimulus_generator is begin -- code from book stimulus_generator : process is type directory_file is file of string; file directory : directory_file open read_mode is "stimulus-directory"; variable file_name : string(1 to 50); variable file_name_length : natural; variable open_status : file_open_status; subtype stimulus_vector is std_logic_vector(0 to 9); type stimulus_file is file of stimulus_vector; file stimuli : stimulus_file; variable current_stimulus : stimulus_vector; -- . . . begin file_loop : while not endfile(directory) loop read( directory, file_name, file_name_length ); if file_name_length > file_name'length then report "file name too long: " & file_name & "... - file skipped" severity warning; next file_loop; end if; file_open ( open_status, stimuli, file_name(1 to file_name_length), read_mode ); if open_status /= open_ok then report file_open_status'image(open_status) & " while opening file " & file_name(1 to file_name_length) & " - file skipped" severity warning; next file_loop; end if; stimulus_loop : while not endfile(stimuli) loop read(stimuli, current_stimulus); -- . . . -- apply the stimulus end loop stimulus_loop; file_close(stimuli); end loop file_loop; wait; end process stimulus_generator; -- end code from book end architecture test;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:buffer_register:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_buffer_register_1_0 IS PORT ( clk : IN STD_LOGIC; val_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0); val_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END system_buffer_register_1_0; ARCHITECTURE system_buffer_register_1_0_arch OF system_buffer_register_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_buffer_register_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT buffer_register IS GENERIC ( WIDTH : INTEGER ); PORT ( clk : IN STD_LOGIC; val_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0); val_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT buffer_register; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_buffer_register_1_0_arch: ARCHITECTURE IS "buffer_register,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_buffer_register_1_0_arch : ARCHITECTURE IS "system_buffer_register_1_0,buffer_register,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_buffer_register_1_0_arch: ARCHITECTURE IS "system_buffer_register_1_0,buffer_register,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=buffer_register,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,WIDTH=32}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; BEGIN U0 : buffer_register GENERIC MAP ( WIDTH => 32 ) PORT MAP ( clk => clk, val_in => val_in, val_out => val_out ); END system_buffer_register_1_0_arch;