content
stringlengths 1
1.04M
⌀ |
---|
library ieee;
use ieee.std_logic_1164.all;
------------------------------------------------------------------------
-- Module Declaration
------------------------------------------------------------------------
entity i2s_rx_tx is
generic(
C_SLOT_WIDTH : integer := 24; -- Width of one Slot
-- Synthesis parameters
C_MSB_POS : integer := 0; -- MSB Position in the LRCLK frame (0 - MSB first, 1 - LSB first)
C_FRM_SYNC : integer := 0; -- Frame sync type (0 - 50% Duty Cycle, 1 - Pulse mode)
C_LRCLK_POL : integer := 0; -- LRCLK Polarity (0 - Falling edge, 1 - Rising edge)
C_BCLK_POL : integer := 0 -- BCLK Polarity (0 - Falling edge, 1 - Rising edge)
);
port(
-- Global signals
CLK_I : in std_logic;
RST_I : in std_logic;
-- Control signals
START_TX_I : in std_logic;
START_RX_I : in std_logic;
STOP_RX_I : in std_logic;
DIV_RATE_I : in std_logic_vector(7 downto 0);
LRCLK_RATE_I : in std_logic_vector(7 downto 0);
-- Data input from user logic
TX_DATA_I : in std_logic_vector(C_SLOT_WIDTH-1 downto 0);
OE_S_O : out std_logic;
-- Data output to user logic
RX_DATA_O : out std_logic_vector(C_SLOT_WIDTH-1 downto 0);
WE_S_O : out std_logic;
-- I2S Interface signals
BCLK_O : out std_logic;
LRCLK_O : out std_logic;
SDATA_I : in std_logic;
SDATA_O : out std_logic
);
end i2s_rx_tx;
architecture Behavioral of i2s_rx_tx is
------------------------------------------------------------------------
-- Signal Declarations
------------------------------------------------------------------------
signal TxEn : std_logic;
signal RxEn : std_logic;
signal LRCLK_int : std_logic;
signal D_S_O_int : std_logic_vector(C_SLOT_WIDTH-1 downto 0);
signal WE_S_O_int : std_logic;
------------------------------------------------------------------------
-- Component Declarations
------------------------------------------------------------------------
component i2s_controller
generic(
C_SLOT_WIDTH : integer := 24; -- Width of one Slot
-- Synthesis parameters
C_MSB_POS : integer := 0; -- MSB Position in the LRCLK frame (0 - MSB first, 1 - LSB first)
C_FRM_SYNC : integer := 0; -- Frame sync type (0 - 50% Duty Cycle, 1 - Pulse mode)
C_LRCLK_POL : integer := 0; -- LRCLK Polarity (0 - Falling edge, 1 - Rising edge)
C_BCLK_POL : integer := 0 -- BCLK Polarity (0 - Falling edge, 1 - Rising edge)
);
port(
CLK_I : in std_logic; -- System clock (100 MHz)
RST_I : in std_logic; -- System reset
BCLK_O : out std_logic; -- Bit Clock
LRCLK_O : out std_logic; -- Frame Clock
SDATA_O : out std_logic; -- Serial Data Output
SDATA_I : in std_logic; -- Serial Data Input
EN_TX_I : in std_logic; -- Enable TX
EN_RX_I : in std_logic; -- Enable RX
OE_S_O : out std_logic; -- Request new Slot Data
WE_S_O : out std_logic; -- Valid Slot Data
D_S_I : in std_logic_vector(C_SLOT_WIDTH-1 downto 0); -- Slot Data in
D_S_O : out std_logic_vector(C_SLOT_WIDTH-1 downto 0); -- Slot Data out
-- Runtime parameters
DIV_RATE_I : in std_logic_vector(7 downto 0);
LRCLK_RATE_I : in std_logic_vector(7 downto 0)
);
end component;
------------------------------------------------------------------------
-- Module Implementation
------------------------------------------------------------------------
begin
------------------------------------------------------------------------
-- Instantiate the I2S transmitter module
------------------------------------------------------------------------
Inst_I2sRxTx: i2s_controller
generic map(
C_SLOT_WIDTH => C_SLOT_WIDTH,
C_MSB_POS => C_MSB_POS,
C_FRM_SYNC => C_FRM_SYNC,
C_LRCLK_POL => C_LRCLK_POL,
C_BCLK_POL => C_BCLK_POL
)
port map(
CLK_I => CLK_I,
RST_I => RST_I,
EN_TX_I => TxEn,
EN_RX_I => RxEn,
OE_S_O => OE_S_O,
WE_S_O => WE_S_O_int,
D_S_I => TX_DATA_I,
D_S_O => D_S_O_int,
BCLK_O => BCLK_O,
LRCLK_O => LRCLK_int,
SDATA_O => SDATA_O,
SDATA_I => SDATA_I,
DIV_RATE_I => DIV_RATE_I,
LRCLK_RATE_I => LRCLK_RATE_I
);
LRCLK_O <= LRCLK_int;
TxEn <= START_TX_I;
------------------------------------------------------------------------
-- Assert receive enable
------------------------------------------------------------------------
RXEN_PROC: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
if (START_RX_I = '1') then
RxEn <= '1';
elsif (STOP_RX_I = '1') then
RxEn <= '0';
end if;
end if;
end process RXEN_PROC;
------------------------------------------------------------------------
-- Select RX Data
------------------------------------------------------------------------
RX_DATA_SEL: process(CLK_I)
begin
if(CLK_I'event and CLK_I = '1') then
if(WE_S_O_int = '1') then
RX_DATA_O <= D_S_O_int;
end if;
end if;
end process RX_DATA_SEL;
WE_S_O <= WE_S_O_int;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company: Traducciones Magno
-- Engineer: Magno
--
-- Create Date: 23.03.2018 07:46:09
-- Design Name:
-- Module Name: Output_Manager - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Output_Manager is
Port( clk : in STD_LOGIC;
-- configuration received from DMA
DMA_In_Progress : out STD_LOGIC;
DMA_Transfer_End : in STD_LOGIC;
Header_Valid : in STD_LOGIC;
Header_BPP : in STD_LOGIC_VECTOR(1 downto 0);
-- data input from Probability Estimator
BPP_Bit_tready : out STD_LOGIC;
BPP_Bit_tuser : out STD_LOGIC_VECTOR(9 downto 0);
BPP_Bit_tvalid : in STD_LOGIC;
BPP_Bit_tdata : in STD_LOGIC;
-- data output to DMA
DMA_Data_tready : in STD_LOGIC;
DMA_Data_tvalid : out STD_LOGIC;
DMA_Data_tdata : out STD_LOGIC_VECTOR(7 downto 0) );
end Output_Manager;
architecture Behavioral of Output_Manager is
COMPONENT FIFO_B2B
Generic( FIFO_DEPTH : integer := 32;
PROG_FULL_TH : integer := 16 );
Port( clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din_tready : OUT STD_LOGIC;
din_tvalid : IN STD_LOGIC;
din_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dout_tready : IN STD_LOGIC;
dout_tvalid : OUT STD_LOGIC;
dout_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
prog_full : OUT STD_LOGIC;
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC );
END COMPONENT;
type TipoEstado is(WAIT_HEADER, SET_MODE7_BITPLANE, SET_2_BITPLANES, SET_4_BITPLANES, SET_8_BITPLANES,
BPP0_BIT_0, BPP0_BIT_1, BPP0_BIT_2, BPP0_BIT_3, BPP0_BIT_4, BPP0_BIT_5, BPP0_BIT_6, BPP0_BIT_7,
BPP0_BIT_0_WAIT, BPP0_BIT_1_WAIT, BPP0_BIT_2_WAIT, BPP0_BIT_3_WAIT, BPP0_BIT_4_WAIT, BPP0_BIT_5_WAIT, BPP0_BIT_6_WAIT, BPP0_BIT_7_WAIT,
BPP1_BIT_0, BPP1_BIT_1, BPP1_BIT_2, BPP1_BIT_3, BPP1_BIT_4, BPP1_BIT_5, BPP1_BIT_6, BPP1_BIT_7, BPP_BIT_STALL,
BPP1_BIT_0_WAIT, BPP1_BIT_1_WAIT, BPP1_BIT_2_WAIT, BPP1_BIT_3_WAIT, BPP1_BIT_4_WAIT, BPP1_BIT_5_WAIT, BPP1_BIT_6_WAIT, BPP1_BIT_7_WAIT,
MODE7_BIT_0, MODE7_BIT_1, MODE7_BIT_2, MODE7_BIT_3, MODE7_BIT_4, MODE7_BIT_5, MODE7_BIT_6, MODE7_BIT_7, MODE7_BIT_STALL,
MODE7_BIT_0_WAIT, MODE7_BIT_1_WAIT, MODE7_BIT_2_WAIT, MODE7_BIT_3_WAIT, MODE7_BIT_4_WAIT, MODE7_BIT_5_WAIT, MODE7_BIT_6_WAIT, MODE7_BIT_7_WAIT );
signal estado : TipoEstado := WAIT_HEADER;
signal BPP0_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP1_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP2_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP3_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP4_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP5_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP6_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP7_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP0_Previous : STD_LOGIC := '0';
signal BPP1_Previous : STD_LOGIC := '0';
signal BPP2_Previous : STD_LOGIC := '0';
signal BPP3_Previous : STD_LOGIC := '0';
signal BPP4_Previous : STD_LOGIC := '0';
signal BPP5_Previous : STD_LOGIC := '0';
signal BPP6_Previous : STD_LOGIC := '0';
signal BPP7_Previous : STD_LOGIC := '0';
signal Tile_Count : integer range 0 to 7 := 0;
signal Max_BPP : integer range 0 to 7 := 0;
signal Cnt_BPP : integer range 0 to 7 := 0;
signal Cnt_Pair : integer range 0 to 3 := 0;
signal Cnt_Even : integer range 0 to 1 := 0;
signal Flag_MODE7_Bitplane : STD_LOGIC := '0';
signal FIFO_Data_tready : STD_LOGIC := '0';
signal FIFO_Data_tready_n : STD_LOGIC := '1';
signal FIFO_Data_tvalid : STD_LOGIC := '0';
signal FIFO_Data_tdata : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal FSM_BPP_Bit_tready : STD_LOGIC := '0';
signal FSM_Reset : STD_LOGIC := '1';
signal FSM_DMA_In_Progress : STD_LOGIC := '0';
signal FSM_New_MODE7 : STD_LOGIC := '0';
signal FSM_Next_BPP0 : STD_LOGIC := '0';
signal FSM_Next_BPP1 : STD_LOGIC := '0';
signal FSM_Ready_BPP0 : STD_LOGIC := '0';
signal FSM_Ready_BPP1 : STD_LOGIC := '0';
signal FSM_Ready_BPP2 : STD_LOGIC := '0';
signal FSM_Ready_BPP3 : STD_LOGIC := '0';
signal FSM_Ready_BPP4 : STD_LOGIC := '0';
signal FSM_Ready_BPP5 : STD_LOGIC := '0';
signal FSM_Ready_BPP6 : STD_LOGIC := '0';
signal FSM_Ready_BPP7 : STD_LOGIC := '0';
signal FSM_Ready_MODE7 : STD_LOGIC := '0';
signal FSM_New_Tile : STD_LOGIC := '0';
begin
-- current bitplane results from concatenation of current even/odd bitplane and
-- number of BPP0/BPP1 to decode
Cnt_BPP <= Cnt_Pair + Cnt_Pair + Cnt_Even;
-- process for controlling data planes
Process( clk )
Begin
if rising_edge( clk ) then
if( FSM_Reset = '1' ) then
Max_BPP <= 0;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '0';
FSM_Ready_MODE7 <= '0';
BPP0_Previous <= '0';
BPP1_Previous <= '0';
BPP2_Previous <= '0';
BPP3_Previous <= '0';
BPP4_Previous <= '0';
BPP5_Previous <= '0';
BPP6_Previous <= '0';
BPP7_Previous <= '0';
BPP0_Byte <= X"00";
BPP1_Byte <= X"00";
BPP2_Byte <= X"00";
BPP3_Byte <= X"00";
BPP4_Byte <= X"00";
BPP5_Byte <= X"00";
BPP6_Byte <= X"00";
BPP7_Byte <= X"00";
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
else
-- set counter's maximum value
if( estado = SET_2_BITPLANES ) then
Max_BPP <= 0;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '0';
elsif( estado = SET_4_BITPLANES ) then
Max_BPP <= 1;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '0';
elsif( estado = SET_8_BITPLANES ) then
Max_BPP <= 3;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '0';
elsif( estado = SET_MODE7_BITPLANE ) then
Max_BPP <= 3;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '1';
end if;
-- when mode "11" (MODE7), each new pixel belongs to a different bitplane
if( Flag_MODE7_Bitplane = '1' ) then
if( FSM_New_MODE7 = '1' ) then
if( Cnt_Pair = Max_BPP ) then
Cnt_Pair <= 0;
else
Cnt_Pair <= Cnt_Pair + 1;
end if;
end if;
else
-- increment bitplane when each the pair BPP0/BPP1 has been complete
if( FSM_Next_BPP1 = '1' ) then
-- when 8 lines of 1 2BPP tile have been complete, change bitplane
if( Tile_Count = 7 ) then
if( Cnt_Pair = Max_BPP ) then
Cnt_Pair <= 0;
else
Cnt_Pair <= Cnt_Pair + 1;
end if;
Tile_Count <= 0;
else
Tile_Count <= Tile_Count + 1;
end if;
end if;
end if;
-- store last decoded bit in corresponding bitplane
if( BPP_Bit_tvalid = '1' ) then
case Cnt_BPP is
-- BPP0
when 0 =>
BPP0_Previous <= BPP0_Byte(7);
BPP0_Byte <= BPP0_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP1
when 1 =>
BPP1_Previous <= BPP1_Byte(7);
BPP1_Byte <= BPP1_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP2
when 2 =>
BPP2_Previous <= BPP2_Byte(7);
BPP2_Byte <= BPP2_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP3
when 3 =>
BPP3_Previous <= BPP3_Byte(7);
BPP3_Byte <= BPP3_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP4
when 4 =>
BPP4_Previous <= BPP4_Byte(7);
BPP4_Byte <= BPP4_Byte(6 downto 0) & BPP_Bit_tdata;
--BPP5
when 5 =>
BPP5_Previous <= BPP5_Byte(7);
BPP5_Byte <= BPP5_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP6
when 6 =>
BPP6_Previous <= BPP6_Byte(7);
BPP6_Byte <= BPP6_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP7
when 7 =>
BPP7_Previous <= BPP7_Byte(7);
BPP7_Byte <= BPP7_Byte(6 downto 0) & BPP_Bit_tdata;
end case;
end if;
-- when MODE7, a new byte is completed when BPP0 is asserted
FSM_Ready_MODE7 <= FSM_Next_BPP0 AND Flag_MODE7_Bitplane;
-- decide which BPP will go to output register when completed
if( FSM_Next_BPP0 = '1' ) then
case Cnt_BPP is
-- BPP0
when 0 =>
FSM_Ready_BPP0 <= '1';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
-- BPP2
when 2 =>
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '1';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
-- BPP4
when 4 =>
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '1';
FSM_Ready_BPP6 <= '0';
-- BPP6
when 6 =>
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '1';
when others =>
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
end case;
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
elsif( FSM_Next_BPP1 = '1' ) then
case Cnt_BPP is
-- BPP1
when 1 =>
FSM_Ready_BPP1 <= '1';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
-- BPP3
when 3 =>
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '1';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
-- BPP5
when 5 =>
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '1';
FSM_Ready_BPP7 <= '0';
-- BPP7
when 7 =>
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '1';
when others =>
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
end case;
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
else
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
end if;
end if;
end if;
End Process;
-- pre-calculate context bits and register them
Process( clk )
Begin
if rising_edge( clk ) then
if( FSM_Reset = '1' OR Header_Valid = '1' ) then
BPP_Bit_tuser <= (others => '0');
elsif( BPP_Bit_tvalid = '1' ) then
case Cnt_BPP is
-- BPP0
when 0 =>
-- in any mode, if last decoded bit was BPP0, next plane is BBP1
BPP_Bit_tuser(9) <= '1';
BPP_Bit_tuser(8) <= BPP1_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP1_Byte;
-- BPP1
when 1 =>
-- in 4BPP or 8BPP mode, next plane is BPP2 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3/BPP4/BPP5..(x6)..BPP4/BPP5/BPP6/BPP7..(x6)..BPP6/BPP7
if( Max_BPP > 0 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP2_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP2_Byte;
-- in 2BPP mode, next plane is always BPP0; tile order is
-- BPP0/BPP1..(x6)..BPP0/BPP1
else
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP0_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP0_Byte;
end if;
-- BPP2
when 2 =>
-- in any mode, if last decoded bit was BPP2, next plane is BBP3
BPP_Bit_tuser(9) <= '1';
BPP_Bit_tuser(8) <= BPP3_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP3_Byte;
-- BPP3
when 3 =>
-- in 4BPP, next plane is BPP0 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3
if( Max_BPP = 1 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP0_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP0_Byte;
-- in 8BPP mode or MODE7, next plane is BPP4 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3/BPP4/BPP5..(x6)..BPP4/BPP5/BPP6/BPP7..(x6)..BPP6/BPP7
elsif( Max_BPP = 3 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP4_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP4_Byte;
-- in any other cases, next plane is BPP2
else
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP2_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP2_Byte;
end if;
-- BPP4
when 4 =>
-- in 8BPP or MODE7 mode, if last decoded bit was BPP4, next plane is BBP5
BPP_Bit_tuser(9) <= '1';
BPP_Bit_tuser(8) <= BPP5_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP5_Byte;
-- BPP5
when 5 =>
-- in 8BPP mode or MODE7, next plane is BPP6 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3/BPP4/BPP5..(x6)..BPP4/BPP5/BPP6/BPP7..(x6)..BPP6/BPP7
if( Max_BPP = 3 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP6_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP6_Byte;
-- in any other cases, next plane is BPP4
else
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP4_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP4_Byte;
end if;
-- BPP6
when 6 =>
-- in 8BPP or MODE7 mode, if last decoded bit was BPP6, next plane is BBP7
BPP_Bit_tuser(9) <= '1';
BPP_Bit_tuser(8) <= BPP7_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP7_Byte;
-- BPP7
when 7 =>
-- in 8BPP mode or MODE7, next plane is BPP0 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3/BPP4/BPP5..(x6)..BPP4/BPP5/BPP6/BPP7..(x6)..BPP6/BPP7
if( Max_BPP = 3 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP0_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP0_Byte;
-- in any other cases, next plane is BPP6
else
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP6_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP6_Byte;
end if;
end case;
end if;
end if;
End Process;
-- output data process
Process( FSM_Ready_BPP0, FSM_Ready_BPP1, FSM_Ready_BPP2, FSM_Ready_BPP3, FSM_Ready_BPP4,
FSM_Ready_BPP5, FSM_Ready_BPP6, FSM_Ready_BPP7, BPP0_Byte, BPP1_Byte, BPP2_Byte,
BPP3_Byte, BPP4_Byte, BPP5_Byte, BPP6_Byte, BPP7_Byte, FSM_Ready_MODE7 )
Begin
FIFO_Data_tdata <= X"00";
-- send data to output register
if( FSM_Ready_MODE7 = '1' ) then
FIFO_Data_tdata(0) <= BPP0_Byte(0);
FIFO_Data_tdata(1) <= BPP1_Byte(0);
FIFO_Data_tdata(2) <= BPP2_Byte(0);
FIFO_Data_tdata(3) <= BPP3_Byte(0);
FIFO_Data_tdata(4) <= BPP4_Byte(0);
FIFO_Data_tdata(5) <= BPP5_Byte(0);
FIFO_Data_tdata(6) <= BPP6_Byte(0);
FIFO_Data_tdata(7) <= BPP7_Byte(0);
end if;
if( FSM_Ready_BPP0 = '1' ) then
FIFO_Data_tdata <= BPP0_Byte;
end if;
if( FSM_Ready_BPP1 = '1' ) then
FIFO_Data_tdata <= BPP1_Byte;
end if;
if( FSM_Ready_BPP2 = '1' ) then
FIFO_Data_tdata <= BPP2_Byte;
end if;
if( FSM_Ready_BPP3 = '1' ) then
FIFO_Data_tdata <= BPP3_Byte;
end if;
if( FSM_Ready_BPP4 = '1' ) then
FIFO_Data_tdata <= BPP4_Byte;
end if;
if( FSM_Ready_BPP5 = '1' ) then
FIFO_Data_tdata <= BPP5_Byte;
end if;
if( FSM_Ready_BPP6 = '1' ) then
FIFO_Data_tdata <= BPP6_Byte;
end if;
if( FSM_Ready_BPP7 = '1' ) then
FIFO_Data_tdata <= BPP7_Byte;
end if;
End Process;
FIFO_Data_tvalid <= FSM_Ready_MODE7 OR FSM_Ready_BPP0 OR FSM_Ready_BPP1 OR FSM_Ready_BPP2 OR FSM_Ready_BPP3 OR
FSM_Ready_BPP4 OR FSM_Ready_BPP5 OR FSM_Ready_BPP6 OR FSM_Ready_BPP7;
-- output FIFO
Output_Data : FIFO_B2B
Generic map(32, 30)
Port map(clk => clk,
srst => FSM_Reset,
din_tready => FIFO_Data_tready,
din_tvalid => FIFO_Data_tvalid,
din_tdata => FIFO_Data_tdata,
dout_tready => DMA_Data_tready,
dout_tvalid => DMA_Data_tvalid,
dout_tdata => DMA_Data_tdata,
prog_full => FIFO_Data_tready_n);
-- output signalling
BPP_Bit_tready <= FSM_BPP_Bit_tready;
DMA_In_Progress <= FSM_DMA_In_Progress;
-- finite state machine to ask for BPP bits to Probability Estimator module
Process( clk )
Begin
if rising_edge( clk ) then
if (DMA_Transfer_End = '1') then
estado <= WAIT_HEADER;
else
case estado is
-- wait until header is read from input
when WAIT_HEADER =>
if( Header_Valid = '1' ) then
-- decode 2BPP tiles
if( Header_BPP = "00" ) then
estado <= SET_2_BITPLANES;
-- decode 8BPP tiles
elsif( Header_BPP = "10" ) then
estado <= SET_4_BITPLANES;
-- decode 4BPP tiles
elsif( Header_BPP = "01" ) then
estado <= SET_8_BITPLANES;
-- decode arbitrary data
else
estado <= SET_MODE7_BITPLANE;
end if;
end if;
-- initialize number of BPP0/BPP1 loops
when SET_2_BITPLANES =>
estado <= BPP0_BIT_0;
when SET_4_BITPLANES =>
estado <= BPP0_BIT_0;
when SET_8_BITPLANES =>
estado <= BPP0_BIT_0;
when SET_MODE7_BITPLANE =>
estado <= MODE7_BIT_0;
-- states to create BPP0 and BPP1
-- BPP0/BPP1 pixel 0
when BPP0_BIT_0 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_0_WAIT;
end if;
when BPP0_BIT_0_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_0;
end if;
when BPP1_BIT_0 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_0_WAIT;
end if;
when BPP1_BIT_0_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_1;
end if;
-- BPP0/BPP1 pixel 1
when BPP0_BIT_1 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_1_WAIT;
end if;
when BPP0_BIT_1_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_1;
end if;
when BPP1_BIT_1 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_1_WAIT;
end if;
when BPP1_BIT_1_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_2;
end if;
-- BPP0/BPP1 pixel 2
when BPP0_BIT_2 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_2_WAIT;
end if;
when BPP0_BIT_2_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_2;
end if;
when BPP1_BIT_2 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_2_WAIT;
end if;
when BPP1_BIT_2_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_3;
end if;
-- BPP0/BPP1 pixel 3
when BPP0_BIT_3 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_3_WAIT;
end if;
when BPP0_BIT_3_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_3;
end if;
when BPP1_BIT_3 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_3_WAIT;
end if;
when BPP1_BIT_3_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_4;
end if;
-- BPP0/BPP1 pixel 4
when BPP0_BIT_4 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_4_WAIT;
end if;
when BPP0_BIT_4_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_4;
end if;
when BPP1_BIT_4 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_4_WAIT;
end if;
when BPP1_BIT_4_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_5;
end if;
-- BPP0/BPP1 pixel 5
when BPP0_BIT_5 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_5_WAIT;
end if;
when BPP0_BIT_5_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_5;
end if;
when BPP1_BIT_5 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_5_WAIT;
end if;
when BPP1_BIT_5_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_6;
end if;
-- BPP0/BPP1 pixel 6
when BPP0_BIT_6 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_6_WAIT;
end if;
when BPP0_BIT_6_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_6;
end if;
when BPP1_BIT_6 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_6_WAIT;
end if;
when BPP1_BIT_6_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_7;
end if;
-- BPP0/BPP1 pixel 7
when BPP0_BIT_7 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_7_WAIT;
end if;
when BPP0_BIT_7_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_7;
end if;
when BPP1_BIT_7 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_7_WAIT;
end if;
when BPP1_BIT_7_WAIT =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
elsif( BPP_Bit_tvalid = '1' AND FIFO_Data_tready_n = '0' ) then
estado <= BPP0_BIT_0;
elsif( BPP_Bit_tvalid = '1' AND FIFO_Data_tready_n = '1' ) then
estado <= BPP_BIT_STALL;
end if;
-- wait until FIFO is ready to accept data
when BPP_BIT_STALL =>
if( FIFO_Data_tready_n = '0' ) then
estado <= BPP0_BIT_0;
end if;
-- states to create 8 bitplanes in 1 byte
when MODE7_BIT_0 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_0_WAIT;
end if;
when MODE7_BIT_0_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_1;
end if;
when MODE7_BIT_1 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_1_WAIT;
end if;
when MODE7_BIT_1_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_2;
end if;
when MODE7_BIT_2 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_2_WAIT;
end if;
when MODE7_BIT_2_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_3;
end if;
when MODE7_BIT_3 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_3_WAIT;
end if;
when MODE7_BIT_3_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_4;
end if;
when MODE7_BIT_4 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_4_WAIT;
end if;
when MODE7_BIT_4_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_5;
end if;
when MODE7_BIT_5 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_5_WAIT;
end if;
when MODE7_BIT_5_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_6;
end if;
when MODE7_BIT_6 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_6_WAIT;
end if;
when MODE7_BIT_6_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_7;
end if;
when MODE7_BIT_7 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_7_WAIT;
end if;
when MODE7_BIT_7_WAIT =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
elsif( BPP_Bit_tvalid = '1' AND FIFO_Data_tready_n = '0' ) then
estado <= MODE7_BIT_0;
elsif( BPP_Bit_tvalid = '1' AND FIFO_Data_tready_n = '1' ) then
estado <= MODE7_BIT_STALL;
end if;
when MODE7_BIT_STALL =>
if( FIFO_Data_tready_n = '0' ) then
estado <= MODE7_BIT_0;
end if;
end case;
end if;
end if;
End Process;
-- reset output FIFO
FSM_Reset <= '1' when estado = WAIT_HEADER else '0';
-- signals that DMA is running, so data is being outputted from S-DD1
FSM_DMA_In_Progress <= '0' when estado = WAIT_HEADER else '1';
-- strobe to signal a byte for an even bitplane has just completed
with estado select
FSM_Next_BPP0 <= BPP_Bit_tvalid when BPP0_BIT_7_WAIT,
BPP_Bit_tvalid when MODE7_BIT_7_WAIT,
'0' when others;
-- strobe to signal a byte for an odd bitplane has just completed
with estado select
FSM_Next_BPP1 <= BPP_Bit_tvalid when BPP1_BIT_7_WAIT,
'0' when others;
-- strobe to signal a new BPP pixel for MODE7 byte
with estado select
FSM_New_MODE7 <= BPP_Bit_tvalid when MODE7_BIT_1_WAIT,
BPP_Bit_tvalid when MODE7_BIT_3_WAIT,
BPP_Bit_tvalid when MODE7_BIT_5_WAIT,
BPP_Bit_tvalid when MODE7_BIT_7_WAIT,
'0' when others;
-- 2BPP tile or one 8x8 mode7 tile is finished
FSM_New_Tile <= FSM_Next_BPP1 when Tile_Count = 7 else Flag_MODE7_Bitplane;
-- indicates is an even or odd plane is being processed
with estado select
Cnt_Even <= 1 when BPP1_BIT_0,
1 when BPP1_BIT_0_WAIT,
1 when BPP1_BIT_1,
1 when BPP1_BIT_1_WAIT,
1 when BPP1_BIT_2,
1 when BPP1_BIT_2_WAIT,
1 when BPP1_BIT_3,
1 when BPP1_BIT_3_WAIT,
1 when BPP1_BIT_4,
1 when BPP1_BIT_4_WAIT,
1 when BPP1_BIT_5,
1 when BPP1_BIT_5_WAIT,
1 when BPP1_BIT_6,
1 when BPP1_BIT_6_WAIT,
1 when BPP1_BIT_7,
1 when BPP1_BIT_7_WAIT,
1 when MODE7_BIT_1,
1 when MODE7_BIT_1_WAIT,
1 when MODE7_BIT_3,
1 when MODE7_BIT_3_WAIT,
1 when MODE7_BIT_5,
1 when MODE7_BIT_5_WAIT,
1 when MODE7_BIT_7,
1 when MODE7_BIT_7_WAIT,
0 when others;
-- strobe for registering data from previous module
with estado select
FSM_BPP_Bit_tready <= '1' when BPP0_BIT_0,
'1' when BPP1_BIT_0,
'1' when BPP0_BIT_1,
'1' when BPP1_BIT_1,
'1' when BPP0_BIT_2,
'1' when BPP1_BIT_2,
'1' when BPP0_BIT_3,
'1' when BPP1_BIT_3,
'1' when BPP0_BIT_4,
'1' when BPP1_BIT_4,
'1' when BPP0_BIT_5,
'1' when BPP1_BIT_5,
'1' when BPP0_BIT_6,
'1' when BPP1_BIT_6,
'1' when BPP0_BIT_7,
'1' when BPP1_BIT_7,
'1' when MODE7_BIT_0,
'1' when MODE7_BIT_1,
'1' when MODE7_BIT_2,
'1' when MODE7_BIT_3,
'1' when MODE7_BIT_4,
'1' when MODE7_BIT_5,
'1' when MODE7_BIT_6,
'1' when MODE7_BIT_7,
'0' when others;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company: Traducciones Magno
-- Engineer: Magno
--
-- Create Date: 23.03.2018 07:46:09
-- Design Name:
-- Module Name: Output_Manager - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Output_Manager is
Port( clk : in STD_LOGIC;
-- configuration received from DMA
DMA_In_Progress : out STD_LOGIC;
DMA_Transfer_End : in STD_LOGIC;
Header_Valid : in STD_LOGIC;
Header_BPP : in STD_LOGIC_VECTOR(1 downto 0);
-- data input from Probability Estimator
BPP_Bit_tready : out STD_LOGIC;
BPP_Bit_tuser : out STD_LOGIC_VECTOR(9 downto 0);
BPP_Bit_tvalid : in STD_LOGIC;
BPP_Bit_tdata : in STD_LOGIC;
-- data output to DMA
DMA_Data_tready : in STD_LOGIC;
DMA_Data_tvalid : out STD_LOGIC;
DMA_Data_tdata : out STD_LOGIC_VECTOR(7 downto 0) );
end Output_Manager;
architecture Behavioral of Output_Manager is
COMPONENT FIFO_B2B
Generic( FIFO_DEPTH : integer := 32;
PROG_FULL_TH : integer := 16 );
Port( clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din_tready : OUT STD_LOGIC;
din_tvalid : IN STD_LOGIC;
din_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
dout_tready : IN STD_LOGIC;
dout_tvalid : OUT STD_LOGIC;
dout_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
prog_full : OUT STD_LOGIC;
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC );
END COMPONENT;
type TipoEstado is(WAIT_HEADER, SET_MODE7_BITPLANE, SET_2_BITPLANES, SET_4_BITPLANES, SET_8_BITPLANES,
BPP0_BIT_0, BPP0_BIT_1, BPP0_BIT_2, BPP0_BIT_3, BPP0_BIT_4, BPP0_BIT_5, BPP0_BIT_6, BPP0_BIT_7,
BPP0_BIT_0_WAIT, BPP0_BIT_1_WAIT, BPP0_BIT_2_WAIT, BPP0_BIT_3_WAIT, BPP0_BIT_4_WAIT, BPP0_BIT_5_WAIT, BPP0_BIT_6_WAIT, BPP0_BIT_7_WAIT,
BPP1_BIT_0, BPP1_BIT_1, BPP1_BIT_2, BPP1_BIT_3, BPP1_BIT_4, BPP1_BIT_5, BPP1_BIT_6, BPP1_BIT_7, BPP_BIT_STALL,
BPP1_BIT_0_WAIT, BPP1_BIT_1_WAIT, BPP1_BIT_2_WAIT, BPP1_BIT_3_WAIT, BPP1_BIT_4_WAIT, BPP1_BIT_5_WAIT, BPP1_BIT_6_WAIT, BPP1_BIT_7_WAIT,
MODE7_BIT_0, MODE7_BIT_1, MODE7_BIT_2, MODE7_BIT_3, MODE7_BIT_4, MODE7_BIT_5, MODE7_BIT_6, MODE7_BIT_7, MODE7_BIT_STALL,
MODE7_BIT_0_WAIT, MODE7_BIT_1_WAIT, MODE7_BIT_2_WAIT, MODE7_BIT_3_WAIT, MODE7_BIT_4_WAIT, MODE7_BIT_5_WAIT, MODE7_BIT_6_WAIT, MODE7_BIT_7_WAIT );
signal estado : TipoEstado := WAIT_HEADER;
signal BPP0_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP1_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP2_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP3_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP4_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP5_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP6_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP7_Byte : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal BPP0_Previous : STD_LOGIC := '0';
signal BPP1_Previous : STD_LOGIC := '0';
signal BPP2_Previous : STD_LOGIC := '0';
signal BPP3_Previous : STD_LOGIC := '0';
signal BPP4_Previous : STD_LOGIC := '0';
signal BPP5_Previous : STD_LOGIC := '0';
signal BPP6_Previous : STD_LOGIC := '0';
signal BPP7_Previous : STD_LOGIC := '0';
signal Tile_Count : integer range 0 to 7 := 0;
signal Max_BPP : integer range 0 to 7 := 0;
signal Cnt_BPP : integer range 0 to 7 := 0;
signal Cnt_Pair : integer range 0 to 3 := 0;
signal Cnt_Even : integer range 0 to 1 := 0;
signal Flag_MODE7_Bitplane : STD_LOGIC := '0';
signal FIFO_Data_tready : STD_LOGIC := '0';
signal FIFO_Data_tready_n : STD_LOGIC := '1';
signal FIFO_Data_tvalid : STD_LOGIC := '0';
signal FIFO_Data_tdata : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal FSM_BPP_Bit_tready : STD_LOGIC := '0';
signal FSM_Reset : STD_LOGIC := '1';
signal FSM_DMA_In_Progress : STD_LOGIC := '0';
signal FSM_New_MODE7 : STD_LOGIC := '0';
signal FSM_Next_BPP0 : STD_LOGIC := '0';
signal FSM_Next_BPP1 : STD_LOGIC := '0';
signal FSM_Ready_BPP0 : STD_LOGIC := '0';
signal FSM_Ready_BPP1 : STD_LOGIC := '0';
signal FSM_Ready_BPP2 : STD_LOGIC := '0';
signal FSM_Ready_BPP3 : STD_LOGIC := '0';
signal FSM_Ready_BPP4 : STD_LOGIC := '0';
signal FSM_Ready_BPP5 : STD_LOGIC := '0';
signal FSM_Ready_BPP6 : STD_LOGIC := '0';
signal FSM_Ready_BPP7 : STD_LOGIC := '0';
signal FSM_Ready_MODE7 : STD_LOGIC := '0';
signal FSM_New_Tile : STD_LOGIC := '0';
begin
-- current bitplane results from concatenation of current even/odd bitplane and
-- number of BPP0/BPP1 to decode
Cnt_BPP <= Cnt_Pair + Cnt_Pair + Cnt_Even;
-- process for controlling data planes
Process( clk )
Begin
if rising_edge( clk ) then
if( FSM_Reset = '1' ) then
Max_BPP <= 0;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '0';
FSM_Ready_MODE7 <= '0';
BPP0_Previous <= '0';
BPP1_Previous <= '0';
BPP2_Previous <= '0';
BPP3_Previous <= '0';
BPP4_Previous <= '0';
BPP5_Previous <= '0';
BPP6_Previous <= '0';
BPP7_Previous <= '0';
BPP0_Byte <= X"00";
BPP1_Byte <= X"00";
BPP2_Byte <= X"00";
BPP3_Byte <= X"00";
BPP4_Byte <= X"00";
BPP5_Byte <= X"00";
BPP6_Byte <= X"00";
BPP7_Byte <= X"00";
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
else
-- set counter's maximum value
if( estado = SET_2_BITPLANES ) then
Max_BPP <= 0;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '0';
elsif( estado = SET_4_BITPLANES ) then
Max_BPP <= 1;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '0';
elsif( estado = SET_8_BITPLANES ) then
Max_BPP <= 3;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '0';
elsif( estado = SET_MODE7_BITPLANE ) then
Max_BPP <= 3;
Cnt_Pair <= 0;
Tile_Count <= 0;
Flag_MODE7_Bitplane <= '1';
end if;
-- when mode "11" (MODE7), each new pixel belongs to a different bitplane
if( Flag_MODE7_Bitplane = '1' ) then
if( FSM_New_MODE7 = '1' ) then
if( Cnt_Pair = Max_BPP ) then
Cnt_Pair <= 0;
else
Cnt_Pair <= Cnt_Pair + 1;
end if;
end if;
else
-- increment bitplane when each the pair BPP0/BPP1 has been complete
if( FSM_Next_BPP1 = '1' ) then
-- when 8 lines of 1 2BPP tile have been complete, change bitplane
if( Tile_Count = 7 ) then
if( Cnt_Pair = Max_BPP ) then
Cnt_Pair <= 0;
else
Cnt_Pair <= Cnt_Pair + 1;
end if;
Tile_Count <= 0;
else
Tile_Count <= Tile_Count + 1;
end if;
end if;
end if;
-- store last decoded bit in corresponding bitplane
if( BPP_Bit_tvalid = '1' ) then
case Cnt_BPP is
-- BPP0
when 0 =>
BPP0_Previous <= BPP0_Byte(7);
BPP0_Byte <= BPP0_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP1
when 1 =>
BPP1_Previous <= BPP1_Byte(7);
BPP1_Byte <= BPP1_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP2
when 2 =>
BPP2_Previous <= BPP2_Byte(7);
BPP2_Byte <= BPP2_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP3
when 3 =>
BPP3_Previous <= BPP3_Byte(7);
BPP3_Byte <= BPP3_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP4
when 4 =>
BPP4_Previous <= BPP4_Byte(7);
BPP4_Byte <= BPP4_Byte(6 downto 0) & BPP_Bit_tdata;
--BPP5
when 5 =>
BPP5_Previous <= BPP5_Byte(7);
BPP5_Byte <= BPP5_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP6
when 6 =>
BPP6_Previous <= BPP6_Byte(7);
BPP6_Byte <= BPP6_Byte(6 downto 0) & BPP_Bit_tdata;
-- BPP7
when 7 =>
BPP7_Previous <= BPP7_Byte(7);
BPP7_Byte <= BPP7_Byte(6 downto 0) & BPP_Bit_tdata;
end case;
end if;
-- when MODE7, a new byte is completed when BPP0 is asserted
FSM_Ready_MODE7 <= FSM_Next_BPP0 AND Flag_MODE7_Bitplane;
-- decide which BPP will go to output register when completed
if( FSM_Next_BPP0 = '1' ) then
case Cnt_BPP is
-- BPP0
when 0 =>
FSM_Ready_BPP0 <= '1';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
-- BPP2
when 2 =>
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '1';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
-- BPP4
when 4 =>
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '1';
FSM_Ready_BPP6 <= '0';
-- BPP6
when 6 =>
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '1';
when others =>
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
end case;
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
elsif( FSM_Next_BPP1 = '1' ) then
case Cnt_BPP is
-- BPP1
when 1 =>
FSM_Ready_BPP1 <= '1';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
-- BPP3
when 3 =>
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '1';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
-- BPP5
when 5 =>
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '1';
FSM_Ready_BPP7 <= '0';
-- BPP7
when 7 =>
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '1';
when others =>
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
end case;
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
else
FSM_Ready_BPP0 <= '0';
FSM_Ready_BPP2 <= '0';
FSM_Ready_BPP4 <= '0';
FSM_Ready_BPP6 <= '0';
FSM_Ready_BPP1 <= '0';
FSM_Ready_BPP3 <= '0';
FSM_Ready_BPP5 <= '0';
FSM_Ready_BPP7 <= '0';
end if;
end if;
end if;
End Process;
-- pre-calculate context bits and register them
Process( clk )
Begin
if rising_edge( clk ) then
if( FSM_Reset = '1' OR Header_Valid = '1' ) then
BPP_Bit_tuser <= (others => '0');
elsif( BPP_Bit_tvalid = '1' ) then
case Cnt_BPP is
-- BPP0
when 0 =>
-- in any mode, if last decoded bit was BPP0, next plane is BBP1
BPP_Bit_tuser(9) <= '1';
BPP_Bit_tuser(8) <= BPP1_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP1_Byte;
-- BPP1
when 1 =>
-- in 4BPP or 8BPP mode, next plane is BPP2 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3/BPP4/BPP5..(x6)..BPP4/BPP5/BPP6/BPP7..(x6)..BPP6/BPP7
if( Max_BPP > 0 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP2_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP2_Byte;
-- in 2BPP mode, next plane is always BPP0; tile order is
-- BPP0/BPP1..(x6)..BPP0/BPP1
else
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP0_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP0_Byte;
end if;
-- BPP2
when 2 =>
-- in any mode, if last decoded bit was BPP2, next plane is BBP3
BPP_Bit_tuser(9) <= '1';
BPP_Bit_tuser(8) <= BPP3_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP3_Byte;
-- BPP3
when 3 =>
-- in 4BPP, next plane is BPP0 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3
if( Max_BPP = 1 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP0_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP0_Byte;
-- in 8BPP mode or MODE7, next plane is BPP4 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3/BPP4/BPP5..(x6)..BPP4/BPP5/BPP6/BPP7..(x6)..BPP6/BPP7
elsif( Max_BPP = 3 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP4_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP4_Byte;
-- in any other cases, next plane is BPP2
else
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP2_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP2_Byte;
end if;
-- BPP4
when 4 =>
-- in 8BPP or MODE7 mode, if last decoded bit was BPP4, next plane is BBP5
BPP_Bit_tuser(9) <= '1';
BPP_Bit_tuser(8) <= BPP5_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP5_Byte;
-- BPP5
when 5 =>
-- in 8BPP mode or MODE7, next plane is BPP6 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3/BPP4/BPP5..(x6)..BPP4/BPP5/BPP6/BPP7..(x6)..BPP6/BPP7
if( Max_BPP = 3 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP6_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP6_Byte;
-- in any other cases, next plane is BPP4
else
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP4_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP4_Byte;
end if;
-- BPP6
when 6 =>
-- in 8BPP or MODE7 mode, if last decoded bit was BPP6, next plane is BBP7
BPP_Bit_tuser(9) <= '1';
BPP_Bit_tuser(8) <= BPP7_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP7_Byte;
-- BPP7
when 7 =>
-- in 8BPP mode or MODE7, next plane is BPP0 if a tile is about to start
-- BPP0/BPP1..(x6)..BPP0/BPP1/BPP2/BPP3..(x6)..BPP2/BPP3/BPP4/BPP5..(x6)..BPP4/BPP5/BPP6/BPP7..(x6)..BPP6/BPP7
if( Max_BPP = 3 AND FSM_New_Tile = '1' ) then
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP0_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP0_Byte;
-- in any other cases, next plane is BPP6
else
BPP_Bit_tuser(9) <= '0';
BPP_Bit_tuser(8) <= BPP6_Previous;
BPP_Bit_tuser(7 downto 0) <= BPP6_Byte;
end if;
end case;
end if;
end if;
End Process;
-- output data process
Process( FSM_Ready_BPP0, FSM_Ready_BPP1, FSM_Ready_BPP2, FSM_Ready_BPP3, FSM_Ready_BPP4,
FSM_Ready_BPP5, FSM_Ready_BPP6, FSM_Ready_BPP7, BPP0_Byte, BPP1_Byte, BPP2_Byte,
BPP3_Byte, BPP4_Byte, BPP5_Byte, BPP6_Byte, BPP7_Byte, FSM_Ready_MODE7 )
Begin
FIFO_Data_tdata <= X"00";
-- send data to output register
if( FSM_Ready_MODE7 = '1' ) then
FIFO_Data_tdata(0) <= BPP0_Byte(0);
FIFO_Data_tdata(1) <= BPP1_Byte(0);
FIFO_Data_tdata(2) <= BPP2_Byte(0);
FIFO_Data_tdata(3) <= BPP3_Byte(0);
FIFO_Data_tdata(4) <= BPP4_Byte(0);
FIFO_Data_tdata(5) <= BPP5_Byte(0);
FIFO_Data_tdata(6) <= BPP6_Byte(0);
FIFO_Data_tdata(7) <= BPP7_Byte(0);
end if;
if( FSM_Ready_BPP0 = '1' ) then
FIFO_Data_tdata <= BPP0_Byte;
end if;
if( FSM_Ready_BPP1 = '1' ) then
FIFO_Data_tdata <= BPP1_Byte;
end if;
if( FSM_Ready_BPP2 = '1' ) then
FIFO_Data_tdata <= BPP2_Byte;
end if;
if( FSM_Ready_BPP3 = '1' ) then
FIFO_Data_tdata <= BPP3_Byte;
end if;
if( FSM_Ready_BPP4 = '1' ) then
FIFO_Data_tdata <= BPP4_Byte;
end if;
if( FSM_Ready_BPP5 = '1' ) then
FIFO_Data_tdata <= BPP5_Byte;
end if;
if( FSM_Ready_BPP6 = '1' ) then
FIFO_Data_tdata <= BPP6_Byte;
end if;
if( FSM_Ready_BPP7 = '1' ) then
FIFO_Data_tdata <= BPP7_Byte;
end if;
End Process;
FIFO_Data_tvalid <= FSM_Ready_MODE7 OR FSM_Ready_BPP0 OR FSM_Ready_BPP1 OR FSM_Ready_BPP2 OR FSM_Ready_BPP3 OR
FSM_Ready_BPP4 OR FSM_Ready_BPP5 OR FSM_Ready_BPP6 OR FSM_Ready_BPP7;
-- output FIFO
Output_Data : FIFO_B2B
Generic map(32, 30)
Port map(clk => clk,
srst => FSM_Reset,
din_tready => FIFO_Data_tready,
din_tvalid => FIFO_Data_tvalid,
din_tdata => FIFO_Data_tdata,
dout_tready => DMA_Data_tready,
dout_tvalid => DMA_Data_tvalid,
dout_tdata => DMA_Data_tdata,
prog_full => FIFO_Data_tready_n);
-- output signalling
BPP_Bit_tready <= FSM_BPP_Bit_tready;
DMA_In_Progress <= FSM_DMA_In_Progress;
-- finite state machine to ask for BPP bits to Probability Estimator module
Process( clk )
Begin
if rising_edge( clk ) then
if (DMA_Transfer_End = '1') then
estado <= WAIT_HEADER;
else
case estado is
-- wait until header is read from input
when WAIT_HEADER =>
if( Header_Valid = '1' ) then
-- decode 2BPP tiles
if( Header_BPP = "00" ) then
estado <= SET_2_BITPLANES;
-- decode 8BPP tiles
elsif( Header_BPP = "10" ) then
estado <= SET_4_BITPLANES;
-- decode 4BPP tiles
elsif( Header_BPP = "01" ) then
estado <= SET_8_BITPLANES;
-- decode arbitrary data
else
estado <= SET_MODE7_BITPLANE;
end if;
end if;
-- initialize number of BPP0/BPP1 loops
when SET_2_BITPLANES =>
estado <= BPP0_BIT_0;
when SET_4_BITPLANES =>
estado <= BPP0_BIT_0;
when SET_8_BITPLANES =>
estado <= BPP0_BIT_0;
when SET_MODE7_BITPLANE =>
estado <= MODE7_BIT_0;
-- states to create BPP0 and BPP1
-- BPP0/BPP1 pixel 0
when BPP0_BIT_0 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_0_WAIT;
end if;
when BPP0_BIT_0_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_0;
end if;
when BPP1_BIT_0 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_0_WAIT;
end if;
when BPP1_BIT_0_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_1;
end if;
-- BPP0/BPP1 pixel 1
when BPP0_BIT_1 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_1_WAIT;
end if;
when BPP0_BIT_1_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_1;
end if;
when BPP1_BIT_1 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_1_WAIT;
end if;
when BPP1_BIT_1_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_2;
end if;
-- BPP0/BPP1 pixel 2
when BPP0_BIT_2 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_2_WAIT;
end if;
when BPP0_BIT_2_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_2;
end if;
when BPP1_BIT_2 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_2_WAIT;
end if;
when BPP1_BIT_2_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_3;
end if;
-- BPP0/BPP1 pixel 3
when BPP0_BIT_3 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_3_WAIT;
end if;
when BPP0_BIT_3_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_3;
end if;
when BPP1_BIT_3 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_3_WAIT;
end if;
when BPP1_BIT_3_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_4;
end if;
-- BPP0/BPP1 pixel 4
when BPP0_BIT_4 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_4_WAIT;
end if;
when BPP0_BIT_4_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_4;
end if;
when BPP1_BIT_4 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_4_WAIT;
end if;
when BPP1_BIT_4_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_5;
end if;
-- BPP0/BPP1 pixel 5
when BPP0_BIT_5 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_5_WAIT;
end if;
when BPP0_BIT_5_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_5;
end if;
when BPP1_BIT_5 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_5_WAIT;
end if;
when BPP1_BIT_5_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_6;
end if;
-- BPP0/BPP1 pixel 6
when BPP0_BIT_6 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_6_WAIT;
end if;
when BPP0_BIT_6_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_6;
end if;
when BPP1_BIT_6 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_6_WAIT;
end if;
when BPP1_BIT_6_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP0_BIT_7;
end if;
-- BPP0/BPP1 pixel 7
when BPP0_BIT_7 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP0_BIT_7_WAIT;
end if;
when BPP0_BIT_7_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= BPP1_BIT_7;
end if;
when BPP1_BIT_7 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= BPP1_BIT_7_WAIT;
end if;
when BPP1_BIT_7_WAIT =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
elsif( BPP_Bit_tvalid = '1' AND FIFO_Data_tready_n = '0' ) then
estado <= BPP0_BIT_0;
elsif( BPP_Bit_tvalid = '1' AND FIFO_Data_tready_n = '1' ) then
estado <= BPP_BIT_STALL;
end if;
-- wait until FIFO is ready to accept data
when BPP_BIT_STALL =>
if( FIFO_Data_tready_n = '0' ) then
estado <= BPP0_BIT_0;
end if;
-- states to create 8 bitplanes in 1 byte
when MODE7_BIT_0 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_0_WAIT;
end if;
when MODE7_BIT_0_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_1;
end if;
when MODE7_BIT_1 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_1_WAIT;
end if;
when MODE7_BIT_1_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_2;
end if;
when MODE7_BIT_2 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_2_WAIT;
end if;
when MODE7_BIT_2_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_3;
end if;
when MODE7_BIT_3 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_3_WAIT;
end if;
when MODE7_BIT_3_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_4;
end if;
when MODE7_BIT_4 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_4_WAIT;
end if;
when MODE7_BIT_4_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_5;
end if;
when MODE7_BIT_5 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_5_WAIT;
end if;
when MODE7_BIT_5_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_6;
end if;
when MODE7_BIT_6 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_6_WAIT;
end if;
when MODE7_BIT_6_WAIT =>
if( BPP_Bit_tvalid = '1') then
estado <= MODE7_BIT_7;
end if;
when MODE7_BIT_7 =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
else
estado <= MODE7_BIT_7_WAIT;
end if;
when MODE7_BIT_7_WAIT =>
if( DMA_Transfer_End = '1' ) then
estado <= WAIT_HEADER;
elsif( BPP_Bit_tvalid = '1' AND FIFO_Data_tready_n = '0' ) then
estado <= MODE7_BIT_0;
elsif( BPP_Bit_tvalid = '1' AND FIFO_Data_tready_n = '1' ) then
estado <= MODE7_BIT_STALL;
end if;
when MODE7_BIT_STALL =>
if( FIFO_Data_tready_n = '0' ) then
estado <= MODE7_BIT_0;
end if;
end case;
end if;
end if;
End Process;
-- reset output FIFO
FSM_Reset <= '1' when estado = WAIT_HEADER else '0';
-- signals that DMA is running, so data is being outputted from S-DD1
FSM_DMA_In_Progress <= '0' when estado = WAIT_HEADER else '1';
-- strobe to signal a byte for an even bitplane has just completed
with estado select
FSM_Next_BPP0 <= BPP_Bit_tvalid when BPP0_BIT_7_WAIT,
BPP_Bit_tvalid when MODE7_BIT_7_WAIT,
'0' when others;
-- strobe to signal a byte for an odd bitplane has just completed
with estado select
FSM_Next_BPP1 <= BPP_Bit_tvalid when BPP1_BIT_7_WAIT,
'0' when others;
-- strobe to signal a new BPP pixel for MODE7 byte
with estado select
FSM_New_MODE7 <= BPP_Bit_tvalid when MODE7_BIT_1_WAIT,
BPP_Bit_tvalid when MODE7_BIT_3_WAIT,
BPP_Bit_tvalid when MODE7_BIT_5_WAIT,
BPP_Bit_tvalid when MODE7_BIT_7_WAIT,
'0' when others;
-- 2BPP tile or one 8x8 mode7 tile is finished
FSM_New_Tile <= FSM_Next_BPP1 when Tile_Count = 7 else Flag_MODE7_Bitplane;
-- indicates is an even or odd plane is being processed
with estado select
Cnt_Even <= 1 when BPP1_BIT_0,
1 when BPP1_BIT_0_WAIT,
1 when BPP1_BIT_1,
1 when BPP1_BIT_1_WAIT,
1 when BPP1_BIT_2,
1 when BPP1_BIT_2_WAIT,
1 when BPP1_BIT_3,
1 when BPP1_BIT_3_WAIT,
1 when BPP1_BIT_4,
1 when BPP1_BIT_4_WAIT,
1 when BPP1_BIT_5,
1 when BPP1_BIT_5_WAIT,
1 when BPP1_BIT_6,
1 when BPP1_BIT_6_WAIT,
1 when BPP1_BIT_7,
1 when BPP1_BIT_7_WAIT,
1 when MODE7_BIT_1,
1 when MODE7_BIT_1_WAIT,
1 when MODE7_BIT_3,
1 when MODE7_BIT_3_WAIT,
1 when MODE7_BIT_5,
1 when MODE7_BIT_5_WAIT,
1 when MODE7_BIT_7,
1 when MODE7_BIT_7_WAIT,
0 when others;
-- strobe for registering data from previous module
with estado select
FSM_BPP_Bit_tready <= '1' when BPP0_BIT_0,
'1' when BPP1_BIT_0,
'1' when BPP0_BIT_1,
'1' when BPP1_BIT_1,
'1' when BPP0_BIT_2,
'1' when BPP1_BIT_2,
'1' when BPP0_BIT_3,
'1' when BPP1_BIT_3,
'1' when BPP0_BIT_4,
'1' when BPP1_BIT_4,
'1' when BPP0_BIT_5,
'1' when BPP1_BIT_5,
'1' when BPP0_BIT_6,
'1' when BPP1_BIT_6,
'1' when BPP0_BIT_7,
'1' when BPP1_BIT_7,
'1' when MODE7_BIT_0,
'1' when MODE7_BIT_1,
'1' when MODE7_BIT_2,
'1' when MODE7_BIT_3,
'1' when MODE7_BIT_4,
'1' when MODE7_BIT_5,
'1' when MODE7_BIT_6,
'1' when MODE7_BIT_7,
'0' when others;
end Behavioral;
|
-- issue331.vhd
entity ISSUE331 is
generic (
INFO_BITS : integer := 1;
INFO_1_VAL : integer := 0
);
port (
I_INFO_0 : in bit_vector(INFO_BITS-1 downto 0);
I_INFO_1 : in bit_vector(INFO_BITS-1 downto 0);
O_INFO_0 : out bit_vector(INFO_BITS-1 downto 0);
O_INFO_1 : out bit_vector(INFO_BITS-1 downto 0)
);
end ISSUE331;
architecture MODEL of ISSUE331 is
type INFO_RANGE_TYPE is record
DATA_LO : integer;
DATA_HI : integer;
end record;
type VEC_RANGE_TYPE is record
DATA_LO : integer;
DATA_HI : integer;
INFO_0 : INFO_RANGE_TYPE;
INFO_1 : INFO_RANGE_TYPE;
end record;
function SET_VEC_RANGE return VEC_RANGE_TYPE is
variable d_pos : integer;
variable v : VEC_RANGE_TYPE;
procedure SET_INFO_RANGE(INFO_RANGE: inout INFO_RANGE_TYPE; BITS: in integer) is
begin
INFO_RANGE.DATA_LO := d_pos;
INFO_RANGE.DATA_HI := d_pos + BITS-1;
d_pos := d_pos + BITS;
end procedure;
begin
d_pos := 0;
v.DATA_LO := d_pos;
SET_INFO_RANGE(v.INFO_0, INFO_BITS);
if (INFO_1_VAL /= 0) then
SET_INFO_RANGE(v.INFO_1, INFO_BITS);
end if;
v.DATA_HI := d_pos - 1;
if (INFO_1_VAL = 0) then
SET_INFO_RANGE(v.INFO_1, INFO_BITS);
end if;
return v;
end function;
constant VEC_RANGE : VEC_RANGE_TYPE := SET_VEC_RANGE;
signal i_data : bit_vector(VEC_RANGE.DATA_HI downto VEC_RANGE.DATA_LO);
begin
i_data(VEC_RANGE.INFO_0.DATA_HI downto VEC_RANGE.INFO_0.DATA_LO) <= I_INFO_0;
O_INFO_0 <= i_data(VEC_RANGE.INFO_0.DATA_HI downto VEC_RANGE.INFO_0.DATA_LO);
INFO_1: if (INFO_1_VAL /= 0) generate
i_data(VEC_RANGE.INFO_1.DATA_HI downto VEC_RANGE.INFO_1.DATA_LO) <= I_INFO_1;
O_INFO_1 <= i_data(VEC_RANGE.INFO_1.DATA_HI downto VEC_RANGE.INFO_1.DATA_LO);
end generate;
end MODEL;
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Mon May 12 11:09:14 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim /home/keith/Documents/VHDL-lib/top/lab_7/part_3/ip/dds/dds_funcsim.vhdl
-- Design : dds
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
d8xvwbfVVOwe18UXp6OIppOfMlqR2kjI/C6xX05FTHU8t5J1FuCayg1b8DV73j0+lrSU5NbPke7J
wKyKo6vZmQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHeURXmQty7NeAv3XUoO5qZy5wiWI4KdVxtm2GsoWgcVxvm19Vpj0GV1w7gFqCWnA4FOQTZuRczj
Ij8Zgd4djaP+0m+uF1VB+55mfNaKcPG2LmiRY6n1d+6aXiDzlcGYYizcbBz72kRf3eOIqxpeA4D2
3Z2PIkm8MwLtPGSJ/Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qH9+GhW8bT+j42lWyvygK5/6l4trt1BCmWOpQcKA/HZx2kAGsb+FDG/Xy6w33wIiMr/qkXwfaeaz
zlfzzUtccPjNghsznvMRED7lhG+MVvWZ9dxb/eJgA8z59jDK+8wSykzMrx433vlospEmnUeHAQ+H
4dfYGCJl9cTzNC+uQlFaZQsxHSBPlOlJ0GYkyCUnHQQjAEI62DNG0kEkyaiojOK+3cvYSaF6wa2m
I1Cx0Gw1ktdWILhOWUSpxci92nn54fp2GViAZYTlm0DB4uFKOskBdOQytDP2f2b1yNgPb5maNLgm
+O1ey7vhDLFg2yHH9hL6wSCP3onvhEE46TJLQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PyfKkUL3/8sDtTLwxhpqedhayaiDS2FNnCfS6sCchY9cwD/PXy3suivOsUKbKwOiyhWnF/tQl4Kq
HzosYuk9tWTm2j5KKAjvrbIuKxPEwXnj4hRLEObKTAhKWjc2v2evf+nFlXCB529PJsYPSU+Jmqkr
zAHGbiyeXTy5GwBCfYw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZF+QB2spbWlec/knTfuPrXaT+v7qNpjfq0lmc40Eofb98i14vOGTUx8PEHILvAb2Z54dFdacNzrB
d4Uhl9bKx6JU/AkvN8zsp17drYaDzpZrkmxxlVdox34c9gk1gp4pRBazBCiUTMxBrRL7kEPgnOmk
/WE9OP1QAhhZeA5r/HbSVnK/CEigmHINLCFfC2uepHTQbur/n29duc7Tjf6CS4lcmDe7A+tmnKFC
Gf1+66fm+kSxjOLSIhPwC80VuQ+EeB0rA/PChtXN4H3x/F44vX92xjZ6F5Sx4Jq0NxXAC/h845YU
20Yd7EW+jvXAgaNCRT5u7w6v8I9bFKrVlDcgmQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
p/CgPKijLGTG1BdeFSPxOrkYKXt5uRp5LrwKVETZAmpEToiL3cTUykfc34tBm9CE8+9cxWOqtRkGM7y1L3RqLv0SOa4jBtgoi/xrBM584vOjT3MSUxl5vbrn+ezhp8VrUtiFzH12+kDFZwsERf0SAutU2n110KXELytLfT6/bfbERIFvHX/KaD8mQZ5eMasTr+Da1NYpXw+eE8I2UQlpVLWBbjiEFCvLjIpneSH9k8L6Ow49NTJTT7s5WJy4r7+38JAKA/gEKFZGklL/0pugNZ+0pGOVJTm02sCGJp7/iAypvCs8FVhmo/tvHpMnVkYcPZQIKBt7wgYLvMXIM7q8BQ==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
dQPETX+rcKyxTwDmOyLQYIXQBJhhmPf/uob6JGKxFXddKiMf1mPcnr9W7yj8zbshEdTNp6B3hexna9biCQj0Fszj/FVTO5jqWWssnsJ8bvaYHKAUUwC6x4lzBiE2dfTetQM5gI7Zwfn3vgO71D7p5wWGiyEU0px5hvzPEAFJR92gOPO8DEEkS01A7/w7OKE6RKqBuriyS5QgsshE61RW4DDoOLHnRoeO84uVY/ugXi+XL1bfGfPdiHOpVSGtWWLrV6AcW0As3o91qH4pZMPWPff7RCeOFEoK6OdG+AH9bnUcNu9AmYFdvGFxUVveZ/gjnX+pmOMnjovfuP7m/yRgsg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 401248)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \ddsdds_compiler_v6_0__parameterized0\ is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 23 downto 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 0 to 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsdds_compiler_v6_0__parameterized0\ : entity is "dds_compiler_v6_0";
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of \ddsdds_compiler_v6_0__parameterized0\ : entity is "zynq";
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 9;
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 22;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_LATENCY : integer;
attribute C_LATENCY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 7;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of \ddsdds_compiler_v6_0__parameterized0\ : entity is 2;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 16;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 16;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_RESYNC : integer;
attribute C_RESYNC of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_POR_MODE : integer;
attribute C_POR_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 24;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 32;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 24;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of \ddsdds_compiler_v6_0__parameterized0\ : entity is "yes";
end \ddsdds_compiler_v6_0__parameterized0\;
architecture STRUCTURE of \ddsdds_compiler_v6_0__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
attribute C_ACCUMULATOR_WIDTH of i_synth : label is 22;
attribute C_AMPLITUDE of i_synth : label is 0;
attribute C_CHANNELS of i_synth : label is 1;
attribute C_CHAN_WIDTH of i_synth : label is 1;
attribute C_DEBUG_INTERFACE of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_M_DATA of i_synth : label is 1;
attribute C_HAS_M_PHASE of i_synth : label is 1;
attribute C_HAS_PHASEGEN of i_synth : label is 1;
attribute C_HAS_PHASE_OUT of i_synth : label is 1;
attribute C_HAS_SINCOS of i_synth : label is 1;
attribute C_HAS_S_CONFIG of i_synth : label is 0;
attribute C_HAS_S_PHASE of i_synth : label is 1;
attribute C_HAS_TLAST of i_synth : label is 0;
attribute C_HAS_TREADY of i_synth : label is 0;
attribute C_LATENCY of i_synth : label is 7;
attribute C_MEM_TYPE of i_synth : label is 1;
attribute C_MODE_OF_OPERATION of i_synth : label is 0;
attribute C_MODULUS of i_synth : label is 9;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 32;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 24;
attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_NEGATIVE_COSINE of i_synth : label is 0;
attribute C_NEGATIVE_SINE of i_synth : label is 0;
attribute C_NOISE_SHAPING of i_synth : label is 0;
attribute C_OPTIMISE_GOAL of i_synth : label is 0;
attribute C_OUTPUTS_REQUIRED of i_synth : label is 2;
attribute C_OUTPUT_FORM of i_synth : label is 0;
attribute C_OUTPUT_WIDTH of i_synth : label is 16;
attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 16;
attribute C_PHASE_INCREMENT of i_synth : label is 3;
attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET of i_synth : label is 0;
attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE of i_synth : label is 0;
attribute C_RESYNC of i_synth : label is 0;
attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 24;
attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_USE_DSP48 of i_synth : label is 0;
attribute C_XDEVICEFAMILY of i_synth : label is "zynq";
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
attribute secure_extras : string;
attribute secure_extras of i_synth : label is "A";
begin
debug_axi_resync_in <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_synth: entity work.\ddsdds_compiler_v6_0_viv__parameterized0\
port map (
aclk => aclk,
aclken => aclken,
aresetn => aresetn,
debug_axi_chan_in(0) => debug_axi_chan_in(0),
debug_axi_pinc_in(21 downto 0) => debug_axi_pinc_in(21 downto 0),
debug_axi_poff_in(21 downto 0) => debug_axi_poff_in(21 downto 0),
debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => debug_core_nd,
debug_phase(21 downto 0) => debug_phase(21 downto 0),
debug_phase_nd => debug_phase_nd,
event_phase_in_invalid => event_phase_in_invalid,
event_pinc_invalid => event_pinc_invalid,
event_poff_invalid => event_poff_invalid,
event_s_config_tlast_missing => event_s_config_tlast_missing,
event_s_config_tlast_unexpected => event_s_config_tlast_unexpected,
event_s_phase_chanid_incorrect => event_s_phase_chanid_incorrect,
event_s_phase_tlast_missing => event_s_phase_tlast_missing,
event_s_phase_tlast_unexpected => event_s_phase_tlast_unexpected,
m_axis_data_tdata(31 downto 0) => m_axis_data_tdata(31 downto 0),
m_axis_data_tlast => m_axis_data_tlast,
m_axis_data_tready => m_axis_data_tready,
m_axis_data_tuser(0) => m_axis_data_tuser(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(23 downto 0) => m_axis_phase_tdata(23 downto 0),
m_axis_phase_tlast => m_axis_phase_tlast,
m_axis_phase_tready => m_axis_phase_tready,
m_axis_phase_tuser(0) => m_axis_phase_tuser(0),
m_axis_phase_tvalid => m_axis_phase_tvalid,
s_axis_config_tdata(0) => s_axis_config_tdata(0),
s_axis_config_tlast => s_axis_config_tlast,
s_axis_config_tready => s_axis_config_tready,
s_axis_config_tvalid => s_axis_config_tvalid,
s_axis_phase_tdata(23 downto 0) => s_axis_phase_tdata(23 downto 0),
s_axis_phase_tlast => s_axis_phase_tlast,
s_axis_phase_tready => s_axis_phase_tready,
s_axis_phase_tuser(0) => s_axis_phase_tuser(0),
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dds is
port (
aclk : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of dds : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of dds : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of dds : entity is "dds_compiler_v6_0,Vivado 2014.1";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of dds : entity is "dds,dds_compiler_v6_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of dds : entity is "dds,dds_compiler_v6_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dds_compiler,x_ipVersion=6.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_MODE_OF_OPERATION=0,C_MODULUS=9,C_ACCUMULATOR_WIDTH=22,C_CHANNELS=1,C_HAS_PHASE_OUT=1,C_HAS_PHASEGEN=1,C_HAS_SINCOS=1,C_LATENCY=7,C_MEM_TYPE=1,C_NEGATIVE_COSINE=0,C_NEGATIVE_SINE=0,C_NOISE_SHAPING=0,C_OUTPUTS_REQUIRED=2,C_OUTPUT_FORM=0,C_OUTPUT_WIDTH=16,C_PHASE_ANGLE_WIDTH=16,C_PHASE_INCREMENT=3,C_PHASE_INCREMENT_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_RESYNC=0,C_PHASE_OFFSET=0,C_PHASE_OFFSET_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_OPTIMISE_GOAL=0,C_USE_DSP48=0,C_POR_MODE=0,C_AMPLITUDE=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_HAS_TLAST=0,C_HAS_TREADY=0,C_HAS_S_PHASE=1,C_S_PHASE_TDATA_WIDTH=24,C_S_PHASE_HAS_TUSER=0,C_S_PHASE_TUSER_WIDTH=1,C_HAS_S_CONFIG=0,C_S_CONFIG_SYNC_MODE=0,C_S_CONFIG_TDATA_WIDTH=1,C_HAS_M_DATA=1,C_M_DATA_TDATA_WIDTH=32,C_M_DATA_HAS_TUSER=0,C_M_DATA_TUSER_WIDTH=1,C_HAS_M_PHASE=1,C_M_PHASE_TDATA_WIDTH=24,C_M_PHASE_HAS_TUSER=0,C_M_PHASE_TUSER_WIDTH=1,C_DEBUG_INTERFACE=0,C_CHAN_WIDTH=1}";
end dds;
architecture STRUCTURE of dds is
signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of U0 : label is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of U0 : label is 0;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of U0 : label is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of U0 : label is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of U0 : label is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of U0 : label is 1;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of U0 : label is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of U0 : label is 1;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of U0 : label is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of U0 : label is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of U0 : label is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of U0 : label is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 7;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of U0 : label is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of U0 : label is 9;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of U0 : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of U0 : label is 32;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 24;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of U0 : label is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of U0 : label is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of U0 : label is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of U0 : label is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of U0 : label is 2;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of U0 : label is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of U0 : label is 16;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of U0 : label is 16;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of U0 : label is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of U0 : label is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of U0 : label is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of U0 : label is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of U0 : label is std.standard.true;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.\ddsdds_compiler_v6_0__parameterized0\
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
debug_axi_chan_in(0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_U0_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED,
event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(31 downto 0) => m_axis_data_tdata(31 downto 0),
m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(23 downto 0) => m_axis_phase_tdata(23 downto 0),
m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => m_axis_phase_tvalid,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 0) => s_axis_phase_tdata(23 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
|
--**********************************************************************************
-- Copyright 2013, Ryan Henderson
-- CMOS digital camera controller and frame capture device
--
-- KAC_data.vhd
--
-- Reads data from image sensor and stuffs it into a FIFO. The fifo cordinates
-- with ram control to dump its contents to the SDRAM
--
--**********************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.common.all;
use work.comp_pckgs.all;
ENTITY KAC_data IS
PORT
(
clk_50Mhz : in std_logic;
clk_12_5Mhz : in std_logic;
rst : in std_logic;
-- Internal logic I/O
rd_en : in std_logic;
dout : out std_logic_vector(15 downto 0);
dump_data_req : out std_logic;
start_new_frame : out std_logic;
init_cycle_complete : in std_logic;
-- KAC-1310 I/O
sof_KAC : in std_logic; --Start of frame
vclk_KAC : in std_logic; --Start of line
hclk_KAC : in std_logic; --valid pixel data
pix_KAC : in std_logic_vector(9 downto 0)
);
END KAC_data;
ARCHITECTURE KAC_data_arch OF KAC_data IS
-- input mux state machine
subtype state is integer range 4 downto 0;
SIGNAL current_state, next_state: state;
-- dump_data_req and start_new_frame state machine
subtype state_req is integer range 3 downto 0;
SIGNAL current_state_req, next_state_req: state_req;
signal din : std_logic_VECTOR(15 downto 0);
signal wr_en : std_logic;
signal full : std_logic;
signal empty : std_logic;
signal almost_full : std_logic;
signal almost_empty : std_logic;
signal wr_count : std_logic_VECTOR(3 downto 0);
signal rd_count : std_logic_VECTOR(3 downto 0);
signal not_rst : std_logic;
signal toggle : std_logic;
signal test_pattern : std_logic_vector(15 downto 0);
signal pixmux_r : std_logic_vector(7 downto 0);
signal pixmux_next : std_logic_vector(7 downto 0);
signal dump_data_r : std_logic;
signal dump_data_next : std_logic;
signal os_hclk_KAC : std_logic;
signal os_sof_KAC : std_logic;
--signal col_count : integer range 1280 downto 0;
--signal row_count : integer range 1024 downto 0;
BEGIN
not_rst <= not(rst);
dump_data_req <= dump_data_r;
-- Used to be sure the input data is going through all the buffers
-- in order.
-- Count values to simulate pixel input. To be removed
input_test: process( hclk_KAC, rst)
variable i : integer range 1310719 downto 0;
begin
if rst='0' then
i := 0;
elsif hclk_KAC'event and hclk_KAC='1' then
i := i + 1;
end if;
--din <= std_logic_vector(to_unsigned(i, test_pattern'length));
end process input_test;
-- Make The sof_KAC signal one 50mhz period long
sof_oneshot: one_shot
port map
(
clk => clk_50Mhz,
sig_in => sof_KAC,
rst => rst,
sig_out => os_sof_KAC
);
--Coregen fifo built of distributed rams of depth 64.
KAC_FIFO : asyn_fifo_distrib_64
port map
(
din => din,
wr_en => wr_en,
wr_clk => clk_50Mhz,
rd_en => rd_en,
rd_clk => clk_50Mhz,
ainit => not_rst,
dout => dout,
full => full,
empty => empty,
almost_full => almost_full,
almost_empty => almost_empty,
wr_count => wr_count,
rd_count => rd_count
);
-- Determine when the fifo needs to dump into memory. Leave some extra
-- space incase the memory can't respond right away.
--
-- When SOF goes high it signals the start of a new frame. When this happens,
-- make sure the fifo has cleared the last frame. When the fifo is done clearing
-- Signal start_new_frame to the memory controller so it can start the next frame
-- in a new memory block.
--
-- At 12.5Hmz:
-- From sof asserted to first hclk is >64 mclks default setting. (Table 39 KAC
-- datasheet. During this time, I will empty the fifo. According to the scope
-- this is 550ns. Not enough to dump the entire fifo.
--
--
-- At 5Mhz:
-- Hmmm.. I'll have more time to dump the fifo.
----------------------- DUMP DATA REQ AND START_NEW_FRAME --------
-- After alot of flusteration ... this works alot better if I register
-- the dump_data_req signal since it's used async in the ram_control
-- process. I'm so happy!!!! It works now
------------------------------------------------------------------
comb_state_change_req: process(current_state_req, rd_count,
almost_empty, empty, sof_KAC, dump_data_r) is
begin
--default actions
next_state_req <= current_state_req;
start_new_frame <= '0';
if rd_count = x"2" then
dump_data_next <= '1';
elsif almost_empty = '1' then
dump_data_next <= '0';
else
dump_data_next <= dump_data_r;
end if;
--State machine actions
case current_state_req is
when 0 =>
if sof_KAC = '1' then
next_state_req <= 1;
end if;
when 1 =>
if almost_empty = '0' then
dump_data_next <= '1';
else
next_state_req <= 2;
end if;
when 2 =>
start_new_frame <= '1';
next_state_req <= 3;
when 3 =>
if sof_KAC = '0' then
next_state_req <= 0;
end if;
end case;
end process comb_state_change_req;
--Change state on clock
update_req: process( clk_50Mhz, rst, next_state_req, dump_data_next) is
begin
if rst = '0' then
current_state_req <= 0;
dump_data_r <= '0';
elsif clk_50Mhz'event and clk_50Mhz='1' then
current_state_req <= next_state_req;
dump_data_r <= dump_data_next;
end if;
end process update_req;
------------------- PIXEL DATA PACKING -----------------------
comb_state_change: process(current_state, pix_KAC, pixmux_r,
init_cycle_complete, hclk_KAC) is
begin
--default actions
next_state <= current_state;
wr_en <= '0';
din <= (others=>'0');
pixmux_next <= pixmux_r;
case current_state is
when 0 =>
if init_cycle_complete = '1' then
next_state <= 1;
end if;
when 1 =>
if hclk_KAC = '1' then
pixmux_next <= pix_KAC(9 downto 2);
next_state <= 2;
end if;
when 2 =>
if hclk_KAC = '0' then
next_state <= 3;
end if;
when 3 =>
if hclk_KAC = '1' then
din <= pix_KAC(9 downto 2) & pixmux_r;
wr_en <= '1';
next_state <= 4;
end if;
when 4 =>
if hclk_KAC <= '0' then
next_state <= 1;
end if;
end case;
end process comb_state_change;
--Change state on clock
update: process( clk_50Mhz, rst, next_state, pixmux_next) is
begin
if rst = '0' then
current_state <= 0;
pixmux_r <= (others=>'0');
elsif clk_50Mhz'event and clk_50Mhz='1' then
current_state <= next_state;
pixmux_r <= pixmux_next;
end if;
end process update;
END KAC_data_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
-------------------------------
---- Project: EurySPACE CCSDS RX/TX with wishbone interface
---- Design Name: ccsds_rxtx_oversampler
---- Version: 1.0.0
---- Description:
---- Insert OSR-1 '0' between symbols
-------------------------------
---- Author(s):
---- Guillaume REMBERT
-------------------------------
---- Licence:
---- MIT
-------------------------------
---- Changes list:
---- 2016/11/06: initial release
-------------------------------
-- libraries used
library ieee;
use ieee.std_logic_1164.all;
--=============================================================================
-- Entity declaration for ccsds_tx / unitary rxtx oversampler inputs and outputs
--=============================================================================
entity ccsds_rxtx_oversampler is
generic(
constant CCSDS_RXTX_OVERSAMPLER_OVERSAMPLING_RATIO: integer := 4;
constant CCSDS_RXTX_OVERSAMPLER_SYMBOL_DEPHASING: boolean := false;
constant CCSDS_RXTX_OVERSAMPLER_SIG_QUANT_DEPTH: integer
);
port(
-- inputs
clk_i: in std_logic;
rst_i: in std_logic;
sam_i: in std_logic_vector(CCSDS_RXTX_OVERSAMPLER_SIG_QUANT_DEPTH-1 downto 0);
sam_val_i: in std_logic;
-- outputs
sam_o: out std_logic_vector(CCSDS_RXTX_OVERSAMPLER_SIG_QUANT_DEPTH-1 downto 0);
sam_val_o: out std_logic
);
end ccsds_rxtx_oversampler;
--=============================================================================
-- architecture declaration / internal components and connections
--=============================================================================
architecture structure of ccsds_rxtx_oversampler is
-- internal constants
-- internal variable signals
-- components instanciation and mapping
begin
-- presynthesis checks
CHKOVERSAMPLERP0 : if (CCSDS_RXTX_OVERSAMPLER_OVERSAMPLING_RATIO mod 2 /= 0) generate
process
begin
report "ERROR: OVERSAMPLING RATIO HAS TO BE A MULTIPLE OF 2" severity failure;
wait;
end process;
end generate CHKOVERSAMPLERP0;
CHKOVERSAMPLERP1 : if (CCSDS_RXTX_OVERSAMPLER_OVERSAMPLING_RATIO = 0) generate
process
begin
report "ERROR: OVERSAMPLING RATIO CANNOT BE 0" severity failure;
wait;
end process;
end generate CHKOVERSAMPLERP1;
-- internal processing
--=============================================================================
-- Begin of osrp
-- Insert all 0 samples
--=============================================================================
-- read: rst_i, sam_i
-- write: sam_o
-- r/w:
OSRP: process (clk_i)
variable samples_counter: integer range 0 to CCSDS_RXTX_OVERSAMPLER_OVERSAMPLING_RATIO-1 := CCSDS_RXTX_OVERSAMPLER_OVERSAMPLING_RATIO-1;
begin
-- on each clock rising edge
if rising_edge(clk_i) then
-- reset signal received
if (rst_i = '1') then
sam_o <= (others => '0');
samples_counter := CCSDS_RXTX_OVERSAMPLER_OVERSAMPLING_RATIO-1;
else
if (sam_val_i = '1') then
sam_val_o <= '1';
if (CCSDS_RXTX_OVERSAMPLER_SYMBOL_DEPHASING = true) then
if (samples_counter <= 0) then
sam_o <= (others => '0');
samples_counter := CCSDS_RXTX_OVERSAMPLER_OVERSAMPLING_RATIO-1;
else
if (samples_counter = CCSDS_RXTX_OVERSAMPLER_OVERSAMPLING_RATIO/2) then
sam_o <= sam_i;
else
sam_o <= (others => '0');
end if;
samples_counter := samples_counter - 1;
end if;
else
if (samples_counter <= 0) then
sam_o <= sam_i;
samples_counter := CCSDS_RXTX_OVERSAMPLER_OVERSAMPLING_RATIO-1;
else
sam_o <= (others => '0');
samples_counter := samples_counter - 1;
end if;
end if;
else
sam_val_o <= '0';
end if;
end if;
end if;
end process;
end structure;
|
package pkga is
subtype word is bit_vector (31 downto 0);
end pkga;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
library cypress;
use cypress.components.all;
use work.debug.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
transtech : integer := CFG_TRANSTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 10; -- system clock period
romwidth : integer := 32; -- rom data width (8/32)
romdepth : integer := 16; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 18; -- ram address depth
srambanks : integer := 2 -- number of ram banks
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
signal sys_clk : std_logic := '0';
signal sys_rst_in : std_logic := '0'; -- Reset
constant ct : integer := clkperiod/2;
constant slips : integer := 11;
signal bus_error : std_logic_vector (1 downto 0);
signal sram_flash_addr : std_logic_vector(23 downto 0);
signal address : std_logic_vector(24 downto 0);
signal sram_flash_data, data : std_logic_vector(31 downto 0);
signal sram_cen : std_logic;
signal sram_bw : std_logic_vector (3 downto 0);
signal sram_oen : std_ulogic;
signal flash_oen : std_ulogic;
signal sram_flash_we_n : std_ulogic;
signal flash_cen : std_logic;
signal flash_adv_n : std_logic;
signal sram_clk : std_ulogic;
signal sram_clk_fb : std_ulogic;
signal sram_mode : std_ulogic;
signal sram_adv_ld_n : std_ulogic;
signal iosn : std_ulogic;
signal ddr_clk : std_logic_vector(1 downto 0);
signal ddr_clkb : std_logic_vector(1 downto 0);
signal ddr_cke : std_logic_vector(1 downto 0);
signal ddr_csb : std_logic_vector(1 downto 0);
signal ddr_odt : std_logic_vector(1 downto 0);
signal ddr_web : std_ulogic; -- ddr write enable
signal ddr_rasb : std_ulogic; -- ddr ras
signal ddr_casb : std_ulogic; -- ddr cas
signal ddr_dm : std_logic_vector (7 downto 0); -- ddr dm
signal ddr_dqsp : std_logic_vector (7 downto 0); -- ddr dqs
signal ddr_dqsn : std_logic_vector (7 downto 0); -- ddr dqs
signal ddr_rdqs : std_logic_vector (7 downto 0); -- ddr dqs
signal ddr_ad : std_logic_vector (13 downto 0); -- ddr address
signal ddr_ba : std_logic_vector (1+CFG_DDR2SP downto 0); -- ddr bank address
signal ddr_dq : std_logic_vector (63 downto 0); -- ddr data
signal ddr_dq2 : std_logic_vector (63 downto 0); -- ddr data
signal txd1 : std_ulogic; -- UART1 tx data
signal rxd1 : std_ulogic; -- UART1 rx data
signal txd2 : std_ulogic; -- UART2 tx data
signal rxd2 : std_ulogic; -- UART2 rx data
signal gpio : std_logic_vector(12 downto 0); -- I/O port
signal led : std_logic_vector(12 downto 0); -- I/O port
signal phy_mii_data: std_logic; -- ethernet PHY interface
signal phy_tx_clk : std_ulogic;
signal phy_rx_clk : std_ulogic;
signal phy_rx_data : std_logic_vector(7 downto 0);
signal phy_dv : std_ulogic;
signal phy_rx_er : std_ulogic;
signal phy_col : std_ulogic;
signal phy_crs : std_ulogic;
signal phy_tx_data : std_logic_vector(7 downto 0);
signal phy_tx_en : std_ulogic;
signal phy_tx_er : std_ulogic;
signal phy_mii_clk : std_ulogic;
signal phy_rst_n : std_ulogic;
signal phy_int : std_ulogic := '0';
signal phy_gtx_clk : std_ulogic;
signal sgmii_rx_n : std_ulogic;
signal sgmii_rx_p : std_ulogic;
signal sgmii_rx_n_d : std_ulogic;
signal sgmii_rx_p_d : std_ulogic;
signal sgmii_tx_n : std_ulogic;
signal sgmii_tx_p : std_ulogic;
signal ps2_keyb_clk: std_logic;
signal ps2_keyb_data: std_logic;
signal ps2_mouse_clk: std_logic;
signal ps2_mouse_data: std_logic;
signal usb_csn, usb_rstn : std_logic;
signal iic_scl_main, iic_sda_main : std_logic;
signal iic_scl_video, iic_sda_video : std_logic;
signal tft_lcd_data : std_logic_vector(11 downto 0);
signal tft_lcd_clk_p : std_logic;
signal tft_lcd_clk_n : std_logic;
signal tft_lcd_hsync : std_logic;
signal tft_lcd_vsync : std_logic;
signal tft_lcd_de : std_logic;
signal tft_lcd_reset_b : std_logic;
signal sysace_mpa : std_logic_vector(6 downto 0);
signal sysace_mpce : std_ulogic;
signal sysace_mpirq : std_ulogic;
signal sysace_mpoe : std_ulogic;
signal sysace_mpwe : std_ulogic;
signal sysace_d : std_logic_vector(15 downto 0);
--pcie--
signal cor_sys_reset_n : std_logic := '1';
signal ep_sys_clk_p : std_logic;
signal ep_sys_clk_n : std_logic;
signal rp_sys_clk : std_logic;
signal cor_pci_exp_txn : std_logic_vector(CFG_NO_OF_LANES-1 downto 0) := (others => '0');
signal cor_pci_exp_txp : std_logic_vector(CFG_NO_OF_LANES-1 downto 0) := (others => '0');
signal cor_pci_exp_rxn : std_logic_vector(CFG_NO_OF_LANES-1 downto 0) := (others => '0');
signal cor_pci_exp_rxp : std_logic_vector(CFG_NO_OF_LANES-1 downto 0) := (others => '0');
--pcie end--
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal clk_200_p : std_ulogic := '0';
signal clk_200_n : std_ulogic := '1';
signal clk_33 : std_ulogic := '0';
signal clk_125_p : std_ulogic := '0';
signal clk_125_n : std_ulogic := '1';
signal rst_125 : std_ulogic;
constant lresp : boolean := false;
begin
-- clock and reset
sys_clk <= not sys_clk after ct * 1 ns;
sys_rst_in <= '0', '1' after 200 ns;
clk_200_p <= not clk_200_p after 2.5 ns;
clk_200_n <= not clk_200_n after 2.5 ns;
clk_125_p <= not clk_125_p after 4 ns;
clk_125_n <= not clk_125_n after 4 ns;
clk_33 <= not clk_33 after 15 ns;
rxd1 <= 'H'; gpio(11) <= 'L';
sram_clk_fb <= sram_clk;
ps2_keyb_data <= 'H'; ps2_keyb_clk <= 'H';
ps2_mouse_clk <= 'H'; ps2_mouse_data <= 'H';
iic_scl_main <= 'H'; iic_sda_main <= 'H';
iic_scl_video <= 'H'; iic_sda_video <= 'H';
sysace_d <= (others => 'H'); sysace_mpirq <= 'L';
cpu : entity work.leon3mp
generic map ( fabtech, memtech, padtech, transtech, ncpu, disas, dbguart, pclow )
port map ( sys_rst_in, sys_clk, clk_200_p, clk_200_n, clk_33, sram_flash_addr,
sram_flash_data, sram_cen, sram_bw, sram_oen, sram_flash_we_n,
flash_cen, flash_oen, flash_adv_n,sram_clk, sram_clk_fb, sram_mode,
sram_adv_ld_n, iosn,
ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_odt, ddr_web,
ddr_rasb, ddr_casb, ddr_dm, ddr_dqsp, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq,
txd1, rxd1, txd2, rxd2, gpio, led, bus_error,
phy_gtx_clk, phy_mii_data, phy_tx_clk, phy_rx_clk,
phy_rx_data, phy_dv, phy_rx_er, phy_col, phy_crs,
phy_tx_data, phy_tx_en, phy_tx_er, phy_mii_clk, phy_rst_n, phy_int,
sgmii_rx_n, sgmii_rx_p, sgmii_tx_n, sgmii_tx_p, clk_125_n, clk_125_p,
ps2_keyb_clk, ps2_keyb_data, ps2_mouse_clk, ps2_mouse_data,
usb_csn, usb_rstn,
iic_scl_main, iic_sda_main,
iic_scl_video, iic_sda_video,
tft_lcd_data, tft_lcd_clk_p, tft_lcd_clk_n, tft_lcd_hsync,
tft_lcd_vsync, tft_lcd_de, tft_lcd_reset_b,
sysace_mpa, sysace_mpce, sysace_mpirq, sysace_mpoe,
sysace_mpwe, sysace_d, cor_pci_exp_txp, cor_pci_exp_txn, cor_pci_exp_rxp,
cor_pci_exp_rxn, ep_sys_clk_p, ep_sys_clk_n, cor_sys_reset_n
);
ddr0 : ddr2ram
generic map(width => 64, abits => 13, babits =>2, colbits => 10, rowbits => 13,
implbanks => 1, fname => sdramfile, speedbin=>1, density => 2,
lddelay => 100 us * CFG_MIG_DDR2)
port map (ck => ddr_clk(0), ckn => ddr_clkb(0), cke => ddr_cke(0), csn => ddr_csb(0),
odt => ddr_odt(0), rasn => ddr_rasb, casn => ddr_casb, wen => ddr_web,
dm => ddr_dm, ba => ddr_ba(1 downto 0), a => ddr_ad(12 downto 0), dq => ddr_dq2,
dqs => ddr_dqsp, dqsn =>ddr_dqsn);
nodqdel : if (CFG_MIG_DDR2 = 1) generate
ddr2delay : delay_wire
generic map(data_width => ddr_dq'length, delay_atob => 0.0, delay_btoa => 0.0)
port map(a => ddr_dq, b => ddr_dq2);
end generate;
dqdel : if (CFG_MIG_DDR2 = 0) generate
ddr2delay : delay_wire
generic map(data_width => ddr_dq'length, delay_atob => 0.0, delay_btoa => 5.5)
port map(a => ddr_dq, b => ddr_dq2);
end generate;
sram01 : for i in 0 to 1 generate
sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile)
port map (sram_flash_addr(sramdepth downto 1), sram_flash_data(15-i*8 downto 8-i*8),
sram_cen, sram_bw(i+2), sram_oen);
end generate;
sram23 : for i in 2 to 3 generate
sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile)
port map (sram_flash_addr(sramdepth downto 1), sram_flash_data(47-i*8 downto 40-i*8),
sram_cen, sram_bw(i-2), sram_oen);
end generate;
prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile)
port map (sram_flash_addr(romdepth-1 downto 0), sram_flash_data(15 downto 0),
gnd, gnd, flash_cen, sram_flash_we_n, flash_oen);
gmii_phy: if CFG_GRETH_SGMII_MODE = 0 generate
phy_mii_data <= 'H';
p0: phy
generic map (address => 7)
port map(phy_rst_n, phy_mii_data, phy_tx_clk, phy_rx_clk, phy_rx_data,
phy_dv, phy_rx_er, phy_col, phy_crs, phy_tx_data, phy_tx_en,
phy_tx_er, phy_mii_clk, phy_gtx_clk);
end generate;
sgmii_phy: if CFG_GRETH_SGMII_MODE /= 0 generate
-- delaying rx line
sgmii_rx_p <= transport sgmii_rx_p_d after 0.8 ns * slips;
sgmii_rx_n <= transport sgmii_rx_n_d after 0.8 ns * slips;
rst_125 <= not phy_rst_n;
sp0: ser_phy
generic map(
address => 7,
extended_regs => 1,
aneg => 1,
fd_10 => 1,
hd_10 => 1,
base100_t4 => 1,
base100_x_fd => 1,
base100_x_hd => 1,
base100_t2_fd => 1,
base100_t2_hd => 1,
base1000_x_fd => 1,
base1000_x_hd => 1,
base1000_t_fd => 1,
base1000_t_hd => 1,
fabtech => virtex5,
memtech => virtex5
)
port map(
rstn => phy_rst_n,
clk_125 => clk_125_p,
rst_125 => rst_125,
eth_rx_p => sgmii_rx_p_d,
eth_rx_n => sgmii_rx_n_d,
eth_tx_p => sgmii_tx_p,
eth_tx_n => sgmii_tx_n,
mdio => phy_mii_data,
mdc => phy_mii_clk
);
end generate;
i0: i2c_slave_model
port map (iic_scl_main, iic_sda_main);
iuerr : process
begin
wait for 5000 ns;
if to_x01(bus_error(0)) = '0' then wait on bus_error; end if;
assert (to_x01(bus_error(0)) = '0')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
data <= sram_flash_data(15 downto 0) & sram_flash_data(31 downto 16);
address <= sram_flash_addr & '0';
test0 : grtestmod
port map ( sys_rst_in, sys_clk, bus_error(0), sram_flash_addr(20 downto 1), data,
iosn, flash_oen, sram_bw(0), open);
sram_flash_data <= buskeep(sram_flash_data), (others => 'H') after 250 ns;
-- ddr_dq <= buskeep(ddr_dq), (others => 'H') after 250 ns;
data <= buskeep(data), (others => 'H') after 250 ns;
end ;
|
-------------------------------------------------------------------------------
-- LGPL v2.1, Copyright (c) 2015 Johannes Walter <[email protected]>
--
-- Description:
-- Galois Linear Feedback Shift Register (LFSR) package.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
package lfsr_pkg is
-- Maximum LFSR length supported by package
constant lfsr_max_length_c : natural range 2 to natural'high := 32;
-- LFSR data type to be used with package functions
type lfsr_t is array (natural range <>) of std_ulogic;
-- Get LFSR bit length for a given period, period = 2^n - 1
function lfsr_length(period : positive)
return natural;
-- Get LFSR maximum period polynomial for a given bit length
function lfsr_polynomial(length : natural range 2 to lfsr_max_length_c)
return std_ulogic_vector;
-- Get LFSR seed value for a given bit length
function lfsr_seed(length : natural range 2 to lfsr_max_length_c)
return lfsr_t;
-- Compute the LFSR value after a given number of shifts using the maximum period polynomial
function lfsr_shift(lfsr : lfsr_t; num_shifts : natural := 1)
return lfsr_t;
-- Compute the LFSR value with the provided polynomial after the given number of shifts
function lfsr_shift(lfsr : lfsr_t; polynomial : std_ulogic_vector;
num_shifts : natural := 1)
return lfsr_t;
-- Compute the LFSR value after a given number of shifts using the "+" operator and maximum period polynomial
function "+"(lfsr : lfsr_t; num_shifts : natural)
return lfsr_t;
end package lfsr_pkg;
package body lfsr_pkg is
function lfsr_length(period : positive)
return natural is
begin
if period < 3 then
return 2;
else
return natural(ceil(log2(real(period + 1))));
end if;
end function lfsr_length;
function lfsr_polynomial(length : natural range 2 to lfsr_max_length_c)
return std_ulogic_vector is
variable polynomial : std_ulogic_vector(length - 1 downto 0);
begin
case length is
when 2 => polynomial := "11"; -- x^2 + x + 1
when 3 => polynomial := "110"; -- x^3 + x^2 + 1
when 4 => polynomial := "1100"; -- x^4 + x^3 + 1
when 5 => polynomial := "10100"; -- x^5 + x^3 + 1
when 6 => polynomial := "110000"; -- x^6 + x^5 + 1
when 7 => polynomial := "1100000"; -- x^7 + x^6 + 1
when 8 => polynomial := "10111000"; -- x^8 + x^6 + x^5 + x^4 + 1
when 9 => polynomial := "100010000"; -- x^9 + x^5 + 1
when 10 => polynomial := "1001000000"; -- x^10 + x^7 + 1
when 11 => polynomial := "10100000000"; -- x^11 + x^9 + 1
when 12 => polynomial := "111000001000"; -- x^12 + x^11 + x^10 + x^4 + 1
when 13 => polynomial := "1110010000000"; -- x^13 + x^12 + x^11 + x^8 + 1
when 14 => polynomial := "11100000000010"; -- x^14 + x^13 + x^12 + x^2 + 1
when 15 => polynomial := "110000000000000"; -- x^15 + x^14 + 1
when 16 => polynomial := "1011010000000000"; -- x^16 + x^14 + x^13 + x^11 + 1
when 17 => polynomial := "10010000000000000"; -- x^17 + x^14 + 1
when 18 => polynomial := "100000010000000000"; -- x^18 + x^11 + 1
when 19 => polynomial := "1110010000000000000"; -- x^19 + x^18 + x^17 + x^14 + 1
when 20 => polynomial := "10010000000000000000"; -- x^20 + x^17 + 1
when 21 => polynomial := "101000000000000000000"; -- x^21 + x^19 + 1
when 22 => polynomial := "1100000000000000000000"; -- x^22 + x^21 + 1
when 23 => polynomial := "10000100000000000000000"; -- x^23 + x^18 + 1
when 24 => polynomial := "110110000000000000000000"; -- x^24 + x^23 + x^21 + x^20 + 1
when 25 => polynomial := "1001000000000000000000000"; -- x^25 + x^22 + 1
when 26 => polynomial := "11100010000000000000000000"; -- x^26 + x^25 + x^24 + x^20 + 1
when 27 => polynomial := "111001000000000000000000000"; -- x^27 + x^26 + x^25 + x^22 + 1
when 28 => polynomial := "1001000000000000000000000000"; -- x^28 + x^25 + 1
when 29 => polynomial := "10100000000000000000000000000"; -- x^29 + x^27 + 1
when 30 => polynomial := "110010100000000000000000000000"; -- x^30 + x^29 + x^26 + x^24 + 1
when 31 => polynomial := "1001000000000000000000000000000"; -- x^31 + x^28 + 1
when 32 => polynomial := "10100011000000000000000000000000"; -- x^32 + x^30 + x^26 + x^25 + 1
end case;
return polynomial;
end function lfsr_polynomial;
function lfsr_seed(length : natural range 2 to lfsr_max_length_c)
return lfsr_t is
begin
return (length - 1 downto 0 => '1');
end function lfsr_seed;
function lfsr_shift(lfsr : lfsr_t; num_shifts : natural := 1)
return lfsr_t is
begin
assert lfsr'length >= 2
report "LFSR vector is too short."
severity error;
assert lfsr'length <= lfsr_max_length_c
report "LFSR vector is too long."
severity error;
return lfsr_shift(lfsr, lfsr_polynomial(lfsr'length), num_shifts);
end function lfsr_shift;
function lfsr_shift(lfsr : lfsr_t; polynomial : std_ulogic_vector;
num_shifts : natural := 1)
return lfsr_t is
variable tmp : lfsr_t(lfsr'range) := lfsr;
variable res : lfsr_t(lfsr'range) := (others => '0');
begin
assert lfsr'left > lfsr'right
report "Package requires an LFSR with DOWNTO range and minimum length of 2."
severity error;
assert polynomial'left > polynomial'right
report "Package requires a polynomial with DOWNTO range and minimum length of 2."
severity error;
assert lfsr'left = polynomial'left and lfsr'right = polynomial'right
report "Ranges of LFSR and polynomial have to be equal."
severity error;
assert polynomial(polynomial'high) = '1'
report "Highest bit of polynomial has to be 1 as it represents its order."
severity error;
for i in 1 to num_shifts loop
res(res'high) := tmp(tmp'low);
for j in res'high - 1 downto res'low loop
if polynomial(j) = '1' then
res(j) := tmp(j + 1) xor tmp(tmp'low);
else
res(j) := tmp(j + 1);
end if;
end loop;
tmp := res;
end loop;
return res;
end function lfsr_shift;
function "+"(lfsr : lfsr_t; num_shifts : natural)
return lfsr_t is
begin
return lfsr_shift(lfsr, num_shifts);
end function "+";
end package body lfsr_pkg;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNPDVRZS4H is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(11 downto 0);
output : out std_logic_vector(7 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNPDVRZS4H is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 12 + 1 ,
width_inr=> 0,
width_outl=> 8,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(11 downto 0) => input,
xin(12) => '0', yout => output
);
end architecture; |
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:36:23 01/29/2015
-- Design Name:
-- Module Name: /home/james/devroot/learnfpga/analogue2/vhdl/shift_in_tb.vhd
-- Project Name: analogue2
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: shift_in
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY shift_in_tb IS
END shift_in_tb;
ARCHITECTURE behavior OF shift_in_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT shift_in
GENERIC(
width: positive
);
PORT(
reset : IN std_logic;
clk : IN std_logic;
ce : IN std_logic;
ser_in : IN std_logic;
par_out : OUT std_logic_vector(11 downto 0)
);
END COMPONENT;
--Inputs
signal reset : std_logic := '0';
signal clk : std_logic := '0';
signal ce : std_logic := '0';
signal ser_in : std_logic := '0';
--Outputs
signal par_out : std_logic_vector(11 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: shift_in GENERIC MAP (
width => 12
) PORT MAP (
reset => reset,
clk => clk,
ce => ce,
ser_in => ser_in,
par_out => par_out
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
ce <= '1';
ser_in <= '1';
wait for clk_period*10;
-- insert stimulus here
wait;
end process;
END;
|
-- Nancy Minderman
-- [email protected]
-- This file makes extensive use of Altera template structures.
-- This file is the top-level file for lab 1 winter 2014 for version 12.1sp1 on Windows 7
-- A library clause declares a name as a library. It
-- does not create the library; it simply forward declares
-- it.
library ieee;
-- Commonly imported packages:
-- STD_LOGIC and STD_LOGIC_VECTOR types, and relevant functions
use ieee.std_logic_1164.all;
-- SIGNED and UNSIGNED types, and relevant functions
use ieee.numeric_std.all;
-- Basic sequential functions and concurrent procedures
use ieee.VITAL_Primitives.all;
use work.DE2_CONSTANTS.all;
entity niosII_microc_lab1 is
port
(
-- Input ports and 50 MHz Clock
KEY : in std_logic_vector (0 downto 0);
SW : in std_logic_vector (0 downto 0);
CLOCK_50 : in std_logic;
-- Green leds on board
LEDG : out DE2_LED_GREEN;
-- LCD on board
LCD_BLON : out std_logic;
LCD_ON : out std_logic;
LCD_DATA : inout DE2_LCD_DATA_BUS;
LCD_RS : out std_logic;
LCD_EN : out std_logic;
LCD_RW : out std_logic;
-- SDRAM on board
--DRAM_ADDR : out std_logic_vector (11 downto 0);
DRAM_ADDR : out DE2_SDRAM_ADDR_BUS;
DRAM_BA_0 : out std_logic;
DRAM_BA_1 : out std_logic;
DRAM_CAS_N : out std_logic;
DRAM_CKE : out std_logic;
DRAM_CLK : out std_logic;
DRAM_CS_N : out std_logic;
--DRAM_DQ : inout std_logic_vector (15 downto 0);
DRAM_DQ : inout DE2_SDRAM_DATA_BUS;
DRAM_LDQM : out std_logic;
DRAM_UDQM : out std_logic;
DRAM_RAS_N : out std_logic;
DRAM_WE_N : out std_logic;
-- SRAM on board
SRAM_ADDR : out DE2_SRAM_ADDR_BUS;
SRAM_DQ : inout DE2_SRAM_DATA_BUS;
SRAM_WE_N : out std_logic;
SRAM_OE_N : out std_logic;
SRAM_UB_N : out std_logic;
SRAM_LB_N : out std_logic;
SRAM_CE_N : out std_logic;
-- VGA Controller
VGA_R : OUT STD_LOGIC_VECTOR (9 downto 0);
VGA_B : OUT STD_LOGIC_VECTOR (9 downto 0);
VGA_G : OUT STD_LOGIC_VECTOR (9 downto 0);
VGA_CLK: OUT STD_LOGIC;
VGA_BLANK: OUT STD_LOGIC;
VGA_HS: OUT STD_LOGIC;
VGA_VS: OUT STD_LOGIC;
VGA_SYNC: OUT STD_LOGIC;
I2C_SDAT : inout std_logic := 'X'; -- SDAT
I2C_SCLK : out std_logic;
TD_CLK27 : in std_logic := 'X'; -- TD_CLK27
TD_DATA : in std_logic_vector(7 downto 0) := (others => 'X'); -- TD_DATA
TD_HS : in std_logic := 'X'; -- TD_HS
TD_VS : in std_logic := 'X'; -- TD_VS
TD_RESET : out std_logic -- TD_RESET
--overflow_flag_from_the_Video_In_Decoder : out std_logic
);
end niosII_microc_lab1;
architecture structure of niosII_microc_lab1 is
-- Declarations (optional)
component video_sys is
port (
VGA_CLK_from_the_VGA_Controller : out std_logic; -- CLK
VGA_HS_from_the_VGA_Controller : out std_logic; -- HS
VGA_VS_from_the_VGA_Controller : out std_logic; -- VS
VGA_BLANK_from_the_VGA_Controller : out std_logic; -- BLANK
VGA_SYNC_from_the_VGA_Controller : out std_logic; -- SYNC
VGA_R_from_the_VGA_Controller : out std_logic_vector(9 downto 0); -- R
VGA_G_from_the_VGA_Controller : out std_logic_vector(9 downto 0); -- G
VGA_B_from_the_VGA_Controller : out std_logic_vector(9 downto 0); -- B
clk_0 : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
I2C_SDAT_to_and_from_the_AV_Config : inout std_logic := 'X'; -- SDAT
I2C_SCLK_from_the_AV_Config : out std_logic; -- SCLK
SRAM_DQ_to_and_from_the_Pixel_Buffer : inout DE2_SRAM_DATA_BUS := (others => 'X'); -- DQ
SRAM_ADDR_from_the_Pixel_Buffer : out DE2_SRAM_ADDR_BUS; -- ADDR
SRAM_LB_N_from_the_Pixel_Buffer : out std_logic; -- LB_N
SRAM_UB_N_from_the_Pixel_Buffer : out std_logic; -- UB_N
SRAM_CE_N_from_the_Pixel_Buffer : out std_logic; -- CE_N
SRAM_OE_N_from_the_Pixel_Buffer : out std_logic; -- OE_N
SRAM_WE_N_from_the_Pixel_Buffer : out std_logic; -- WE_N
TD_CLK27_to_the_Video_In_Decoder : in std_logic := 'X'; -- TD_CLK27
TD_DATA_to_the_Video_In_Decoder : in std_logic_vector(7 downto 0) := (others => 'X'); -- TD_DATA
TD_HS_to_the_Video_In_Decoder : in std_logic := 'X'; -- TD_HS
TD_VS_to_the_Video_In_Decoder : in std_logic := 'X'; -- TD_VS
TD_RESET_from_the_Video_In_Decoder : out std_logic; -- TD_RESET
--overflow_flag_from_the_Video_In_Decoder : out std_logic -- overflow_flag
sdram_0_wire_addr : out DE2_SDRAM_ADDR_BUS; -- addr
sdram_0_wire_ba : out std_logic_vector(1 downto 0); -- ba
sdram_0_wire_cas_n : out std_logic; -- cas_n
sdram_0_wire_cke : out std_logic; -- cke
sdram_0_wire_cs_n : out std_logic; -- cs_n
sdram_0_wire_dq : inout DE2_SDRAM_DATA_BUS := (others => 'X'); -- dq
sdram_0_wire_dqm : out std_logic_vector(1 downto 0); -- dqm
sdram_0_wire_ras_n : out std_logic; -- ras_n
sdram_0_wire_we_n : out std_logic;
clock_signals_sdram_clk_clk : out std_logic;
led_external_connection_export : out DE2_LED_GREEN
);
end component video_sys;
-- These signals are for matching the provided IP core to
-- The specific SDRAM chip in our system
signal BA : std_logic_vector (1 downto 0);
signal DQM : std_logic_vector (1 downto 0);
begin
DRAM_BA_1 <= BA(1);
DRAM_BA_0 <= BA(0);
DRAM_UDQM <= DQM(1);
DRAM_LDQM <= DQM(0);
-- Component Instantiation Statement (optional)
u0 : component video_sys
port map (
VGA_CLK_from_the_VGA_Controller => VGA_CLK, -- VGA_Controller_external_interface.CLK
VGA_HS_from_the_VGA_Controller => VGA_HS, -- .HS
VGA_VS_from_the_VGA_Controller => VGA_VS, -- .VS
VGA_BLANK_from_the_VGA_Controller => VGA_BLANK, -- .BLANK
VGA_SYNC_from_the_VGA_Controller => VGA_SYNC, -- .SYNC
VGA_R_from_the_VGA_Controller => VGA_R, -- .R
VGA_G_from_the_VGA_Controller => VGA_G, -- .G
VGA_B_from_the_VGA_Controller => VGA_B, -- .B
clk_0 => CLOCK_50, -- clk_0_clk_in.clk
reset_n => KEY(0), -- clk_0_clk_in_reset.reset_n
I2C_SDAT_to_and_from_the_AV_Config => I2C_SDAT, -- AV_Config_external_interface.SDAT
I2C_SCLK_from_the_AV_Config => I2C_SCLK, -- .SCLK
SRAM_DQ_to_and_from_the_Pixel_Buffer => SRAM_DQ, -- Pixel_Buffer_external_interface.DQ
SRAM_ADDR_from_the_Pixel_Buffer => SRAM_ADDR, -- .ADDR
SRAM_LB_N_from_the_Pixel_Buffer => SRAM_LB_N, -- .LB_N
SRAM_UB_N_from_the_Pixel_Buffer => SRAM_UB_N, -- .UB_N
SRAM_CE_N_from_the_Pixel_Buffer => SRAM_CE_N, -- .CE_N
SRAM_OE_N_from_the_Pixel_Buffer => SRAM_OE_N, -- .OE_N
SRAM_WE_N_from_the_Pixel_Buffer => SRAM_WE_N, -- .WE_N
TD_CLK27_to_the_Video_In_Decoder => TD_CLK27, -- Video_In_Decoder_external_interface.TD_CLK27
TD_DATA_to_the_Video_In_Decoder => TD_DATA, -- .TD_DATA
TD_HS_to_the_Video_In_Decoder => TD_HS, -- .TD_HS
TD_VS_to_the_Video_In_Decoder => TD_VS, -- .TD_VS
TD_RESET_from_the_Video_In_Decoder => TD_RESET, -- .TD_RESET
--overflow_flag_from_the_Video_In_Decoder => overflow_flag -- .overflow_flag
sdram_0_wire_addr => DRAM_ADDR, -- sdram_0_wire.addr
sdram_0_wire_ba => BA, -- .ba
sdram_0_wire_cas_n => DRAM_CAS_N, -- .cas_n
sdram_0_wire_cke => DRAM_CKE, -- .cke
sdram_0_wire_cs_n => DRAM_CS_N, -- .cs_n
sdram_0_wire_dq => DRAM_DQ, -- .dq
sdram_0_wire_dqm => DQM, -- .dqm
sdram_0_wire_ras_n => DRAM_RAS_N, -- .ras_n
sdram_0_wire_we_n => DRAM_WE_N,
clock_signals_sdram_clk_clk => DRAM_CLK,
led_external_connection_export => LEDG
);
end structure;
library ieee;
-- Commonly imported packages:
-- STD_LOGIC and STD_LOGIC_VECTOR types, and relevant functions
use ieee.std_logic_1164.all;
package DE2_CONSTANTS is
type DE2_SDRAM_ADDR_BUS is array(11 downto 0) of std_logic;
type DE2_SDRAM_DATA_BUS is array(15 downto 0) of std_logic;
type DE2_LCD_DATA_BUS is array(7 downto 0) of std_logic;
type DE2_LED_GREEN is array(7 downto 0) of std_logic;
type DE2_SRAM_ADDR_BUS is array(17 downto 0) of std_logic;
type DE2_SRAM_DATA_BUS is array(15 downto 0) of std_logic;
end DE2_CONSTANTS;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Tue Aug 4 00:06:12 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v2_00_a;
use proc_common_v2_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 16
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg1 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg2 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg3 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg4 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg5 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg6 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg7 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg8 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg9 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg10 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg11 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg12 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg13 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg14 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg15 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg_write_sel : std_logic_vector(0 to 15);
signal slv_reg_read_sel : std_logic_vector(0 to 15);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_write_sel <= Bus2IP_WrCE(0 to 15);
slv_reg_read_sel <= Bus2IP_RdCE(0 to 15);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4) or Bus2IP_RdCE(5) or Bus2IP_RdCE(6) or Bus2IP_RdCE(7) or Bus2IP_RdCE(8) or Bus2IP_RdCE(9) or Bus2IP_RdCE(10) or Bus2IP_RdCE(11) or Bus2IP_RdCE(12) or Bus2IP_RdCE(13) or Bus2IP_RdCE(14) or Bus2IP_RdCE(15);
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_reg0 <= (others => '0');
slv_reg1 <= (others => '0');
slv_reg2 <= (others => '0');
slv_reg3 <= (others => '0');
slv_reg4 <= (others => '0');
slv_reg5 <= (others => '0');
slv_reg6 <= (others => '0');
slv_reg7 <= (others => '0');
slv_reg8 <= (others => '0');
slv_reg9 <= (others => '0');
slv_reg10 <= (others => '0');
slv_reg11 <= (others => '0');
slv_reg12 <= (others => '0');
slv_reg13 <= (others => '0');
slv_reg14 <= (others => '0');
slv_reg15 <= (others => '0');
else
case slv_reg_write_sel is
when "1000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
-- JA: Writing slv_reg0 updates both slv_reg0 and slv_reg1
slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
slv_reg1(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0100000000000000" =>
-- JA: Upon writing, slv_reg1 auto increments
slv_reg1 <= slv_reg1 + 1;
-- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
-- if ( Bus2IP_BE(byte_index) = '1' ) then
-- slv_reg1(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
-- end if;
-- end loop;
when "0010000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg2(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0001000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg3(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000100000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg4(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000010000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg5(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000001000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg6(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000100000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg7(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000010000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg8(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000001000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg9(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000100000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg10(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000010000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg11(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000001000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg12(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000000100" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg13(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000000010" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg14(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000000001" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg15(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, slv_reg14, slv_reg15 ) is
begin
case slv_reg_read_sel is
when "1000000000000000" => slv_ip2bus_data <= slv_reg0;
when "0100000000000000" => slv_ip2bus_data <= slv_reg1;
when "0010000000000000" => slv_ip2bus_data <= slv_reg2;
when "0001000000000000" => slv_ip2bus_data <= slv_reg3;
when "0000100000000000" => slv_ip2bus_data <= slv_reg4;
when "0000010000000000" => slv_ip2bus_data <= slv_reg5;
when "0000001000000000" => slv_ip2bus_data <= slv_reg6;
when "0000000100000000" => slv_ip2bus_data <= slv_reg7;
when "0000000010000000" => slv_ip2bus_data <= slv_reg8;
when "0000000001000000" => slv_ip2bus_data <= slv_reg9;
when "0000000000100000" => slv_ip2bus_data <= slv_reg10;
when "0000000000010000" => slv_ip2bus_data <= slv_reg11;
when "0000000000001000" => slv_ip2bus_data <= slv_reg12;
when "0000000000000100" => slv_ip2bus_data <= slv_reg13;
when "0000000000000010" => slv_ip2bus_data <= slv_reg14;
when "0000000000000001" => slv_ip2bus_data <= slv_reg15;
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
--
-- FIFO testbench
--
-- Author(s):
-- * Rodrigo A. Melo
--
-- Copyright (c) 2017 Authors and INTI
-- Distributed under the BSD 3-Clause License
--
library IEEE;
use IEEE.std_logic_1164.all;
library FPGALIB;
use FPGALIB.MEMs.all;
use FPGALIB.Simul.all;
entity FIFO_tb is
end entity FIFO_tb;
architecture TestBench of FIFO_tb is
constant DWIDTH : positive:=8;
constant DEPTH : positive:=5;
signal stop : boolean;
signal wclk, rclk : std_logic;
signal wrst, rrst : std_logic;
signal wen, ren : std_logic;
signal datai, datao : std_logic_vector(DWIDTH-1 downto 0);
signal full, empty : std_logic;
signal afull, aempty : std_logic;
signal over, under : std_logic;
signal valid : std_logic;
procedure wr_check(
full: in std_logic; vfull: in std_logic;
afull: in std_logic; vafull: in std_logic;
over: in std_logic; vover: in std_logic
) is
begin
assert full=vfull report "Wrong Full Flag" severity failure;
assert afull=vafull report "Wrong Almost Full Flag" severity failure;
assert over=vover report "Wrong Overflow Flag" severity failure;
end procedure wr_check;
procedure rd_check(
empty: in std_logic; vempty: in std_logic;
aempty: in std_logic; vaempty: in std_logic;
under: in std_logic; vunder: in std_logic
) is
begin
assert empty=vempty report "Wrong Empty Flag" severity failure;
assert aempty=vaempty report "Wrong Almost Empty Flag" severity failure;
assert under=vunder report "Wrong Underflow Flag" severity failure;
end procedure rd_check;
procedure ctrl(
signal clk: in std_logic;
signal wen: out std_logic;
wen_val: in std_logic;
signal ren: out std_logic;
ren_val: in std_logic;
signal data: out std_logic_vector;
data_val: in std_logic_vector;
wr_num: inout natural;
rd_num: inout natural
) is
begin
if wen_val='1' and ren_val='1' then
print("Write "&to_str(wr_num)&" - Read "&to_str(rd_num));
wr_num := wr_num + 1;
rd_num := rd_num + 1;
elsif wen_val='1' then
print("Write "&to_str(wr_num));
wr_num := wr_num + 1;
elsif ren_val='1' then
print("Read "&to_str(rd_num));
rd_num := rd_num + 1;
else
print("Nop");
end if;
wen <= wen_val;
ren <= ren_val;
data <= data_val;
wait until rising_edge(clk);
end procedure ctrl;
begin
wr_clock_i : Clock
generic map(FREQUENCY => 2)
port map(clk_o => wclk, rst_o => wrst, stop_i => stop);
rd_clock_i : Clock
generic map(FREQUENCY => 3)
port map(clk_o => rclk, rst_o => rrst, stop_i => stop);
fifo_sync_i: fifo
generic map (
DWIDTH => DWIDTH,
DEPTH => DEPTH,
OUTREG => FALSE,
AFULLOFFSET => 1,
AEMPTYOFFSET => 2,
ASYNC => FALSE
)
port map (
-- write side
wclk_i => wclk,
wrst_i => wrst,
wen_i => wen,
data_i => datai,
full_o => full,
afull_o => afull,
overflow_o => over,
-- read side
rclk_i => wclk,
rrst_i => wrst,
ren_i => ren,
data_o => datao,
empty_o => empty,
aempty_o => aempty,
underflow_o => under,
valid_o => valid
);
fifo_async_i: fifo
generic map (
DWIDTH => DWIDTH,
DEPTH => DEPTH,
OUTREG => FALSE,
AFULLOFFSET => 1,
AEMPTYOFFSET => 2,
ASYNC => TRUE
)
port map (
-- write side
wclk_i => wclk,
wrst_i => wrst,
wen_i => wen,
data_i => datai,
full_o => open,--full,
afull_o => open,--afull,
overflow_o => open,--over,
-- read side
rclk_i => rclk,
rrst_i => rrst,
ren_i => ren,
data_o => open,--datao,
empty_o => open,--empty,
aempty_o => open,--aempty,
underflow_o => open,--under,
valid_o => open --valid
);
test_p : process
variable wr_num, rd_num: natural:=1;
begin
ctrl(wclk, wen, '0', ren, '0', datai, x"00", wr_num, rd_num);
print("* Start of Test (DEPTH="&to_str(DEPTH)&")");
wait until rising_edge(wclk) and wrst = '0';
wr_check(full, '0', afull, '0', over, '0');
print("* Testing Write");
ctrl(wclk, wen, '1', ren, '0', datai, x"11", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"22", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"33", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"44", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"55", wr_num, rd_num); wr_check(full, '0', afull, '1', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"66", wr_num, rd_num); wr_check(full, '1', afull, '1', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"77", wr_num, rd_num); wr_check(full, '1', afull, '1', over, '1');
ctrl(wclk, wen, '0', ren, '0', datai, datai, wr_num, rd_num); wr_check(full, '1', afull, '1', over, '0');
print("* Testing Read");
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '1');
ctrl(wclk, wen, '0', ren, '0', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '0');
print("* Testing Write");
ctrl(wclk, wen, '1', ren, '0', datai, x"88", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"99", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"AA", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"BB", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"CC", wr_num, rd_num); wr_check(full, '0', afull, '1', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"DD", wr_num, rd_num); wr_check(full, '1', afull, '1', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"EE", wr_num, rd_num); wr_check(full, '1', afull, '1', over, '1');
ctrl(wclk, wen, '0', ren, '0', datai, datai, wr_num, rd_num); wr_check(full, '1', afull, '1', over, '0');
print("* Testing Read");
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '1');
ctrl(wclk, wen, '0', ren, '0', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '0');
print("* Testing Write");
ctrl(wclk, wen, '1', ren, '0', datai, x"FF", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"00", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"11", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"22", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"33", wr_num, rd_num); wr_check(full, '0', afull, '1', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"44", wr_num, rd_num); wr_check(full, '1', afull, '1', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"55", wr_num, rd_num); wr_check(full, '1', afull, '1', over, '1');
ctrl(wclk, wen, '0', ren, '0', datai, datai, wr_num, rd_num); wr_check(full, '1', afull, '1', over, '0');
print("* Testing Read");
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '1');
ctrl(wclk, wen, '0', ren, '0', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '0');
print("* Testing Write+Read");
ctrl(wclk, wen, '1', ren, '0', datai, x"66", wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"77", wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '1', ren, '1', datai, x"88", wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"99", wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '1', ren, '1', datai, x"AA", wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '1', ren, '1', datai, x"BB", wr_num, rd_num);
ctrl(wclk, wen, '1', ren, '1', datai, x"CC", wr_num, rd_num);
ctrl(wclk, wen, '1', ren, '0', datai, x"DD", wr_num, rd_num); wr_check(full, '0', afull, '0', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"EE", wr_num, rd_num); wr_check(full, '0', afull, '1', over, '0');
ctrl(wclk, wen, '1', ren, '0', datai, x"FF", wr_num, rd_num); wr_check(full, '1', afull, '1', over, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num);
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num);
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '0', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '0', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '1', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '0');
ctrl(wclk, wen, '0', ren, '0', datai, datai, wr_num, rd_num); rd_check(empty, '1', aempty, '1', under, '0');
print("* End of Test");
stop <= TRUE;
wait;
end process test_p;
read_p : process
begin
wait until rising_edge(wclk) and valid = '1';
assert datao=x"11" report "Received 0x"&to_str(datao,'H')&" but 0x11 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"22" report "Received 0x"&to_str(datao,'H')&" but 0x22 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"33" report "Received 0x"&to_str(datao,'H')&" but 0x33 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"44" report "Received 0x"&to_str(datao,'H')&" but 0x44 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"55" report "Received 0x"&to_str(datao,'H')&" but 0x55 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"66" report "Received 0x"&to_str(datao,'H')&" but 0x77 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
-- x"77" was overflow
assert datao=x"88" report "Received 0x"&to_str(datao,'H')&" but 0x88 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"99" report "Received 0x"&to_str(datao,'H')&" but 0x99 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"AA" report "Received 0x"&to_str(datao,'H')&" but 0xAA awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"BB" report "Received 0x"&to_str(datao,'H')&" but 0xBB awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"CC" report "Received 0x"&to_str(datao,'H')&" but 0xDD awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"DD" report "Received 0x"&to_str(datao,'H')&" but 0xEE awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
-- x"CC" was overflow
assert datao=x"FF" report "Received 0x"&to_str(datao,'H')&" but 0xFF awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"00" report "Received 0x"&to_str(datao,'H')&" but 0x00 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"11" report "Received 0x"&to_str(datao,'H')&" but 0x11 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"22" report "Received 0x"&to_str(datao,'H')&" but 0x33 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"33" report "Received 0x"&to_str(datao,'H')&" but 0x33 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"44" report "Received 0x"&to_str(datao,'H')&" but 0x44 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
-- x"55" was overflow
assert datao=x"66" report "Received 0x"&to_str(datao,'H')&" but 0x66 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"77" report "Received 0x"&to_str(datao,'H')&" but 0x77 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"88" report "Received 0x"&to_str(datao,'H')&" but 0x88 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"99" report "Received 0x"&to_str(datao,'H')&" but 0x99 awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"AA" report "Received 0x"&to_str(datao,'H')&" but 0xAA awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"BB" report "Received 0x"&to_str(datao,'H')&" but 0xBB awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"CC" report "Received 0x"&to_str(datao,'H')&" but 0xBB awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"DD" report "Received 0x"&to_str(datao,'H')&" but 0xBB awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"EE" report "Received 0x"&to_str(datao,'H')&" but 0xBB awaited" severity failure;
wait until rising_edge(wclk) and valid = '1';
assert datao=x"FF" report "Received 0x"&to_str(datao,'H')&" but 0xBB awaited" severity failure;
wait;
end process read_p;
end architecture TestBench;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11/26/2014 07:09:05 PM
-- Design Name:
-- Module Name: mmc_core_top - rtl
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- The MMC core is designed so it should be quite simple to
-- adapt it to any bus system. It uses a range of registers
-- for interfacing. A bus wrapper must take care of address
-- decoding and bus protocol. The internal control signals are
-- very simple.
--
--
-- Register definitions
--
-- status_reg (R):
--
-- config_reg (RW):
-- [31:24] - MMC clock prescaler: f_mmc = f_in/(2*(1+pre))
-- [2:1] - Bus width: 0=1bit, 1=4bit, 2=8bit, 3=Illegal
-- [0] - Module enable
--
--
-- operation_reg (RW):
-- [22:16] - Cmd CRC7 (used if bit 9 is 0)
-- [12] - Read/Write multiple sectors
-- [11] - Write data
-- [10] - Read data
-- [9] - Append CRC7 to command
-- [8:6] - Response
-- [5:0] - Command index
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use WORK.mmc_core_pkg.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity mmc_core_top is
Port ( clk : in std_logic;
reset : in std_logic;
irq_o : out std_logic;
execute_i : in std_logic;
busy_o : out std_logic;
status_reg_o : out std_logic_vector (31 downto 0);
config_reg_i : in std_logic_vector (31 downto 0);
config_reg_o : out std_logic_vector (31 downto 0);
config_reg_wr : in std_logic;
operation_reg_i : in std_logic_vector (31 downto 0);
operation_reg_o : out std_logic_vector (31 downto 0);
operation_reg_wr : in std_logic;
cmd_arg_reg_i : in std_logic_vector (31 downto 0);
cmd_arg_reg_o : out std_logic_vector (31 downto 0);
cmd_arg_reg_wr : in std_logic;
respons_reg0_o : out std_logic_vector (31 downto 0);
respons_reg1_o : out std_logic_vector (31 downto 0);
respons_reg2_o : out std_logic_vector (31 downto 0);
respons_reg3_o : out std_logic_vector (31 downto 0);
rdata_fifo_o : out std_logic_vector (31 downto 0 );
rdata_fifo_pull_i : in std_logic;
rdata_fifo_empty_o : out std_logic;
wdata_fifo_o : out std_logic_vector (31 downto 0 );
wdata_fifo_push_i : in std_logic;
wdata_fifo_full_o : out std_logic;
-- MCC signals
mmc_clk_o : out std_logic;
mmc_rst_o : out std_logic;
mmc_cmd_i : in std_logic;
mmc_cmd_o : out std_logic;
mmc_dat_i : in std_logic_vector (7 downto 0);
mmc_dat_o : out std_logic_vector (7 downto 0);
-- Auxillary MMC signals
mmc_cpresent_i : in std_logic;
mmc_pwr_en_o : out std_logic;
-- MMC pin control signals
mmc_cmd_dir_o : out std_logic;
mmc_dat_dir_o : out std_logic
);
end mmc_core_top;
architecture rtl of mmc_core_top is
component mmc_cmd_if is
Port ( clk : in std_logic;
clk_en : in std_logic;
reset : in std_logic;
mmc_cmd_i : in std_logic;
mmc_cmd_o : out std_logic;
send_cmd_trigger_i : in std_logic;
receive_cmd_trigger_i : in std_logic;
send_cmd_busy_o : out std_logic;
receive_cmd_busy_o : out std_logic;
crc7_calc_en_i : in std_logic;
response_i : in std_logic_vector (2 downto 0);
cmd_shift_outval_i : in std_logic_vector (47 downto 0);
cmd_shift_inval_o : out std_logic_vector (135 downto 0);
mmc_crc7_out_o : out std_logic_vector (6 downto 0)
);
end component;
component mmc_dat_if is
Port ( clk : in std_logic;
clk_en : in std_logic;
reset : in std_logic;
receive_dat_trigger_i : in std_logic;
transmit_dat_trigger_i : in std_logic;
dat_block_finished_o : out std_logic;
bus_width_i : in std_logic_vector (1 downto 0);
data_fifo_out_i : in std_logic_vector (31 downto 0);
data_fifo_out_wr_i : in std_logic;
data_fifo_out_full_o : out std_logic;
data_fifo_in_o : out std_logic_vector (31 downto 0);
data_fifo_in_rd_i : in std_logic;
data_fifo_in_empty_o : out std_logic;
dat_out_o : out std_logic_vector (7 downto 0);
dat_in_i : in std_logic_vector (7 downto 0)
);
end component;
component mmc_clk_manager is
Port ( clk : in std_logic;
clk_en : in std_logic;
reset : in std_logic;
prescaler : in std_logic_vector (7 downto 0);
mmc_clk : out std_logic;
mmc_clk_rise : out std_logic;
mmc_clk_fall : out std_logic);
end component;
-- State variables
type state_t is (
INACTIVE,
IDLE,
INIT_SEND_CMD,
START_SEND_CMD,
SEND_CMD,
START_RESP,
WAIT_FOR_RESP);
signal state : state_t := INACTIVE;
signal nextstate : state_t;
-- Clock Enable signals
signal mmc_clk_en : std_logic;
signal mmc_clk_fall : std_logic;
signal mmc_clk_rise : std_logic;
-- Internal control signals
signal response : std_logic_vector (2 downto 0);
signal cmd_index : std_logic_vector (5 downto 0);
signal crc7_preset : std_logic_vector (6 downto 0);
signal send_cmd_busy : std_logic := '0';
signal send_cmd_trigger : std_logic := '0';
signal receive_cmd_busy : std_logic := '0';
signal receive_cmd_trigger : std_logic := '0';
signal cmd_shift_outval : std_logic_vector (47 downto 0);
signal prescaler : std_logic_vector (7 downto 0);
signal module_enable : std_logic;
signal mmc_crc7_out : std_logic_vector (6 downto 0);
signal crc7_calc_en : std_logic;
signal receive_dat_trigger : std_logic;
signal transmit_dat_trigger : std_logic;
signal dat_block_finished : std_logic;
signal bus_width : std_logic_vector (1 downto 0);
-- Register
signal status_reg : std_logic_vector (31 downto 0) := (others => '0');
signal config_reg : std_logic_vector (31 downto 0) := (others => '0');
signal operation_reg : std_logic_vector (31 downto 0) := (others => '0');
signal cmd_arg_reg : std_logic_vector (31 downto 0) := (others => '0');
signal respons_fifo : std_logic_vector (31 downto 0) := (others => '0');
signal rdata_fifo : std_logic_vector (31 downto 0) := (others => '0');
-- Internal MMC signals
signal mmc_clk : std_logic := '0';
signal cmd_shift_in : std_logic_vector (135 downto 0);
begin
-- Connect outputs
status_reg_o <= status_reg;
config_reg_o <= config_reg;
operation_reg_o <= operation_reg;
cmd_arg_reg_o <= cmd_arg_reg;
respons_fifo_o <= respons_fifo;
rdata_fifo_o <= rdata_fifo;
mmc_clk_o <= mmc_clk;
-- Connect config register to control signals
prescaler <= config_reg (31 downto 24);
bus_width <= config_reg (2 downto 1);
module_enable <= config_reg(0);
-- Connecto operation reg to internal signals
cmd_index <= operation_reg (5 downto 0);
response <= operation_reg (8 downto 6);
crc7_preset <= operation_reg (22 downto 16);
crc7_calc_en <= operation_reg(9);
cmd_shift_outval <= "01" & cmd_index & cmd_arg_reg & crc7_preset & '1';
-- Register block
process
begin
wait until rising_edge(clk);
if config_reg_wr='1' then
config_reg <= config_reg_i;
end if;
if operation_reg_wr='1' then
operation_reg <= operation_reg_i;
end if;
if cmd_arg_reg_wr='1' then
cmd_arg_reg <= cmd_arg_reg_i;
end if;
end process;
-- State machine flip-flops
process
begin
wait until rising_edge(clk);
if reset='1' or module_enable='0' then
state <= INACTIVE;
else
state <= nextstate;
end if;
end process;
-- State machine logic
process (state, execute, send_cmd_busy, response, receive_cmd_busy)
begin
-- default values for outputs
nextstate <= state;
mmc_clk_en <= '1';
send_cmd_trigger <= '0';
receive_cmd_trigger <= '0';
mmc_cmd_dir <= '0'; -- Default to input
-- Next state and output logic
case state is
when INACTIVE =>
if module_enable='1' then
nextstate <= IDLE;
end if;
mmc_clk_en <= '0';
when IDLE =>
if execute_i='1' then
nextstate <= INIT_SEND_CMD;
end if;
when INIT_SEND_CMD =>
if send_cmd_busy='0' then
nextstate <= START_SEND_CMD;
end if;
when START_SEND_CMD =>
send_cmd_trigger <= '1';
mmc_cmd_dir <= '1';
if send_cmd_busy='1' then
nextstate <= SEND_CMD;
end if;
when SEND_CMD =>
mmc_cmd_dir <= '1';
if send_cmd_busy='0' then
if response=RESP_NONE then
nextstate <= IDLE;
else
nextstate <= START_RESP;
end if;
end if;
when START_RESP =>
receive_cmd_trigger <= '1';
if receive_cmd_busy='1' then
nextstate <= WAIT_FOR_RESP;
end if;
when WAIT_FOR_RESP =>
if receive_cmd_busy='0' then
nextstate <= IDLE;
end if;
when others =>
nextstate <= INACTIVE;
-- Output error signal
end case;
end process;
u_mmc_clk_manager : mmc_clk_manager
Port map (
clk => clk,
clk_en => mmc_clk_en,
reset => reset,
prescaler => prescaler,
mmc_clk => mmc_clk,
mmc_clk_rise => mmc_clk_rise,
mmc_clk_fall => mmc_clk_fall
);
u_mmc_cmd_if : mmc_cmd_if
Port map (
clk => clk,
clk_en => mmc_clk_rise,
reset => reset,
mmc_cmd_i => mmc_cmd_i,
mmc_cmd_o => mmc_cmd_o,
send_cmd_trigger_i => send_cmd_trigger,
receive_cmd_trigger_i => receive_cmd_trigger,
send_cmd_busy_o => send_cmd_busy,
receive_cmd_busy_o => receive_cmd_busy,
crc7_calc_en_i => crc7_calc_en,
response_i => response,
cmd_shift_outval_i => cmd_shift_outval,
cmd_shift_inval_o => cmd_shift_in,
mmc_crc7_out_o => mmc_crc7_out
);
u_mmc_dat_if : mmc_dat_if
Port map (
clk => clk,
clk_en => mmc_clk_rise,
reset => reset,
receive_dat_trigger_i => receive_dat_trigger,
transmit_dat_trigger_i => transmit_dat_trigger,
dat_block_finished_o => dat_block_finished,
bus_width_i => bus_width,
data_fifo_out_i => wdata_fifo_o,
data_fifo_out_wr_i => wdata_fifo_push_i,
data_fifo_out_full_o => wdata_fifo_full_o,
data_fifo_in_o => rdata_fifo_o,
data_fifo_in_rd_i => rdata_fifo_pull_i,
data_fifo_in_empty_o => rdata_fifo_empty_o,
dat_out_o => mmc_dat_o,
dat_in_i => mmc_dat_i
);
end rtl;
|
---------------------------------------------------------------------
-- TITLE: Plasma (CPU core with memory)
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 6/4/02
-- FILENAME: plasma.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- This entity combines the CPU core with memory and a UART.
--
-- Memory Map:
-- 0x00000000 - 0x0000ffff Internal RAM (16KB)
-- 0x10000000 - 0x000fffff External RAM (1MB)
-- Access all Misc registers with 32-bit accesses
-- 0x20000000 Uart Write (will pause CPU if busy)
-- 0x20000000 Uart Read
-- 0x20000010 IRQ Mask
-- 0x20000020 IRQ Status
-- 0x20000030 GPIO0 Out
-- 0x20000050 GPIOA In
-- 0x20000060 Counter
-- IRQ bits:
-- 7 GPIO31
-- 6 GPIO30
-- 5 ^GPIO31
-- 4 ^GPIO30
-- 3 Counter(18)
-- 2 ^Counter(18)
-- 1 ^UartWriteBusy
-- 0 UartDataAvailable
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity plasma is
generic(memory_type : string := "XILINX_X16"; --"DUAL_PORT_" "ALTERA_LPM";
log_file : string := "UNUSED");
port(clk : in std_logic;
reset : in std_logic;
uart_write : out std_logic;
uart_read : in std_logic;
address : out std_logic_vector(31 downto 2);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
write_byte_enable : out std_logic_vector(3 downto 0);
mem_pause_in : in std_logic;
gpio0_out : out std_logic_vector(31 downto 0);
gpioA_in : in std_logic_vector(31 downto 0));
end; --entity plasma
architecture logic of plasma is
signal address_reg : std_logic_vector(31 downto 2);
signal data_write_reg : std_logic_vector(31 downto 0);
signal write_byte_enable_reg : std_logic_vector(3 downto 0);
signal mem_address : std_logic_vector(31 downto 0);
signal mem_data_read : std_logic_vector(31 downto 0);
signal mem_data_write : std_logic_vector(31 downto 0);
signal mem_write_byte_enable : std_logic_vector(3 downto 0);
signal data_read_ram : std_logic_vector(31 downto 0);
signal data_read_uart : std_logic_vector(7 downto 0);
signal write_enable : std_logic;
signal mem_pause : std_logic;
signal enable_internal_ram : std_logic;
signal enable_misc : std_logic;
signal enable_uart : std_logic;
signal enable_uart_read : std_logic;
signal enable_uart_write : std_logic;
signal gpio0_reg : std_logic_vector(31 downto 0);
signal uart_write_busy : std_logic;
signal uart_data_avail : std_logic;
signal irq_mask_reg : std_logic_vector(7 downto 0);
signal irq_status : std_logic_vector(7 downto 0);
signal irq : std_logic;
signal counter_reg : std_logic_vector(31 downto 0);
begin --architecture
write_byte_enable <= write_byte_enable_reg;
data_write <= data_write_reg;
address <= address_reg;
write_enable <= '1' when write_byte_enable_reg /= "0000" else '0';
mem_pause <= mem_pause_in or (uart_write_busy and enable_uart and write_enable);
irq_status <= gpioA_in(31 downto 30) & (gpioA_in(31 downto 30) xor "11") &
counter_reg(18) & not counter_reg(18) &
not uart_write_busy & uart_data_avail;
irq <= '1' when (irq_status and irq_mask_reg) /= ZERO(7 downto 0) else '0';
gpio0_out <= gpio0_reg;
enable_internal_ram <= '1' when mem_address(30 downto 28) = "000" else '0';
enable_misc <= '1' when address_reg(30 downto 28) = "010" else '0';
enable_uart <= '1' when enable_misc = '1' and address_reg(7 downto 4) = "0000" else '0';
enable_uart_read <= enable_uart and not write_enable;
enable_uart_write <= enable_uart and write_enable;
u1_cpu: mlite_cpu
generic map (memory_type => memory_type)
PORT MAP (
clk => clk,
reset_in => reset,
intr_in => irq,
mem_address => mem_address,
mem_data_w => mem_data_write,
mem_data_r => mem_data_read,
mem_byte_we => mem_write_byte_enable,
mem_pause => mem_pause);
misc_proc: process(clk, reset, mem_address, address_reg, enable_misc,
data_read_ram, data_read, data_read_uart, mem_pause,
irq_mask_reg, irq_status, gpio0_reg, write_enable,
gpioA_in, counter_reg, mem_data_write, data_write_reg)
begin
case address_reg(30 downto 28) is
when "000" => --internal RAM
mem_data_read <= data_read_ram;
when "001" => --external RAM
mem_data_read <= data_read;
when "010" => --misc
case address_reg(6 downto 4) is
when "000" => --uart
mem_data_read <= ZERO(31 downto 8) & data_read_uart;
when "001" => --irq_mask
mem_data_read <= ZERO(31 downto 8) & irq_mask_reg;
when "010" => --irq_status
mem_data_read <= ZERO(31 downto 8) & irq_status;
when "011" => --gpio0
mem_data_read <= gpio0_reg;
when "101" => --gpioA
mem_data_read <= gpioA_in;
when "110" => --counter
mem_data_read <= counter_reg;
when others =>
mem_data_read <= gpioA_in;
end case;
when others =>
mem_data_read <= ZERO;
end case;
if reset = '1' then
address_reg <= ZERO(31 downto 2);
data_write_reg <= ZERO;
write_byte_enable_reg <= ZERO(3 downto 0);
irq_mask_reg <= ZERO(7 downto 0);
gpio0_reg <= ZERO;
counter_reg <= ZERO;
elsif rising_edge(clk) then
if mem_pause = '0' then
address_reg <= mem_address(31 downto 2);
data_write_reg <= mem_data_write;
write_byte_enable_reg <= mem_write_byte_enable;
if enable_misc = '1' and write_enable = '1' then
if address_reg(6 downto 4) = "001" then
irq_mask_reg <= data_write_reg(7 downto 0);
elsif address_reg(6 downto 4) = "011" then
gpio0_reg <= data_write_reg;
end if;
end if;
end if;
counter_reg <= bv_inc(counter_reg);
end if;
end process;
u2_ram: ram
generic map (memory_type => memory_type)
port map (
clk => clk,
enable => enable_internal_ram,
write_byte_enable => mem_write_byte_enable,
address => mem_address(31 downto 2),
data_write => mem_data_write,
data_read => data_read_ram);
u3_uart: uart
generic map (log_file => log_file)
port map(
clk => clk,
reset => reset,
enable_read => enable_uart_read,
enable_write => enable_uart_write,
data_in => data_write_reg(7 downto 0),
data_out => data_read_uart,
uart_read => uart_read,
uart_write => uart_write,
busy_write => uart_write_busy,
data_avail => uart_data_avail);
end; --architecture logic
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
-- file: clk_32to350_pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___352.000______0.000______50.0______202.756____211.523
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_32to350_pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end clk_32to350_pll;
architecture xilinx of clk_32to350_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to350_pll,clk_wiz_v3_6,{component_name=clk_32to350_pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 22,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 2,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1_unused,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
end xilinx;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.vcomponents.all;
entity faultify_simulator is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end faultify_simulator;
-- 866:0
architecture behav of faultify_simulator is
component faultify_binomial_gen
generic (
width : integer);
port (
clk : in std_logic;
rst_n : in std_logic;
seed_in_en : in std_logic;
seed_in : in std_logic;
seed_out_c : out std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
prob_out_c : out std_logic;
shift_en : in std_logic;
data_out : out std_logic;
data_out_valid : out std_logic);
end component;
component circuit_under_test
port (
clk : in std_logic;
rst : in std_logic;
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector : out std_logic_vector(numOut-1 downto 0);
injectionvector : in std_logic_vector(1440-1 downto 0));
end component;
component golden_circuit
port (
clk : in std_logic;
rst : in std_logic;
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector : out std_logic_vector(numOut-1 downto 0));
end component;
signal injectionvector : std_logic_vector(numInj-1 downto 0);
signal injectionvector_reg : std_logic_vector(numInj-1 downto 0);
signal injectionvector_reg_o : std_logic_vector(numInj-1 downto 0);
signal seed_chain : std_logic_vector(numInj downto 0);
signal prob_chain : std_logic_vector(numInj downto 0);
signal rst : std_logic;
signal clk_ce_m : std_logic;
signal testvector_reg : std_logic_vector(numIn-1 downto 0);
attribute syn_noprune : boolean;
attribute syn_noprune of circuit_under_test_inst : label is true;
attribute syn_noprune of golden_circuit_inst : label is true;
attribute xc_props : string;
attribute xc_props of circuit_under_test_inst : label is "KEEP_HIERARCHY=TRUE";
attribute xc_props of golden_circuit_inst : label is "KEEP_HIERARCHY=TRUE";
signal injectionvector_reg_cat : std_logic_vector(1440-1 downto 0);
begin -- behav
rst <= not rst_n;
-----------------------------------------------------------------------------
-- debug...
-----------------------------------------------------------------------------
-- resultvector_f <= (others => '1');
-- resultvector_o <= (others => '1');
cgate : bufgce
port map (
I => clk_m,
O => clk_ce_m,
CE => circ_ce);
process (clk_ce_m, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
testvector_reg <= (others => '0');
elsif clk_ce_m'event and clk_ce_m = '1' then -- rising clock edge
testvector_reg <= testvector;
end if;
end process;
circuit_under_test_inst : circuit_under_test
port map (
clk => clk_ce_m,
rst => circ_rst,
testvector => testvector_reg,
resultvector => resultvector_f,
injectionvector => injectionvector_reg_cat);
injectionvector_reg_cat(399 downto 0) <= (others => '0');
injectionvector_reg_cat(599 downto 400) <= injectionvector_reg;
injectionvector_reg_cat(1440-1 downto 600) <= (others => '0');
golden_circuit_inst : golden_circuit
port map (
clk => clk_ce_m,
rst => circ_rst,
testvector => testvector_reg,
resultvector => resultvector_o
);
seed_chain(0) <= seed_in;
prob_chain(0) <= prob_in;
prsn_loop : for i in 0 to numInj-1 generate
prsn_top_1 : faultify_binomial_gen
generic map (
width => 32)
port map (
clk => clk,
rst_n => rst_n,
seed_in_en => seed_in_en,
seed_in => seed_chain(i),
seed_out_c => seed_chain(i+1),
prob_in_en => prob_in_en,
prob_in => prob_chain(i),
prob_out_c => prob_chain(i+1),
shift_en => shift_en,
data_out => injectionvector(i),
data_out_valid => open);
end generate prsn_loop;
reg : process (clk_ce_m, rst_n)
begin -- process reg
if rst_n = '0' then -- asynchronous reset (active low)
injectionvector_reg <= (others => '0');
--injectionvector_reg_o <= (others => '0');
--test <= (others => '0');
elsif clk_ce_m'event and clk_ce_m = '1' then -- rising clock edge
injectionvector_reg <= injectionvector;
--injectionvector_reg <= (others => '0');
--test <= injectionvector_reg_o(31 downto 0);
--injectionvector_reg_o(31 downto 0) <= injectionvector_reg_o(31 downto 0) or (resultvector_f(31 downto 0) xor resultvector_o(31 downto 0));
end if;
end process reg;
end behav;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
ENTITY PositiveAndNatural IS
GENERIC(
pos : positive := 1;
nat : natural := 2;
int : integer := 3
);
PORT(a : IN STD_LOGIC;
b : OUT STD_LOGIC
);
END SimpleUnit_b;
|
library IEEE;
use ieee.std_logic_1164.all;
entity sign_extend is
port(
instr15_0 : in std_logic_vector(15 downto 0);
clk, rst, pre, ce : in std_logic;
output : out std_logic_vector(31 downto 0)
);
end sign_extend;
architecture behav of sign_extend is
signal output_buf, output_buf0 : std_logic;
begin
DFF1 : entity work.d_flip_flop(behav) port map(clk, instr15_0(0), rst, pre, ce, output(0));
DFF2 : entity work.d_flip_flop(behav) port map(clk, instr15_0(1), rst, pre, ce, output(1));
DFF3 : entity work.d_flip_flop(behav) port map(clk, instr15_0(2), rst, pre, ce, output(2));
DFF4 : entity work.d_flip_flop(behav) port map(clk, instr15_0(3), rst, pre, ce, output(3));
DFF5 : entity work.d_flip_flop(behav) port map(clk, instr15_0(4), rst, pre, ce, output(4));
DFF6 : entity work.d_flip_flop(behav) port map(clk, instr15_0(5), rst, pre, ce, output(5));
DFF7 : entity work.d_flip_flop(behav) port map(clk, instr15_0(6), rst, pre, ce, output(6));
DFF8 : entity work.d_flip_flop(behav) port map(clk, instr15_0(7), rst, pre, ce, output(7));
DFF9 : entity work.d_flip_flop(behav) port map(clk, instr15_0(8), rst, pre, ce, output(8));
DFF10 : entity work.d_flip_flop(behav) port map(clk, instr15_0(9), rst, pre, ce, output(9));
DFF11 : entity work.d_flip_flop(behav) port map(clk, instr15_0(10), rst, pre, ce, output(10));
DFF12 : entity work.d_flip_flop(behav) port map(clk, instr15_0(11), rst, pre, ce, output(11));
DFF13 : entity work.d_flip_flop(behav) port map(clk, instr15_0(12), rst, pre, ce, output(12));
DFF14 : entity work.d_flip_flop(behav) port map(clk, instr15_0(13), rst, pre, ce, output(13));
DFF15 : entity work.d_flip_flop(behav) port map(clk, instr15_0(14), rst, pre, ce, output(14));
DFF16 : entity work.d_flip_flop(behav) port map(clk, instr15_0(15), rst, pre, ce, output(15));
output_buf0 <= instr15_0(15) when rst = '0' else '0' when rst = '1';
output_buf <= output_buf0 when pre = '0' else '1' when pre = '1';
output(16) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(17) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(18) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(19) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(20) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(21) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(22) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(23) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(24) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(25) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(26) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(27) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(28) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(29) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(30) <= '0' when output_buf = '0' else '1' when output_buf = '1';
output(31) <= '0' when output_buf = '0' else '1' when output_buf = '1';
end behav; |
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:31:50 10/29/2014
-- Design Name:
-- Module Name: /home/jpiat/development/FPGA/logi-family/logi-hard/test_bench/dram_fifo_tb.vhd
-- Project Name: logibone_cam_test
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: dram_fifo
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_UNSIGNED.ALL;
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY dram_fifo_tb IS
END dram_fifo_tb;
ARCHITECTURE behavior OF dram_fifo_tb IS
-- Component Declaration for the Unit Under Test (UUT)
constant test_frequency : natural := 100_000_000 ;
constant test_frequency_mhz : natural := test_frequency/1_000_000;
constant low_speed_test : natural := 0 ;
constant sdram_address_width : natural := 24;
constant sdram_column_bits : natural := 9;
constant sdram_startup_cycles: natural := 10100; -- 100us, plus a little more
constant cycles_per_refresh : natural := (64000*test_frequency_mhz)/8192-1;
constant test_width : natural := sdram_address_width-1; -- each 32-bit word is two 16-bit SDRAM addresses
COMPONENT dram_fifo
generic(CACHE_SIZE : positive := 2048;
FIFO_SIZE : positive := 16_777_216;
sdram_address_width : positive := 24;
SYNC_READ : boolean := true;
SYNC_WRITE : boolean := true;
CACHE_ADDRESS : std_logic_vector(31 downto 0) := (others => '0'));
PORT(
clk : IN std_logic;
reset : IN std_logic;
write_fifo : IN std_logic;
read_fifo : IN std_logic;
nb_available : OUT std_logic_vector(31 downto 0);
data_out : OUT std_logic_vector(15 downto 0);
data_in : IN std_logic_vector(15 downto 0);
reset_fifo : IN std_logic;
cmd_ready : IN std_logic;
cmd_enable : OUT std_logic;
cmd_wr : OUT std_logic;
cmd_address : OUT std_logic_vector(22 downto 0);
cmd_byte_enable : OUT std_logic_vector(3 downto 0);
cmd_data_in : OUT std_logic_vector(31 downto 0);
sdram_data_out : IN std_logic_vector(31 downto 0);
sdram_data_ready : IN std_logic
);
END COMPONENT;
component SDRAM_Controller is
generic (
sdram_address_width : natural;
sdram_column_bits : natural;
sdram_startup_cycles: natural;
cycles_per_refresh : natural
);
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
-- Interface to issue reads or write data
cmd_ready : out STD_LOGIC; -- '1' when a new command will be acted on
cmd_enable : in STD_LOGIC; -- Set to '1' to issue new command (only acted on when cmd_read = '1')
cmd_wr : in STD_LOGIC; -- Is this a write?
cmd_address : in STD_LOGIC_VECTOR(sdram_address_width-2 downto 0); -- address to read/write
cmd_byte_enable : in STD_LOGIC_VECTOR(3 downto 0); -- byte masks for the write command
cmd_data_in : in STD_LOGIC_VECTOR(31 downto 0); -- data for the write command
data_out : out STD_LOGIC_VECTOR(31 downto 0); -- word read from SDRAM
data_out_ready : out STD_LOGIC; -- is new data ready?
-- SDRAM signals
SDRAM_CLK : out STD_LOGIC;
SDRAM_CKE : out STD_LOGIC;
SDRAM_CS : out STD_LOGIC;
SDRAM_RAS : out STD_LOGIC;
SDRAM_CAS : out STD_LOGIC;
SDRAM_WE : out STD_LOGIC;
SDRAM_DQM : out STD_LOGIC_VECTOR( 1 downto 0);
SDRAM_ADDR : out STD_LOGIC_VECTOR(12 downto 0);
SDRAM_BA : out STD_LOGIC_VECTOR( 1 downto 0);
SDRAM_DATA : inout STD_LOGIC_VECTOR(15 downto 0));
end component;
component SDRAM_Controller_v2 is
generic (
sdram_address_width : natural;
sdram_column_bits : natural;
sdram_startup_cycles: natural;
cycles_per_refresh : natural
);
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
-- Interface to issue reads or write data
cmd_ready : out STD_LOGIC; -- '1' when a new command will be acted on
cmd_enable : in STD_LOGIC; -- Set to '1' to issue new command (only acted on when cmd_read = '1')
cmd_wr : in STD_LOGIC; -- Is this a write?
cmd_address : in STD_LOGIC_VECTOR(sdram_address_width-2 downto 0); -- address to read/write
cmd_byte_enable : in STD_LOGIC_VECTOR(3 downto 0); -- byte masks for the write command
cmd_data_in : in STD_LOGIC_VECTOR(31 downto 0); -- data for the write command
data_out : out STD_LOGIC_VECTOR(31 downto 0); -- word read from SDRAM
data_out_ready : out STD_LOGIC; -- is new data ready?
-- SDRAM signals
SDRAM_CLK : out STD_LOGIC;
SDRAM_CKE : out STD_LOGIC;
SDRAM_CS : out STD_LOGIC;
SDRAM_RAS : out STD_LOGIC;
SDRAM_CAS : out STD_LOGIC;
SDRAM_WE : out STD_LOGIC;
SDRAM_DQM : out STD_LOGIC_VECTOR( 1 downto 0);
SDRAM_ADDR : out STD_LOGIC_VECTOR(12 downto 0);
SDRAM_BA : out STD_LOGIC_VECTOR( 1 downto 0);
SDRAM_DATA : inout STD_LOGIC_VECTOR(15 downto 0));
end component;
component sdram_model is
Port ( CLK : in STD_LOGIC;
CKE : in STD_LOGIC;
CS_N : in STD_LOGIC;
RAS_N : in STD_LOGIC;
CAS_N : in STD_LOGIC;
WE_N : in STD_LOGIC;
BA : in STD_LOGIC_VECTOR (1 downto 0);
DQM : in STD_LOGIC_VECTOR (1 downto 0);
ADDR : in STD_LOGIC_VECTOR (12 downto 0);
DQ : inout STD_LOGIC_VECTOR (15 downto 0));
end component;
component mt48lc16m16a2 is
Port ( Clk : in STD_LOGIC;
Cke : in STD_LOGIC;
Cs_n : in STD_LOGIC;
Ras_n : in STD_LOGIC;
Cas_n : in STD_LOGIC;
We_n : in STD_LOGIC;
Ba : in STD_LOGIC_VECTOR (1 downto 0);
Dqm : in STD_LOGIC_VECTOR (1 downto 0);
Addr : in STD_LOGIC_VECTOR (12 downto 0);
Dq : inout STD_LOGIC_VECTOR (15 downto 0));
end component;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
signal write_fifo : std_logic := '0';
signal read_fifo : std_logic := '0';
signal data_in, data_out : std_logic_vector(15 downto 0) := (others => '0');
signal reset_fifo : std_logic := '0';
signal sdram_data_in : std_logic_vector(31 downto 0) := (others => '0');
signal sdram_data_ready : std_logic := '0';
--Outputs
signal nb_available : std_logic_vector(31 downto 0);
signal cmd_address : std_logic_vector(sdram_address_width-2 downto 0) := (others => '0');
signal cmd_wr : std_logic := '1';
signal cmd_enable : std_logic;
signal cmd_byte_enable : std_logic_vector(3 downto 0);
signal cmd_data_in : std_logic_vector(31 downto 0);
signal cmd_ready : std_logic;
signal sdram_data_out : std_logic_vector(31 downto 0);
signal data_out_ready : std_logic;
--SDRAM
signal SDRAM_CLK : std_logic;
signal SDRAM_CKE : std_logic;
signal SDRAM_CS : std_logic;
signal SDRAM_RAS : std_logic;
signal SDRAM_CAS : std_logic;
signal SDRAM_WE : std_logic;
signal SDRAM_DQM : std_logic_vector(1 downto 0);
signal SDRAM_ADDR : std_logic_vector(12 downto 0);
signal SDRAM_BA : std_logic_vector(1 downto 0);
signal SDRAM_DQ : std_logic_vector(15 downto 0) ;
signal sdram_ready : std_logic ;
signal clock_divider : std_logic_vector(3 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: dram_fifo
GENERIC MAP(CACHE_ADDRESS => X"00000000", FIFO_SIZE => 8_000_000)
PORT MAP (
clk => clk,
reset => reset,
write_fifo => write_fifo,
read_fifo => read_fifo,
nb_available => nb_available,
data_out => data_out,
data_in => data_in,
reset_fifo => reset_fifo,
cmd_ready => cmd_ready,
cmd_enable => cmd_enable,
cmd_wr => cmd_wr,
cmd_address => cmd_address,
cmd_byte_enable => cmd_byte_enable,
cmd_data_in => cmd_data_in,
sdram_data_out => sdram_data_out,
sdram_data_ready => sdram_data_ready
);
ctrl_0 : SDRAM_Controller
generic map(
sdram_address_width => sdram_address_width,
sdram_column_bits => sdram_column_bits,
sdram_startup_cycles=> sdram_startup_cycles,
cycles_per_refresh => cycles_per_refresh
)
Port map( clk => clk,
reset => reset,
-- Interface to issue reads or write data
cmd_ready => cmd_ready, -- '1' when a new command will be acted on
cmd_enable => cmd_enable, -- Set to '1' to issue new command (only acted on when cmd_read = '1')
cmd_wr => cmd_wr, -- Is this a write?
cmd_address => cmd_address, -- address to read/write
cmd_byte_enable => cmd_byte_enable, -- byte masks for the write command
cmd_data_in => cmd_data_in, -- data for the write command
data_out => sdram_data_out, -- word read from SDRAM
data_out_ready => sdram_data_ready, -- is new data ready?
-- SDRAM signals
SDRAM_CLK => SDRAM_CLK,
SDRAM_CKE => SDRAM_CKE,
SDRAM_CS => SDRAM_CS,
SDRAM_RAS => SDRAM_RAS,
SDRAM_CAS => SDRAM_CAS,
SDRAM_WE => SDRAM_WE,
SDRAM_DQM => SDRAM_DQM,
SDRAM_ADDR => SDRAM_ADDR,
SDRAM_BA => SDRAM_BA,
SDRAM_DATA => SDRAM_DQ
);
sdram_0 : sdram_model
Port map( CLK => SDRAM_CLK,
CKE => SDRAM_CKE,
CS_N => SDRAM_CS,
RAS_N => SDRAM_RAS,
CAS_N => SDRAM_CAS,
WE_N => SDRAM_WE,
BA => SDRAM_BA,
DQM => SDRAM_DQM,
ADDR => SDRAM_ADDR,
DQ => SDRAM_DQ
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
process(clk, reset)
begin
if reset = '1' then
write_fifo <= '0' ;
data_in <= (others => '0');
clock_divider <= "0001" ;
elsif clk'event and clk = '1' then
clock_divider(3 downto 1) <= clock_divider(2 downto 0);
clock_divider(0) <= clock_divider(1);
if sdram_ready = '1' and clock_divider(0) = '1' then
write_fifo <= '1' ;
else
write_fifo <= '0' ;
end if ;
if write_fifo = '1' then
data_in <= data_in + 1 ;
end if ;
end if ;
end process ;
process(clk, reset)
begin
if reset = '1' then
sdram_ready <= '0' ;
elsif clk'event and clk = '1' then
if cmd_ready = '1' then
sdram_ready <= '1' ;
end if ;
end if ;
end process ;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
reset <= '1' ;
read_fifo <= '0' ;
wait for 100 ns;
reset <= '0' ;
wait for 200 us;
wait until clk = '0' ;
for i in 0 to 320*10 loop
--data_in <= std_logic_vector(to_unsigned(i, 16));
--write_fifo <= '1' ;
wait until clk = '1' ;
--write_fifo <= '0' ;
wait for 80 ns ;
wait until clk = '0' ;
end loop ;
--write_fifo <= '0' ;
for i in 0 to 320*10 loop
read_fifo <= '1' ;
wait until clk = '1' ;
read_fifo <= '0' ;
wait for 30 ns ;
wait until clk = '0' ;
end loop ;
read_fifo <= '0' ;
-- insert stimulus here
wait;
end process;
END;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block
iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj
mKjKwY2xZcfJ/srndO8S7QOgA1cW3PGqqy5cSeqaNkpdKKv7LRMKRcNvzOG7grs/8lZMh3KNjoyD
IXsq0a+K7fwBVl18ZhaMVq+k2ZTg9lhurb4D+qNsORECx/TlShuAyJHIKPUj6Iho7/rBcVYF436t
BschO2PF87X14abVNYjpL91CiDTpOKrPtBKfg5+Lz2hIe1Bs1ooLtS/NXyKxPz4PTeOkvvb2+74b
GsrYMuFBhVRsbnXBpEGFyn7o0gnbYwPvI+rs0wWAsqkkHHqpkhXdpInN/2GIauHcpPLmykQ4mM3C
x0yc5+wqQ6/cuTkYmjZURAigJqYtVXEKWvz/LPBmTPDR/OkYrvY/1f7n0/8gOraj2rk4em1R0LL+
x5rliebLsBLJYQdZpnCMXYggUI3mNuW/Q5Td5tLBBMmT3q06AkVyVpqOBheQL+8Rxy2LdG5nvAzD
LgvMnIwcrhRg6452etuv2mxO1GXJweL+5yQvW/XvQVhDvPMVD2AFH4PGw1erpeoZGNZu2IJw/0Ab
L2aK0mi0gbc2s8O1WIzFpDWmWiFnQjnRnHClxcz+jjQcKggJuybEuHMInHtcfRWczsF/0Dnum9kP
8m8vHCPUZrgnBaO2Jz+BT7irdL5jW4U6vdgteMFHdttrUcbZInQO557zxl+G8rKCndK+ngB1lzC9
SDsCN0HyerjxrPx7d0pIpVIOopVC6h8MnjXapr7bkgkgWZkvQnjPBwhcv7KZGuNrHrfZG0ZOXC0T
5EH0nPwErBXNQurSKPrxbcJOXK+QrcGRH8IfyYs2cSgs8xNgPdDXlUaygEfudUHaiuyQNiiRCBgZ
81yqAxR3kBNsCQXRwJT9jNb4ST80OOzek8l/JQQRDyKTha5ZWvMxdGiKw5ZST3ZiNJCfPSSIBNs4
Xpg4qnEaK2YU6BsPq7w62Bz2hSR2VQAYXJiD8RXxCavS+Rrv3tabJoEC4gNmZ55ovLZoeCbm6DmQ
zAKIUrJvqAt9DYE5wlGK4TbaFFsmrEh8uMBCAO1GiZgu647deCmwJ1BPEQ1e6iysY1xMxjT+3BD7
hYvQGk43lyuuMly1vfhgWUcmU5ZL39xkeSbSPVK6p/H1H2Bp3asOQXBzsSUSEFbCpgGlCfdBfTEF
KLfWVTyLv1i814jVvAW+mAgCySeL8klTZnwNMYXH8aC9zoksL3rFiDTJeG8ugCXR3u67yVZpLtcy
2dl/MBnlJGTBIpi19Z6XcAD8T6k48/BOUvt1xX8hfKxIKHNqTS9gzMH3QKLmPZN3pdxfIYoRtvnp
gIFYNPkL0yEtMBXn2d6G9rEV9OepAye9j1CtGL58QAvDWfYY3d/a+0BPSGo8fgfbtV/9fRmpb46d
2rrmThX/V0GVAUX+UJpUi3yt2B8JIYGQirB7GyHa91yGNYATWBJeomRdoPtaSwWpNnFV3b9YHsEa
KJFKc2DBaPt2sxNVWRHtnfUzzK0YFwvk1lJTJjLRLwxbdVKevkfOreWHrKxK1foENQe8eQz7bMdn
tJaEL88DSlw0YyLrDF2vX4m1YYreZBSlz3LQElz37LE4AMx1YHYpaVPLdL7hkM09p1WUrllmdnzI
vS/reugN++q6m2KU9msKCyo4phpLvh7eLj+Js+IqX2OPae8TlAXt+VfpkPGJHtqkfD/Qn0fsZjuE
a7fs4+mbedGuBo2bU4Qy4gAH6dgViRxedPJySyQXl7QG+o9BvE3WJS5maP5l0Ru6MyiZ7iU4RU8H
1IWQjnavr8JZjMHYGOHLGk4J9uCBigB32sCX4TaaIBdyh9qTymZpFrTfAPqf+u2lOHLi0yXAwc7n
cr/c/iM92AU5ke2DuGqvi75WrwbSVabo7aW3UD8O/M2F0EdnGv/IXOHqgKp4cR2WBKalvKjQ39nF
xckRCm2ZHreb2nM8/s9niJH2ZDHnJ/y/xX2vLrXP+h1YkQfk7x0BjJ3Ty0jmdSVW0/mVuWNJZEI5
o7aOqExdpxGg8nE1HWL6CZ0a2E5X7TuM1RYJDePLnCMrUGUKRvTAIZp5P9/2OlWZsedghyhPC0K3
VreCmsWRWFclvcAF+F7sDvgBwrFDWpWSLOxPIK1XZhjvel2bNEsO24uvq6GOBV3m1RCattlf9the
0QcDlaAzEMeHiwnpnlTYZAx6YJ6maxpgPc1ndgqlwYaacq6dxSintAhZ97yYYL99jDxeyGKtr4gc
ghCj9iVCtv+MwVEq4uciNYQZu0xSbKJXW7PnSpWyv+fvkSgYEJKKcBo9bzbtpd4m8KESaxSsHJrh
g1WNSjhPZLctN1htXRd7+QVGp2sSt01bVUeG2Lha4aze1cUDNA6SSyfAcEbis8bQYIxhGkpjFO31
OQADFW+208ostfKgpeR0luKMPxpvdZJOoFhvjb5PkXTHmIuLY90EMPNVGtmyJ0GZf8lbokCZoO1U
a2KldDcNXKH/0BltyBFvik9Uj3Ze6rbmRozqoy/FEKXJHYR6+eMLo0NssrhE6uWHzdG6YHgTB9G0
WNe1PLqrNMIQJWlYMMo/+UtFszCsAGPF9v9E6w8C7zrlywH94SGMdP/RBbD2nFy55zVSyi2NujSX
T//jt/1+pOMhA97VyEjkXiKIIHmrPsQoSO6TckgPmLqGRGzyYr7KzUjZ65ol0uj9gcV07nsEK8i+
ZgwOIeCgok2RBJsnlhlPPZFZeK84+A03RMLAM/PxDBWYK6BoBeh8RHu9HmKZoremz76f1hp6ZF+t
tO3t2FKC2p2nmuSzsK2ZWbU8aAlqzhzzUkn91CnvG+bOwdmbwfWPdrmgx1gp8CVWzaZ+g4kN3YMD
4v+OGiguABqC7u2qRMgFXAsSlIcgQaGl/l0NRbGg73Nk0EWHCzxNQi9ED4r4qTsSwEsj9ki8QYDF
joQGUPizHz9F/vvwVT4Xk1fo+ohUD8eXcsHq+nQd3oM89lbmgkddACGlv4NfrnI5Z7UaZTnHOLtX
DO+QvGMDM6HiesFVX/sttNuHxzO/Fm5/0hMXZ5Os06XCdmAq8tpXb8/lJk0kOYwHjI+kotblabWb
oH1Ibfa2l0OrxLankgYydQ00RKFqo0RDmehKG1YyDvIMIMN8EAaQRwCI1K37AyxV3YyRnRjFV9d7
fdu1/2IWbnnpNh4lhq/5pOLSPe2iJe3gw7kPlL3l9wj+8yVzseqqZJWDcohzMadUz0/qZNSt7hV/
Jb/krODjsq81SB/aYWv9rXC3Q4JIyYrctfrpz4alNyRN5GZ5m95EXQL2OjKt+MT5fsXgQwmC1Fk2
AZsO1TaGo7qTpoEAgit6wipl3Wx3UO5jZZaNfm/Mez2VquVxgdLHWdVnRqVQgDGikGi2fXjUQxY8
XkjAAd7NZZjim+Nycwg7m3BdNB5TvpDzC9BHggiBeN29XD5joheP92C8PXfl6DmORibj6dG0kJh1
q/Sxyog21rdI22cCQIGrsS/L2K4m6ebdIaeuf+WhGYk4sfciYfV7LR92bgLtu55dAwaaY9rXWR9P
rN7+HqNDtbuPPEg8SkI7tSJrj2yX15sixa6eku1xm4xVLsGFndD4+j+I2GGx1vG0nD5kwaglqGQB
iA86rlrgPnAaDjg3kIaHBlMXEfmDbh63254zvbUWVdYaPSLDw7fBW6hQbTWnQcUe6q/Cyv58DkIf
hjp3jirWdui6PsT+2CS5BS71P0kO0Hv7a8xdRD7r/OV8SNPHq6Cz5GHk2wcI3EETXrSRebNbERR1
iNpi2+j36uCYmjo4jlM+ZfsqQEDGnkIQRPSsb/kont37EDK7pPlzUnPwU+h0v7QOKXSC5fggbqrx
eAfA37O+3+ONAHDzTW83WnmA4UjkZokL6JYLViGE9Nw9ZQPz3JGsgp47nMWq9LeXMFmHPZNqjVKf
d807A5L0/EOP4O1kQpODK8G/kpFzUzW4sPzyvdb28HVh1kJPPuuUkbC9yamtjFteKPSE5J+BW6Yq
by9quVP/YlezB9zg5i0VtoDA1DihIH430v5/849lX2RSe0gP9XTQbGHAgtgju/B012aRHMYoItX5
1UWEFVdTbrL286LxYUn9ajSc0D85RY2porGwOrgo8I4rgE64L6ntTppzBKSPt3zNjTADO5TwhEpp
FfG7lta41RW62+x7ulP+e5sMuKYcgKLAysAvrao4z3yLnZmsJF8rB9JHV8HglX1vp6DS2XW6vD72
fm+zIYYWcFrYKSFbSCZ+otTVBV/mkDfkzwZPbzvkfVTOomgRTsD+yaRgp+w2rtt9cUQn8ufYdSVG
p3ZjUtCYpLqKh+yS69e+BsD6VxahhHRcF1SPpMekBnMa8cZc/dOy2I0AycEgG8ZptSQNjmh+LrdY
J4VkZDOdn0WdTWqHmXZADwCNx28rexYM65b/ZN1QEdXimtF8NlvR1Ht/2eGLneBvHyxTsnwjbbG9
qM+QmlgRFm/4T5j7dCfLR/cyW2i09eo/+LGFmgZQ4JHhUgQUauWwKFj8rzVnbADZsrPe0BiP1Tg3
IsLszOKY92WXqMcwFE1J3K6tQGUfIcUcR0rIZyVzofXTVn+zmyxJO1vBgDbYho28ZMt/19MPkl+D
s6plVGWiASkh+ueJX+Uqhj24FkB0D/Owur5ITky+2SJEMqFX5AJdKkkfLujHxItNNHYvdt9OH47s
mhSnY1C1VbPE761EspLjqOxjpsilYHhe4Z4DNJu8H9TG5dMsXtZOWXy9XrU9S/ZM+PnIC4VwxJ0n
Da2ZC4YwgVNOnuXAE9cAj/q9B+OlIBvK5fMq3fdx84zMY3a3NqRzbXwXyXAg36ZVpkyNkejFUdRg
eCPt45+vJFPGH8rnq2Kfh+ll0BjE2riLHGw1EM+NxtH95apvztnZwsBqA1F47fDucPI90aobMxnY
C6AEWff5URnrbdadiyIMgFZiawo1yYRpIbZSEvboR/URlF605cq8lXEWClidRTRg7Qle/IqZknVB
mzviCxuKBrrjlQZIHhRayEEwztAeDY3+l46iRQCWAWFC+BaZiWH6GshQpj/0eOb3p+psjzbUdBVN
oaUyyPJO+6YkyhS8mE0tJdHIKgFwX8Rgis/fzjdoZysPu/ssUtFjkc/8qwf4J+tVeqsDBactJPtM
Yo0Rs84d243608zw4l8+GbPmTvm2FYfvx13XSDQlf8O3CEhBpbzrviQPRP1S9WQp9GrWKL2f2haN
9f2m4I2vfhDxups9rjKaLiYD3aHuCse1Z0Pg+D1hgKQx0Gi24xAfAVTLuNM4y9YUh21gSzzRVA/H
nj4CClgeBKtWCD9Pqbz7yuQyP7oxpKUwkqgDU7mzDq+fFivFH+NR6WZQziFoQDksKPtD+HvMqvkW
Ww+C/PM0V0TaYtMi+j1atr0bC06O6hTia2PJlywLfmaU8dl4SgzNArUbk3zxf1mcarIpivU+W+q6
VJGR9t8+aDDPXaLQTXjGkmXyesjY+GxoKKcf5Kn+o4M4zfdsp/TcOLzLhSsfKQ0byJL9bFu3Y/9/
KvIgPGRk4zUy+F297vOvBW6wZAhYhEbqRrb5A5rkRTSxYT8j5dzJI1S2JNX7wk3OOxGYLNuizKWj
KiLFS+PrHqCEa+p5HrL7HNu22B1j+WomeoIaBwaQB2hvK8vnWlRKQen1E7JezNnRV6ZKxhVjZOkK
uKFVj91MX+/90Q6AI8zOLJ+qvA1cRV89vZrkEmMrN7Rk4CVW1JDYuhuJ5pCywe+3W8IKg3G04s+P
ctrNfg7FKwbxJ/2a8wsTXy2GZQBsUpE5FnbTzbTURhw0Uzd/pybEPeSkbRpNgi378aLhdchPBZws
csj9gOVrzcDlAWmmOI1iRx5443Bv3SM2NeaDCt6d19Fujgh1r2RHCVt5gHCL8zw8Eq3EugmFQ789
N9c5D4clXFKawsdxVDto4FX1tX6ZoB1DkztATU2so0CGTtLzCsGtYwdayMk04mdcjDQKOqPWSSYz
vdreruZVLwLOnYElHQOrIf2RhFR9ARRk1+HAR8LwRkjn3HXs4Rq6fWR0M+G4MZAIgyuxFM6ybigk
wc2F28bSsKA+kT0Wvb5sf2aaDxpCCLS0aaMnzlh/GamhPn0IkxWgOJ2vW4MTy8SCBh5SmKGwbptH
ssXM6HiGrTUKhUDAHQfRrWIxgsY3f4z2dGWrj81b7dKuDmpYmHRdYL7oT8eztqIJlVjspnJI7bCf
dm7Xr5XOSFiXyDWcnusmUV/0YAK2Y0SI73LI6n3BhTi07dxINriPPhPxkUushihFUXueX+YaIAJw
F8CWi5DWjII81XYqLixEWNOECSCeMxZSy7d4X/2NlJgIlMyJfYXNciLLHsZnBDS2wlK9cZJdjkGJ
ta7LKB6HHLaskJMsyLAm9dsZ+C1FqwcuhFQ/TpfIMzZZUSPu8WnWu2Jwk02Y999vS5Y/lwzjPilF
6xUmR0pJq0pYxqcuFWebVSLGinzssEL6Atw37arYLAugl5pX7i0AF52coqHf2Fmc55Yhh9uVoWnr
BdcuwQTsHNuIKluceHL4LqkWREh6Rq2pjSz56wd+B0oZgBFIKOvhs/UB4Tw590nc9rZyRMgj76jK
sUVkoWg3QL7xBgBQL/cKt8x9El36Hy1f4SKc+8JC5Equ1qxnh55+1vcyKNkdNAABut2U3tVdwmao
4pXPwY1sC62PUVqrylRlyNAlw7+Rz5b4XMZk1mgA/Lyp1eYLsJGoOwk5FQXSlFUtij/EYHqPbxDe
mcrTFirejEhKolQmW74C2/9Sbgguh89zAehJkOnJ2zfBNidcErJYarEQd7lV9Unf3vT7QEpgT/mh
GSgZJ/oMt8y+uVXPeoN68A2FZltMkOGuQM2D6q0DfwWYSk8HSJ9/DYE+xN0bXwC8IlleFJgZGRJQ
zSVKukxAb8dPhT9lLyFECg4dPxFWHaI4G89nwaZg0oS75rLqsdrJFaG0To1gwu4RrUmFyhQY8lba
wvJ9MU5sqCT5ylFTbnRd2KLvJkM+iFZiFyqLNV7crySK0O9/xn75Yf3eDNxA80R7Pe3KE2ARzAzP
32waUZX2GaX9FCJAnUC5fvar5VK2AbXNg/01DmvU12ZdBrWHQoMScRDUXa57svpbzDUcqeYv8NUR
SjjnnRpkhnMjgjB8Eu3YhhEQQK+8X3g1h71ufN1zKqJiPL8oJHnF564hO2Pe4pWP6FEa4nRN7j2m
/WgjrjeJO+qhnTLC3Z42LJmBi9Z+LHR+AAcMk9ScxvQVvNpoABunQbLIxjP4TwsiXW2FjXcQz3Ve
+yBOyej7GSK1R/XD9c96YXlb3QqHC4eqSsaij7knrxkYP1XLJTCDHTsds9O+AtKxTe4Wb4B/y2rU
Amy0Bvn11uxI4ZqXKdhFkAscqZt1EqkMoBsEueGn38uEw6roLFVTYMwkIodzMHI4rOFESRW9vzCx
T6XOJJ2GVLDe1TATu0bzNNsyNfxngpaK3SF3rQkB4kMdd92Ep0Ofqz5clYACiFMnBbIjs1NTAtd3
hu48qG8V7DyLiPZYtgecIXmMxzqrlI4KB6iUaY0/F9cwaKLHO2L0rpgaZxQPmmfPXvXObQdFALb6
tuylW4V5rFgks94Cavn49GPamOYkgOxfY9OJ//oTx+PJ3gev1rnEkLN4VSCV56G3kXKXHlZvJHAV
MCWm9rRUr3lXa9CZ4TOnyIjtNAMslZu6BmmsJHiQFDVE0fS/0q1sCiSRAQ1QNT+4sznDdSozEKTO
yBe1kqzJkD81GTzVUZsrxf57UGFAsy4g/1FrToEV/Yk8eX6aIobNnlTW00YX4mYJZp6bGX+ByflC
ouLScYYLFs2nCAzJr1JkIpWjY+0Na5toRaCb7Bcb76nm6FeHfK6nBxygGBLK9eBaeNWZVIzX5J1N
JYKmdNtDepABVVetKj6RQJzoPhFVaewU3UMr6WavO56uNMBKrc/KhsMCRvhj4zRrxQs1yOmSk4vu
UIVqTxQQ0myuEgMhD0VwxmZMNu70ArGsXFhKlSadvjjci2j0MReT3bOSPynl+rLKDc4MHLT3eBXf
Yw721OitnhguPU4BJBR414JJg1J2Nni1W3I3YQg6fps+KF5t9wtzbteSDYRCF+q2zqH7aFjQTl5y
oPyJ6QJGKAOFseqHKZ7tMAYz3fT2aU0Bxhp/rEQ4GsgaOOx79reUYhK4pjpp5nZA9b8EoVWwigMD
Pbq1o0D4b/hDnlpKYabkjQAaeDp8gLOy2sIkQ6c9L4n1MmfKuPPUZQhHrtMeD/Ryjo7CrO2WA2rW
QvZ/osr8RtiCXP0tvejWVV4YjnlVSx26x6ElO37RH3CPgvy/8LFM7FLRvvNkAWQIrY/qC3JJdl3M
Vq8Ara3T7DAXRJ8cU2bgX194sXMszepZzySMtySLnf9aFVYwceGJV02lRNqs0gS0XAb0KUWzDjOD
cD915EdTlmcKtc8SDOS7p0hKuQMFIJxHhoRfwttT5mRXAMNV2OJrwjk/9WDPowUjdN4yrAApYB/E
3EAbNZmdEJoL01ohuW0vWn2DuffYRaZnBPbWqZyzKWgabRreRzNxUBUmHYinCGxPMwZBgqzvfNDR
CS5wENo3IBpZfIXNj9CBkhIV1fw8e/Adxu0+HySMnH+ftkYwHN4mnFFsbHHMU8/JEcrN8IT4eYoe
qEpM9GQQo0FcxVU1hm8P/hIofxS0DrUGKBU3VJnd1tOLtjRJ2mRu8/PGef6zrFG6y3ngvgZ27CHo
Iiy56Qr5BfnT8n9B0i0dV+yuERQDLwi2m35gOOFSqYGNhmLiNwx9OxVNFZJVsRxPJOuKcTQwCCI2
tB90OIzHbw8AWudtJQMqp/MqJsgZXOC08qYECx9q8nrGn+eT5wzmORHbX8ElCI7xuZ3fqsXq3AhB
fdvZ3z0pPiloLILVPi8HtewcIeEfn2HQH8biMR00zPcZ0mTUj6zR/kzL76ctbInYl4h/YKYIhcvv
d8TEpD1R4V/z7C/5LBAA/5AeD6vpEq6cRewhy7h1fyJBx7Vr4i9IbP0pVzwzpvJGtTMH7YMV482d
/OROh6snNVR7MDhnj3m2xdVPNXmEQ9A17WSmZgB7tuft6gviqpV3qRno89/T4AlVFsWskbOebVgy
HRIniXYpwykJRDyiMmSIcHyioeCA0ULUsuywGOREi5+lxMMeijv96z6t2tfF0G7kUWp0Jg1bKjNe
hBvJAs/YCjEDsgIWhdTSw4FyScW7nLQHp9XdQnE9aUnpVh1mpwrjvdiuG8bq7GbQoWF4pObNqyWe
TwSBk5BOI+VpCmTraoQQN5Dlrfjkh9wgJ3urk7UTupNuGiXbANXhUUsF0ezM8WDvZMvTiD+1Dk2Y
STDcDmwZ6KgUQt1gzz8OZ/JIqYSd+V53dkiDAQeJKAoirtv3gHhWgq1pgtPj7yDf3GGV0mdk/BbS
Rw4iFyaHfzx2yumVdO8UiOnGcW8Tsel36IV2kjnzft5KJPym2iIfSlVlf0Qs4sVzskHqpLiJG2wX
AG8ZE5QLCc7UUbfX3lFQXmEDwjm3Uzm3/rMtQBc04q0MW9xxRj48S3QHY7wYETnpzNs4xeI7ztV5
ime1yFzdncXnAwzryshydqMGfhHOeZChIcbXG1NYhtVlXBI3zg9lpp+gjnFYmmaoLLYMcSNfLQl/
xxvzT8POYvSSwzZWhYOpwG/Zyz5gD3ygtlsAG1slE+0BlZo+94GmSX5xUC6MNsr2GGPr4tqAX7v5
Uag8Fx+1KwuNhWPUlX1SCRYySzLxAM/Gv0bGrHQZb1KzmLDtqfMR0yEm373HWB+kBjfCkS3M2fLD
RhcLWCEutKj26GEDl8ShCagWsnTuI/15hASaJVUUDy2m0hlq2YU2xEfJoqxEN/9MIkVTg6wvM5KS
wcB46kYz3C0QhdAwqpiwwzefGonMket+avhv/QcimU2GnOkLLpA1WSCrkNHec9mO8p3qCtlFzy22
5oN2Z76zc9ixVvoJJX+UeDqmJfUNcE5YyA8u52+WESjYWODoMxXVQANUO2jS/zdvuScyn0MWu+dI
OrzFCt//TiizZrQK7SWIdhyGBQgGu6QfpVwm6Mq8Kr3NAR06QX+y+ix6XHOO23/hybxBr88KgfDl
tb4Hbw8oxocER4X6SwLirWGVlqBWHaP+1DNgjw255wK4w1YkV5u3/4lUcEIB6QRz27jWj3ZtEMKA
Rr/0AlD6d8hXCj2VlX9jNKvG66nkwkZTJSO5+cThlvYZQQmvnUXn+ixeaW10W/O5yIC3XgoDUetz
72FLfXKl/+9C9IqmD9PmeOT8O9z4rILflNOq69BhfgvhifxVoHzjFXOfi5jC7wJQc8AzzvBtHlYr
vbePJ+wbJNgvGIY+qsBGwRE9lt8rgDadGhtcoKm709ehZ8lVxk7H/tajAVEMMR0pgDzCaPvBdluk
w+JHffig9CWnABytZgldWLjDrYDHsrzgfrV79gM4SETXjjt7IisPHAclktfV4zmlwjAKVEgoyQUJ
CEnzcx5rrfWEWbh5N0REj1nQXDMN2Lkx6LNrJQZ8/COZmO0xdTS4Oesoeijny+qOxgqiAywd4Z3v
zS0fQ9ep1NbCgzNPNG8ec/YcVCaZSePHYCMiSqkq821/PUskaU1jHsa90/RRHuPxqWnZgcfk6IFt
IcxiG73bZR0wVVQLStvurMyjPTDnhes7rD5ItkKgUOYKXVbGFhjVo5HMSY6/Ix0TS8lmKedDIYZd
4yZjis8jgi60+GwObGJG2gbTiL8aTpA2wmJzNz4YpKlDi6PpB57xgkgzC70UiBZCDWnnN2/yKW2l
xE+wDE6baqJrJ+NnAO5lGlOursBFH1YJ48i7vUO0ua91SjNIwq6xahcmPGuMP19VbSjJ93/Q4Qhl
Dm93a6oKtC8FjPiaJtrzbm5SpGeWCf/rY6Wn0GWskhob10FNlK72F2eZXRWXztqkOu/NZmA8T49K
FiEJu3yuT7GolTdqwgXNxAaXuIUuFHQpFlbdcIAhfnaTvsIPDRu4IETeieKwqp4Q7da5/TLbfOEC
i3n3W2ZiPNPB0uTdPSb7WYQckT0fxXMCd70wHTF25p5kI7wD5AUtAOREoUkGVGJl0f65j398uC20
3gb2S6Da3iWNXX4p359P2fcuDyvrCZCcLoXviW9FjNvN/jounTkrDj/Kl0gD03Kbft+g/vthNsGI
PnDPw8NUVRdIXz8Dge2LF/5YyPqr7HS1d01hwukJ2IWYm70L96FGdU+ZC/7DxlIty/kKaXg1Qvh5
uv2O5E9RYffO/WBL4pGAO4Mfu4QJPOI8d1kgNvrn0eprfXCsTXPx2a4vDMSP60zcYs7Jm82FBffS
QIR5k6rn3agIws9NKHQqKlmmDrB9RKIkJr68OxBkWj02csPjxGDdylnt9MOWhUUGyWiB0CZMQWXZ
74D6CNebxtphhXT0CAneLBEE5iHm5OU/TbElgyKj3KIpJeBEfvB6WQb5OVo50xdsYxmp6FCcWnUg
6cVnl9SpJqib77ylKS9QnRj2dm5bo/Il3/0zNxcRt/onqyYoUZAmxC2oAwmwFcKQ05sX1/r/cz3W
55bdBSxiqnfN0zCGckZtB1W9ApOsv/yGtnropYyBgN3hGZPGHOYSNB0wQzK5G8NjRIisw5pN/8r4
Z6LN/dD51eM2fhI1VrHNsUiVLZkV/Tk1LGlCNV0Hj77Q3ggo5YmYiX3jvBXEKNI6NopYJ744V26S
s/61if+/IXgkx37kg5h+IOAeD4D41hWkDJ8FJMVldgGhcLdFIUwaeJGAmQyBvTLzWynhNlqAEDCe
PZQ+W/pFjjsiXBdE7i8mCqp0Xyfi0auMYczfl4yLoRWq8lyO/Cbtp6E165sOcR9kdoowcAiWaxA2
jYOHfJXTDyevd7EDcLF+lhOL86EmrCcjyAWJ176kOOwoVfZedpinpvus9pYyPg229P640G5U72iR
gyAHlcOn6hjOU2tbppQUqpn5ZJahNwXUIVuPXzmByoHerYuxQxQMLuCo0rT4Zq26twDFptozkp/9
ixBzJFFy2Uws6S5LPyleCFx/sxcdav4D/OPmITwQU7GOVFTOhArqbjHAtZTUgjtm13UM36TJJ8qy
GMr/TwodiYyZW0G8NcbNNImcMs7rjB5geGOR61AP6xW3EtAWPJfIiyeLOSKeyzhi3iskNJ8wLdm9
4vZ8rV9G6DOGsa2Gqz0IJVxXPnd+YbSDxfT6EFMymfLMZShRc/qbDGeiepyWIAMwrhZ0lsfdjfYM
CzZil9faVv2BGBxA/RkGltUv/y56ovA3rrVxLV4fgF5ORpAG61AVMsZpTTNfILiWHRSdUCdSH7ak
rxlKzOsVdFPeDtThTNG+A/GDRGmJYK+F/d0sAt1htv28ka3mvQdGfBiZAIGQQwCHWNMwyLEfdMCX
gUdkJ+q+r3rKHuvUcUxPwvMgFOBNeXEEPwRs3UA+pHbE8Bv9p7+JU9mW62a8Vq2qM9DUGPl60JCa
yokr52c0NbWZvlvwtLqZBxm/qgLBReX0bPyVjuXYX/vjcAxlOma0sryCVmhUh32TIOs/BOq1Sygd
ojlxQesZDWO22pFBy2zu10o86U27N3B18EtxkCmDvOuHbQwzgDlfAcwC2lrK+cbJh0+QXp7md8wp
r6Fau7Nr0bJkgHbR8ajyMvVycxd3dAqQbi9e95SJSqeOb5DF6J9rTNF4U1DKBvGR8E9pUcDPk0Ox
xxqwLcCUhTTeRGix3Bs6IVsUWflvd5jWScPOqMTOwPLxfEM/acsHVzSJ2aLyI4bnLuqPymdwkOUo
wm91qTgTzfoHOE6a6FzC9V/k3Qc0BEBpCTGbVUaDwDlDv/X5Dg/6j3l7r1EEp0Zc9m+Ewjp0U8vu
Tqn09NXAOpFh6XX0ioYLv2eQiJUJfsW36iW4zlZf1jw4zWd/jp4/ITqjK2Qoc2Cy15+c1r4+cLOa
jRTDwy0B+21HcfAq7Lthi8mBYUudZn0vd96gIGqU3C7iNWHYW0fCP8ubjYN+WdIV7QUfvkIQb45F
ATr/dysDzAZ1ryr1/Q3jw2Ut12DQVeJMpu72jGbUR4Z1zWV5Be3P4Ca9iOCqaZ7GDnJu+jqv/++B
slGj0HHOX+Ylet+YmmaYNjrZ6ZkGu3mVi58bWFnx4RsGKl38AVuysw8CN2GPReiZA+0g9A8P/k05
mp/gWhk3y0ni52eaoylpCzSAQ+8gSOfcKXJQyFoTVBXjZwLaXHcINJmyCZpvwGnp36LcGdGAOyH3
v6DhUnHv2RvRku3J3pw+wqyKujHtDKXliki5t9Uk8Rk3bQzzo96N9wSGYO5Z7JPAY2TjQRnkZdD6
ZDNJETRr+bOAoslaHsktsmzdY/RayAREee2OtU0GI8EF9l34boXsE3YJK+xHIXLLzPDOAifRAhPb
fPKlPzFBH61DdU0cNj6vvO6A7iuWlaiM7Pnfl5JPN1SCMhPQxdcOCsveifYfi823gKWWcEjIeTuV
m2JgigjEtmKPlX566qtGcGkUu+g3Mn/MuOf+4SnRp0w/Et9ocl7YPlT8B99p9ZjJT8VyA3t9nPmO
g9T54esC4GkuqyLpIEskatIcjScrPe94uaMXBaHxYiK6YIpJkWR88RC0tn19HzMX4f9kh3d1AHPY
HmxSe4r7k7Gpt5tO9TvdApyJw7CJzxRCgiUF8ftprdf0el5lmMZjz8oTriFTV0AON31jgnaYFaHg
cpxtx/mOW/oLa3W5IB71rRVty+uD9qQdWkQXD2rnf5tpdtakknALRNvI2zUuU6yRF6QzuwPohbUo
YCgdskUPAmXw33hOEUbtU+mqh5PH6nhaRWpYiXZMKvAZURRNhWvVpFoUov8xP36a2RMF8D8M/vqr
grnsxrBqvWsaOl+dYbqOhy+6QQQSMDF/jO0L9VBGHZHFehh2h3E65ZI+jq2vogAyzVvCWT2nNH8O
Kb/TQcTHyOVso7Rt6VHrLIlbGUONphEV9ESZtmO3UIdL6x2vQhJPtrVUL8MeeNnnvt6FS9DLKk6b
LqsYl8eKCty18T+FIyr8HCaAloVBSJcTK6xzHcEI6epaYupvxlDWv7kgVwIDJJS+QSGjgJsf0CCR
sJAUrkv2T/vcx19z+/0QkRpb1Ira/wUofOHSu5yX67a7uc9dBCkXOFPJUl2R/AdCbYhYligkXhtf
zcosTbJ6pJFePbRyKTi6NijJnjmk9Q1VIKAgFZFWmlpN9cCDkxoR8noCPOLCbBT0JGYd2yhsQ76t
zRA6sdclhSaO9jZWgtChiLJW/XtZ2WQOc8MYbG1Kwt0dk9wgDkGQ4i5mxSPmIhTt+9rT8w1mNMbP
3t1UrrdGFEJzlT5es8odc2fxJ7VeVbKihanFFCqhDkBFLi2YcFanf0QF0lMsY2OQJejLT9XzVPjd
6Z8diwdnF8NJlwqptMg/qDZwtG1FeLyKArjia10BRsL6SXJbEhEmeppuUB0zbeS6SA6zHx+kIiix
4Pz5jyPFZQUr+eyjLj7kG5TuJ3Izv7zZ3elpT131Ez52PwhHEzhONozMODYxS1OGnAqklr2djri7
pGFVihtIMkb+ckt5IGFiE7g9AK2U1AYiv81Rukd8w8sYnaiD2BoAHic/+AtZGPrplz9ZFy2ssrB1
a/y9hKXS0+fZQyC6xWh9yNzrp94wq1Ngellt0etwQf1S2tqybLFr4GtZbLTREXvcB/8on2+j0y9m
W9McXseuWRtVVFkNMmvSJHSp2J/yIsjPCyCGtLeamu+9YYVGOO6AksltEVeXG8DGs1X0rOxGJiN4
zpxvQ/jF6IvbK0xic4Eq+g0BPm0l4TvEtNd+HY76clQ8r16vUt9BCAWTVjG21NNqHpeQWGak6gGS
l60pH43BUtbPLJvN+ChXBMTz+H21cwkaDax/PMeu2wZusP+7UAkb2cMkUm8dIO0CJsy3JJzolLCG
GKvoFkF/F3IvtfjniNs5mZ6kZrlwWF93oqqZ2/fI4XEo8/cRpGBxgeg91/5JGump824nIC4F9PrL
2zB+Y5hdPxKttzXcnBU0r0N2uRMbx/OIJujCu6sRfteoMd8OmvrZ/quf4Qrw1V510sgpjohlYGrD
T3sysdfmj2dE/b/hJLBaaHuNqepkI2KfXZteeC64mxnjPSp94UPO2yVAXDhymPqBWAnmRXqWUsf3
RywQTpJ/k+TjIpuEhUWxxMHgWyKHB0DMnwJhnSaJkWFbvxLqBve4MqTBlfjx5Ugr6lV6LyVW4F5G
SrtJ0CSHg1zxItwjsU8frm3GA2DSdTuQRrhxMIsS4/7O2n3vwubZ+ciPHauqK21enP+CSBKXA5wk
8KVmjFIsVZN4sdg7KySyK45s+OIqBfgUkZRMCMh53W+y/mHSLrLfM/22wTuSzHxzrtOr9kH3JUYV
MH89jSwOnACMMj3tpAPaqjTeflMCmQ2RvkiXImfZmKeBoXUuI+JCBEQxlOZwUn62LTiRsPSrQKG/
GFN2V6P59sikkciMJYNvVOWNy9HH2PExDO37ziYdUgfTrK9iPq0/7n3fCytJsB+F4h0/v5W0tUSN
4PCwqLbvy7sILxbG45A6sPUlqED83FWxGscV6HXXv+rCsiANhr6iCzgcPZC5wODVL6MRziozGyIJ
P9FPI+81ukXsuFwjvDmRHeBdfiZN2GciS4y5EnqJRsEnPf4p3UUqa5t7g7MDqMZ4TNXlNfHm3hNk
wHrMqSN4B4xZzSAaoi8D1mFvTtoJMvk/mUIaZaXC8XKZqk9tU7u1bTJQ50jmv5le9JUFggQH+cOO
0y+7MRGBULWVMtfavh2HiDg6gGXfEfS7KuiuQ+M5I+1sZzyNMDRLBbNnoznAt6XbXehrNu48xq56
bP65dUYLOqubaHiAVCFUR7OEiVJlXhEWZ6q3w+b30NutMkb/7ckn8g2K2U2+kOIKTLRnsIKwXnYy
uYuFne1mwhjIPURhUezrRzS1IZH2Y95jEXqDoFIefdr3FecyurWJcEqREUfqtBLI1hpBftOYI94X
EMrnC7jbsQusclECaPgelgIn2ySblAUn8DIYzAPK48uJVtwT1Vpcc/2+TGbcBG5jdqGKyL7a12dC
LjV+bBtUMBxFGfMByVt1XGiXCoHRhm0DGInugQ7aK5CMeS3wtpoQ87kb+BbqDtr9b/yuehkJK76O
uwiy7t3Y2E9ab+ngX1S6zqEhPyyF57CChV+MItzzu4wpzw4RMkw6Dr6+C2SrXjFDfJ7LN4Eo3QDp
ILeqDj/qtVSW0PsMgq3kyJ0Bt4RLqrU8ZQ65C7wgOMmwDJdVd3lgQfmHX+ctaCHcurUgZ5Fa3Dvs
oxbb4PJF7DrVKk6K7z9QpDQeqz6RGaj1lJGFPobjl1LeAlIbSwWk07mwmliyKf+kt92yy4YNTkeK
C7nh9BcbMHOSbl8IFfzm/NWCm3c7X4g+09l4Pmwwbt2SsPpSA80qMssANxc8jj2gR6lJcJ929OAY
fyeMlKqZfn+sJzKi/TI8y3YiCA0zQi6Uyp/fCywzq+KR9mutwysFCSSTKS1WizfrSKPu19dCwqUx
xj9ispBpz3oFQ1Z1FHbHhG+vLG0zPfr9kVOGuAK30KhqwMggWJZq5GhLg2XO1dWzDsFafYR6tSG3
X3rhMSOcfN6yE2uZjxFhrtTVTmbj6DtbOwlcFWN8rykiTI3NAV3QzSfZfL07xcnCvfPg9bU22hDK
LKw7iF5NnjWd11R/MZeT4bQlgxtV5Yoqx3hgLf89i7CWU/VjYj5vvQGGnTawHpsNJhIOf9yFci3k
kKVG/MMUced2cerx1qGjRRczIDGF9lINBtDvim8yaSOGyOxyTyPp0eXjTuU4VaHotounaDUfNtlu
oc4An+6qA24ZVpmHlL2wjpVvWnbmgDz5ULDCluc20bne+qg3BGOjf0ZGNnApPfCehqpnDIzhYNws
kO1MdI4S2dz6j8AfK1ismhhE/H8dO+SNAQonToAGVMdfqyat8GIPIzUILWbgitsboc7OJQCev5Ao
Oxuv/ohCpYshHS07U+5EgPTVqzCzJqQAwtVlWmIcq9VPJ5b0mBBiVMp3d4PeMjuACPOy6sBWoqqu
d5/Oraf51uY0qpZXkKjmgAvqOBEncB1AvxcX1UaQf/0+UVsh3UeoZCpoPH7+XISsEFN+4wRI4QWX
DyHtbdTfO9s2VhiT23OqfCtmEewPIW/jwnll6nyVv+QqtjqI99j7jntWxf0k5VTJlbU7eKP/Tbgh
UEJlLUH4gzhWS5lJHkIznA3wSmVo1lAQZWs9kHwgKhbJQ1UM9JqRg+P/fBYN5LBwPyvAVyu6X4rA
YoweVhJWyvt7BqUwlaXmQx6b+8yovTWH7fdukXF6LdqvLZ/z4ocYUrtVGuckYqjwqhZoo0YhLHWo
YyA8RUGofOygAO6jFAT5wyWaDkbDXtyFSecP7C4fpCia8S8aevM7/W2xd3SAcG4Jy9p8zrArcWCE
KnAOLve/24vNWsX6lcAiU+q6n8tCGa4/Smvz672yT+B04m6Q6TKRP1xB/4ri7ujj+Si7X6KGEtVL
RQ4SOv3VFzFJFJyD+9keZzvRFlWygc8cXINzCat5txD6ENEBjk1zpzEI7FHnCRhAUs+bsvjjswI0
YCcM0HIC3dvaDknt3dQnjZ8obYqGF/ZaXq/kiYu0tW+3Z0f4pnsdhHi5bR7AqUeo056U91vU26wp
WRx18I4SKfVpiG33PBWe3nmQWRiOmWS21f6qJ8qFheUdaRUX29xgrbmy8Vuc1SAvVX4EQ6DvsiNd
/gun2xRAWAkg9TD32FiBP5cM89Kg1LVDKPWks//AXCYkbiFs4f9Aq9WxEyvS2yrPlwH1cY/T7RLg
ghA4mo9oMZrQlble94ZrHU0x7BDuQqWM7oJcqbyve+mkraQu30LE5LKbKFiQsLkBNq4fc1L4++gm
QKK2r8zC5Ho6xbVJ4RyAFc0FQ0QaX6oiA36epuIUSLFBVExGU6LR2gAfI1Z2U/+qlX9txowf9Y53
LMEBd/RhzidcI2BadfNNnjOrVg0a3spcIciRUf1OdowkPXqeMF1wnsOP8PMkM7kkD8zaoK7xZxai
GVCmQzFpGKf5Zmu6xEm3j77FRlzt/GP24tkI4QSLibX6Hg6DQo2VD91UD8txhzJWlaS01ixq+57b
pkaS+779UHSLqDFGX9fsW65JOfAnfwedHhLsWJeGKkyB8qSktmsIT4f3tux0zJlEZaJrZke65b65
exet97G0wpYE9O5dHN7Dk2B6+PcgJERdHHWkyOW1p6WwdkZee+cDMGq7zB2aiqEitjndjl1z5cr1
0RMI4m0GNtfpfXgNXefTVVMfv1KQPg7S+5WP6Oq8EhEOOwMSwvVnuh3V005fBO8jONzLqnAl2qJo
+9KUvMcqQLXRkRriSMRBVr8LmWEVHixI63aaVMeLrbvBx3FHYHqrXYXspELlLnFBdLV+SH7SXphw
MduNZnYnLALgo9/9sPggBfC9/lr4E73YfHUG88x3iyA14Z8t31jnkw5nk8cuy964WenEPBqzcRqK
zCXp34NjILnN3lXurqcOU1Ym3JpMXvqwjghBJL+Nurlvr8cqvCk+NiCYDCFhDxQqnw4S78IEWodO
7QLZrBkWjsyslBn9tt7UbN7CAr74UeBxFADdybe5hqGLEDGzkXl7oANI2l8qYpIWTESNoFi56O/E
UgeuKxsFHfN37Ef5RItULS5JdofcIEBkfHAXbPo5qvUQ5UaT1xJ8g1MHuWmj1nOJZbV6bfvYB0HM
MG7Eu5q/sXUW5FfuGa7F0xzSQ3hqgGVkSt+wQKwKqNrGcT5LShunJllFCu7kbmymQsQOoRltG0P6
hDOr2bCbxtFHS/oV+yXjbJuRi7Hn70uMcpcS6uyryC4QVX/Lr+sQM1sHHtfyxWO82AH0vg+kn8I7
HR4oaiY4Kz+r90Rf04h/y9xbsp6jrlXjmwCotFaBEfIeQ/BpJXcjgINpkeQ0V/2WPfd7NJjfZkXt
6Ppr44PggDoQyvahMbIdHhzA02CXJ0KVugW96kSVhp95UP6R5ETSouU0HX/S1XvL5vkyHbJBeXRZ
hc1GnuP90YbuSCbVSP5y4F5mOX3Hr/u2sYt6P32eSEKdXA/X/KXY//RtM3TDoyJUKAep1UjtaNzB
IeRp04hLPIjvM2RjbVjQ15SydeEwOuI3imu05OrTgETUuvHGB8FbiE6TOelJhi1JIT1pTPrDvNRR
+oIGPy1EhEEyX/Z8GtYeFcv9JDzxDIvFQfkM1dfz/9YQ985HBBlG4NTp4U5q3dGHbUXw7Eq44xp3
+PAcGLTY5vV7L6Y4zmEA3tTjZX2K3dBXlEJeFKoiN27u8pWy3vyf6qxKwRqoiWceXx43UrClJlLj
hT/7KHfolWWZ4nsJSOf5mMYQMM2eDwEE5i4yLlC6cSZugWcYfwepJDOHQwJADqu6lcLDNkzWQ0bH
wafRFfcFzM2hnr08oAuKKXeFrsnAAWg6PN8TMJQRfldh54HUSwz2XhC5KTj6eQufvV6td0NqvEun
bULB0jjjXV0Z2PFSsj0wUWP7eqi+1QgkwDVNZWpwPe+CJUzEcBDS1Dih4xqY0AT0wbYfzyis4cTV
4NdLMNEtuLU9K+/sWyvsilA0HKrB84kfpuhGRfCcm11+00IBihhnMoWEpFr+ZoMBvPw3bv9M9ueF
fzSTNVfJY2SwlkNhXU4KLoRNx5EOl17cCTAQnQAamX3W//MmquUI2YVeccwRzaIRMP2ZB0BYtxpt
2yX2r4wPrj04plzBCZvTyxhf/qxEpCZv2xzaYkSZ6guozgLJTVqFaOkA3PvszcZ1uLE9FogZO8U3
L9CfkupoANUvYIhZKocKVcFx3rIEON18FtsOBskizpAD0i/Wh+AKXLCWDmKwxnz2y/pso0TSCcUX
VsWMmuTQ5tirqe6V8PWbTP7jkmovS+tcU4FbgddM0e5KzKmKkD8UopbUxhVB6SH4iEI/onWZnKfM
eYD3TPTjC8gjMHYvG/QmWJ7mXkQNmQA8ouWnK0O3E0YUxuMqn/RXiuUCbW7r8PeVQ++eKES8BvIl
3sIRJqtjxMW7M/52rHFIdfqnrZplByMSy1zsjU8KNHqvBryVTgK4F9vmAorbr2GgQ9PUCE0UFK6A
gn3tPIYU5gMsi4F1iYnHyEiop/yStE+y5HBKT0PJsKoved6gD0tzBehwFpy8znAScmxrvjdrRDG9
3YWRkkwVinaRqHZwmhJY94GL46G2rGycEPx48zjpsm/4bjuwWkHPvXoFjfQim+G6aBaBLVos/zJ2
9e9Df3iUdPo779CyScbuIMt7iRhmOlyP+sCxZbU9Wlw19T11upcZ0spTwnDwbXQdWum807KsY1Fd
q1ifI8crJltt1QQ7U3XVMl7rIAFp9AqPl+2d/MqwT3HtVSRHrnhS9HCUVjjy19u+d1znExiM4UcX
nfOE0LOUQrdicx1Ecs0i2M6T9wYX2autXGkZ+Ue3r6SmeSBJugdEWkVapXzV/f4mBusIjT5+hKjY
MKhek34ALlr1ZoVD40Bj06s4iaCBbfUdW4m1j6E+YJxTixe4qClZDVN8Du8yruYFfsFc9OcPt/ux
0O2e+HJrj25m2GG/Dz15jMskuS9T86jRCE0KXuPAgV4qxvO6TjNYHGhATyOU6ZffTkvz3blIsijW
RWm99H/we1jIysl2wqvVVIZgmH6E1UuMNXXJL53YZ/sk7DuBhs+q70OHd/OaZ+9KUA/AA0B69SpY
/T2YJtmK2fzs3Wemqggx6YuzYZhFNHDYl4WTVBAe+DvXivUWEEG3MvFsGh7w04N6a52zHeSNieRZ
1f4cUsNpwmCU/icABQvvUvM2duwzSoDeLE6cjY/aViK9jn44JFs5qwe5Wg05bxeo3ktloaGxF/Ae
CRB2S4TysjiqXMtLoi3DzPzPCocSPWVTyQ5Yi5IdOnv2QVhGKUGgzVkUGBOLtjCPTApxCchQzXs/
6xyGOYhlj14jWnL8j5meIHXqZLRlrSteeL/PcLuImxVZR9rWCsC9Dk9CzvAmehkskJVuDxs4M+bD
QjBVw5bmeB1T6aQPhVT4lJx5kevMj7tczrrMr4Go1aWRd8/QhoJqg+bMWD9mQ3SZjIiKi0crQT9p
hEsa59KFDHKEHVx+zqVSN+KxEtM8btUY1pDaHkvKT0pI9Mm8vmw714H3JvKsTwnrDilFbo6HPm30
AJw3xIDuCBOiDGPKnJR1WIHS+g+9qtbulYp+QFLBKgcqdIaQboPolKfr2dI0X/WCRmj+2yUcPyDm
c30QKUiitVmJliCJa1g3ZHIvP4kZbQO6DYUvPoAQ+Ah+ViVzpvUdJtjgSUmvuURVrnN5UFcD4ieT
pPf16X5C7Goc9iGQNnKQ+R9XVj3WC0aFldOinyf+m2Lc+Wfc9vJmcz60RyCa4OSsVKeVC0esD9Ea
kQJwn3OZ5/asHogfrIze3avQDf9QY074qvAnNpIV+Kjb+Ci3dd388RkfH1sulKFe8WHEGWMG6qa2
qQpfkoySgUFghQ4V79M3CmmNkpR+VZWLAYfFLOQypBPI/DF4EleycRgreWtrl2g/jFiFiZMT8dX/
YjG7obP8UXcrfyzcwww5QqgOjHlZaUiv540wT6GNdYjfIhODfNhsJv/ylqN75rOdb4d5Un2ZwbYy
kTmsU59WyFOomz1oIPf7FApYX3HYdrf9lxE8wadkO7dfoBTzyi4s403Vww5iM/bzLL8T3v2d5Jqd
iNZItGN1Jv0DkUr7lW8MWehlr6oNeZ1sPPfSnZ5rnfEnxmtI383X9QwnIX2jYyzsXn+RfOcBZsxS
S/yGQjSyO8CM0o/lpKCItHp6wghh//MurISTUU23bEfFtK50JfruKz8svddIqmQWaJ9atmF0K8B7
7mYQZUVCq3vG9WnUSRts+5IkxlSqbNnWtYR4puDL2MQlZ+2iETtlBwKIGCXjt23Vk5gLMJQ6WRY8
vVoRwPE38ogmK8hM2gv+0zJ3B4Ys+sJjM/JSkMhXZqBQALun5vNgZRMbLhrbaxR9b89uu/Hhg9Hx
0yDbm2WqOoWjNLK0Dp8Li0bOsLBA8iSzOOYn/+CWa/trQW6QmjrzDf1KrUJUGSdbgc45Ryhcfb99
JZmQEbj5jJqIr9ph5TVt9t7GZ4IkpO1OUO5VRqlKDUNjKhqLPkRI3m3abcyi4pnuRUS21Te96OFY
RAB+WLBqbuddq6IK170SuN6qZiF6wUFQnFM5H46MMaZO6H++oZFjBhKdlTvJZLIumWIIC//lQ46A
9n9lcQOun3hAxswJtQotINTKqKDt/qbVRmmrL8UlSdzEMLZr7//iemdeOTnegjgiwqMxmvNXT16q
0cEg1uxKkO7Z1ItmsHWGZEvTuokypY/tjeq1ofi5CprH305rD8dXYKx/XA/rjqe4PNSVomT99voC
rteWjgAiSvD92Nj8PalCeUizJ04AwjUdondYQjwkyG3UNFAGaChiEnTPTfCnCLTecUFAQihtucsG
6PQJ1o4iO7w4FvBVXuVnr/xhBgG02UYyMnu8Bhozluk9vLTNgxkPGB05/ZyXqpf7JzI2+CYHjQoA
yxmKzK2R2WKAbZTlCnog8x68NUJtkG9qlHx0g3jblbWKZvH8aHa0uNiPnY/dStTMGYPF7HOcQylI
kfuqrlLOifjyj8zO0Yl/B1QaTov8C97FJjywIqgG4l19bCw5rBlyNVXn/DMsFhRx8Oe7DyCWhOl4
T67OD27XSjIKUgqpAPa9OZucSbV49SCJzG+cP/xZ0vgnER4QQPegFYeAXVLDSYJRGaiQfVUO1lfT
PmFNpRW1oNy2Xjq/HQfdgp/vqffz6cIUSY2MbfWkT5a5hwzzDYyVjDCq5Dsa2H0cYPt+6VDcyWe7
gKnKCqMSChxN/805WPNqaqc2XB8tcLCnXLGhoATylh4R0zPc7F95V6lzsq8BJl6J74uo2ck8/5a/
pSuJLz64k0gOr4VOUdEJ8s7iViyJTcwR43HK04KuwTeLMu0RNsXX5ehX5OgWJ2B2qTDUVrBCgGtD
23itOhoa3xBj6E5o1acSTeuY5ZsqVKDjwj8151v2TvRXJz83cwcFMNQjk83GpSA23SbR4xyQ7euX
Mmuy4bNpH9D6wzEgzRA1FeOd5BkPitafz/sQG0MyRV99b1PXODcJMisP0BojZazSFjxRtHZbVFEq
HPx7KuXpU+1CGMqT88Cd4JYIb5HromihrU0ECouv2wo1Lqew6vOasw5oc7aPhndEoxkX8aSpEc3M
BpAum+gLvWLK374Yw42114TEW/s4Vq/agVzROwv0V6X2mDuLwKjTL5efmRPMbzlov6vunQ4YpTUq
EMoo8wPNw4RM+ubzn2PwzEBNogNL4kyljj2Gjxc3GKN2ZbX2wRqOHoEYUGhnavOEIhGRbkj8Nd8W
6Q0eE3aDF14Nl7llK3+oXA1MI8Vy1QG1MuSlGEjhHNU+wBTxX6jrU1D/MsQdA4Mtc0sM2IY14EF6
ur8kTK771a7cNg5Oh1/X6AkGwLLeU0lEf2uIpmFWKykHiYx78M0Iufk18qRIjlasHnxJlhY8Wzhi
PdUALQ1QH5JSZs4/ZNV62uuSc/GY87jefzH4re0Lf/m1iDRI9tX7x4AzHUEKblctIzQVwoKCrpdQ
2VkfwJO0y6OVdeKQC0YQ3N/6BB7pGVZl/XwFdJmyH/a4FKWQLeTw38Y4HQWLd5ddGsr4+2fFY0vR
PeG/KTbdKtYqCdStGljNt5LmzlbD/l9uExPMmGUEktRTbYTcoxZsEz1dD9q81GlkbTglAdO0azTa
y23KA4LCkp8YoRswf55/Yij53wcw8GHTcr35OONw3Fc4147dLt6ucgkJnwGWh0KeD+FKTXdmF7Bc
2Ul9FkvCHnf4Lm/RO9SIBztfqJYrdii3agKaAPK2PPl/D/j/LkDKC3mDqXb747dXkLG/qqKUA1Iu
+cP9uKPo9AdD2xj71bwl5nLUH1y6BK0o4UNN7djhDfWXRQeZgM6XMtr1L6y/iiLKxJ9dX8eOqyRY
lRERpu3XmfsB16E6QAOOZu8FsX/5aswEsYQQgPtChRdPgIvsoOD7pAvjlR3SOj2DtPCPfrHkmom+
mJWXybxpYiLT0I6phCcbsLahUBGgiUAYasaeLahgJIf2CUCrucEXli7HK92XWgWnAaVy84iAMJM7
rlJa0EzFS4K0Wn4bEXGdxwXKF1YDWXKlAP2lfwQypxDVuTttHKLYR/WMACkkukWG1hnCosPA/F9H
Xas4W82VEJ4KVdCW8+7dLDCpXe6+3ycTKWJKvBSlkOYORYtrp/WoCZbLbOl+IYr5K3RjazLoYN5G
ym5TqNARi5IbplCMmm8O1ONOM9PvjSwyhz0Dd/U1ZHxQHeThSxMgWV56FoN8HQH4MKAXDecVncgQ
Wg4XA34BXECfzLqO0RbGJKX8w8+x+K0rgnwPlMPKbfSrdCgfCIPrrzj+S7/8M+S8TZEQ9Bww2DmV
E/QvcUaD/zdDwrEejiepZeNmu0fvD2zck1nYVkOIDmD6UKoV1JW/URNjZj4gqGmIRQ1EuP9UUn2v
ituUbSfpBPTPIwVrsuoJHb+4n4bMmT44cArKPqpYYewnAObgyd/+jPMOxb0f6Tc4LF05SkkKFXj0
RNmrMQBL/a16wkPJf1vtlzPh4epcdlETXn1+jO0Hbd9lwcPEpUkqh2pdV9FNgyf/gW5mPIgHJ3wI
UA8qykiNaQEmBX/47FChdN/cjdpOuEiYZilLJUQ+G/vhBDXc23K4nEN/h70zZDBIuBhT8iXpGus4
ePa03don30sxLUBkc7KHxEK4woXWDj822a+jzYpWoUQd0cvzZjZtdYYHWX3W1cS8+PS/47SvFwpF
lCgLqn3qxMXwN2GSKaz+hPvPA0dG+31rC04jihMI1MxtHnUx+kjTbneVK4yfVmk9lmcN19p/q3AB
6i8pbYkeWTdzropuSUoL8xqcsJ2oG1RCj1tP+JUkKs2zOFTaQvWv6jbyzI6sgdZCHaAM8utmvweS
q3aaaDANoIceBWRjI4HXw8RnL87KlEFybMdHSvvzEAePDKyTgaQjVt4YhbId6egJ73pEUsbQyter
4r0QE5g42xDXj8D/8dXaQ5zAz7RB0VrRFz8Omyniz7CBCFu1wpO0JrKAMHFpgoAU4HJdoTjrcAOC
LHt8MUXARFnQf6rAMkn68k1e5MGWPqX+oMLTObk5u1SOuAje5IfMPVCEpW1yCrvIqD+z6jWq/sF7
uKYpZQ3TfV2dpF+h5XAmJW005aLpKet+q46jol4vi/V9oIkWhTFOTnw4ivh7HiqqNPYaHTc9m/5B
9UPCuHoFXU8aKxVUVMlhiLtU918CbM4XmLCAWPowAtOV/P9aeAH85wKfSCSQ1wVfTF6w88Lja6+a
DiQijsTWLrjUr2tcNxm/jmkNlQYpM/hFSEgDQe/76Ny967xKa2jLRpV+ECxaq88JYqeA+LZNsjlx
G7rTX/mAufxi1SsRq7JINLbxFrP0Kxt1dn/JiMC6KLyXo5SZSA4Tjlh3qHIZ/FIxcwLOpHFnZvAf
y/7IS2A4t/30LWAXp9KJ8jld45PKb9GG9mAvAk9B+PV3wl8IcEMWAoR9enIIzxykGF3/LOgGo6BF
50cR/bx95vSrMrdhDV4igaQmn/oSxsDa9N+dzsE1tk6Y1rFQyt70nZnpFu/s3Xay3Y0RcWIaL/VE
1a8l0eD7NFZCcJl2C4iS5zvHnT7Ip6rHQ9tMlMY/OgFbYERiGz2PEXfg8kK1qUlRBD/yo8DDamfW
dAGDgnecs8B+QTXZzvIiWPZU49aF9cPBmqDh23+xszWfyHUCtpCRFzDEpnyWQgjg32GCgHzkHnL8
JI/trtKWETL8oHKw6A4BU4O9wXmylsN/r9JITAV59ssG1UpfQ/lK4f74UnDrffwDR2PFZ8B2WNNj
cTH7zERiC3XeIuofiYkKt04lPI7E3xn7J1zl/urHI4LG9oNI0nQntwGllIznXCvrk0Gk9j0GtBNc
X/HlKYNmkOCOwPIq3o2m1TVmlhmI9flf70c5UGp5NQ+ypfBgGFVVuPZBgRZ1d9gHHpH89mL7FUjf
6rUq9g1FQYwsNEBOzVVa38sC2sLMppZSLFrgoR6ercQrU93Zuuo3pS2DMus7nfKNRZYdyL+dXADW
xzgnxXOKvbvJKHNC4s0i/Za+Khvj4S5RJdkMKt1PO4eF9dRoammGsnutkS92YaG7w5nIPlYLHn1X
mC+URoS58L3/1L5XbgqnlObOIiheuvqQsZ9BCgB6pHUu9/5GMyzIN0Xshn2hMZsjKr4Jh12Xu7wV
K7oVuZ5QbZetGMx+4vH+GcdYDT4YkB+A/4QsaIbhcAoPaiV3hLD/vz7r6LoXUMScwTenAQjLelXJ
EZ/n2+JjHyUk8Xq4TqUvFYzlFByp0BqT5XLB3l849qOwfEWEUjNIhwvwsnFo9pO0Pg+znyFkQDdG
CR6rPaxSairKHZxpgV5mimQiyY0lEZ6EPsWjdE66c1S6gv1S0OrUnpIrPYUaigMRhwWibmZk+zb8
NnMcEFWDLtsI2qlL5t4nZQOIRvWdIA1Ew0w0nvtnRrMGn6V1IT0iAKF1irqaArC03V2HHuLo42QJ
Lxlw5rsKhNYFacMw241FdtuviG9UEVIc/6NgjGovJrAz2+9oC8Wg2y8pBfhYphXsNhmHEA5WE6CR
+6y3Zx91kSuTq66FB2iDu01Q/wb9lAcT1E/Ja61gUOYryCNtRZbNDLUiMxSP99SkKUBJPm25krso
Ns2fW5waGTWrHu7Zbs4tkjqJtifTZtC/3oRbmKuCmRyf8ryx4Rf4amvATv7WVgnphbBeIphSdM6Z
yL2ngEgRMCrZIVs6mIi6kW89xcbUfrFxbR5pjrdffhs45VZfjT1hVVr5PQw3F2Dv7jXFOrd5ruUB
mUMtLTA5rg4098Zu40dSYSOxeQLdMG6JhQwoHuYSDnNYrt2Z9mc22TY7kGgUGdiW92n3/4yVKX4b
TPOmouGUBsk8E0lzIJtMvgZSXGY/K6nK3SM7av0dAVahg2zp7fMaQ6sw6zc6Fk21lOEnBHgrwZHJ
fJoJuTg5D4uop7NQFxHL9M8/7pC2OyITzwJKR44OYaRjEEj7PLv9RARhuUufE3q4LUMhI8QPAGA1
+LTc2MTTQYu3zv74kAJEyaMg8SZcgz/GtYdgLGFFwuyPx6635RW35d5KaohboT5SVAJj/oh/vW+o
LItmbr+XcIzjrU8qd+85s626xXFrmwfrW3dfzCMjFr0YnyU/Q/PIp91Nc1n69CVYiOr8EFM93tky
i3WJX20fl0Uc4DdTZtx7uQAniLVpudV6OGqdS4/ztLumf7pAOV6vK6HVHG6KChfSjiXgnJ2hbGmO
Ui+7qTC1qlZ3UyonDYMuy6GUEX3zYPPl0YdFx7jhmRu6m5btf5l4c4xmncxYJV+MBT39AmYoLu7G
5r18BSvlID9cSXareiT09SUqyIlwFTjbiZgxF2fSRizjAYlo6oVG+cyR5F0TCQs0od2f0eGBVUCx
swX7QFoaYfXWAI5kQPlkUg0Kw0a6QyZmeGlGGLvJolh/j5qJQOg23lqIO9m16VwOmz7h0gXTQZ17
3NS2FOk4glaGouj3O+pgIkm8boszVoT9QPDXR0kSwVS+fLvKkJnU//FFksWoJ+8n19ARSIYeVGtl
kUNrQ24Nq/W3EFZ2BISdiYTsMfapTtNIFHnDFiDnhX8zcj9lr6nX9eo9XMnzaeL7EeqwaFL0kl8H
lOnicm3WZ8dN1UdYJfMMRCC+3ePpd3tnBrzLV1v0olMn3WtLAKzDlpkN0gF7z/7zJI38bbDMLt74
JMdbby6fVcqgydK4fh4ttAcUOFWF68+ArzQG/yWhXjGVvyR6qFEK1MECahy3vZmWUUOmjmn3deot
MC58Z5+/2wnafJnyIkb8AWs7CN1+XyYjXIEyfLi1ik6pg8iqoLuIJ/wMPjd2BYAMHnvweoEcoeyj
WG+P+F3n7Fnt6zvQ+sPsBVzv1HquNv2oLLFo66tf5G5YsWE1QgM8Jmt+lpTPlnMFgu0BMTo59Lh5
QQJhOhv3FO1V3WsOtdM1K8Ux5WabAkmq1vQNgX86yH3Hvfbu6AEWr8SmdvKh1kzDK55bQC0v+Ggi
wJy7NPLQnoOGnta7zHIYg9B46oMgNdfhwm6sdnib1OY0KDxg9wifBexPbFx6OulGiYXoBoIITu6w
K4OopSf+o9kP0ws/5ey7YH9+R0000IRgYifoXxOA3KkpDy8yIOJBCgXaiinZTkkN/RmE8uOmlGY0
C+Wr1gLjyDD9XCQe/62bDACBhiZa0+9Ak7i7hhU40Y1Qog69UCC5Ut9lN5xb+gR96mAJ9uxe3Tc0
L0qxyh106SusLBNM+8o3ZsWdDq/qHmRleCT19PuvbOe/ejl/eZmSnbK+mVuYSbx/ITChaZSXsif0
eD3zubQBcAtZrPAyDEYsTck+9c+XdojMHkSOkpQ7BmH88qb8LU/D9FMrlzjlN9P7RMYLe0ICdvMF
wzjBrWXMlJeZZms+gW8ZjZ3VhMnALcmac9A7LJSrwr/CCDO813Qtp0bcMhAgHOX7+R6CLqdHNd2y
aaeL5hN/Kv/OZYIesEpw0JSoocp5I+dAZRqMah9QSqL7g9fC9qquRXp6Q0E3TJnjbQZjY2EuZps9
DrpHcmoK90qxuVzKbz922ZDNCXLBo3am++bv96SWWGVpC7NJSG0LLmrUGZ74KK0LONM6kqHS5MUX
3zPXkps3Lcs0dpHRL+bUD5ny93lDMqDZSy7P08VLxR1y2yBQwkxzJyS5bA4AJwPrqPNnzmMQhX+O
8jgIA8HRHfCSOUJK+rEWkCzgl1AVxLm5A8GKhFGOwcOTRVkW2eHBhPb22vpHrolKeK1/jOj9DmFs
jt79UJldtSS3FNML4LkyYyvedX193jbnc69juEVzwac6iUGs7G4x/HUX1z8DLJTFvhuU5wAqaZi2
BknEi+JEJYuLvsMy889OmQ06gLDEoJe0K5V1XhdPP3bwPqyfyMBuZcjV++PWfYGwMgakdXkHEMuK
WWBkefEwbOvFMj9nDdNVJVjzfBwBG+1YarKABtX+FEENf9LpRm0q1ICOE4IuoSX6+4MvI1vlbdJK
bchpgh01oJc2I/8o+W37XCMBZSGTKmtWxoWXgLvU5fwoNDfjgtqYbtBtflp6MF1p90UoQb9EzaB6
NV6OeAC3txvY5tMykFJvZsxCc7RA2Pvy7wYNREkI3N1t1JX6yVDjMOf/e/+Zx7edXeu0bVB+HO0w
SX5BbiAAJTlihHvBGOBZK+zLBgj22Z12Hd0SMNoqJOlDN/7N/rHwUBAJEzwr7qv0JvbT/cRmjylu
UrPyc9rP9sL3/8da7kdpYX7wQWuuSVeAhu+Dxyy5C4Smmq8/NVR/Y51Am42oDhp7EPKJCnmRzdQW
rVos05Lj1du3m8P8Hb3fX0qwiiYF7gxDWpjQcxXL2Solsl2E/Bb0CYiXlQaHepi/Sim4w/0Ea/Ny
4DuqbsHvmJCn25ScFRmNo3naxzxJeGP0/+j1S5tTx2DWMtpJYcn9te7cRvfnqgCIByrlhE2BVGh9
YuZARWk5MbIFKCp1ASFdIOa9csfjYdmD8HQlERRNw7A1kEEiPEZPBV1porZl4RoN9K4cZDk6Y2GL
4bjudBzFh1drfEhrxHGJ0j/e/UPD0kGuQbIRIye1o9cQdNOjblt8Ij2zr7qrUhAteLsTyLECIKZ4
VPE7mkqk+9/vClftsXXL8AlAZf/vcu+W5mo9TBcjp5qsGYG0rIxGou5xTqqouo8at80yGu5tpP3z
4vLdARCppFlJznS+ZZ9XSyY2sqcinkPXwqGgBRRrT4P7KAPVgP6oQfkey0EyLJGsuhgtmMhXgxhC
f+z8kdgHQk+eMJZCIj5sUqtELQ5l1flwTHQMB9LZORFQ2a4y8VcwLlPx0AMwt4V9s3LT55fMEu+j
uZksqzA0zk3Yv3K9f0Kg/J6ckBq6Ru+qqNotfFsZb1k8GhtIhrM8khhPqQuBdiGPZnqU01C+rEDl
zUOEYBZDwovLi6KUMPvNXiHg/vjwhC0NoVeLxRejOxtXemhpDUuURG40GhX+Tm7qF6GGVQYXyczM
TePZuO/uex0mHUJkBX+U20pRANtl4M+LgZyL8NqIVv1TK/MiD53zKqWhO5ZDX2t1MSycwn989VSw
ZIzKUw+7Biqg51Y4hdbesryUZ2X1eqq2uvR9wOanRztt3dMRa4WXtlRgK5SWhiKOO3i79c+UP0Yb
lUniYopVRpvGHpMS2fBvtVnU8lyzIcZWppqVROFjeZZVG+nORWQbNoUfE56tiVrt3mqV5l67JMSI
mfnCjQIAZUri+IP1DkhGii/ERsJio4SDIyuaoQxZgJUUEuNeh2GKhEcw384U60cDjolI6Bv4tLT6
Dg5NsV7DV7BO4EJkEPbm5eLKenygwTanAEmd9uk2hnUo9GtnwoGBiL15TdiBaIpBiJ2i9illRmH0
1uaukiLH+nkKqKp4ZW8udquVi0vzWy3BmWWeMt7MxfsHwqJ5Bbh+HcwR2vFr4XjU4GLX5kHR+0P8
B69cCRtC9ZK9ioY7FWz4jyBrnwaSLf7AUL14Xcyyvi5nxE7qntGYg6kNljpy9lee2WcOaEcK2ctk
mP+Ll7sPLUcM4zMSNmmU5uacHPZJe+7CkLHMfHxTbPlmPIINOYBi42uv//1GCmsc3LG1HhB4NWHl
0h7BleK9uY9wOPTUCp3jALdjnqVqus+LVPz04fP9ORqcEnTMTVlZBSVkIXyhTJrE/8W/sI8d6nX8
kaf/OfH+y6sHMgvMMX4dSx1Nw41EkWAFqO/X987ZUkqfRb5WtJqp5jeSxnObkPnjZWLj7GUyGIQ+
o5R8JZ+G8oQrU9bd1u8dpIEsv7JHMKUv9VtjnO0Z8RJf3DK1cVBjFw00wNQA7ZRnI236ZVmxLWsz
vrWItWWh0NzL1KV0jPP8rba9fgxPdiFAqH0sUXweb/EgFzZ2oZ5NiuIXEuC6OzMfROnK7fqgrFmZ
9HXQJeN8D5RfgwN8U8u+hlMvZo13chrYkKW1hBpWLVLfnOxxboih0gnJRntRUknQGZnYgprmXaBo
IvdR0QVmUtR/xkxVxhtsDuNMnGHI7lVoL8eMTCYboEDmOzvaOF096RLubDLBgLlig7PTcTmvaqT6
2A9jPw9D1zZhgcdhodSlKcCQiVolvnHh0PkYP3aZfY+Vn6SgLlAnP3ca9O2wSSi6PPd1tlOgAOsc
4J0nvxNld8T2CQ+MHZLl5tMDGQlsLzQ+4en1AN21k26k7332gmPjMGl9QA/bQk4/NOKmiDU2MFrS
hMK14NcrV7jrIvwyAkKi/yFUfx3rgmN/oUTCiVWvwUQ8IbH3rxKnPJ0R6Pwf5CSVW8VxWt1Tevd1
z1xlzzMpkCdKpPRPW93nOLq68NwSh0jaS/uD0p0xvGiSjEtUqNWKYfv3oJ3OFD94zJcNZFoZ8Jrm
1uZuhDsYP7c49sIYYJl3WGXLJr5qMfWNCd+q7OO9BjJEfDIF3GSLkH3E3JRfA10CHQYvb4ol920W
OzXgQEjOSgnOQJqF7AHFmduQt3qxLjXQqUV1G5zGO7ZZ9EBhnRe9LyaP2EsCKR82m1lPB6Nk+Iyo
ec8Ri9N8Lv7hDeJbwYWWGhUuISKwuw8uxJiH+swdWkktHlQWTTmffxvID3sJ0llfPVrC4I/pl3vr
5lZatcej2EqQK5dH7qXKQZYl3yMAP9Q4o1Ux8YpAg6IPqvUwZTFARwYL4mb68GGqgnT5eaLU5+Xk
i/neVXkp2gKAVQQmekzM0pWjsm3SVJS8VHIoir59GL+Di4hvunVow7nFzGBbzK9QG3Q+sXJiZJPr
yT0/AxjBdqB7Vekzfb/IB9/MzwOIGbHzPlRZNTRgay47TfX687D86NMqB7rYCq+Ft8m24RacFMKo
6QbDDqv5aLueShI6hdVnisLhYmgLbkogPdOD/NbPgl7TR2X3ZOEfqClHDxMWI/WeDULS12Dp6lxn
uiO+TTr2WxSRQwpbjzpcHzLZ2pPecYAemt2ce7/yJZIR5tuUHNuc4R6tr53zf0+AIJIO328NU0w1
2hEkkImoPOUDqmJXNQpBvol6p860ycBWVTtxhimk2285YLqNUy4FPso6rBYaO7UhofbCx8NWo1e4
8K/8aU+DM/lTfwcNPuZf/nwr2R8TrD81uCKM2OkUMmf96n9uOxtp2mfUJ+vU3TQG4NbwQrgsY2ZK
j44Em6elMrq8N3alCwNMNh1xlZdlrYkP9akISn3FWUkDZP/edJrCwSZ6/II4xADT7WFvrFR9CcRN
M63MoGqfZ+cfEYrOlY+KjhkRUcKc5QP4M9ztP9dgqAnK64kk02bUizElhMo4aP2/Qvf23a3eVKKX
3NFtC0u5s7kzC+JnLBEYovcNZ/ro4S0fiWX7/sHPJ+vXTQfbI1oTGgr0a/LMn4pdIGcVRb/vRwa0
sHbHX6AnVGKa0fwIWuYBrMOJ/DM/0w8LlviK4sH3wMM6oKQSxbZIURPkUrUli49mCxSJ8k/RDDTN
EZSdTDe76erBVYjpY32gobWs3bwP/3219ImJx3moZa8pfnuFGLN3p91ixEFW2rmNOZ22voR7Vr99
1HB0f0X1SjE3FXyepzSESaEHoSh4oyBsRR8TTagD9sE+6pRnuqMs6cnkl+tQDwQxDxPMPlYw+9fK
Cze8G/9r9kUcqLwmYw7En8AHtow4t8k2bEp+86C8BbBUjMXyViwyy9yslk5R+EzKQ5V8RSFKH9Zk
w35nVj/Ogq+UmrqeHTieLWcwlPRzttf/TaW6X6eAmXBAnRPQDqCtTmv566iQEcNnQtIlMiKAXXfS
y+Veqd/e+xm2iMrr6JjVfLUeXVqAPWpXMdy6mYTDzsevtavbCavC1xtn58tBq1kJKbuO+UyNYBwZ
znpFCZfRcottmcuIa5ZDc5YN8nbylVAwRJkjdI5tSmJleupsecxCAI7EDmitZAEguOx3hDK+vhrP
ZkoZIFpwBNcC+mkc0r8ATKhI2c/2ae30ivnlixsNuWpNwWqXdwYgf4A1PV9fPMnReoDnmq+sKwGL
0WkP/HHIopiy+775WfQZUFWEo4FaUOHS9rc+Go+p/ylTDGlbOatEdrQU9+ic+Oo1Cp8aGi3xDuLv
+JGRQrivGHzpNyp6CcZX8/f286umVmwLICzSvRYkxCHKWuQA+aaD5/t4Q5hR6u3FSQWmNi2/rCc+
06omiSuF3JpGO8BVjqikGFufYjzTB4GJubgdhjK8yb0CXVvd/upFqdYVZBLw9rIdR03kvZ8ibFCp
/ikysIjXyeZZ2vtn2hoceGKCtAaWS2lphwW79+WPR3YMitzfjOY9HGRLxI4U7ThR9/dc5E+Vv95F
5hFMGT05marnaZlGcpt5IQPL/NTLJZaulKJcvFGWDUUIQ0HddM94p6TIGMszJf3578JeLQ/gDBkC
fBw2nUB5SIt0RQfy+KAlswTtE7LFD/+Q/1td6fx42FrVzqGaSzV2RO+SKZq7ASZHyIkREgMQWXhA
THBApf5tTJ90LBC6wnKu+wDF2VB77PB7NwUzP5Iq6tYgTbImqsSw0DBE5BemIfFvGaNdV386fU/X
VKrRqYHPoD2cJTXSG/0o/R+j8wNs5D4q9x66xUyltsGhj5uWZ/Sc+yjzXthGBwPIvbrfuw08auyr
fUrpaQvLaVTuw6MvClRP13EZ+L+uzF8gz0CBMej+5v9+acdtiskf0wz0TnulfDaAV8Hzc+8rydCG
f0GT2pnLZC3DrqnUB/9a/GQ7LVfHhRUBpnAkJRtNRxhO3QyDYd1ISFqWY8DAEiZqjEzqkSlpUAOb
LnuKBak8yGW2JQ0+fc04WopsqYIxFQPhn8ft232Isz1xqM+H5/XyAMoCD1BHyVqEHSR2szKp99JD
p6BixmfkhPavDy+PAEr5L0kEHkNaAhQBXFaJZGS1lPa0wKpMH+ngihfXW2CbzVITnjf/bFPAQRa9
dWRcv9Gu05jKhlYhHNrM5dLgfg3yUfYVIDT/tfwfv2WpsnRY9CFDa22WNcS0wPBKNAvrOtLoE3R+
ar42erWzMWDmGH9wAH0sU7Z+iVblyI9g9BXA1HjP96e1Kzk2lsR+GQTsjoOoAdulQtXyPsoWmuLF
V5g0ID8Mmi/XYmQhCltQRfMVGzJDTgStEFzRQrjAKRsis9MChHp59pZS09d2OBjhsDDdhkK8PIZp
bbjA1upZpuJ+d+6m7LrSCHH/P0YS5wNNp2M4nfwKLoiT6whOEgScgcEQRIH5xnglTM5RODMKXxYB
XCjNsXSuygexr6/8iRWL44Bx5B60PLVauNuiGNV4b6HUxtOxpVXw0Ld7OioM1EQpscfAAIVZ38VF
3Fmu8AF0tRR5Us3Cx38P1txaNr9mdb9WQvC5T3EozVcE88xNN7bJR2AL4wdjPASbYEG2Y+YLCj4O
m6jMMM7nj+XbVXubY6u/AZDL4uwzMYxbcjVataUnk9/uyvZHIA4VWOg/ZliXlF/a5xzJlZHqC8ws
73I2A/DbC+T+w2VeRdU8nOnzFmvbK/XiTEnEEs2RFvC4MDlfD8HK7maXwdgZixMlJrL2nrxVTiYu
y8KNwdRsHGg6pvGVUXkwGi3XTaE0xCTIywXol+xzX1tfBGKNQ+EOaN0vFUFrR16SGjA/fYcWzZ5V
3cXmgeqiWUzYFemlAOyfqVYQsRujd8kEl85VN7yaKG17gLJPB8frALtTxjLlkufTFVe/ULv3QreP
Ba1/bUc5hNcD3j4hFdUgqZ5ramu/4dQvDt20DvDaWfFvRAOCrXO3O/bFx/ZXnvhBsvJ9YkpU0qUL
8OJpAPnWPefAacRZOTH6UI/nyqPuj3xkUFsuOWVH/ZQlT3TRJHgehNFoXYCnYPHOIKJpglY0Koe4
AmbOlcg0jIrLLQRzTOpJd3OrbsBCGycfCSWSbdwZmB84QesIH1ObAMkPPAYQhgY7AN5aXc1ayUdX
Y73GxqYH8zteHXOeP+CK0e4p2upZXGwGO2phbmvsTpdfXJI4WbKB3BvnzAGoDnVPLMnGiTPETk63
rav3c6cksuKNlJCyK+XKZjBIw2NnH7StzXrrop1qnwYGUNImZeoL5JzrLkV4vzHHhj6S4ysAg1wt
auS0790OvbWXhSzEpQVbcQ8oyiJ239GOhXmMFn0+oEtQQXSvGujec5kpsQKR5cbjwr7P2Szv5zJ9
YVxlOsTAZH2KHm220YBBx+PFn3ZFaJUGmv+zHBpZEipfnCPKf9lDAd+wvU4dtiF7S4ssnouHmCGp
WKLa+MGDIFi0P77mNJM3pUj8sBTeseDpAKd9MvhQhZwEV0hbB0yd8/zOYhUzEBrMG81r4RDZvCXl
ls/1RN0g7JiUD2xWN+HKvPNzNqi27s94ub6jxILvzu3VCFADTbCt5L6j59pDJIAQGkgdodJmWO4X
Gu+/ry1fVu3FAkYnWOAYhkHuD8ek1JaZMZeVUXj2hvBKTuB/ICxDXvGmMCu4Rc7W8Z/EZCyK20eK
BDqqo20wNbdGbFffav3IB80TYNBm9jfuD1uFkdqrbVztndgFtgi7vO0NL0lfvFDKjMNwutT/MydS
JbVbknh1hEjacB5r8GVkp+DFl5lPnjmvdfp7aP9sm7scFaz5pRSAU6EAM51/mMr/DWfiPHsIOm0p
m1L/V/mZB4SxveD/wDUr7Hf8hvVoY58rtkT0g1MeDjECYGWou2+JqeneyBEUG8UwJvz8lZVR8MTR
qDZ6pMMH63u3BAePWhvcPa6fB1ia2PYTnIl943b1BsnZSVD01youR8M7pc04BXDGpjyFaWpAxGCu
yYErro9hiJbUcI4YGwa472zApF22OCbEO/wA08VT4PFpGjhzRtkXI5q+7edxPqFOAgkzoyyXbgtV
DHXgH2JizaNLizodbzgfXKkLKurd/FLY+vy6C0XI6oq+mL1RiIcv5sfQB40/TUBB/Zkf60pLzN9E
gc828eVCQomaFzu5Pm2DQEuKlTot8yei602YoRfzX6OcLzIqSwO4vaYg/tcHKY3XxC+1TjHIDfky
iUOFsV7fBQrc/0OHE1vkqGX1j4ZeNC/nAB7LQ+rT9KNFsiV70NKGQBmi6Vu8boxQ0H2zubNo0vJ+
pMR0xMok3Lt0zFalu8mJnTtYmSPMgG4DoWdZjhiSrOJQttRcMYZu6WccMumAtuysLGSOS0BYIUAU
KimjPepxqgM4zed/J8IZft3BB4uBZNazMOqj66ZWDP+gajJR5i+JQxa5wqBXBlw5UKla1FbJOMlK
D15Zy+aO39vLuTyuM8/aHQOom9UB7TdMwGQT8HhM9a/PTYDc+ae/pm3LrJ9Ws6NMp3c1Hl3VKj1A
SjuECMtwWUv4Nkv9zalpoqbXGhpcQcbzbaENJaFctDEq1A0OVEoN9LhShNl2FE0QldqJ3LobZELW
S5BqQdBi7+RbFZmQfYu6NzCL5L6dVn7zn/+45gcLrtjXKS2KQ8bGSNhdNEKW0udl+hHr+8BrbAJ2
Rc5UBa+r6bQ06bnRyDZCXFRl7F3UqmmcCPMbnjfpjj2LXxTPUuQXZWxolkOUE780AVYC4avnSn7i
nk/VGgWlNdAe9lQLXQr3QoibwlalnDlM9L2z/rVh6/et6eTzyAbYUFMioQkgtz2jL/i2cvpABbdj
nifEltYjFTBp949KNMOTH9OojA6eQeksP4BeQP0k4CmCMtVYdOqwzd7cigY9c8hOLvoNVW0o83tr
v1gvnSz+LDT1s8OQDk/HCyyDE+WQqgfEiMo4IpLYZ0fJTyIJ9+FDNFMo8Yy0PVpdN05jVYboAaYN
7JczVQS6NGghMY//OTbrC26fizZmfWs6gE4VAIDiSArcCHuGqc8ECYs9Yi0xFVXSHHmxqT229WcS
dcDwp1xebQ7ZxTO7xoYmefrn2gFEv4FSnl5wNw842gNIXloTMr0dQqZfa8vpUmbSOCjchmE1LVNL
YgGXPYLaUCZ7a+ynzrJQsMUvpCBt9RkAfinR4fQjCGftad6AndRfNA3n8fhMR9O3kPGfSmyR1DFM
67UrzqBDcNcfshJtcYxXOyuaTtW7X2FrKM74T3saYUGSc3+0qp0BE87zJ12iHnslCcYizb+DQP1E
Saqht9NGP0FIk7oXnt26uazJsw9uLtCYuoybDsrM5Lvad6eLOakIZDMPqL7IP+R4D38q3LxexWEb
rikQqxLaUQObWsz7tsEWImlQfaLRMPvXuemMOTIFZPPZlpb26Z+n9BVRdrSRfGgP63i72fniOrrn
oHelD8sf5Iex91e2RmFozWVB5cif6h0G/tRx/ul62HEGS9GQ8h/E+DxEotd1f+1qAodrNGAsyaYN
wgYUUUlhvVbZ7c5r1PZJ0qhIyi74wux76OeBCViFbqq2XNiv7LZVmP4bVLWLbd0jYOGjGV34mDjY
QYpcdd84Wpf+gpKjZXIQMOgRMrtRe7FK3EAZ3oLAqK4vSbhaGsTm26WPMHGzSiNXm3LkFRsy15t/
33d+bBo79rrjoBdDWCmbOllvRLMX4jW0ixKAS9ZSA4Br32GnvDPxQT10paGbQ6dS2FpSoueb2dFp
TA2fwzkMokvyK9WRmF+Wu9Hg/3ZCRSSHQ5JQ738tSRNTeSfF2zEI01ZfkEK5rcw3Ic/oFap37Z2v
zLb+b/4P8WtKvrUETr6h3Xb4uh0cZPpsfW+A5eiH52ZNsAQzBVn5BNHVnyBxzlAltk0k4fN/hegS
N6AIK/e0/PN2GtM3blc9B+N61CnbTLzTbSOGE/2cZWRtDQ2tLKWnAtlQN+bzEcKtODuQTAKJtJl2
N8rPW1UejBAuwW/Vwj8p9mt/gR+0W/XCkMmLbpeZMXLTq9OrltxG6jzcqqLQGgFUJFqS+yjjyXyJ
dXOOesOTWiD6+Z03Cj20jyO7dFqqSA0X/olk/M4mMXWvrkvVxAkihJnznZY81MlJ0vL7ux7HSo4U
370p3GtRvvQEfhkcfWyNAIihVYPMFVxB0ThAIhEPmkBNW4axAviqcbMT9JLunoGFwgoJdbguk07X
+8xrbbTh4nNJAGEymXcLESZdTIItBcsUFt4PjEgFaQDYCBBFIyo7O2//L7shMU2gJl8fz8FjSELF
ObctTsjn/7+ZMRcVJb/O98bukFUDV8tX5wyYHfJBTHXk8XIJnqDCZbIvzxJXUEwBC8XpwqC0h2A8
/a2oldUYyqieifn7LbMq4XJcQefWr3N62sqVfV3UDmIo/5O2ZBYdKwBQTEFKsShoORe8J10bxY8c
TL2nojPmqmQDssX3srcysrgxJXkPY94BKyfu6ATHnU97jW2ft8O0INP2wGqpAwAMiGnw9sNuklJJ
ODTWbEjy8Dun5BZlzsfd48NyMcVRJE0k7v/GVcn4TsXlhBpB53qFDxWsxPHc90fn+aS1uIEuEpiJ
kj11GXrflcgr6hxwkh9SZ7DnlsbgHaLZ68U1yWCU8PXch3o2e2OU62Z0igt8H8JvWwjjB9Be4v8j
N2y5hQEuAKHxrUmgmy90GstEFqlLys0hJyJ9FwvQ9ZgNQDnig46AIl6jsp5eYfyLiyrKHhHA1c+k
Osaj0yy/xxli23PIiSvQB7CCVmznif9tmT6K5pUSHk49tXd6xHoG3NO+oN/uvfGwy3F8LW1OxH8O
KYpgZoifdUt7IrOZKL/pMuaWi7D34Qiq3tyuXRLUAmM/DcQ1iz69wSpvsJuaQBat3I8+VRVSkNag
pThprU4I9KpNNio88TcJ1sokAYtimiMaZaZsIZTVFqKSelMfl9m7NAoZNkgyJsEhTRx7X9gfXqqv
MtHrc/IIhOUKI2e89tsR1CLG7fxXUkve58XcxbajYEPn8MmHyj9V8MjnYxyxjmd1eJvdac04Muj1
65KqiSovM5s7oCgrm3k8weVCAzL6mTHCL5HqQq+GP28oqWqTQB8TxkWkThKUM3jCrzV6gXzBp4l6
r+um0uvlbBCYOS+6/xCzqH7pTYojABURPre4gtfp7FgVbPt7BDlgZWM1Tw9PF7p+XQNblK2Hc3HA
6jXLmFK11cAWyvTnMXu3M+bjSVDQd+htADZ/5b2Gku49RQg+P3e6OKgzhL+6rRSo46PV49Z9Qo3H
W89RIxQNnpn8ekkst2cLxASQiQtEZGlrt62748A6sbkhUA6UylEZ4hxAMBRMRJ48N7W/1JCibhBJ
ajVX4Tcy+sEtZX5WJ6i9eCtTYx4bA0h3gfa6qpue17FSCX5z+WTXcPL7Bmxb+5R0eeeX0KkRkjXQ
eTMnoWMCJwVLC4EYBLp4vRuyrpcAn9JraF8mnSpD3CWjI7CbEP5epX4caIh28mmg5dCnO7C1B4ix
Gou5MRAE/Z0S2J8dGEV+m+HPG+FKAsPRYvYrYmf29LNbbEARHHxB2IEtMbO4KUTg7gAF84rGJewj
a8QrjOm96AOPCqEQ9ujza7Cja/fktXh496hEG6J/Jy+UwqbSVyWYQNdNvV//ncdvlfdR+RvqUmck
v9wZPvWxu7F4WDLBg8sYYY+cH93Nl7DiHpyqTBBElkd92FLffLPwNnBpQn72AFLDyptNb0ee8Yu3
zsbFyqnogSnyTgcOFgYty9coz1lCg6YzWbbK80yNPk5f/ZQ0T+HKRnwt6IMnireOpPnkdfXZSMFV
WdOaZsF9Z+rPrYys1NjT+nt84vK2TBFAKKKeEQEOjM9RcaVv3SCa5j9n5TSfPLmtROOt0hEuqevz
SLOP5CQ1hC6zYTXKW5i95Begv/gE8VOhvy7wAGn6UGhzQ6MCZK2aT7aDlj86J+if/OSqtjfWGuGL
xwyNZN5ooWX7noJvMy4q+ZXomlLdQxnfPvbYOZ4IITPhr6UN57KaNqbqYfLHejH56LHHZjuFeNFP
ZZyvBJ0JAOdV6s5qNTbTVEWd4cp5leJ42T1z/bnIXCdymeaV5yB0z6YLeuhvstLghpZEfM0AR0FG
11pQLIXCGB+pe67K4PhPHY2AuDyW87WUfQp9ZjKEefGqw/f3rJDxWgotULo42WLNimVkmlNTkLdy
shHE1yjAaDHg6wZNob5HbhzcHYwJwkFU0aChzs3z89Cgz61D2coUyLe4CdUtK0RXT1OoYPO6tNy5
f2jx02yte8tV6LDnmkOmr/ENtQe8WAqxZbcv+p6oOPAOlABMkuFmbxS946M+cKSQVOUCSEISYV3e
feLgqADi/emm1NHTpZbLoK/ilLZJsrJbUqHQ5ePYVJMtfc+I0VBlewD7TyKwJ0a1EXG5RpeeaChC
orgbMgdnjJqmA9s2DfsgFI9pVPOsftNtackDH/O31SzQRkoCJWde6iFNXVTqI9PAXvT2V/H31Ceu
GB7uEeQbvclFDBfofrXjewqfgaSY8KpgnnbkRLHAc4jqE4Bg6ImjKpp5UQZPVjtQyP80W3ZSuVhi
tlEsAb5Sb2p/2W9C7UZF77A5jNNyWa84haSJws+c4R8X++6sbcZ+kZRhNkRXy2uZe9PRHEmGmf9Q
WiWxVzd0zZZxxmtpoK0XiEIzWoF/55R+6EYQB5f+pXgjndanCHOu4hlPv35GnvxBK/pj+L+Fjx/n
xaBD30aHrwtbuoRsISTwAyvDAS+JcbZVSvIWfUQaZP3JcTLKwv0cYxvDUmr9RPmxa6MhHebIhpb8
4CaBseiARyY7XNRDj3kAYrezeoLidcocFAomgE7hAQdisLgNrQEuzhehE0ZaYqlSqDKV/Gl/jeqd
egTVC8BxHY2V4D79f+li7Uc24Zm8yzr19POuIP3SNRYmTSHocwUu4rNSq+aMZzdN0b0+ne6GpMp6
Ah3Ovkm3YDDKgS++utlJ6OnfENHZFYsTIiRyDPeuqSXbrEfsL/9kOA4rm7n8i8mFdmDOpp3h2561
FrtOkSCK7ZAAcAMka/FRGMe8JhgwcpB8lTLVOUM6MUoqzPQUsxmi/lxuXE8fszddRrS8kVwg2saM
3BcoUlLj2xmLrCXeXGtClGJrOu43j0vZeLLIA3IrxVgmmuToR7QVZrpHNeJdevA6UMS3quNyfRQj
NOurYVyZWbGAsDEfigXztMX5Ghlyj2ppjWcgZCFQErOaOdIYLldFEgnTDLvjkHYdVQ42sqc5QN3d
obZQeK1IpLroBzKZNkT+w/vPe9xPfi7vtOXJa9SyVPYurn0hJS5Y+DD15fTvFeZD9hcZPWfsjLwA
lQfp2fZvsNARcwZ5yjKthOgf7gnhd3yGxJNeXYNGRaWhpuOJMihXm/s+MwudqQo6olQjP7lpolCl
+nIVZXAkmcuaa/pmP1L22sxxfN9gi+X6y4WgBuEFaGN551e2ddWxGjbcEXLhpLH1tgp82AteUSNW
xq7R+ti6ZNp1Vy42MnlNEl/jOQTtSWZk6W9MR99MzIYKvidkWGVxaWBJFOI7kxi0xoDCs09KbFwc
U9QRRletmfFJF13sHDnMG/iiJGovmK/Aa4vID6qHD2PcnvYbqzQXdHiPmpK1v1g0IOOzjCnhV5At
vbcU+u+qeB4p7fwYy47f172660WG1k1FHDx1o5FV/9XMslFGZT/Zj0KhRtKEahdrW2DL8KZF81sz
aeCQxOt0VOBuO+hGKhF9RS7rQLvgP5WePyyUYo/HKAr8QxiJybhhUBpAXmCfHh3lQcx9uDw+Cp6M
DabKOQ9bm9AotY1AhHxtf64qxe+J3jVmZ6SSFGPb2Hnn2wtr73Nha+DGtCpiEygoe394DTP5TObM
uhNY3NxQEZUATTTfK9MR/kBKcp80eKmpmEvbaGB4eIRSLH5B11AzOFMuyljLvRn0+wTwZHvD4Bjr
5X41JrQJCqCTy/TTEB4bUy3Zpp6bS3DfS7K3ThNehE1ZP1zaUxK2Lkew6q/P/rYZ+8zEvc+OPxVY
X8xb1nreJ/1BpjRcBoR8mX32T/z96haVJmRDRvY5LApL8Nmw5fVHwDlaamaDfzW8bcB4u685q5Qs
CFy8XnjJC6/nRxErHVQfg+zJTu/KJTcEZfhb7Vm38JHmeRgobVF9vXG2UmzXWy6HVveC2H/wcoyD
AJ/iholpbklAOeVY0moE0pl6a/nNVSl7xJBJ+7Xe1z2oVlQrszjYh9j6aoz2S5a1jYOJ80d/dPg/
fpGIX4ggTitEfpZhmKY7nY+3F1Y6noq9yGv0Ik8vq2GSTRP0AZLnk5DALkAGq+mBSR9Q1adviSSI
5vwN+EOStzMAispha+QeNJMtrhfDFhmH8+lvUuTWVqGI7GRVhleUaeY2EROr8zG1giATFf36eO6/
O2XZ3wX+vGHXh225P0oceSZm7jIxKpNJuRQFMJEM5dW0SCK550p6ZZctpLMLeWyrzZ3dAsWPuYuL
evBWX6HVJbcyI/aWqeRDL+6HjQLJQONqXalLgolxhRvLhHp7b6YCf/ILPyd7tssRFcvhWILSkdrX
PIhgGbXgIaSITFGbRkRHVklwxYltuRgw4q+aLQvtWLcK0DHQ1JAr85KDwphIqUb0GFuRyBSmyP+K
F+D6/xKRFRw6LbbezbH47Mbml4zj17sUGXVYBmQxMjqTDzJRqCKhCMi1ycWXFys96JabqJ6Li/k4
83QCpDS0T2KNi9UN95mK/raAvUGRTuDkeraMSAPoYsIkZ1E3auppyrbYvbu05Efo6QeThBmt2qVI
9Mb2iEVNK/OKqoisXZ3fU7P6TuXmUT2HJ/GHsNItGcshMP8I46I80zpi0D17q4XiDTInjRQnF6bK
/8rQ3lPbd4GYheHbuYCMQct1LTtXPK/1xrbH+1TV59Kayrbu51stHcQ5Tibnn+/45ieOoET9vcxV
XdUUw+xWO4EcLV1wt88YfnpBQxm2MloRQdnZIZ+wnY/KglhWDfGkbopIh/alTL8+jGOKyDdojhAi
F7B92b7uRavTidfsYn8+QZHVMT4mCyTg9BJp64kXmi6mBDtHhgetgpW9eTVg/pvrAR9qK7P2kgyk
eLCvRPn8+fooEK9hMZlk8f8Ob+0KlElRWzjOIIjMeX0gdl2vAdXWCFOjEBi8gGfzA7Gp5/k+99eS
LvuwfzlxlXbFPtu7xxXOaT/Ze7++cw0OFzDyXbNbxmfU4i+Fjm4obR17lX6qFhuSm+nmHifrh0df
/g3QzOUHw3/UGfGC7PufY9+GEf4o4qYP6EECqoSGt0NWc8v4gAFfrxREBG0EMPhRwsFVFlD4Bnst
2ZbiinlY9RG/fJUdUR50DrRRwd8yHFH1+vDHHiEzycshkqT9YRyFFTHSG+YmU1Z+44e3wg/+Z0+q
4Bs2Xsu5dd3l9oWlV0wPId7dLW5wpzu0jOttZzMucDjyCDl3uhs/Y+Sm8HpDFTLpwYzDB+GDN7YQ
YRM8m5amFKkREtbBf7GdILkua7F7oDHu4Sd5He8BtCEpcSJTtSVOSRy3pY/s07RI4rTYwKeFcGtm
L/wnYmMjLaOwN23JeN5ZjLyOcFyLHzxv63UL8MtulFkOOQ+B/8T9TXeEQ6AWvERnsDKNf64oPKdd
dnjXHMBcn6WmfPLbXTErkTkT2DVqWxMcklQ3tFUUsZNr75QZvpnMPB6C8/p9moS5703sHrrW3ITZ
BUEnO5quWsqNCI/RBOyO+Jfp4h08zS3X7zef/28thq6t0alvtee6FeD/cJ4sIjlXiKjy2guzjcsj
n4J6m74CkJ5h3nx8VUIdNYhluV859SjGydYiwDhCg8SsWDX4wkFJUiFx0+GTs3kxby+Q3Gxs+53m
ugp2gSFTWboiW2zmb//HyXtJhkb39IGE0lfcuzwSWy71ScGpnY3AC8TrPEojT3PJxMprHO6fPL5x
V1FFE7qmC2XH62VEH7KuTt/CRfIm75t2sIbB3UHP8SDxB4p2/slwTQyNhGwZ485oFTV2D5yZw89i
d8byJzGz5r/aOBFBHFJ28HYMlFFftzvZqfrfGFlKBPq+wkO1fua9JxzKm0NR969mTx1W0RpJmF2t
exa1ZCH+ZbAFoLWwoqUwfj53I5RhK+kT83cmN89ESbsxupkpS4aMw+Hy97duzv1O5d6OE/V0BlDg
mO+LG0f00+iyyP2YFZhbh+I2KxreuYNjgzV4tlkYm0odR9vmC4mp1AJ/l5DjE2ZraDjnj2YPMt8l
cF9xClgiOLtBCnE5ru6jREhSl6J4pnvs/0J9CY5ccjLwvsZ5+Ig9hguqwc7yxZUS5xme+l90ssEs
VY7k24fFhuAyVFIK8thoLaopjqq+qoaqAQvKpeH94+7HkkOPtyCEUIUuDATbFNrZ6EuHFp0NLVgk
ruHbWQr+OVXCobjiUivrMwHAaSuB7GxqNqfa3m9lkbO0PM7PtY3heqMZaNJraEa98Ljil7NRxOOW
psqc1Bn0BjSwIJUQJyqDzlv9qTP6TXTKtKrLXc0fBAn8B5isupXqLbzTP54AnkzMMlacV2WXPPyX
bIKOkutI7i68isWelMaT6fSmsIrj/61fCyZXrCJtWHavXLX8FqXC2QcMisJ02SM8sqZ+1ajn0faC
ZMXt6olM7tdWx882pI1RvuJpSEKwBGHxskZCWaEwPfZtmEzvJ9S7AXU1IBeZfEvC+h3j8PSxwafK
2Bhldf/a3Efoktg3HndmwG7BhfXDmv4es0+yQaMq5dpZ3uUq0wgb6jD5QAv8e7GOhMx2AFXVDbQS
QldAQdIp7KU8UpPVOWQb96SeYrNsZmOP5Btoi4mkasGTwrb8bEtYCSClDAY6coHW5h4/oxpZnpO4
P6x1Y6msWmAJxvk39UJlXLFwTGmOKgWk5RStHMrc6Z6tZMwko6xTRIQEBGMU6KOYP7ol7KqVBA2k
f5zWbJtmxnsWtm8Pzoax06IGBa/vLSDNrk8yECB9lDf6ntxAqLePMhF355XKSxialhZcfHQ7+xAj
mSowXvl7kwvk1u+BM9/yjtI9hbJ7ef7JEe0LUHBrhxrnA/laE4T3P9g1+/rCL1wyeMbhZm6jrGBv
SY4pE4mtC/7YN4IANqsB9ml5Ik5IgA9BOjdgHDi1HS6l6Qt0mC/kjlm037op+1TgFWl9tpIEnQJ2
5RpTA6o0U2jsK7T1V5C0LYQ3thjxkCAzEYGtHPG3QCHo+KVrISfWv9g6As1eAviOAXT7U/iMQV7d
8Rsps7Ve/UVsnJTodbSAB4Ru+I1BobwuCg7sroHldW0jgMKfPkGGDJRwsH0D8fB/+H7h1cJZGCR0
MxQmopHGMS+fzgQULbJ77eVPxsOfkjM7EI4ip+yat1UVw6cJxpQzb9Odqhi9Uer4gzLktE9CXVZ2
G4/ybDETYlnBcrJfLyum6m1geacm5vmJXoKmzZZyln+q2QFDq06hO8fjCvcjeP+s1LXngAPPcXQv
aR9r/OAq0YAqScDieA/0BQvUro2qFJ26rgSFI+xBQjO9C8on9+xG+g3xvTrUucrs2H8zcPQ/NYy9
T61QWHRqwXg4gNMhPXOHITrbgh3ivxSidODjT05zCyl4dc/KKgjM1YsnuDVtPqgAIXyvd6JHb9r1
dw904ciM/8CdYsAONTWiXegxTDaZJ4vBtMa1E1IySO7tw7f2aqEJJTy+TAOmqbzM2D3wYA/MdQ83
ypDqeKtS+8IyQnaBA7eFJaK+OmmnutLpyM5akTS9PaQM1z9IV8iNm5oSOyxi8B5Q9FWBqECiOhX4
J1WLE6mxluZP6FxhnswnXfR8gS4EDKzlueNq4S9lo2p6H6HvvqFsUmiEqDGkM6oMdAJLdKErDrbf
4goel0NzW47psR3dzri6ZOFgeK3FlZBe0A4cFLiJr3174kdEEIhZcJ5ySc1/PszliplesWRqMS5c
7OsqCZM7rIOpxq1jz79rGTWZAsUunWDR8SNGZJ/JS0lrBpXVuettOoOioNRYhhv5AHvPsO9wVQV1
uM7Wc3A5nELWgAYjYcXFDSdq5n2Bv7aBZl2VerFtkIgxP7VxcQckXRjxbWu6YB6uKdO9OAamWYLk
fIYMxt4wDvELKa0hx06C6dTb2WveprLY9+OooDpv5otXqC4pmyk6zfEvrFRvq2LcaWB0yUbOasgR
6dEc5KNik3w++4GjhSE/HMwaTouzCOqoxTG6oUdj2xo1pPzCOv/u5lGWlaUxdaWQkGhmMn8vwTiF
nR3hCY1dE+4r9M9urmDP636rw0OdmjW8P1nTdorIkyRPao+3K36ppIW75rcliz4NZhwWwyUKPmxU
SnkuEu24hlwnukdKRBFW5Us+4PRe0PP8BQUtjLF9ePEnrzFqAw5dgS73vg5CTGMwaIlvEn7ZHMaE
cq5OXxHW+9n2XKncBxvcnqUVVo4OBp64ZWlHfFdhmurxYVtIy9bNP/dYFbhNnOPtvjPV6IuSIjjI
FCHj2izrNgHgbefzEZkLGHWx3fmzEMAqcIX9KkL46fSsuniN8BZphXsgpyTdiDgmJhQDX6y4EJCW
N7gP0oPXoUK+AEUnexKiBr2KPh1+0P7o6qED1R3SBhV5yh4q7NX555xt+7WtZ6bn1lclkCWet7d2
2tTEIJ025/6Te1x4mC6q8rOM8eRdD0o6uwwW2KO2AMHqXfcJ5mxKa7/0l9Avo7A9bgITxqGL8yDA
cDeO46J81o46djcCaHa30Hcj+Ktzs8COnxkaBIhmWRBjsGXk1P/fZxALxFnfhSa+JyFbdFK62LD2
9qHQ5Qd0CXH8pV9J83SkfCzgSWy2/794XpOtVb1bxLR9fdqiUfgEjlRZrvs7XXcNtf9ckGvW+OgU
4AFX//ieHEQaEJuMtZOKbS00XRcql7cq9IUs1u/BSbuPeCdara61JRHZSmtK3YsQ6ajMguufpfsp
v43GdpLgaVC5JLL/ZMe8ji4oEQXW3IM3xD1pQunVbeXBS98FLYyn46HyDm5edjdVAGDFAfq2uPdu
iSTy71TwvcRq/Yb5vHAPyuORcdwsb18rz0xD/IAepgvlwGaR3SkZWb1xX14ILNz46tdjXSW0xZPW
TqWfnF+dD7HDP2Ui+k+G5YAewp5osD6GpI3U7W46+W19qPBeICo5AMhHXiGatkJ+VZicIgT+Ln+B
jTxbltGX0QyaO50FJkCqo+79iHkgCPmnxPP9E3WFCFmS5B8wLVBUuhc9+3c7JYp3R4uz+d64PT8h
rx8msetsGUgVGeQkD7GXlmKxbbZaSmqpl957NHFz/TsBgEnsmqHu4ksUyJ0V/eBKfMjaari+pSOK
csehHSDoBTv98DnwhPanoKv9mR/GhjfVBih8qt4DYB+9oGiKSGwA+waQippS0qR+m/63exGgpzK5
rt1NsGbGRAso/LunGZ8+8i4UXlnW5Df+Lto1Q+QB5V7E/15UHK4Eamywidnf7krBn5PlPhTi8KKg
arTiRVtEmxFYfPhpe/cQ750/YvFgKT3ynmKAOKfKYm11SJMyozMMzMoR5Dow0Cq6qvDYwQqPzwHW
FGuSrHquL4u7fKKxdJfBby5UZ/9ygMMMiIhwGqcdbJArVbW1uXNtcMFhGTmjf2QsAMRv3pTdSeg/
9oqaqFK47zME00+Ydx/515/xXCJaioI0Dhg0wi+RpxQuK8TwGb9CWmkuTNyAaApoEOXTl6M0HVbG
h3Qh23UiE0fgcDOVLFk/sOFiZoMxu16dMW4GoOA7FVacno/AVdOUrjQ5G7fk814ZqUs1gbJz+qjg
LsrKk7hY38C1iKDtyjWJoWmSk8IiGEtxczFFJxjuq2NPX/PcjGRQxsD7KWgTdjmOwvOvuLdC7Q02
fLjzk0grfwJvd3UuRspkwa3UA7dxBvluVtsDXPSKee2webVRVlk0C+N3Xv9Nef7dvVa1Y6CdLphf
IonLcrs6dX3860r6lGizzRw8YJSPt/lf5uRj2Q5IwSP5HHU6AYFRHwnBCkR9c2C557+j9vPxAstF
5WilIMosQBPXzu70ztGoGEy6tupzVbeWh6yrSVCmk9+JXNCnh1RIUyZdBvjFK1LLGjn1BqSmZa+v
+sIdCCw4nm0kDDzLIiP41oGevzfUfxZZki24wzxu8aysxjR0iWq5y24tT5tD4i6j2c7Yy31cXd6G
qZ+BGV9NpXEUq8vkr2uIKxrtMtI/zPniz/VfkS4OPidnQE/agDy98KsTouwvYAmpb2zXzPGEPqhd
NGgBmOjQrY6YsoRkLSFMEwIUX1bhsGp5oIUTF5Lgh8ySKVEsbMpKe/UkmEzi3HiDVYcNgOmrJgZb
BWbrfX+v7n2GRVznVWIvHHAfsv7r5Ne/F5uUF4XZdysjvI7KZ5a0UxXs5mgK/xg5Ma6CNR2UuXT3
kNpTMmavBKQrHd5Cp05ukGyjSzvZHzuv+lLJvsQ9KKH2hJYetQwNKWwuS+moNXd5uvtAuZhb4E1Q
TScFejNBBg1Il5Hp6tQxRz4lnUHUZJJrQgIyUCF18j/RI+h8svnfBOHd1VJaJIqiXq5cVaB5/U6g
4/hCFJNiZq+2VrIYYoYMhVfsGEXwIBNgp3+RxkDcMfAMP8UTPPOEW3YDpp6mxO/hvcei3qqrPaJk
kPBhbmxE4JdnLmyFm71f87sXs3scU/QFdmE/LpK/yV3ODYciZBpVxKDPFkWPY4QK3kxeEwNNNxnr
Y8ikT8sdhlcFfh89oTdF9YSjqTYpJUCyBpS0Av6t3wyOUdl/TlNtAoWpeUc/rtDbhGMH/rzjMZI/
SCRK4l2dlvYgjYj3LXIHnoR2qhw4BAUUW8APVmTo1ZR+5WsEgTKw12ezhE0va37z4dQoQcPpivIh
YCkFLnJmEb+m8K8DqwJ4SCR8SwrMoGBrLTLJmiBgFrCj5Y2ze1kdl3Qrfy5jTcfg5y2LtsoCtvq4
XDNfqifaktls1E5OdEupx+CK9hurEVTVx12Ky7RKapOetiTLpQmkHGADeZGsyno//QAkxnqxJNq0
rrJOBay/hoAMJ41lpolwGJGMVDZkn9JCLcMyYqDsolQfKAtjEDWnUXVjvlsN5jFkO510qkjMY+dZ
2olmYe6ex1u014372tIL/GlrZaTkUtMZXQFJZ2BBRRZ9lMXbB9f+9+fMBothzR1sz7FHto3HmTlU
hK4upg8lHT1iGYcmVB7q6G1BaHRyjzVOudjGv0Xtvi62Arx5dde9ytpp7gz3pJG2lkb0rTV9cQvX
hGV7oZ2Lg5sjVIC4/liffMA/btiG1SQrWO/pdMbc/3L07Hg+cIiWONpYTG6CGymhgINUBDBKMOW7
0u8+JuLEIl4Mem9DL6PLpwPVcKgHcDJYAqt1t2zq1eu4CLlmiYOKh7Zjvy20bOWFMNaoDIzv2AQA
T/O3xcKo8xgbAclANXce86oJ3eD4zdNt8qQDcDSb8UhDBEUToI6zG1aMOpGsyJHFNGXD2yFqENQ0
D7QGBhHgO441piHo086EkUrAyQhBtvqcNRr5o6gJMHZsexhy0Q9qmcLNiWZ9ZhzGQsbn6kKkD6nb
CVYO2RrQLIETNkyHToeh9rv927puyWaWj5hmEzRci5CL37TKDScsLRk20CxAlpgKRBtHchMrLNYc
4AiIWxeRCb55lUslfrzKI/2teOG4P2hBlRH6RX1y6k1RH2d73CmYjsyGh9/S4snyp2vCEwcXxmae
bNz93dB8fAdvbOHN5c1Ank6CbHE1hnEgFOJQ2YtGbuxjAAExxNj20lPUWIG7BIIYd07dK+5yGBVI
osQag+dN/mGSC8/nyYJct974btzBak6tpQv5H5Wu2bpfpTqalZWbbDPH7a282IqcXENTLFrF4je0
D+il2+5fvVd/ogubn/s+yQWG7pc8G1X1b8J+YP+9F0mayef5oCoG0GuoP/X7HrgoMlT8u5ZCcqpY
JastEPygEdHwj/JM5T5A/w900IJxRE7kfliaNCYkXPYOTTr6BqdrjrYK6TQrB6Pzn25/I2lPlvym
J/aZK4wlXbJrcCJUXwHz8Umf2bM0Aqe8j4hX2Nhskn9IEfZ4miK/Vx/aeXs6bzFmmJDjk3wfJ0L7
8VZDfNdyGoRt81jBskywjpXBDODTZmhVJPQnizAQuOmIoi5iqQ9F6qH5G0Vk2QfbXv4G+2z/lcn8
rdenA6pxM2q6MyoXeCie6SRrYCdVTUReEvzO2cSrSpXEahWwnb2+5/Viv0OF3OMW850gMrlP4y8e
pPJoQBQm9SxlKXzLgm9hjVx9rGKF+n1uvnEgN7tWeXN4XtlLKrZ3eLfDV6mU7rE1F2lVvKGMg+w/
V0/ULJjKgcaIselKZVeuVSamzERs/VI083h2wUz433P+EIg4GtcKyfuZ0ULzSe1oVmXRfFbqH2Lr
476+2sP3Cu4MKH5uHElxbDTh/F0vQ/FxN0g1zA0gKa3O2RhEfZD0JhpTL2MlYC2eTHRvRFttjtXq
Kpttlsh5ts4dWv3CISjNbnXITi9sBP/cyGb39p67ds3xlq/8QtMQoXPpDGaAodRsj1u0HyTXrl0c
ZmwmF+uI737lZvVqJi3sbhznjwoX3SuKQmNnCRGgFxy1QjxfogbzSZrzA/mH8SZe1xpnpL/TrYLq
icKHdlEJP5jb0PaIgZLhhl/adJgS5qBIKSicS7ptXBBtkzXaLmfrElbq7hk+3oNgGUamBFhNZ6lY
dhn+s4ZjGXsraQttfc1BIaa4Asr4CjxO+80x8Hd1GfKiSgC9c4mnI4xrAyQCQHEVKhXZCg1Q2oxv
U2Av6Xial/+7nY6jdqZyvvTwRXfJ6V//xjj72lPzuZ85nrt/qBN+pFW9Jz+lvQmWuxpFTXX+vHHB
xnySW4xGcrJiYs2HR61RE5tSAIenWzWcQcMnwMASmz2to+TjOzlyPyVuOhTpbZGFZPAx43fhn5NA
ZVV5mg1xvnFleIF3H8MFz7yXxSaw6RVUARPMcLkcrV2r1u0UGCo+ImFo7oBm1ABacQbI4Pf5fHK+
f5scsPZvtSfQzSz3FNJldlcYdC2K0PVmjJl3fP5TWyCas1YqFqipWfnqO/ATUCepqsCKPZyfI2UZ
s6LwbQrZHaBAhyHDKwfgFbF8nTCxzDFz7P3Y8kfoxRpfZxhDAnHWnVgo+sYVAy5I9cSAnk2M1mav
an/BmGstV2XabNLhsq1C+dUsU1deV2VsIrnDQ52bSijcozTLgmnq/eDi2tqb9dSnW3gBLsS7lO4L
4bvw1EsFVbSUSpXo4/WQBnnHZlwAJuHY0CuMyreUKJX5DwgtWYZMdnFATxwNuy8k3n6QROT36d/c
AJjK5HGXQFZPBLuGXOgxPFQVcQRIkBZzSbgug96qylP/wDvJsE49GuHNvNO/9sJ7Hrb8yScupF2r
J820N4An4IjXIzcPXdNwgO5lC1WtwjBGhOMvll931glUIPvldguWJJHDFWlq7AORqBGb/0hacuZX
oJyThQ+94C8TfG4G/oEN+ktG1hI83o0bkmBputNAUQWiBUIZdZriPLwp/HSlqvzyARO7VHoEiksT
fPgX1fNWKOKDEii9b50xHRH/6UBB70jKyBWaQJ4l+0+arsJGjhlSsHP5GrfpmNBCmK11QeDAhFq/
YY7w72C3HMgbeVcSxSvEQEsteEJmg3P282IimOAoUS6lk2DGQ+gMO7IM5dol/UPVKjwdYjFe4S3l
CTl/anJ0XJXukNK62PokG3BV+3YguLvfeAwvdtiEKNy3Zm8HUkN3lIZV7fbaHNMLmj4kqMzylfdU
HxP/8dNEzUnRrSW8MNN030f9DcCIQTYUMC3Ry0gcyBpplMflJ1j1zfGWmfpEKF/oDeBcstJzF8t7
emE55cyr7hjhP9IPTPJpb8AUzfOTONNcordB4zP5a5vLlvnEetwhUhTDjKTdMyJngrVgBgQq1TL7
S6C7RENZUX/hFMvvjuWEH9Em0gfBBDwlc2BmsniVTgMVIH90s2tY6WMNfmuLR1e8s5TWeBrRzMSg
NWS9Bohj3ABaEjS8Ja5dAh3OQnDqIsAEj8I7F+Om1AFQB6Z50OKYYot+OlGbOu+RlmgGFAIz6Y6d
iRsLkBmFh2DQq6tGvn08OPR9pbthA+I1AIeuOkvgG1IJNj7cnyBGBhGyRTqIAdhrc9QWKLC8SPDM
z2S9W3U1eSSVAxk8f+EyomMRlNI5+1noRosRs5MOYQWENHHqmLAvzu4LjuzSmkJFZ1Hoj1MMDt9p
C5MG1XN8hortAl8cuzBwDuA3wtvAo5PK8FB3OKwKiYhX3/hO0RF1oDnZ60/pCFbXmibjb5HwAk2I
XcrwuQA6xj+ZdLYnE+Y/uCRPPfDnQz5GGm3Z/lw/JciY45W9ig76IKJFI9moalhrwJJTfsDvuTzJ
ujO3JV+XrK5FlNTy7jt09xw9b1llCll3V9K238oYRar6PjGBNoZGYqRI6OBie+ULM+0wQqQDiVdL
IFDBHPsAJZQxFgPvMVE4h5qaA97gSX6ZfelV+lKhLCLD8QFiVG1Q/lfzB6XPvagqRYTwpFsumiYa
JVbHfNeUiZC+0QWmSnnTeWzuJEZspX6xyAgwgWLJZIy1lpSSWEjd48msd/kUESc6DNCJmuTZ4dCv
NTAGYW/M9kQzSwNNWl/opUjQCu1BEQ3TDlPrxGQAL3qw8KpV/ErPZvFcckFT6Np78Kn3LMt8Bf4v
SvcN7ek35XxfTSNpGxgE3iK8uddjCP8B/EC9dEb0eL6HhAB4pJXpufeyo1ZXTcIFbhJAdDxQ8q6R
gFH+380fRoNPCGGAmhhAK/jEDNJT+zkqb+CLpNnltlLnL4JCp8iUkFC2EoH0BNT7hxT5fcg6Wjs1
4nUZ12/jem+nIQALlvv88zOnoQrhDKhtq0oA8LQ5dNilSsZMWA0sE5yUereg0m0XnpO6eLIZ5q65
9eOhoinH4inHCarBDFThZM8C36O16kMUxD8M+K/XVEZsr6xOOKeYgHMgXQieEF/vKX3fLYJL6mg1
8UDlq8VxpsYXRYQcam6yNPao+57x1wet5+Nn+0YtLIMVzbcg0wgbeTPLetnpgRK27mFsmFYcsT2/
RlYb8UBkUI2ldvO/5YxpoqRC1mWxHHo/XzGOBtuDAf3TfgcyEHYFz+pM882uodsw3Eoa5Y/xirjt
nWymqfBw9Q57pDwZos6BInfX2ShMTABFmqGH3MBAW6UmNiPcV4cigcUGDskHi8euQwwz/Et7rlzz
QNopwSmHZ6xQ6CEJUzHGflSv7KE4NGYYxMVQrjlco3jr2xdqZ2EcU1YT4dpcwwcQb9fqoU0+umXq
qOAn+yRpM4krpkDKEABbUNwjfbhdjaWed55P8imQC4zUk4NVHICovRJHx6euwgZqKj35QmrYZdLz
GCahtG7BEP6yO5vRqNFQ+iQjnZlCdBDzoPfQVSJqUFRFQpDcndufb6kbsH63m30Fv1VESEo7ms+S
qgX1WfrVQ/EW5nxlco4kt1LGcPpOaasmv5FduD18FKtI7n4aANkUKdSH8TqhZHNr5BtsKj8XxduB
qH6OrhHgHm0TNWu4B3aj94YB79jwnod4ZFctNsateXWqyvjMquUPTr/F6bYXDltgsOvFfG87x01G
ztW009OB4D/4vMieuBJsp8QOVyzaBcA6ZswHSsV+45AF9wTw5D9fgq3tm6uXl/aS1hOBZxZZc4C9
2X77RIqCf95jK+gwElBKkDAwfelDN9LWKWJubwB3NTBO6Tynj6PVDdf1vik1g2dy657rXslzqzxR
LtcRbaDaZ61RU0k4Qxvf9K9RIIvvROLglC2vjIHTXv2n+J3rihzfjFeBEwkWs5E/A8z12U1B5Okg
aQ93ReH34eqHhilVVlsEpbxBbLzDTfQnkt/Gm82KZCQsZIm8MwBjCusmPCIfRtdJSb9BqpXGqvBV
xunF7ASD0+1WG+jDyIG0mLcbEauhxE862hLvzKi0CNG+nusOGHh+a3ATsdl92jsqT7D0mE/2wemn
TLcy7D1EeBHhqwv+bkEreOQeEVrz9G500MZypdAxb/IaC51wdjXsNpxRX60cTvsAiwN28yiNHTss
bQAvcqDIof4I6xmwXhrXZPNILl/kYJUl6WMfJocq5pRyAWvV5rWjfhDAriwp14eQ+6tlI/5febF5
ALVu6+mfAEuk6iviC5461gwbmjR2YlSnR2EFHTf8Tj1O0jGb7siMu+PbmS99BAQi0MGCiOZKGhe6
vJ75y57xqf+j/60PIdhoCn9zVmxoS/hAuv7xlJGG6K9jqVgmx5T8/G23Lx/UEthDnOlils9Wzqhg
KrnhEZbzVCnQJp373fbZbmAlKAxA6/AvvUQPi6vE3yVyFcsy3qrXmZ2eyT2JNbyaTWXOjkOXhEJs
F4Fz+/VqWd3QkIIqUsTlnz+XyGBkYcRhNuQyPs4zyV8iC9frYff5I9V1D/6nA/bdPA3qAsnGfF7a
rTCxaGsGhZEj/DUToBXr/rwS83Dl2y+E7gmWnpUP16cw3BZ/XF6wbXnLDMYNmC5fbT0HMJQBZLAX
iyGD+MvNnhWMdvlPzvSMOaZyQ8tSsqyZKwWe7CizK3ofYfvTsCA/82gh1daPx3xNTtZaq3OipmoC
xKKA6uGuRMvUp8gD7zrEf8xEBG6CEoYoL4RxWoLKBQx48P5+LxF5xtiPhtSTtYXNwfBb+YIlNBhr
1Aa2TEDHP4fwvS6t23kevXtFgF1OIgVchZa3j++Lj/LXhbxccc/TSlNzCqvlsHLywFudEo7xbb4l
yuhqC3plH3wHxdUn4OMMiJKtjE4wUrz4fo5Mxcgeu9bBQT8X+ZmkQ+ytgxxyvKkuFOgR4hfgfgiG
Vsqok1e5Hwygw3kV6P+9/QTN0i27L07SjE5yx2HEbR40sZ63pN0qzPDseCfXu1UqJayHQvXWK//8
Qy+FghNdB9+/wBKwswI8Xw0HZIIGOGBLnsRvr8dZf+LafCdtHOErXTN+dw7RdJEAJvtoZJeavYWr
NCNugq0ork4mbshAgXxHhgyYNExBv5s3+7BgQ4BswJe4AHKNMA+8koJ2UHMXFcaPIkDQjxKz1loB
EgSt4gy5K3QFegdXx76E8gWFFsl1ruWz9XChqoYuQBDyx1s/m/YNMod/p6VMh7CCY/pwhURKzJB8
lEfWlnnh1MLUpDQLtWr3EVVEWcJV91F/DAKxLnUf9OT8CYpVD3H5ichhYlxaO1+PqywGvFmmIrVl
rcFN0823g0tYzWf7IxlgLJuMfoYuD1WP5GXqJFWRhBpdox5GgGVp04GPyWJetz64Qvi9ziCDSoHJ
11Nv9l+JXQBe5dne1k0bl5A5vQBexX3vtI3Qv7wdQegs/62kWyTym3ahHIhkBf6oP+9PHNCfLd5b
QaFq07fVQegQ9m6lEbA5VDUa/rw3csM1aSs04l0YWw6UkKj1Aj5JAt92+BgW8Y5dfTW3+CNh+dqf
mxa67ddV9H1XIpk1RWkH07rAdz/AFKytW4FIsPbMVGiELc9FBLuuz54LAUGVvtWPlhdyCgrCykV0
zgV07k8Ix8GKZG36UP5OMmUVoHbprGLDN9MoSUt0dw907I9bkAxX14sO6iJFvhLeCnmKP3pFvheh
MDR9LGQ9rOHSr1vjY6QPK+z2LfxEicS8gf5sCcaE2X7OdAz4qLOO8VLsGPv32EbFbUNrd+AOUZg4
OQnfkb31AIn6eL915tKHTf0nlWtu4PEL2tsI1FRXduPgCgJNcsPViRXwlDfLxJmQ59uDDnbnAmmE
M3PmB7fmPBCId/NykGkHONU+KZkSqMLzECqWBwvbdxBzfyL1cI9WkOXCaEYp0uEUQ76ChcvMOmo1
UuLJNDHF39wlZXhlMKKIsdZni9v6mRJwjNV+8dPahsu+0OpYeicKL0qkLsK5koEze40R06TzcG/E
zoC2Xe7DxEU+xegH/QX+YRllXjwxidx8FNqmHPtjVtaEFoseTRkAZVS05rsT+H9kF0xUF+Icgj0r
hiWQS1Abf1GYT/Xe4p+AtiIfgz4aOzSb5miFZH2V+eRZLMqX89ABgXAFTtV3kRUZD1ozFhFKyM6J
VTw3XCJdDPftoE4L5cxIaBsuCtgiWJ48O/chutr2Eyg2d9tVuCi5JI2XdXMR/EXsGAxNI2ujtWk8
hcjvAXRTkljTK3C5BqARJutuFwG+ek4iBoHy+c5d11UWf+DY0Ievw63XffMcCJVbr9FH7s0gcNy+
MWEWJM6RSY1yPT/djDWJtxck8EyooWFxT43MsXLLOC2F7a3hbyn/8v1nwHsb8GoTR6DKXRCfP/NW
4/+vv340zx9PQ6R8t0pc776Sd4C+2y6+gqoD/etfiuNifwSUbFKI9IHd2RAsyOG0HBh4WFMGzHRs
/sTwV7xYIfTPbN/91yqKgIrYF6xbMqxan/hBFxv9w1vxKGFFLOpNgOYccqMKTSCLiEeTj5FoQnZK
Icj5fspi3vj4OJWzVSPZJZWkHj+Yl25vlMd7Cwy4dPkzrzM7A8ouKj4BvqLoyJ+aPe3lC35ZHpVO
CIJ3tB00avV6/XKB6CgIvM/8/gysT1gLWyJPnmlLBBIrClM9WVT7Ez+dg3cdBnALluYqYEF+MPI6
je3RpZEwEkhx+5RbhnjSAiLiwLoZ9HM5l88HdZ5HXeY4QfpEC3k6KKt3nWKvvWca9NeiNrlBg8C6
rvpR1OJqU368XyLtOAEUjgtqJwPhm1i3IdUZ/FlshWc/1Pcot/KS7PKgdvWu4WAZqbGUGDsXY3ce
U36uPmgfQsQUvxOkSyPU4cfwfWV4q1juZZYFyy5i9J1WVhNVBkXor1juATNLXt5wREPL4HoQlKRC
MSXHwypN1MhTVTvpAdTQgk6rs3Ni9MgNfY+QQU7iuknksBTlOxPh0AYG9LQGhRC3E4EA2K/0c4Kw
E/HFVCw0G5mTO4T0Iid0mofjo20QzAusGHOfVaWx2IkQCXckQNl02jpp/9n9MLy7xJgaP3bAAS4V
tEuiJF3G0Uj8M3sZaNGLkQvYhMvZ8nuzhp4tNrXnvLX9Rh504I9vvp638WtTaQwKaGhVgvgbMUCj
tna9r+x5/rAh/5TDC6iQnCiV/FmnYf0nykmyHnPkN/MkGI3/xW2evJbzOZaJ8F8agyR6M062aGB0
HQ/pAq9cPaaHlrgbXIqUjopHKMOIvT7L69fJxmdSqgtOuuW3vq8Hr4vaYUBmWrmFqrTQp7pXFItR
6WL/UKfdgYEadxbe/HTu5lv0jdy2gdjsz5Ca07UFvk5w2aHYjEcOWIMGi4LSE0oGRd829PAE8QU3
s2Ry+0IiAeEblYMW1jmzINibrzw67qekh3BNEuU7vcZoTBnUcmi/pxe615CKGHUEBiLPg/v0znhW
g/CF4v0VIDg1vqYiwWr3+whVOodV71+xYgsK55Gii96fTHEbtNsDRH7NSFl+s+4x37lRN4WS+AS9
Dr1utWxckWv2o769VX9ZrYoYGbSF4Q592a+ckmxOYm4cD4HNwL4hph5LspxmDPkViKPSeLHbD6gK
+f+RP3dlUPjVY3TwkSSdGB6VaGMGH2pw7bJDy+V26pxtNDODNeD2YDtPEtThQ5ZrqUFGfMlypwCZ
ACFDDITW1ArpLGEfqDi4W7vlX4HdRAsokEravIef9hXhoFJiQR8j9K3n/cmqVBkQ1YZkiq7PD//K
KNulbUaz2SLCc+731v3KARvRTvu5UIBK1z52ZvYZ9iA7c9KuVG5VKQ1IxNmkTeDdEzz3PZrHW4Cf
og9939ikmKH4iEk7VCuFSsh+RLsYsr4FfEPHu+oPv4Qx5/iswogAWCQdZe82uZXlplieqH3QzHYA
FZv4RuY3CVf/l9hO1GA0sfwx3SjZMR/MskjkeoIgyGgy61gYBdFZQ0sKADkQDNt7p6RgDVc2hK8+
YBBuD2zSvO63ScaP7EjI0uw06/7aYbqR38Et2Vnfb9n1gz8JUr4t+NOt4jN+q5XZcZUXY5Yogdmc
NO9tB27Q9apwfJgfZqPTr9fjupM7YAo7IrpUNIHMwfkDKseYY0vSJwMpYn3XObZYjpXvS9Q/4PZf
+rgWwK7XLM0Z1TsrLE5oAEwezkx3HtLZMqBGMtI3NEB/2sA5hfO616eBDfN2MqBbN9Xn0q6guCP+
p40r+H1BYrOFThUDQiLfbd/vW6C5bSDwM1ZrUHk5VkLRPJkjDekqS0478IT55296gPIFPJzAR6u1
3GaqLqfiiDInLFdOxqJZoym2RYT8K8TKfrW06AY9LJ+BmG9XHNPf4zmdqh+rndqi0T0RiXJ2jvYf
edlJ9bX+0gf0b72vMc6KM4rKQ8lf7+5Xa4aQXfbYFOkqpEkIb4qbUHka8zmRQCJjYUKVKJ0SF6aV
Blyxlh6BJBw/5PsSKuxyvDd+G/5FJFROLwVvE9R6Q9Ljb6m15B+OZ4rbe/l1vAEoKpkppa8bAJbx
Iy5qr+j8FyNz4jd5O22oo0JnCMcCAUsr/sVXun0Dna1OWq5v5aRa7zKItm7osrOQoTlUw4oMOvug
n/u0TzCPonvMWmeFlaGcK+C9LPBpZmF7+3KE1l03YwcOlEuPXD8xu7n/ItCj37aMV/U92tbyYMq8
ZoFmsZSbOY9+A6ReNZ7CEWndKZxm45Span1x4VSAmZ/lqFlwsOrTsElP5BndgFpgz1mfid1Nc5qw
n+6wgsqCvG34WNCZ/AdOx8hHN+PtvubhfbGPa4LMauDkDamuy43c4isaFHLKvN5psIg+HoJd1Im2
sf30wMEqSKCd+vJO4VLY9ix/LJ0HmQPsCrx4EDnuuBbj9Qbb5cOA8IVW+R2JBTBDOFaWoqXW80cY
/CxtlAGZIxM9FP8BllKH8l4IAc/nl9mZWoBytAcQf68WyM1bUSbQSszKE26Z2u1SO48X/Yan4qMG
CpMCbD46DWT1NTyOQdDO0NBdV62oUcHnNY7TfgpifbJ2rN2xclLR0pdT4R6i3KvR6HHV87miOQyU
1JpQlYWiwUYaaIdN8ipC2cf/Sjtr6rw4b/tN9MIOeC9nLlY9kmbXYdZu8lybKa41n42Bjuw+Mrus
8wWnOqFUrY82gJzNtvuyHr/EXMEa8JNHcXL3oMBhL4j68/yaHKBb/H0ipNt6tXk1pEZ6r1aDq3On
92AAS9K23h44Tc7yYr0GqBHFA8NO/RjiOXmbcfvQI4QL/nWojfFu0Up7tFxCPGNCG5+kwneQqI0U
Sde/cDwyNnvEscBDfC0GGm9HAhZR6ZvnOlECivak4YVYBlMtH7eF+syArI8TXN3kMH5jo7+Us7Kz
NQZ0+olXYSBzQSod1qi80fhWHqwblVRQIhcK58MdXfHIskm05Ekow+EtwbX17ZDfjhPFPNyczQQK
hJYSGFs04+N45ZrTnZjtaEshgWtBXCWvYKT+bObCf5tZXv8KYMQsfGYAN2PgR+nw4VFU42/ssYaE
F/7+5Q3pJQVZZDAnNtHL9TBGhCHUmt0gZrXVKRmrdt820zwb7yz1mFAT9Y7sjEqFUMyPiy/mmUJi
EuGm8W8kQntVQfa6T84qOq0HYRkVHuW10PFsC8N72Vn/VRopTU+cCwz921uDYXWFYTwulqTKKbVZ
R9AYcpPyVCcNA9wolKg+u0iDdS7JzzZ1S+eHX5mi+5LbKOxcPHtYmO93+EXgIVXrWOENbrEbKDRy
HEIZbc+EFkhV3krowh84Tyt8MNk+5hQ8FI8KvF/BYHQNQ+pm9zOkX7GpEwK4vzQNXSuJ9+uGkewZ
EnRbW+WgowrT0S0uWQU25+udSOJpt2yPiq6hCCvEL3M5Gr35TtAPOvQCsjMm1YfqCgCvgo5ZHXnQ
jer+HYTBjAZXbO884HcJ+pXop83WQcpDnGhAmS2khw/M64idF+o1Cy3YrfOsvL1OnRzRPY8l6jmP
PGz+vl3bUylocR2Al//rqI4Y5eoC0Xy7NiQNN0hK2GZZkrG0cKlKdfOlMvEQKJFezoLr++WSME6z
aLrjNVMzKxO77383rfc6egEy+cnH1urZIJm6rpjDxnp1kQvWO5/afB5CYRGUZFZGRHqU/4+MDGdT
32mJE1T2P9BdID+ZaOnHaXSKxrDXcVXLfrPsxObJKrXb5nOVdA3Pk4OjNRTbIMILOxKm6LvAWivX
l9rBvlF9WPq6Sb/b89tjxDHll2HKqjz52ggM8XZOnfmUHxdMzYGlJtgWVyFhzh80JJFZJ7nmzvWs
VF+NuiVR4ejqAr3kh448+rSAn/gD5sgwQLK3UXGrI0C9xql6Xk74KeLCyHPzm2Im+7Dy8JyldUSI
87vdDF7qc6YPYFrNCUldnxZHKx51mhCegbxYLDa5SzOgR4rcXgpOnV8pRhnrIQ6bav8QIcjPmyI4
6r/JwiofVC9Ni4Tl9JTBHhUBqlqS1ZSKk8SyCJRyjjrVNlLvSMLyNwzjImAC4matNMxw5ZH/wCN8
zOgNu7vOy8KsxBF59rZ1cr1yHWTmlqIL1ay25ZxLCgiz3JunaOok98iTJiwjJTdgPUpFvDgxnDz4
LRr1eQeZgybevbDqsvBW26tfhaCJDupsQjvoymyOsyhkPcU0dnMZH0tehauQvjpGWbiPJPLRAqxx
/pFD6ugbRDaEaPRi3lXLlWxvgR2zKXPx/ZkBqJlOV1Hva/AeF7uvUQl2PIm5sdj/aZFOlMk8Wby7
rVOJUUkh3VX7ie2J2XptjhfFFIYW5o5ZTfZ79RS8x8LqIbGvtQYoJ2lkggcxHGoJlV9XyCXjqfHs
Uck1k7ch9bb/hWT/lVSmv1AtSJLtq4TwN/kdaAEnxTF8Ex76MYYmzrJHwfWrzFOcKwFfDKrfg8bf
LLxgq22rnVe48AC81K2/8onaUUD0PqvUObOwQHUqwSxZ62/y6r2KVAIK4ZKGz+DzlbIyEwZ9cw23
EfUWAu+0OkqswiqVAvx+TQdXPslfnEh/SAwjif9bdYdMi+lUl1dDeN3NMX5XEFDYbuucdAsKkE1A
Aj+JJ/VfC3PZI62t51ofc1+FWm49ddtvciSBFotVq599NTLKEPL9p/XVy72IBpilSyVkqHbm/Vgk
3tawCUSPgBxjimCigFaOTfQI3vsjj/NZNfQiF/Ygr3HtB+uCMBoAiKgxr9yEzRiU+KGeX53SHjQn
5TAUrmzYAbOcZmpZwuWbtzcQyg2jN2nDFdGeKw0tfIuNksHX5Y3uKYK10XbN6zkL1awlOBv4kAee
TQGpOnVkJOMLyu4vzIV438mBI5un7MlA/MMSK6pGuQgaTMKzaeAuUYNndM7jgPuntby1Hb5biOK6
DcIiYUebM9I9lqaFipnH+Rs4pOjH46fqeZ2cXX9CQqGi782jJpOCebwNw+V/2ASdKaBj8aZHGxjD
xlTZNrKSewlfunAeJmgjIGKNrE8isiP0GS93bVuqkwNTfabCJ3KZZHt2IMbRAWrco5TZufHHgla3
6BelMwvPbxRkagLRqi///ba+OfmrUuOZObA61NuQqVFHGEIXy5A3pESZrJj+mBJo8IN8rNb9e/My
nsE08VB3KIl28SQbAP5iQtCrlGyhh2SJOlFGYO3q+Njj+/rBZ+YLkGL5rqjPhwOOvq6HAYAutosA
P3PlrKXWQThgcR4EVMbjNlCUjkpivu+hxt9rVrF3stPRJrINWwgpIuSN13pJcQU0bNh75Eexfrqa
ejuH+zJzfkExrP25YPhAx/08o2ZopqVhKsFgpiCl/COCIx8NoQ6wLTrtBjKjPIfh3uXgnaPTD50Z
osDjT8IMb6Ws+0ASilQ1Il5oLHQTJEMRgu96CC5al7envQxEJFQYK8UDWvEKtbz5sSpqFNVLhPe3
B3zvPxZC6H/ycJ9uuOAUyUtIYtPvNftatrRQT+RRqDP4QoaRyfeAE6L2tKVtfqflLZvxite80IaO
byrwWYatUyN+4bp7a0UY5nzyZiuKc0AItzBKpFHS2IIuAlz/vHqLgp9neXRohQoIVKbsp4w3pIES
6/LesgqRlbi/gn8cYT7FuCSjJTRvdq7plMALhMNJqXdjDL61VWvrMJWsUMXMrf+JkUH+M8Gpa4tz
jtfDNjU8KJlMEB43Ce6CuKGOYj3lpZhoYsMGra7puOHO+HWBI90DyzJm9eTVDqE/UOObBneU5HRa
uexc8ob349F343zAVY9Du2Lb8vNHvfPA9qEJ6QEv7oUjhc9Khu7mCWM47r1/fSwLhbljZAS4lb/1
V+E/3SU+AKtE8YgCWFmNlWQY0EPvz5jVInCg3XlkIBOKy9Ihi9qMvu0T/Dwu5fFTcPwyggflcySo
4AoL3V2Sv9+7wco1h+th0YQXaDOTmgsXiwXqaTI/vAJ+117P/9ZKxPXxsdKy4oW5CcoDP2FmSfDU
+dAhCfraIOUcQVaVivOQZeWlCPcqQLu8vG1UrCiX4PRlvgRLJfqGRVO0GAUG2vgKnXQRR5KrA/9U
jw9LVjurMXUM+4h7VEVsWEXMIhlHeqzD5/llV0MZSwuHWb2ylahXYrLClBM01bNLwi5Gq0I8WHtH
XB5b+LwXW4YJRNPAnRkLZlq08qpuGTCOfkaI7XddgWmbtRYWJuHx2u5lxqR5+hBl5r1CltQNyPNp
LdScHfimFqedVyEaRR4Ns1HBaqaLxJgUMM/z6oH7+dt5x/Vcf6xiuUpmXkvqv/Ept7ks/cpq4j4g
1Ku6n9XeOoQbmTBvg/IPHuRd4bFJXStfe5olryR/WENwIHS2aX0Nmx5YjT55+ZoP66Qj4n9fhYf/
fgD3GrfUzrB2lQrDZCBdPDwwAy86YDCSm0LZWCiWc/RA25YY5BZHlsbsZU66wB5LqjvoxMUtfBVR
12ozn6DMK+zntMD8HFv8kuiKd0jcHrLqFzNl6IJyOYUonNSLg0zUX6lM/LL3/DLD+LF4qiQ2djhq
1LBrmf9XuDnHqfIQ5hncHsvXI/xRyKOFYALh5lg2tSRcde6qkHdaUKMAzWtEQmTpfslK4WcXpk1T
3ehdYdvV7p9DRTeRrE3bb7FZwlrPXk0JGqOISmpo6UD0NGRt2nd+/7l+/P6HhgV+QG4MHCDB4aa1
CNn5usqR4SZwPazWsRVyGHJfeoUlHYPwqly4hPC9XIHwou+djZ3vtFM0yUeBoXBfFTZxq8scFZv7
Wqk47rABV5BtavyMGJ6VIfhDp80YEi8BWUiWPPZ/IkQuu0kWwpGDl/W1dU48W6+h9ND0oQveMTgx
02TjGgyrYipANzifzP5a9XLu/hlOqzCbcLu1P83UxvIcRjsB/cG/N4G6Gy0m7Fqed1ou6feP4dmS
Y9c7fVbhkOMdQVNfj/9TbxJI2joaT5OKQ8C8P93onpq3W1dDTLKwxlUVI+lvg6pLsvLupQ3N7q4G
IN4tp/ziiNTt/YodjTxc3OinYcOf6pg9L8RD/gXG8cGpHx5wf6SPzJWJYLNHi3oCxCCsOMo+esNh
Rkj4EhzAk4KWO99ihoNPAmyey8RuQciM1cnZi16VrThYhSr7pa0Nt0DIcJw/qvuzMvEP5/tMr44N
HvipHqpHtEOQ0xiigpb4Ksnwv37tS0cbkfSiqzhcMcS7rT/hQQYfwNE6HocGykvtfWsSZDtATLE4
+ORyxtqA/43Kc5sJJbz0z3Q3c7DyPTtecL6iaDn0U3kRM/sAYBw3VIUkVKArc9rpa/mu1wslNr/S
+H0vvOUCklWrjoJy6J31kWAzY8FbQnTARfmlkgjUtfLzLvRQ3pEFelgl6JJFaDBB9xSlocw/0W8B
ac49t7OP4vIn4PEWE6T2Js0Dv8HcXI28ToxECthRb+IsfTdhcCDUWh7AL1PXTeqmCfOBCSyAKyLj
iWdKmyHOflTsp3TZcMBZnwOkLofCqtQiq3xE0D/dEusbCcPrQzoHxBpLdKKLrnN24+Ni/znL6OoS
CKzSiInspObfd+se/cAJx/Z4gQO+QirLfHOXg4P0Gw5MrVvTZSdrIO/lyh9mDMdHTx55NQtU+LSn
3k9BOOlrjfudgx7uagdp1teV/s57n5P6HnuuaDaYw9v69wS19bcQoXBl7jL6bPDirKJy4SgiNe+M
/8yeJ/U0Z4vrikt8UIxg89FBP8DuG8JIxtBBvvlKtrEcmi0M9xdUayU3S8myw+nFimoRI7GIizl0
nJ/TRa3WiabatYYfVdtJd9i9EzuDDk7CATMbdUSvpDHi6WYqEdbSsKJALJKFK+suzY2nUkeAbFt1
M1+Km6UVJtRNcTj45Jwy+fMbU/nc0oOP839srnbQglgBYkb+SjSOIk42AhYcVTuqsQFQQC/0OVC9
UJK/+P7Fzup97OcF8Q512EwkctNXM7fpjLW8Vi0cJFlmSgBmFQ51dnzjB3dHSK17av32zQG6trLa
1Bi8yim/mHwxBt3g5Lr/W58vAUmJ2Yzg+juBqaNfmnjV5ln8Kr8e9wmzQm0z08s2gKc7G844XC2R
aUkC3GybyvBa66SjAMZlzSAFpcGZ8Z57is3wr8g56cBZZfHhKyD7+KOHIkDkPqMCbvbxzZJE5L7P
soaakmlu8i1A3QEex+er4+9WXr8a3MP7TXlJw/BKmUeEq4PWc34yLrW+1vbDxUvMTfqmy+kxW1/c
qKeprrGhnx5FI59CDrCd1GzMDFNLhA4D65NaGnq3aTbdGP4DRNAeC2mX7Ez9KgE9PFIo+pG4quiw
/rkt+fphYrKefpkVuKFKUQ1aSXwpgW8/G9yvR67mu0jeEfwzftWLqYCj7Bb6hGtL9KrthoriPubF
mSSCAVjG2k0N03Pjd3ESt24S2yRV9MgD4/TCSSzbIMjU+wqohCFpDNaxrPXs3MgGhDXO4wZrNdQV
KriHQ/7wIhgDfALVVT8uAtf9IfyQNZf6OXNetkjiqhyNBKHlICI7aqgXSrKl+Uo+/HgpYWxvG3Yg
ziV1jxUaqqlQmChkQ8wczIQeEJdvqMGjTJYvbIO5KQGscuN8kkPNU0ChPOTDBMIUc915D55p3xCa
JuHFvnRct9eDRtgzg+CQtS0mStXL2+JEKaNtZSNc0KmWOnek27hZqKZiN3QCDOrroyr/nGgAwd/S
7kH//LosP31i5tEPIYlJNaCo+/0Nv69X0r96vRHlr2LBmOhlOmuIE/sMeF+5xWePUq5EwNFi2n8H
snUiOyC769FKHkJb5XI62jWXlvBMpvHleEtFdRK8pxQGo6Bh6GuzT9BHFiIFGiW9fK7sPOezdue2
fMT1mEWasBNRyY/uTsdKCE/VOFp61dKU5NTzzs9tCp4rGP4KU6iVQAgGfwdlVmRY3Xz3wAb5EPTt
V/is1ywjSOGFrRxJP0J0EeFQnB3aCD2jw7BzOeZjbyX02pgQn5WBHAp9xLZNP1OTb0KEo7/c01qm
l5ERfsAkBImWaHI6nd1Dc+OUnSQJJ8cHqscn7HE86g1lREPvnMtB8Z36zbHU+wirAIMoqbwoV2kQ
k3kKgCC9MshBIRbUp21ceponjpwIwhNHOtiMbEVgYmd8OLX6LCFW4k3lWDPbQxaZV7XnXsVPDIML
vzLvI6a0wDYB2UwGQBjCBNYFEvCPOdqVRPwQfmeCw/3ZmwqsHK7CbRGPu3rRhFuLcHKfaBjP8yJL
Qb1fiQo9WDmHynIpKblMvFIqReO4Fv5graSnx2fCg+1N5K3sVwkPhrHbxriAt72KNwdaIcC/4Sik
nJ6eFPR2bEjTmzZFO+MXnNIzkYzLO8BFbY8X/Eqyzv8LeTSweCuu59HHZNVyernzxIVsp34/ju9Y
akOioj/UfQtZx5DhjLzGoSwDqbzbCb/TyzHSiO1e5AD4XMBFn29PQS4D598Tn1zDVHYeMGomv69Z
NxsHr9MN7HX0Lf6Om5j/jgsz84f/afG+vFPeXukHYwUr+9WAl6RWJbfFmYFCQ2kztuT/5mkmwFXe
N5uklPys3qBD2pDPhEMsiTm5pWku/WOfoeW4JlNcnkT+YW9yZ2k0RUEdswoUfh1uRkWaA5HeIML7
R9Lwn5j6TgmNGCxHIQIGQRB+wCAbFAxX+NSfSQAmg831lHK8jheZgAR35BmVcMM0ZM44GyTo7Cm/
jBBAGhmw/ih97KEK+mq1GzMjH9Vk6PIEP0uhkRpy3qXWZqBMH8gB5pdlxCQN4n/EBEt15j/s5PYX
Kj34b2oJ17vHgp3ak2Io8ySmEY/3QchT/v0hJMJGo1eTmwT/klO++mJB/THCqDiWQBOku33TWVbe
XsCZ6txc2fHszJ3gXGniHhw6NC8KbF5bV4C1b8Rqt7VXZbbUY6TJ9Gqk9g/Rprb82a0z7ap7ogfc
RcaCNTe6wt9UpkU9yVMrIUGzQHmLB6lG9aY6EMFlbve+OWlBuFC+xGrJ6klvI5ySdU0LpLMsJ1NV
Dwi6j41mWG5URxbS/LvJunMH6uFZew8IU0t0rdnOHRFHPCm2nL+NJwd6DHAXGSRuOnSXns5nICr9
T/LzjxJZ83Sb/ejSf3msn5kgWTsYBkkdoAQQ1uIkbSMweN9xO/wgw4oyTyaBF3TFfz+0JsCRThEw
iJjHhDgamfu45/cTf63UuNkGf+pjFUI4X4iOEF80UXbGXj0bnFlkoAktw7hgftYm8ZmOJv90RXlX
7/cwR1M01guWyY/QDqiWbUNdpnObBtqUZboeAU4C5c7CcdWplUV6cBF5QQWabmGVwefEZOEdu1kI
GVxky1hT3omh69JFZx0pgbTdohrMs0gW8OMvzXrXvEIge7yljxS/u23Nw3dwkCuh5/cBl8x3BUR7
xohLdXb5FwMTH9V8IsUuh7jR7x4UbbMBX6Y2hLLnDMyOU6Y4MICB0HOKxy7FV0Y/UTnmqRwPYQrv
L6965d19gsCPZQnVocXXpHC5BTrB1/Cl3RngRCGELR7bcFWwEkxReIVMxa6U9Dya46WrNnVgUey5
OzGPLazN4jmXyZOkO33gcprDJKJMJoJvxfMnClAoBmb+YhJcG8N0Ma3NqEkTYnfRL8KITBPQltEi
+/jCo44TjVqmllmpJfKzFNx8jws0sYnpWrJd4HGv5mBHul8EWeDKy2x1xue8mGYf//ITyc88Ddj3
F22jkQply1C5hVSPPATfgBoFsNTnTzVBI4/hzMm2Tj//9RQWK8+ekmLVT/fDz3r0zNeWh322usjp
MkwJqbagcbdGw3WVhThUyDjoGJZAbvayxxKtBXpH4adQLhYvpawmlCaVFCRW4O8btBiod2VXcG1h
V2h45P8counSSuZtZ1ffNRljmnIzUl7EqzusPBasdVWyKJTILkF0Gdgs38KuT3VpccUZW2/Ge0R+
yi8sBAM4OEtd3++Z9na71jKc/BAgvalXkZVETyhmbZvfmLdT26HJ+EovOZjK4yShIzwRp6eXDdPr
XnFUGORmJ44gRzz+DlZLY+ASCHXejCKRXCjEiEDa841Rz2FITTOa8vc4JI4xvvylpTYgdUal8Qy/
FvLKJJ41I0/zhwujUZAEe+qcO2b1QxDddSmic/b3EwFSMZHs5bVImvIUZhQDP0cfHssDJNMjEj9L
kEBHFuZhVITbMK3G/neDnuEVd0RbgTEvWQAznpdO2apriLwPeT4cO8dRf1SeuHDmJPHz1PGm4dSg
FNJa5JkBfX5aWovfkjZxfCKqdtC8irt720veCllz0R2hNNMWg1DmKN0c6A/LrtP0Zfl0wUzopb8J
7pcLBAnZAwcBWa+3w2FcHIPfCZ3h6eKTj3UP+pGPUaVtjXbBvji/EHNb8M/Ll1Z0JnFGEaXEZCxR
78XbkHRgSlQK5KHF3KWti+Fj2juqj2e+vr2PPCfSlpGM8vBu6tUQuVyxA1dRVcGj455bQZMH/hp0
xmdQu1JO6xiWmGz5yuIXtYhZng1DZlhsFGJxZCEDkRqAhxRmzEFA6YidiFDkF3GB6TEwBw72pjSk
AQYup0Ybh9PRDf1o4GP1UoJ+H1M/FiLMkh/S9QZQp+rcVbzGtl0YknLWuz48bD0hxLO9jlyAbr9I
YPiCqaFSHqxOIc9xzPxEIX9CeVsR1k1Fse4uunWZuX3RYrWk5a5z8meC/AJD9qnjZ7w/EnZwng6D
QGwKVP6bLfkFP5DqqDUOm2QVsA4u7NoMiyVLrvJojJz8C6xc5lcFva/0F/hvUCZMZnc0CXDMDROq
PSxtcFlzSTSIxmSbzvaLokwG88ONIraNksT6OeY0ZpUnypIfUH+JEl3zGKqPY6b70FSGXkzOCqBM
Uaq7VkY5zLjjDU5N4p5ch2EYU6aJY2c6a8036Nyyw/V8bDJIn3G4kVooVQ64Jb7qTv6SovNH8u8c
aLBSX5V+tdU7W6Cq7i1YQuA9BnUeCPu+OAe9w+IXS96pMVVy+hJ6MjFLx1nHTfD3sNj/OZEbUcsC
tJLcNN0vF+fr8VfcU/IbJeE54j3wfJPzqRKguoxklmpgZ+Z8dKDTUA4ilQgLM0i8YhCgE7WlC9B5
FXu5zXdF3wdJiibzgqSQ6zmJhVSRhg3Zys0ar37vfBBl0O/UU168PofTm6y18Fj0K+ygYyxN+pgF
bMM/0wX3S5+UxEdyUU/dEi6uureZAJOOV02lWOKq3RQ670IIVoEbHknGo5a6Jq+j57FFnEJGO4da
wDAJKvMhyKdDcDddDzNdMYNvUy+BTJpqi6bv4nw9wjVqB7d29ZbDJ+QXCga+nRhDXUCJGea+W6xW
PVn8jqTGio9+IM7LQGoqgiVqhvdTRUUa3hJym5yA7zsT3lqcYmRDrDCtmmn4VadH3TPFI9LJPLXF
kALuGQutpDR82yJCRmuXJTXwPHx9QDWL0ZS/L9mIY57muUZIBzuxw6ZDYMOoKt2oUE0eqZZQpxMC
ScdjOFi/nkBb6bEY8xbRTgC6h4wrEk3ptSsI2IYMJ7i7y/EnIsb85uPaYd8Z58QywXf3IAy4dE8n
52YVcQftp8y1Bf6AaRq0TQujIJEDE931KipwGgLk8ABQCCD0uezB12o11Wy+NAZV2MEoWylLxZ0S
3wRRQvcCO9zoqe+cuERsvKJSI0spYr5cgWrvKLrxpscVvrgMUejgucQZdbM+5zUkNo4DafOUOe7c
gri+bHmcBMg7+HGk60PI/MruGbnB2npVqQNmQ8Cr5GLAtJUcrTC/tyCqRB57zk2UxW5PBbvb1ApC
82CcVPgDzEDasySMu0LdNr4hTSGfMQCNyMkj1daIll6BU/5yCSDLMb8c8AseAOhyD+PR8c64PYQA
zAmDHw0Ujw9Cj95RzAcYJBE+wxlp4tcr9ZrALvWu7zO+PSSiEaYlcGsBx4mC0+evWgDX0gcr8NlD
nGU0vMUXdkLrxl1GNYerV9ftM5kYe+nIeCE+jD5F2xYUki8HMK9wcdBq2Wqw05Hky2G+MT5HgbDL
cYudywLgRXeF29GtOnu1Q14GwuiHfSbTjsboTM5VcwhfgqyHs1WtEYbuMpGzI4j9r2W0zeOqJ7oh
1SgNXf5JZiQjtc0GHlE9WRkB3HlkU8G5sOX6QURqbnVPkO6+zyk9r+ry1XjxcO89wmyADOvzBsj8
mJsrUpMBTU+7soihxlrDR/4S1RwVoBgoMUSa59rxyDqW7KG72ImPePl+SqZwnGeRqgLCjiD7WBtY
vpfh0crPB8O3uplVAoJJgQxLF+EmPc/IgJtnf2dp8pYv/Ej3KOGxuj+daSPxvG4IRMk12V0iBfJd
Gk1L6LzXLKr04szjkKHWI3ByNCDN9YPMhaxRbaNccOdxRspdvV7dvNu7hPQO2FdNwbt4exDOI2Kt
F1D+EcO8L5cNnYj2uJijNXHqzm746NYydO7/EuAXgSx/kQpPjEmBuWd8mUMyj6cALKRzvcPTdrOB
bfdkMtd8Wt1aATfrSKkQWftBnb+84sWUWGTXJGctiZzy21cRKmu76Wl6ICQvK7hAM2P/nJqBRTrD
RgtV+VodJlbLPel2a5So831cXNDqqmgRSgVotHAoVxdMlySx6cxLMbEZBl8rSb781UDcm9Rca7Of
IXgGf7TziGo0e3LwMzDbeP9J3Rh1vhEro9tfIlBtyjV5vjCS1MR2myFrFVsf3XheWanzk1ZH5HtS
vlv2WwuOe+OXjbos2xyfC/PNshTu9E3+y8TJERCylWqbNYSNxqWM3z8Gvm2cR48I8KljGvMWjzxE
yB800EKcuPf18jM3y3RbzdZw0Dsw5S8XcuMknd7LmLlZjyQt3iP+KsUtVAA6cA1Ro1KBXOo8CXDw
hQxWOr7wNfVDEZv+LYxzWTBPGCPgdLZxp5I+lqbSRWFkr5EP4HWK8+Y1LX71xlkOnfo6meoBjg5v
Ip8J0oe/NHslny0ujXjQVggjvOzCTJRpz415wQ8R3aIalnZPhFAiqCBTSEq44Y6AenEmIXYYcSBp
5qFbfSGv0YERvoEi3n4Zj88pZqqlNtVE8x7OR/W7vTbN2FoucU3p9nxIP/zdDWxUJuanyhK/9aqQ
MssB01WDRYIMQduzNi83SRX2rRTENB0PJ14l/l4iQjzquRnpwYbQMI2e78lfKRHxrioxHIkUOPWO
0/faS7BDYR4XZXRYEY7qVDHKCbeYaC+Rscguzve6uv5EKeorsKR2dvJVQ329TLmqmfhoCf1+mvFy
FmVgs9rbROPvB5sF8lnwZgmjDmCXKLc6kYP6HLlAobQaLO8IdhIkQIpUHwC8MqibfMeMw9YWb2Ez
bbq1foTuWEgE7vMBZbl9otdHcTC4F9g7ObJhoNYEBRkePQsVIrNDfqJF7jzLYU47uLrbPLCgqWA9
Nis7f2kA0U4bfXPP4gmsT8CZ3mE6Opn7bquOtr6l/njtWdmmP8hVhFTE1f8xTdsFw0dce4zra34X
BTGb4mCtJtG1eagt6v2d/0bfaNXRpayTYbX64NjivjHUmBG8fERk0CTPJfm7EabxjpnGlMfvz18w
BkicVihRIGyS6lwVDGyRGQJvZlg7r4wJwde2dWta/vjfxhfNMVBorobgzhvSRgbUHMZg76E7Z3aU
pM5Zc2n4W33W70gAFyYq4ikdeX4yQa8dNeLJGYUPbwSnKSXcp9cVX3zicZ29tR9fNdLjw8hNXnR+
dC06eEIjVq6psl9HRc41onr6aUK3kJKCXy8sC/M3i75HWC9lOpVkzIw6CeP2avUyv2fZqP3P2WwI
Sr1CbQ5xHiZzW6yZikm+M9TYS/YUWlj4ZU7BgWp5q/PshW+raCA45v6uwvhGUzZlNMzkfNNY1hDN
EokMdmPDmCCbebPvx+OUnL9cWiYV+0jeh25jW/aNtecI2Ze8U6yrmWQ+mTOFu211Ftc5BIexyGdF
pIblz9d3QxuvXVcwAutE74WKXxae1LGwDqznHH4PC3seKcR3JFi39UZ6P5rfWiYW7whpSREHlPQ9
BD3ZGuRw6ajQZd93Rl061SYihQIxwPG0UmObHDmsbqxKWDhNRvUK7Nc40RymqQ5YVOclUWGRJ3Aw
PLlPRwogp8PET8FjR+w/a9GmeO3h5SputHacVOnKFEHnF0wxb6yJgPaVkM/13XrdxT6GSfualKks
vFP83FExmsgKCfUtQtbeH17wTHPKTQ43/cCHV70Cq8Kxh5Zw6wd1QbOBHJkotS1c4kgmOPoXUOW/
tCr4nkTfgX6WMa4UKegTlb61KXTq2fHidpBqnxUoDuvFdCS+vr3KzoZEZldR0pZgVUSTUxq1vdmo
RJXNJY3YUDp6EARTkKbeWzR5dxnEEaGg/F9r9KOzvrL9D9Pcka3CJJcgnN1sT5NlTz3oGXomdXIp
TG92P0tBZ6Ldf/kEP1T2ca4rBFaSIjCJCh3YpTgYbKj0vBxbl92wD+FtQ7PhqHks0jeRopByo30W
C54tSNKdnmnweaIE1w370S27PXg2FgzTENPScxpuzq3HPLagVV3L8BNkH6yxzm1Q9h4pUpbjGEp7
mrF72Zy49n+eYLX8orvV9Dmf0edfDOUPUlfk3fIxqAv5B5NTLDl40FX/jiz8o0z0k3R8t8uoIbKM
y7P3A2qq3wHF9Hkj83XwiJUIOInyXJlfHbMfw7oVsIr6sWyPox3SHvMl0hQ+EINj/ZoPzFQvdfeG
eMh7ES/UMjK4sK9+hVs08KWZZA82125kn9MFzdcTvgB1VuU5xXtQAbH8rtUJ5pxFSXDUm3TCv2LL
/uPqM8gP+996WtsfBm9ezJGzrAYjgEKtVapYwtPHaTjNE9SFit7bWJpaDy03duALIQR7/zKcwnFT
g6mlmm8T74iq10zHvIYWarVDq98BD7+TTZW+Ln40EeDzMnnGtHhpigBleXZg6P8+LlzETgpxnifR
VAjMNGNqz/JFwZYoyAnZAMlchKW0iUkJTV+L0w3IZPDd9/CrykR1/Qm9MUIto9tv2au5F+YffxyQ
GYc4GIEfbUkgMMM6nDgVQlI9zNQI7nKZHpff1+2YNxrdfTmqEz4+1byfegbx8tT3kS3zdWb1vrYs
aN9K13ZlWX8gGPMgkzFwurdEbmZa0N4g6hop70gATi1V52q7Kzznob6v8YSQ5oGozEgDAEvLR9UM
HZlZAtlNgIw8F8iL4MjG6zxlFRxinynk4dmg1ZP/AgIchuf3qF6jghpz4X5CsBRvEXvRJC8GW+pT
Fwz/h6++3/Vcmw1VX0FWUmJETXRHiPQr+0L2ZOmR59yHxzveIFPbaXdFhZ41tadc8P0zs6wKKab/
jZ7HPbjxYM1eHPuGoYsDy9ayFzmqvBW19y9c70dyfon6cK6r41eHZSahuUrHUh1ylU6w9ZDhtQUu
xoT8gwtNZWARocm3pX8hS/ejFoJt2MdlqaOLtvDsW1obybQgzqBOXE/os2WaIokcAlspPTRX8H8W
aNjL4r6Lsgj4OWvmsh6Wddn2GqfhcBa3a09yrIv8UvhMw/st3IU48t41JR90pDf5fh1VVa8ETiqo
s0LdAXKnLhSTATqu6YBu5MlX+Ia/3TDcjuHTWAOxvvRuz8CsyRi2dDuCzyV4/gwqqYs+VztonLJz
1uZnGEESc5QVE12XlZCPLCbjLrEkI/o2ky0pJA+eeAhbLjawe/7dPSm77DA2Py4mCK/3tZsugM8K
J/l5NAfEqS+Ikg9yEtPcesyhFKtLG7ZYGyrHBP3r9zDvY6fXrWJ+cxj3cbY18GCGxSHEaOwaFMQF
i/Qwf/ZPDf3ZsOo9Qr/WH0gcH3NxQswdCVuN1FRiS07rxjcmFaBk4I/wogYAotzU6Hsg+R3ILyx7
6isASWRqajhqlgdAyB5i2P4kz50DQ82q3X5DSk9N+vhicmtDTz7Op28c/jXIqwgTAEIuXPcLgbTa
V5BlyUbpONFOpAPMZk/Gu80T7i0tCnbd+hugKJGq9WjdBHd8bh4k5aomXZyKhuIVn3Yzl/Z4xy5F
I7Bq+ERo54emS//p92QV/88g84zi9MEkWj5tf3xjZAuX8WdbmMTb9NwefSHzyfDW5E2te9O37zkv
EKdTHN/gL/qO/fMuN17uk3Vs9cNOxeFuHoPaPfLn9wQzwWt7fxOihfETquaq8a4ZIDxCwCzzCJL5
sqgCRjJbUiPHY27DkZUETTvol/eZfUIQGKV7noiAKipxKrnasDJG5pi+/QcJJSncWUcKLVf8Chmg
kmlFV38dLgYxs8XQLp4R6wQCCiS4BvdhRpwUn0fyaXuGHp7Rf7jIIeuVmd58XBTpJ2b2QItL/gmd
FGFEDIJXhgvmDTqpo/aS5CUJcIw5E5fGvQB1WbATUFksLDNaeloeO8lxu8o/patXKOe2AM1O2Kpn
vFOxxR4+Cb2Rxubdq/DEx1Q4Klh6lCc8SmokmPbZXBtCumd94h3ho32V498gaXINi2rT0JAOiti8
MKTK/auCWWFHqhDSXdhrTpWpaFrp2axVizMi9DShYTZk6GF0z6e5iW5j5VmAyd87ywwfI/9ONE73
ws4WVBmT3jTderF38ggfoUAnf38N9xRm2wb/iGDMoGAAgjReMf8xfqFKoAkoXSHfAVHGbaMmwng2
3+PL10B+BWA7dyribXq6TIpFM2BmVlwsvJDVJlGg1INJgUsJi+Im2/AgTXy48jkCXWtkfRv3QBVH
Gf0HeqhCS93yTX36oczXOO0z79D8g5Be8WZWoc/+JYoDQYagmdMkjDANADfHR4YGTYwvApnNRpqJ
I48Qwfsp2RZJghq15x4GnSYm4EBWSTbyVo9U2LQYaGLzyLnbW98910W6PlBYCdMYnHG7TFbagBGi
dQCTJgRw5vBHteMBUHxgkiuS4sYWjWr6j1GGU8Dv3p07oJR4ORjIjJC5bksJ1B+BHQTCVnP7BD2+
NFIrJ31qHChBbLMt9+jr0Q7wh5XwST9lwfaX0bZ2GZnNmyGeamGYwr1ZFcYddOX7RGfwcING9O0j
qHYbxjTtYwoWPGZibQKvLIgIS1rQeiCcLsfgbYVLb24pWRKUbQ0RgBx3gnZYpma3LEiSrY/gJlTb
jOUQPFRQgp27VSnfGkPLfGTqs82gsdiPR1A4hEnXBNbvVC1ztL5eWLo6so4tbz1FhANT3yYktasG
rSyENTUQ9gLQRny1SX76EwH4ytZep83RR0n2YQ6fEnZ0vMrWcrqXTIvGTw6s++8Xj89BOsijuLU4
5ohAFr/W//OnycU4nctKjT5p4eY3f60M1jlpKYfc4uzNwbD+7Zr8KJLt06Y+P+kDS86Ulkadgo5z
rvrOXiqU2DGQ04ezigxnoG39xpmrzZTh1+2Xtp1wL6nR4A7XFtk7mz7IAgdFkPHuj3OBDjGAmkmC
ua/elZpC/EaR/drBQkvHEFu+bwjKU6wu0S7PCJO8jiG6hXd4VIt7Dk6g7uImOXJ3ACZQtpm0Bt1O
rHJqW4Rr/P6Eo4gzcO6+7VpCNGzKp9RssJja9xlW/TSl26vp95OabTEsrk/A6HT9FYkNdfbewV16
TCGadHmN12/Pw95IF1T6bmB7zY33OqfklGrvbipOQSEkpf/LXWzojTOLhQ11rZIFXDnE6f1OJWl3
T+ZIKgUHiiZSgNK4sTgAmOEuyThpewhgWuVCv8UmiSkV8nkIqsr2yCACgjM+zyOA+9RsgatS7LK9
GsH053FCgnJHZjy3YKmPwiOczYwinlPzd9t0M9nrLtPPsCOswBKEs+WpYW/MaI4qwLtyAIFHYPLr
dq58pPrusapMzFnxF6/NEL7EzDoVdC9Wq0jTLz5pBN98YRdCPtEjzGkXxNATmd+/KRZXcjqvnX0P
IqEEzGj+TZb6xOCsQ+0lmxnljdO0pMzteYS5cJ31+pudeDob7htxfj+MgjFQts53WhMiSLS5HTEl
z7B8uBtVAu9ls0pkuzv2Jv5OuzyGUd6SjvbUFoEJbj7RpiMHrnay+x4uQ9YAKMP8Uf0bjVgwOL0X
BEu9NFMA5pr6zCkRbL0+WevuqcGiqcK13ChS6px3mSWoR5V4bn2Rvg1NbWG42WmJYQnabUcd5M0/
E5/5PoMwBV1ZSqLeeXT7o+57muN2LevN+/n73qL9u+DMYgW48/MQViD5q5zIS+7k8dPHZVlF5mdQ
QlSYkOaK7k2kz+TCZ0hbHsxwm8kVBxEm+J2ExBxVxPkZgxMslfpDzbuM1k+ZzqcAVmXcp4CitkgC
Z//LKZFnDjeJvwWtPt4ERdWKq557au1Pd5qKBccIgfJmRq3wTRUEVshNjPkW3v4cf5DE6bQt5iUi
trfCNZVtIASXvVewjT+5GSJOl4Nr4Swtvg3KSXG4QeJ7Eqtdjtls+ZljJT72GXCJKghNxsLnzU7J
u+GnoNJOo9Rug60JeXKWV35ViOk6Jq/RWC9sIEE/wYTVB3rz1Z90rdkQuwUrNYxKYBKoB4dTq/rf
bcQOiGnyrIlVv4lcr9/bq8Bzu9Da4IqTr2lY5yKw+ulMM1oQcLSDuieMr8rH4fSBBADR38rvHhmX
lF8lu5L+iWlpCm9j6+kvjZtjqfJD7fvh2ZnfU3pW1vReYwxoh1QrR9MPfG44F/Su8fgU+5p3jDZB
v7sOLuNFthBre7CnK52l98GB7AByVIW/s0eQZooPORnvPNLqc7RBE5ENya4HTSzRMtPJFxmlcBVK
DMiO3Vltt0phorvvUv3gj8OfF3F7gppmlzPFlorxZw2xh6tXFeCcY0lQ1V6xlw13iwRG5HAp17wg
BpAUQ05w60kXSdSdKfVEKO8ZBYGA/utYYeeIeO6CKOyOoE67x+HSL9bHMyWDYYIjM1cw9PML6xdv
elijf+iqcmKaXQpUleWgbrYAWBoZ27C7alLVa2ItEf2H2bGR9dF5xPvchzCV5K8fdjd4+ftDdghd
Uhs+Og917bnsVrxV45s1p4Hup+VF/rfXRGwCJzWLeyO/rymdGR2KP9Rh6H0gF5vxRq1n1gKiJcAU
/IipMaMSUBj15x4g88nQSwayLv/I14lAWiS3JBJ96H1CdiTvaNpLibg8FFRUBcu/Jg0qkHOaSodS
iccNQcHPMf8ZmjOhSSkNTD5Eb/73jOI1tRD1tp+86bHFnJgA+QpHKTDGY8ZxTE7CamboRwxL7/es
PdJhvlJqRlq4wR9Vrodn7jIhgJvyn8HEOGUf0cYju8xntkFlk3EuzeW+u8Mb3QzrMIqMGfVbH7PA
lc5Rj5p55y8eIOzqc1SK67SbeCm0oWAShmEOfoC9Y0BboJSNwjt48FhJ+NRIO40iSjQJjpwHCs7h
j62UDqEcMIa0Oj6+wAnlqm7zF9cQJ2mSuTtvk8Zx52NFyhS17vPDCqWX9cXpz1puGrXNn7D6hDRY
YJTApRgutMEFbkAxn56iSKIMBWKp6NOi2tzpFIVEWYBpefODaUVI1RcWxbY6WjcrDX4fITYDsnQS
IV/M6/nB+QrMiXaYREVhQBuOTux6kjJeu9Rb7LnDmzlG4byFG+wwROb6dYEt3LrdMz10VpQxAJZh
RCvqV5Vj4DgEG9au/rlArScTd6KHUCNPIdXQWfIsW4KnGmalVbF1Osxrw++F0CF+h3lWK8ydMHQd
PpgBCZ4SXtj6Wpn8DStspb6UrdmtGbdRyMmxjY5l20wteXDynxcdKDayJlKV2BjzZhQXJJZOZB63
xDoIUiWX+kLKASYqwfPbVoLCDrZQSx6Xhu1fSZDv+8S2uO7iLupjkadSu7RfXWemnrmdRdfri0ep
7v+hJALrse+hDcVEsMCJOJozRBJldqFa3F5YY+4cM5VFUw==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block
iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj
mKjKwY2xZcfJ/srndO8S7QOgA1cW3PGqqy5cSeqaNkpdKKv7LRMKRcNvzOG7grs/8lZMh3KNjoyD
IXsq0a+K7fwBVl18ZhaMVq+k2ZTg9lhurb4D+qNsORECx/TlShuAyJHIKPUj6Iho7/rBcVYF436t
BschO2PF87X14abVNYjpL91CiDTpOKrPtBKfg5+Lz2hIe1Bs1ooLtS/NXyKxPz4PTeOkvvb2+74b
GsrYMuFBhVRsbnXBpEGFyn7o0gnbYwPvI+rs0wWAsqkkHHqpkhXdpInN/2GIauHcpPLmykQ4mM3C
x0yc5+wqQ6/cuTkYmjZURAigJqYtVXEKWvz/LPBmTPDR/OkYrvY/1f7n0/8gOraj2rk4em1R0LL+
x5rliebLsBLJYQdZpnCMXYggUI3mNuW/Q5Td5tLBBMmT3q06AkVyVpqOBheQL+8Rxy2LdG5nvAzD
LgvMnIwcrhRg6452etuv2mxO1GXJweL+5yQvW/XvQVhDvPMVD2AFH4PGw1erpeoZGNZu2IJw/0Ab
L2aK0mi0gbc2s8O1WIzFpDWmWiFnQjnRnHClxcz+jjQcKggJuybEuHMInHtcfRWczsF/0Dnum9kP
8m8vHCPUZrgnBaO2Jz+BT7irdL5jW4U6vdgteMFHdttrUcbZInQO557zxl+G8rKCndK+ngB1lzC9
SDsCN0HyerjxrPx7d0pIpVIOopVC6h8MnjXapr7bkgkgWZkvQnjPBwhcv7KZGuNrHrfZG0ZOXC0T
5EH0nPwErBXNQurSKPrxbcJOXK+QrcGRH8IfyYs2cSgs8xNgPdDXlUaygEfudUHaiuyQNiiRCBgZ
81yqAxR3kBNsCQXRwJT9jNb4ST80OOzek8l/JQQRDyKTha5ZWvMxdGiKw5ZST3ZiNJCfPSSIBNs4
Xpg4qnEaK2YU6BsPq7w62Bz2hSR2VQAYXJiD8RXxCavS+Rrv3tabJoEC4gNmZ55ovLZoeCbm6DmQ
zAKIUrJvqAt9DYE5wlGK4TbaFFsmrEh8uMBCAO1GiZgu647deCmwJ1BPEQ1e6iysY1xMxjT+3BD7
hYvQGk43lyuuMly1vfhgWUcmU5ZL39xkeSbSPVK6p/H1H2Bp3asOQXBzsSUSEFbCpgGlCfdBfTEF
KLfWVTyLv1i814jVvAW+mAgCySeL8klTZnwNMYXH8aC9zoksL3rFiDTJeG8ugCXR3u67yVZpLtcy
2dl/MBnlJGTBIpi19Z6XcAD8T6k48/BOUvt1xX8hfKxIKHNqTS9gzMH3QKLmPZN3pdxfIYoRtvnp
gIFYNPkL0yEtMBXn2d6G9rEV9OepAye9j1CtGL58QAvDWfYY3d/a+0BPSGo8fgfbtV/9fRmpb46d
2rrmThX/V0GVAUX+UJpUi3yt2B8JIYGQirB7GyHa91yGNYATWBJeomRdoPtaSwWpNnFV3b9YHsEa
KJFKc2DBaPt2sxNVWRHtnfUzzK0YFwvk1lJTJjLRLwxbdVKevkfOreWHrKxK1foENQe8eQz7bMdn
tJaEL88DSlw0YyLrDF2vX4m1YYreZBSlz3LQElz37LE4AMx1YHYpaVPLdL7hkM09p1WUrllmdnzI
vS/reugN++q6m2KU9msKCyo4phpLvh7eLj+Js+IqX2OPae8TlAXt+VfpkPGJHtqkfD/Qn0fsZjuE
a7fs4+mbedGuBo2bU4Qy4gAH6dgViRxedPJySyQXl7QG+o9BvE3WJS5maP5l0Ru6MyiZ7iU4RU8H
1IWQjnavr8JZjMHYGOHLGk4J9uCBigB32sCX4TaaIBdyh9qTymZpFrTfAPqf+u2lOHLi0yXAwc7n
cr/c/iM92AU5ke2DuGqvi75WrwbSVabo7aW3UD8O/M2F0EdnGv/IXOHqgKp4cR2WBKalvKjQ39nF
xckRCm2ZHreb2nM8/s9niJH2ZDHnJ/y/xX2vLrXP+h1YkQfk7x0BjJ3Ty0jmdSVW0/mVuWNJZEI5
o7aOqExdpxGg8nE1HWL6CZ0a2E5X7TuM1RYJDePLnCMrUGUKRvTAIZp5P9/2OlWZsedghyhPC0K3
VreCmsWRWFclvcAF+F7sDvgBwrFDWpWSLOxPIK1XZhjvel2bNEsO24uvq6GOBV3m1RCattlf9the
0QcDlaAzEMeHiwnpnlTYZAx6YJ6maxpgPc1ndgqlwYaacq6dxSintAhZ97yYYL99jDxeyGKtr4gc
ghCj9iVCtv+MwVEq4uciNYQZu0xSbKJXW7PnSpWyv+fvkSgYEJKKcBo9bzbtpd4m8KESaxSsHJrh
g1WNSjhPZLctN1htXRd7+QVGp2sSt01bVUeG2Lha4aze1cUDNA6SSyfAcEbis8bQYIxhGkpjFO31
OQADFW+208ostfKgpeR0luKMPxpvdZJOoFhvjb5PkXTHmIuLY90EMPNVGtmyJ0GZf8lbokCZoO1U
a2KldDcNXKH/0BltyBFvik9Uj3Ze6rbmRozqoy/FEKXJHYR6+eMLo0NssrhE6uWHzdG6YHgTB9G0
WNe1PLqrNMIQJWlYMMo/+UtFszCsAGPF9v9E6w8C7zrlywH94SGMdP/RBbD2nFy55zVSyi2NujSX
T//jt/1+pOMhA97VyEjkXiKIIHmrPsQoSO6TckgPmLqGRGzyYr7KzUjZ65ol0uj9gcV07nsEK8i+
ZgwOIeCgok2RBJsnlhlPPZFZeK84+A03RMLAM/PxDBWYK6BoBeh8RHu9HmKZoremz76f1hp6ZF+t
tO3t2FKC2p2nmuSzsK2ZWbU8aAlqzhzzUkn91CnvG+bOwdmbwfWPdrmgx1gp8CVWzaZ+g4kN3YMD
4v+OGiguABqC7u2qRMgFXAsSlIcgQaGl/l0NRbGg73Nk0EWHCzxNQi9ED4r4qTsSwEsj9ki8QYDF
joQGUPizHz9F/vvwVT4Xk1fo+ohUD8eXcsHq+nQd3oM89lbmgkddACGlv4NfrnI5Z7UaZTnHOLtX
DO+QvGMDM6HiesFVX/sttNuHxzO/Fm5/0hMXZ5Os06XCdmAq8tpXb8/lJk0kOYwHjI+kotblabWb
oH1Ibfa2l0OrxLankgYydQ00RKFqo0RDmehKG1YyDvIMIMN8EAaQRwCI1K37AyxV3YyRnRjFV9d7
fdu1/2IWbnnpNh4lhq/5pOLSPe2iJe3gw7kPlL3l9wj+8yVzseqqZJWDcohzMadUz0/qZNSt7hV/
Jb/krODjsq81SB/aYWv9rXC3Q4JIyYrctfrpz4alNyRN5GZ5m95EXQL2OjKt+MT5fsXgQwmC1Fk2
AZsO1TaGo7qTpoEAgit6wipl3Wx3UO5jZZaNfm/Mez2VquVxgdLHWdVnRqVQgDGikGi2fXjUQxY8
XkjAAd7NZZjim+Nycwg7m3BdNB5TvpDzC9BHggiBeN29XD5joheP92C8PXfl6DmORibj6dG0kJh1
q/Sxyog21rdI22cCQIGrsS/L2K4m6ebdIaeuf+WhGYk4sfciYfV7LR92bgLtu55dAwaaY9rXWR9P
rN7+HqNDtbuPPEg8SkI7tSJrj2yX15sixa6eku1xm4xVLsGFndD4+j+I2GGx1vG0nD5kwaglqGQB
iA86rlrgPnAaDjg3kIaHBlMXEfmDbh63254zvbUWVdYaPSLDw7fBW6hQbTWnQcUe6q/Cyv58DkIf
hjp3jirWdui6PsT+2CS5BS71P0kO0Hv7a8xdRD7r/OV8SNPHq6Cz5GHk2wcI3EETXrSRebNbERR1
iNpi2+j36uCYmjo4jlM+ZfsqQEDGnkIQRPSsb/kont37EDK7pPlzUnPwU+h0v7QOKXSC5fggbqrx
eAfA37O+3+ONAHDzTW83WnmA4UjkZokL6JYLViGE9Nw9ZQPz3JGsgp47nMWq9LeXMFmHPZNqjVKf
d807A5L0/EOP4O1kQpODK8G/kpFzUzW4sPzyvdb28HVh1kJPPuuUkbC9yamtjFteKPSE5J+BW6Yq
by9quVP/YlezB9zg5i0VtoDA1DihIH430v5/849lX2RSe0gP9XTQbGHAgtgju/B012aRHMYoItX5
1UWEFVdTbrL286LxYUn9ajSc0D85RY2porGwOrgo8I4rgE64L6ntTppzBKSPt3zNjTADO5TwhEpp
FfG7lta41RW62+x7ulP+e5sMuKYcgKLAysAvrao4z3yLnZmsJF8rB9JHV8HglX1vp6DS2XW6vD72
fm+zIYYWcFrYKSFbSCZ+otTVBV/mkDfkzwZPbzvkfVTOomgRTsD+yaRgp+w2rtt9cUQn8ufYdSVG
p3ZjUtCYpLqKh+yS69e+BsD6VxahhHRcF1SPpMekBnMa8cZc/dOy2I0AycEgG8ZptSQNjmh+LrdY
J4VkZDOdn0WdTWqHmXZADwCNx28rexYM65b/ZN1QEdXimtF8NlvR1Ht/2eGLneBvHyxTsnwjbbG9
qM+QmlgRFm/4T5j7dCfLR/cyW2i09eo/+LGFmgZQ4JHhUgQUauWwKFj8rzVnbADZsrPe0BiP1Tg3
IsLszOKY92WXqMcwFE1J3K6tQGUfIcUcR0rIZyVzofXTVn+zmyxJO1vBgDbYho28ZMt/19MPkl+D
s6plVGWiASkh+ueJX+Uqhj24FkB0D/Owur5ITky+2SJEMqFX5AJdKkkfLujHxItNNHYvdt9OH47s
mhSnY1C1VbPE761EspLjqOxjpsilYHhe4Z4DNJu8H9TG5dMsXtZOWXy9XrU9S/ZM+PnIC4VwxJ0n
Da2ZC4YwgVNOnuXAE9cAj/q9B+OlIBvK5fMq3fdx84zMY3a3NqRzbXwXyXAg36ZVpkyNkejFUdRg
eCPt45+vJFPGH8rnq2Kfh+ll0BjE2riLHGw1EM+NxtH95apvztnZwsBqA1F47fDucPI90aobMxnY
C6AEWff5URnrbdadiyIMgFZiawo1yYRpIbZSEvboR/URlF605cq8lXEWClidRTRg7Qle/IqZknVB
mzviCxuKBrrjlQZIHhRayEEwztAeDY3+l46iRQCWAWFC+BaZiWH6GshQpj/0eOb3p+psjzbUdBVN
oaUyyPJO+6YkyhS8mE0tJdHIKgFwX8Rgis/fzjdoZysPu/ssUtFjkc/8qwf4J+tVeqsDBactJPtM
Yo0Rs84d243608zw4l8+GbPmTvm2FYfvx13XSDQlf8O3CEhBpbzrviQPRP1S9WQp9GrWKL2f2haN
9f2m4I2vfhDxups9rjKaLiYD3aHuCse1Z0Pg+D1hgKQx0Gi24xAfAVTLuNM4y9YUh21gSzzRVA/H
nj4CClgeBKtWCD9Pqbz7yuQyP7oxpKUwkqgDU7mzDq+fFivFH+NR6WZQziFoQDksKPtD+HvMqvkW
Ww+C/PM0V0TaYtMi+j1atr0bC06O6hTia2PJlywLfmaU8dl4SgzNArUbk3zxf1mcarIpivU+W+q6
VJGR9t8+aDDPXaLQTXjGkmXyesjY+GxoKKcf5Kn+o4M4zfdsp/TcOLzLhSsfKQ0byJL9bFu3Y/9/
KvIgPGRk4zUy+F297vOvBW6wZAhYhEbqRrb5A5rkRTSxYT8j5dzJI1S2JNX7wk3OOxGYLNuizKWj
KiLFS+PrHqCEa+p5HrL7HNu22B1j+WomeoIaBwaQB2hvK8vnWlRKQen1E7JezNnRV6ZKxhVjZOkK
uKFVj91MX+/90Q6AI8zOLJ+qvA1cRV89vZrkEmMrN7Rk4CVW1JDYuhuJ5pCywe+3W8IKg3G04s+P
ctrNfg7FKwbxJ/2a8wsTXy2GZQBsUpE5FnbTzbTURhw0Uzd/pybEPeSkbRpNgi378aLhdchPBZws
csj9gOVrzcDlAWmmOI1iRx5443Bv3SM2NeaDCt6d19Fujgh1r2RHCVt5gHCL8zw8Eq3EugmFQ789
N9c5D4clXFKawsdxVDto4FX1tX6ZoB1DkztATU2so0CGTtLzCsGtYwdayMk04mdcjDQKOqPWSSYz
vdreruZVLwLOnYElHQOrIf2RhFR9ARRk1+HAR8LwRkjn3HXs4Rq6fWR0M+G4MZAIgyuxFM6ybigk
wc2F28bSsKA+kT0Wvb5sf2aaDxpCCLS0aaMnzlh/GamhPn0IkxWgOJ2vW4MTy8SCBh5SmKGwbptH
ssXM6HiGrTUKhUDAHQfRrWIxgsY3f4z2dGWrj81b7dKuDmpYmHRdYL7oT8eztqIJlVjspnJI7bCf
dm7Xr5XOSFiXyDWcnusmUV/0YAK2Y0SI73LI6n3BhTi07dxINriPPhPxkUushihFUXueX+YaIAJw
F8CWi5DWjII81XYqLixEWNOECSCeMxZSy7d4X/2NlJgIlMyJfYXNciLLHsZnBDS2wlK9cZJdjkGJ
ta7LKB6HHLaskJMsyLAm9dsZ+C1FqwcuhFQ/TpfIMzZZUSPu8WnWu2Jwk02Y999vS5Y/lwzjPilF
6xUmR0pJq0pYxqcuFWebVSLGinzssEL6Atw37arYLAugl5pX7i0AF52coqHf2Fmc55Yhh9uVoWnr
BdcuwQTsHNuIKluceHL4LqkWREh6Rq2pjSz56wd+B0oZgBFIKOvhs/UB4Tw590nc9rZyRMgj76jK
sUVkoWg3QL7xBgBQL/cKt8x9El36Hy1f4SKc+8JC5Equ1qxnh55+1vcyKNkdNAABut2U3tVdwmao
4pXPwY1sC62PUVqrylRlyNAlw7+Rz5b4XMZk1mgA/Lyp1eYLsJGoOwk5FQXSlFUtij/EYHqPbxDe
mcrTFirejEhKolQmW74C2/9Sbgguh89zAehJkOnJ2zfBNidcErJYarEQd7lV9Unf3vT7QEpgT/mh
GSgZJ/oMt8y+uVXPeoN68A2FZltMkOGuQM2D6q0DfwWYSk8HSJ9/DYE+xN0bXwC8IlleFJgZGRJQ
zSVKukxAb8dPhT9lLyFECg4dPxFWHaI4G89nwaZg0oS75rLqsdrJFaG0To1gwu4RrUmFyhQY8lba
wvJ9MU5sqCT5ylFTbnRd2KLvJkM+iFZiFyqLNV7crySK0O9/xn75Yf3eDNxA80R7Pe3KE2ARzAzP
32waUZX2GaX9FCJAnUC5fvar5VK2AbXNg/01DmvU12ZdBrWHQoMScRDUXa57svpbzDUcqeYv8NUR
SjjnnRpkhnMjgjB8Eu3YhhEQQK+8X3g1h71ufN1zKqJiPL8oJHnF564hO2Pe4pWP6FEa4nRN7j2m
/WgjrjeJO+qhnTLC3Z42LJmBi9Z+LHR+AAcMk9ScxvQVvNpoABunQbLIxjP4TwsiXW2FjXcQz3Ve
+yBOyej7GSK1R/XD9c96YXlb3QqHC4eqSsaij7knrxkYP1XLJTCDHTsds9O+AtKxTe4Wb4B/y2rU
Amy0Bvn11uxI4ZqXKdhFkAscqZt1EqkMoBsEueGn38uEw6roLFVTYMwkIodzMHI4rOFESRW9vzCx
T6XOJJ2GVLDe1TATu0bzNNsyNfxngpaK3SF3rQkB4kMdd92Ep0Ofqz5clYACiFMnBbIjs1NTAtd3
hu48qG8V7DyLiPZYtgecIXmMxzqrlI4KB6iUaY0/F9cwaKLHO2L0rpgaZxQPmmfPXvXObQdFALb6
tuylW4V5rFgks94Cavn49GPamOYkgOxfY9OJ//oTx+PJ3gev1rnEkLN4VSCV56G3kXKXHlZvJHAV
MCWm9rRUr3lXa9CZ4TOnyIjtNAMslZu6BmmsJHiQFDVE0fS/0q1sCiSRAQ1QNT+4sznDdSozEKTO
yBe1kqzJkD81GTzVUZsrxf57UGFAsy4g/1FrToEV/Yk8eX6aIobNnlTW00YX4mYJZp6bGX+ByflC
ouLScYYLFs2nCAzJr1JkIpWjY+0Na5toRaCb7Bcb76nm6FeHfK6nBxygGBLK9eBaeNWZVIzX5J1N
JYKmdNtDepABVVetKj6RQJzoPhFVaewU3UMr6WavO56uNMBKrc/KhsMCRvhj4zRrxQs1yOmSk4vu
UIVqTxQQ0myuEgMhD0VwxmZMNu70ArGsXFhKlSadvjjci2j0MReT3bOSPynl+rLKDc4MHLT3eBXf
Yw721OitnhguPU4BJBR414JJg1J2Nni1W3I3YQg6fps+KF5t9wtzbteSDYRCF+q2zqH7aFjQTl5y
oPyJ6QJGKAOFseqHKZ7tMAYz3fT2aU0Bxhp/rEQ4GsgaOOx79reUYhK4pjpp5nZA9b8EoVWwigMD
Pbq1o0D4b/hDnlpKYabkjQAaeDp8gLOy2sIkQ6c9L4n1MmfKuPPUZQhHrtMeD/Ryjo7CrO2WA2rW
QvZ/osr8RtiCXP0tvejWVV4YjnlVSx26x6ElO37RH3CPgvy/8LFM7FLRvvNkAWQIrY/qC3JJdl3M
Vq8Ara3T7DAXRJ8cU2bgX194sXMszepZzySMtySLnf9aFVYwceGJV02lRNqs0gS0XAb0KUWzDjOD
cD915EdTlmcKtc8SDOS7p0hKuQMFIJxHhoRfwttT5mRXAMNV2OJrwjk/9WDPowUjdN4yrAApYB/E
3EAbNZmdEJoL01ohuW0vWn2DuffYRaZnBPbWqZyzKWgabRreRzNxUBUmHYinCGxPMwZBgqzvfNDR
CS5wENo3IBpZfIXNj9CBkhIV1fw8e/Adxu0+HySMnH+ftkYwHN4mnFFsbHHMU8/JEcrN8IT4eYoe
qEpM9GQQo0FcxVU1hm8P/hIofxS0DrUGKBU3VJnd1tOLtjRJ2mRu8/PGef6zrFG6y3ngvgZ27CHo
Iiy56Qr5BfnT8n9B0i0dV+yuERQDLwi2m35gOOFSqYGNhmLiNwx9OxVNFZJVsRxPJOuKcTQwCCI2
tB90OIzHbw8AWudtJQMqp/MqJsgZXOC08qYECx9q8nrGn+eT5wzmORHbX8ElCI7xuZ3fqsXq3AhB
fdvZ3z0pPiloLILVPi8HtewcIeEfn2HQH8biMR00zPcZ0mTUj6zR/kzL76ctbInYl4h/YKYIhcvv
d8TEpD1R4V/z7C/5LBAA/5AeD6vpEq6cRewhy7h1fyJBx7Vr4i9IbP0pVzwzpvJGtTMH7YMV482d
/OROh6snNVR7MDhnj3m2xdVPNXmEQ9A17WSmZgB7tuft6gviqpV3qRno89/T4AlVFsWskbOebVgy
HRIniXYpwykJRDyiMmSIcHyioeCA0ULUsuywGOREi5+lxMMeijv96z6t2tfF0G7kUWp0Jg1bKjNe
hBvJAs/YCjEDsgIWhdTSw4FyScW7nLQHp9XdQnE9aUnpVh1mpwrjvdiuG8bq7GbQoWF4pObNqyWe
TwSBk5BOI+VpCmTraoQQN5Dlrfjkh9wgJ3urk7UTupNuGiXbANXhUUsF0ezM8WDvZMvTiD+1Dk2Y
STDcDmwZ6KgUQt1gzz8OZ/JIqYSd+V53dkiDAQeJKAoirtv3gHhWgq1pgtPj7yDf3GGV0mdk/BbS
Rw4iFyaHfzx2yumVdO8UiOnGcW8Tsel36IV2kjnzft5KJPym2iIfSlVlf0Qs4sVzskHqpLiJG2wX
AG8ZE5QLCc7UUbfX3lFQXmEDwjm3Uzm3/rMtQBc04q0MW9xxRj48S3QHY7wYETnpzNs4xeI7ztV5
ime1yFzdncXnAwzryshydqMGfhHOeZChIcbXG1NYhtVlXBI3zg9lpp+gjnFYmmaoLLYMcSNfLQl/
xxvzT8POYvSSwzZWhYOpwG/Zyz5gD3ygtlsAG1slE+0BlZo+94GmSX5xUC6MNsr2GGPr4tqAX7v5
Uag8Fx+1KwuNhWPUlX1SCRYySzLxAM/Gv0bGrHQZb1KzmLDtqfMR0yEm373HWB+kBjfCkS3M2fLD
RhcLWCEutKj26GEDl8ShCagWsnTuI/15hASaJVUUDy2m0hlq2YU2xEfJoqxEN/9MIkVTg6wvM5KS
wcB46kYz3C0QhdAwqpiwwzefGonMket+avhv/QcimU2GnOkLLpA1WSCrkNHec9mO8p3qCtlFzy22
5oN2Z76zc9ixVvoJJX+UeDqmJfUNcE5YyA8u52+WESjYWODoMxXVQANUO2jS/zdvuScyn0MWu+dI
OrzFCt//TiizZrQK7SWIdhyGBQgGu6QfpVwm6Mq8Kr3NAR06QX+y+ix6XHOO23/hybxBr88KgfDl
tb4Hbw8oxocER4X6SwLirWGVlqBWHaP+1DNgjw255wK4w1YkV5u3/4lUcEIB6QRz27jWj3ZtEMKA
Rr/0AlD6d8hXCj2VlX9jNKvG66nkwkZTJSO5+cThlvYZQQmvnUXn+ixeaW10W/O5yIC3XgoDUetz
72FLfXKl/+9C9IqmD9PmeOT8O9z4rILflNOq69BhfgvhifxVoHzjFXOfi5jC7wJQc8AzzvBtHlYr
vbePJ+wbJNgvGIY+qsBGwRE9lt8rgDadGhtcoKm709ehZ8lVxk7H/tajAVEMMR0pgDzCaPvBdluk
w+JHffig9CWnABytZgldWLjDrYDHsrzgfrV79gM4SETXjjt7IisPHAclktfV4zmlwjAKVEgoyQUJ
CEnzcx5rrfWEWbh5N0REj1nQXDMN2Lkx6LNrJQZ8/COZmO0xdTS4Oesoeijny+qOxgqiAywd4Z3v
zS0fQ9ep1NbCgzNPNG8ec/YcVCaZSePHYCMiSqkq821/PUskaU1jHsa90/RRHuPxqWnZgcfk6IFt
IcxiG73bZR0wVVQLStvurMyjPTDnhes7rD5ItkKgUOYKXVbGFhjVo5HMSY6/Ix0TS8lmKedDIYZd
4yZjis8jgi60+GwObGJG2gbTiL8aTpA2wmJzNz4YpKlDi6PpB57xgkgzC70UiBZCDWnnN2/yKW2l
xE+wDE6baqJrJ+NnAO5lGlOursBFH1YJ48i7vUO0ua91SjNIwq6xahcmPGuMP19VbSjJ93/Q4Qhl
Dm93a6oKtC8FjPiaJtrzbm5SpGeWCf/rY6Wn0GWskhob10FNlK72F2eZXRWXztqkOu/NZmA8T49K
FiEJu3yuT7GolTdqwgXNxAaXuIUuFHQpFlbdcIAhfnaTvsIPDRu4IETeieKwqp4Q7da5/TLbfOEC
i3n3W2ZiPNPB0uTdPSb7WYQckT0fxXMCd70wHTF25p5kI7wD5AUtAOREoUkGVGJl0f65j398uC20
3gb2S6Da3iWNXX4p359P2fcuDyvrCZCcLoXviW9FjNvN/jounTkrDj/Kl0gD03Kbft+g/vthNsGI
PnDPw8NUVRdIXz8Dge2LF/5YyPqr7HS1d01hwukJ2IWYm70L96FGdU+ZC/7DxlIty/kKaXg1Qvh5
uv2O5E9RYffO/WBL4pGAO4Mfu4QJPOI8d1kgNvrn0eprfXCsTXPx2a4vDMSP60zcYs7Jm82FBffS
QIR5k6rn3agIws9NKHQqKlmmDrB9RKIkJr68OxBkWj02csPjxGDdylnt9MOWhUUGyWiB0CZMQWXZ
74D6CNebxtphhXT0CAneLBEE5iHm5OU/TbElgyKj3KIpJeBEfvB6WQb5OVo50xdsYxmp6FCcWnUg
6cVnl9SpJqib77ylKS9QnRj2dm5bo/Il3/0zNxcRt/onqyYoUZAmxC2oAwmwFcKQ05sX1/r/cz3W
55bdBSxiqnfN0zCGckZtB1W9ApOsv/yGtnropYyBgN3hGZPGHOYSNB0wQzK5G8NjRIisw5pN/8r4
Z6LN/dD51eM2fhI1VrHNsUiVLZkV/Tk1LGlCNV0Hj77Q3ggo5YmYiX3jvBXEKNI6NopYJ744V26S
s/61if+/IXgkx37kg5h+IOAeD4D41hWkDJ8FJMVldgGhcLdFIUwaeJGAmQyBvTLzWynhNlqAEDCe
PZQ+W/pFjjsiXBdE7i8mCqp0Xyfi0auMYczfl4yLoRWq8lyO/Cbtp6E165sOcR9kdoowcAiWaxA2
jYOHfJXTDyevd7EDcLF+lhOL86EmrCcjyAWJ176kOOwoVfZedpinpvus9pYyPg229P640G5U72iR
gyAHlcOn6hjOU2tbppQUqpn5ZJahNwXUIVuPXzmByoHerYuxQxQMLuCo0rT4Zq26twDFptozkp/9
ixBzJFFy2Uws6S5LPyleCFx/sxcdav4D/OPmITwQU7GOVFTOhArqbjHAtZTUgjtm13UM36TJJ8qy
GMr/TwodiYyZW0G8NcbNNImcMs7rjB5geGOR61AP6xW3EtAWPJfIiyeLOSKeyzhi3iskNJ8wLdm9
4vZ8rV9G6DOGsa2Gqz0IJVxXPnd+YbSDxfT6EFMymfLMZShRc/qbDGeiepyWIAMwrhZ0lsfdjfYM
CzZil9faVv2BGBxA/RkGltUv/y56ovA3rrVxLV4fgF5ORpAG61AVMsZpTTNfILiWHRSdUCdSH7ak
rxlKzOsVdFPeDtThTNG+A/GDRGmJYK+F/d0sAt1htv28ka3mvQdGfBiZAIGQQwCHWNMwyLEfdMCX
gUdkJ+q+r3rKHuvUcUxPwvMgFOBNeXEEPwRs3UA+pHbE8Bv9p7+JU9mW62a8Vq2qM9DUGPl60JCa
yokr52c0NbWZvlvwtLqZBxm/qgLBReX0bPyVjuXYX/vjcAxlOma0sryCVmhUh32TIOs/BOq1Sygd
ojlxQesZDWO22pFBy2zu10o86U27N3B18EtxkCmDvOuHbQwzgDlfAcwC2lrK+cbJh0+QXp7md8wp
r6Fau7Nr0bJkgHbR8ajyMvVycxd3dAqQbi9e95SJSqeOb5DF6J9rTNF4U1DKBvGR8E9pUcDPk0Ox
xxqwLcCUhTTeRGix3Bs6IVsUWflvd5jWScPOqMTOwPLxfEM/acsHVzSJ2aLyI4bnLuqPymdwkOUo
wm91qTgTzfoHOE6a6FzC9V/k3Qc0BEBpCTGbVUaDwDlDv/X5Dg/6j3l7r1EEp0Zc9m+Ewjp0U8vu
Tqn09NXAOpFh6XX0ioYLv2eQiJUJfsW36iW4zlZf1jw4zWd/jp4/ITqjK2Qoc2Cy15+c1r4+cLOa
jRTDwy0B+21HcfAq7Lthi8mBYUudZn0vd96gIGqU3C7iNWHYW0fCP8ubjYN+WdIV7QUfvkIQb45F
ATr/dysDzAZ1ryr1/Q3jw2Ut12DQVeJMpu72jGbUR4Z1zWV5Be3P4Ca9iOCqaZ7GDnJu+jqv/++B
slGj0HHOX+Ylet+YmmaYNjrZ6ZkGu3mVi58bWFnx4RsGKl38AVuysw8CN2GPReiZA+0g9A8P/k05
mp/gWhk3y0ni52eaoylpCzSAQ+8gSOfcKXJQyFoTVBXjZwLaXHcINJmyCZpvwGnp36LcGdGAOyH3
v6DhUnHv2RvRku3J3pw+wqyKujHtDKXliki5t9Uk8Rk3bQzzo96N9wSGYO5Z7JPAY2TjQRnkZdD6
ZDNJETRr+bOAoslaHsktsmzdY/RayAREee2OtU0GI8EF9l34boXsE3YJK+xHIXLLzPDOAifRAhPb
fPKlPzFBH61DdU0cNj6vvO6A7iuWlaiM7Pnfl5JPN1SCMhPQxdcOCsveifYfi823gKWWcEjIeTuV
m2JgigjEtmKPlX566qtGcGkUu+g3Mn/MuOf+4SnRp0w/Et9ocl7YPlT8B99p9ZjJT8VyA3t9nPmO
g9T54esC4GkuqyLpIEskatIcjScrPe94uaMXBaHxYiK6YIpJkWR88RC0tn19HzMX4f9kh3d1AHPY
HmxSe4r7k7Gpt5tO9TvdApyJw7CJzxRCgiUF8ftprdf0el5lmMZjz8oTriFTV0AON31jgnaYFaHg
cpxtx/mOW/oLa3W5IB71rRVty+uD9qQdWkQXD2rnf5tpdtakknALRNvI2zUuU6yRF6QzuwPohbUo
YCgdskUPAmXw33hOEUbtU+mqh5PH6nhaRWpYiXZMKvAZURRNhWvVpFoUov8xP36a2RMF8D8M/vqr
grnsxrBqvWsaOl+dYbqOhy+6QQQSMDF/jO0L9VBGHZHFehh2h3E65ZI+jq2vogAyzVvCWT2nNH8O
Kb/TQcTHyOVso7Rt6VHrLIlbGUONphEV9ESZtmO3UIdL6x2vQhJPtrVUL8MeeNnnvt6FS9DLKk6b
LqsYl8eKCty18T+FIyr8HCaAloVBSJcTK6xzHcEI6epaYupvxlDWv7kgVwIDJJS+QSGjgJsf0CCR
sJAUrkv2T/vcx19z+/0QkRpb1Ira/wUofOHSu5yX67a7uc9dBCkXOFPJUl2R/AdCbYhYligkXhtf
zcosTbJ6pJFePbRyKTi6NijJnjmk9Q1VIKAgFZFWmlpN9cCDkxoR8noCPOLCbBT0JGYd2yhsQ76t
zRA6sdclhSaO9jZWgtChiLJW/XtZ2WQOc8MYbG1Kwt0dk9wgDkGQ4i5mxSPmIhTt+9rT8w1mNMbP
3t1UrrdGFEJzlT5es8odc2fxJ7VeVbKihanFFCqhDkBFLi2YcFanf0QF0lMsY2OQJejLT9XzVPjd
6Z8diwdnF8NJlwqptMg/qDZwtG1FeLyKArjia10BRsL6SXJbEhEmeppuUB0zbeS6SA6zHx+kIiix
4Pz5jyPFZQUr+eyjLj7kG5TuJ3Izv7zZ3elpT131Ez52PwhHEzhONozMODYxS1OGnAqklr2djri7
pGFVihtIMkb+ckt5IGFiE7g9AK2U1AYiv81Rukd8w8sYnaiD2BoAHic/+AtZGPrplz9ZFy2ssrB1
a/y9hKXS0+fZQyC6xWh9yNzrp94wq1Ngellt0etwQf1S2tqybLFr4GtZbLTREXvcB/8on2+j0y9m
W9McXseuWRtVVFkNMmvSJHSp2J/yIsjPCyCGtLeamu+9YYVGOO6AksltEVeXG8DGs1X0rOxGJiN4
zpxvQ/jF6IvbK0xic4Eq+g0BPm0l4TvEtNd+HY76clQ8r16vUt9BCAWTVjG21NNqHpeQWGak6gGS
l60pH43BUtbPLJvN+ChXBMTz+H21cwkaDax/PMeu2wZusP+7UAkb2cMkUm8dIO0CJsy3JJzolLCG
GKvoFkF/F3IvtfjniNs5mZ6kZrlwWF93oqqZ2/fI4XEo8/cRpGBxgeg91/5JGump824nIC4F9PrL
2zB+Y5hdPxKttzXcnBU0r0N2uRMbx/OIJujCu6sRfteoMd8OmvrZ/quf4Qrw1V510sgpjohlYGrD
T3sysdfmj2dE/b/hJLBaaHuNqepkI2KfXZteeC64mxnjPSp94UPO2yVAXDhymPqBWAnmRXqWUsf3
RywQTpJ/k+TjIpuEhUWxxMHgWyKHB0DMnwJhnSaJkWFbvxLqBve4MqTBlfjx5Ugr6lV6LyVW4F5G
SrtJ0CSHg1zxItwjsU8frm3GA2DSdTuQRrhxMIsS4/7O2n3vwubZ+ciPHauqK21enP+CSBKXA5wk
8KVmjFIsVZN4sdg7KySyK45s+OIqBfgUkZRMCMh53W+y/mHSLrLfM/22wTuSzHxzrtOr9kH3JUYV
MH89jSwOnACMMj3tpAPaqjTeflMCmQ2RvkiXImfZmKeBoXUuI+JCBEQxlOZwUn62LTiRsPSrQKG/
GFN2V6P59sikkciMJYNvVOWNy9HH2PExDO37ziYdUgfTrK9iPq0/7n3fCytJsB+F4h0/v5W0tUSN
4PCwqLbvy7sILxbG45A6sPUlqED83FWxGscV6HXXv+rCsiANhr6iCzgcPZC5wODVL6MRziozGyIJ
P9FPI+81ukXsuFwjvDmRHeBdfiZN2GciS4y5EnqJRsEnPf4p3UUqa5t7g7MDqMZ4TNXlNfHm3hNk
wHrMqSN4B4xZzSAaoi8D1mFvTtoJMvk/mUIaZaXC8XKZqk9tU7u1bTJQ50jmv5le9JUFggQH+cOO
0y+7MRGBULWVMtfavh2HiDg6gGXfEfS7KuiuQ+M5I+1sZzyNMDRLBbNnoznAt6XbXehrNu48xq56
bP65dUYLOqubaHiAVCFUR7OEiVJlXhEWZ6q3w+b30NutMkb/7ckn8g2K2U2+kOIKTLRnsIKwXnYy
uYuFne1mwhjIPURhUezrRzS1IZH2Y95jEXqDoFIefdr3FecyurWJcEqREUfqtBLI1hpBftOYI94X
EMrnC7jbsQusclECaPgelgIn2ySblAUn8DIYzAPK48uJVtwT1Vpcc/2+TGbcBG5jdqGKyL7a12dC
LjV+bBtUMBxFGfMByVt1XGiXCoHRhm0DGInugQ7aK5CMeS3wtpoQ87kb+BbqDtr9b/yuehkJK76O
uwiy7t3Y2E9ab+ngX1S6zqEhPyyF57CChV+MItzzu4wpzw4RMkw6Dr6+C2SrXjFDfJ7LN4Eo3QDp
ILeqDj/qtVSW0PsMgq3kyJ0Bt4RLqrU8ZQ65C7wgOMmwDJdVd3lgQfmHX+ctaCHcurUgZ5Fa3Dvs
oxbb4PJF7DrVKk6K7z9QpDQeqz6RGaj1lJGFPobjl1LeAlIbSwWk07mwmliyKf+kt92yy4YNTkeK
C7nh9BcbMHOSbl8IFfzm/NWCm3c7X4g+09l4Pmwwbt2SsPpSA80qMssANxc8jj2gR6lJcJ929OAY
fyeMlKqZfn+sJzKi/TI8y3YiCA0zQi6Uyp/fCywzq+KR9mutwysFCSSTKS1WizfrSKPu19dCwqUx
xj9ispBpz3oFQ1Z1FHbHhG+vLG0zPfr9kVOGuAK30KhqwMggWJZq5GhLg2XO1dWzDsFafYR6tSG3
X3rhMSOcfN6yE2uZjxFhrtTVTmbj6DtbOwlcFWN8rykiTI3NAV3QzSfZfL07xcnCvfPg9bU22hDK
LKw7iF5NnjWd11R/MZeT4bQlgxtV5Yoqx3hgLf89i7CWU/VjYj5vvQGGnTawHpsNJhIOf9yFci3k
kKVG/MMUced2cerx1qGjRRczIDGF9lINBtDvim8yaSOGyOxyTyPp0eXjTuU4VaHotounaDUfNtlu
oc4An+6qA24ZVpmHlL2wjpVvWnbmgDz5ULDCluc20bne+qg3BGOjf0ZGNnApPfCehqpnDIzhYNws
kO1MdI4S2dz6j8AfK1ismhhE/H8dO+SNAQonToAGVMdfqyat8GIPIzUILWbgitsboc7OJQCev5Ao
Oxuv/ohCpYshHS07U+5EgPTVqzCzJqQAwtVlWmIcq9VPJ5b0mBBiVMp3d4PeMjuACPOy6sBWoqqu
d5/Oraf51uY0qpZXkKjmgAvqOBEncB1AvxcX1UaQf/0+UVsh3UeoZCpoPH7+XISsEFN+4wRI4QWX
DyHtbdTfO9s2VhiT23OqfCtmEewPIW/jwnll6nyVv+QqtjqI99j7jntWxf0k5VTJlbU7eKP/Tbgh
UEJlLUH4gzhWS5lJHkIznA3wSmVo1lAQZWs9kHwgKhbJQ1UM9JqRg+P/fBYN5LBwPyvAVyu6X4rA
YoweVhJWyvt7BqUwlaXmQx6b+8yovTWH7fdukXF6LdqvLZ/z4ocYUrtVGuckYqjwqhZoo0YhLHWo
YyA8RUGofOygAO6jFAT5wyWaDkbDXtyFSecP7C4fpCia8S8aevM7/W2xd3SAcG4Jy9p8zrArcWCE
KnAOLve/24vNWsX6lcAiU+q6n8tCGa4/Smvz672yT+B04m6Q6TKRP1xB/4ri7ujj+Si7X6KGEtVL
RQ4SOv3VFzFJFJyD+9keZzvRFlWygc8cXINzCat5txD6ENEBjk1zpzEI7FHnCRhAUs+bsvjjswI0
YCcM0HIC3dvaDknt3dQnjZ8obYqGF/ZaXq/kiYu0tW+3Z0f4pnsdhHi5bR7AqUeo056U91vU26wp
WRx18I4SKfVpiG33PBWe3nmQWRiOmWS21f6qJ8qFheUdaRUX29xgrbmy8Vuc1SAvVX4EQ6DvsiNd
/gun2xRAWAkg9TD32FiBP5cM89Kg1LVDKPWks//AXCYkbiFs4f9Aq9WxEyvS2yrPlwH1cY/T7RLg
ghA4mo9oMZrQlble94ZrHU0x7BDuQqWM7oJcqbyve+mkraQu30LE5LKbKFiQsLkBNq4fc1L4++gm
QKK2r8zC5Ho6xbVJ4RyAFc0FQ0QaX6oiA36epuIUSLFBVExGU6LR2gAfI1Z2U/+qlX9txowf9Y53
LMEBd/RhzidcI2BadfNNnjOrVg0a3spcIciRUf1OdowkPXqeMF1wnsOP8PMkM7kkD8zaoK7xZxai
GVCmQzFpGKf5Zmu6xEm3j77FRlzt/GP24tkI4QSLibX6Hg6DQo2VD91UD8txhzJWlaS01ixq+57b
pkaS+779UHSLqDFGX9fsW65JOfAnfwedHhLsWJeGKkyB8qSktmsIT4f3tux0zJlEZaJrZke65b65
exet97G0wpYE9O5dHN7Dk2B6+PcgJERdHHWkyOW1p6WwdkZee+cDMGq7zB2aiqEitjndjl1z5cr1
0RMI4m0GNtfpfXgNXefTVVMfv1KQPg7S+5WP6Oq8EhEOOwMSwvVnuh3V005fBO8jONzLqnAl2qJo
+9KUvMcqQLXRkRriSMRBVr8LmWEVHixI63aaVMeLrbvBx3FHYHqrXYXspELlLnFBdLV+SH7SXphw
MduNZnYnLALgo9/9sPggBfC9/lr4E73YfHUG88x3iyA14Z8t31jnkw5nk8cuy964WenEPBqzcRqK
zCXp34NjILnN3lXurqcOU1Ym3JpMXvqwjghBJL+Nurlvr8cqvCk+NiCYDCFhDxQqnw4S78IEWodO
7QLZrBkWjsyslBn9tt7UbN7CAr74UeBxFADdybe5hqGLEDGzkXl7oANI2l8qYpIWTESNoFi56O/E
UgeuKxsFHfN37Ef5RItULS5JdofcIEBkfHAXbPo5qvUQ5UaT1xJ8g1MHuWmj1nOJZbV6bfvYB0HM
MG7Eu5q/sXUW5FfuGa7F0xzSQ3hqgGVkSt+wQKwKqNrGcT5LShunJllFCu7kbmymQsQOoRltG0P6
hDOr2bCbxtFHS/oV+yXjbJuRi7Hn70uMcpcS6uyryC4QVX/Lr+sQM1sHHtfyxWO82AH0vg+kn8I7
HR4oaiY4Kz+r90Rf04h/y9xbsp6jrlXjmwCotFaBEfIeQ/BpJXcjgINpkeQ0V/2WPfd7NJjfZkXt
6Ppr44PggDoQyvahMbIdHhzA02CXJ0KVugW96kSVhp95UP6R5ETSouU0HX/S1XvL5vkyHbJBeXRZ
hc1GnuP90YbuSCbVSP5y4F5mOX3Hr/u2sYt6P32eSEKdXA/X/KXY//RtM3TDoyJUKAep1UjtaNzB
IeRp04hLPIjvM2RjbVjQ15SydeEwOuI3imu05OrTgETUuvHGB8FbiE6TOelJhi1JIT1pTPrDvNRR
+oIGPy1EhEEyX/Z8GtYeFcv9JDzxDIvFQfkM1dfz/9YQ985HBBlG4NTp4U5q3dGHbUXw7Eq44xp3
+PAcGLTY5vV7L6Y4zmEA3tTjZX2K3dBXlEJeFKoiN27u8pWy3vyf6qxKwRqoiWceXx43UrClJlLj
hT/7KHfolWWZ4nsJSOf5mMYQMM2eDwEE5i4yLlC6cSZugWcYfwepJDOHQwJADqu6lcLDNkzWQ0bH
wafRFfcFzM2hnr08oAuKKXeFrsnAAWg6PN8TMJQRfldh54HUSwz2XhC5KTj6eQufvV6td0NqvEun
bULB0jjjXV0Z2PFSsj0wUWP7eqi+1QgkwDVNZWpwPe+CJUzEcBDS1Dih4xqY0AT0wbYfzyis4cTV
4NdLMNEtuLU9K+/sWyvsilA0HKrB84kfpuhGRfCcm11+00IBihhnMoWEpFr+ZoMBvPw3bv9M9ueF
fzSTNVfJY2SwlkNhXU4KLoRNx5EOl17cCTAQnQAamX3W//MmquUI2YVeccwRzaIRMP2ZB0BYtxpt
2yX2r4wPrj04plzBCZvTyxhf/qxEpCZv2xzaYkSZ6guozgLJTVqFaOkA3PvszcZ1uLE9FogZO8U3
L9CfkupoANUvYIhZKocKVcFx3rIEON18FtsOBskizpAD0i/Wh+AKXLCWDmKwxnz2y/pso0TSCcUX
VsWMmuTQ5tirqe6V8PWbTP7jkmovS+tcU4FbgddM0e5KzKmKkD8UopbUxhVB6SH4iEI/onWZnKfM
eYD3TPTjC8gjMHYvG/QmWJ7mXkQNmQA8ouWnK0O3E0YUxuMqn/RXiuUCbW7r8PeVQ++eKES8BvIl
3sIRJqtjxMW7M/52rHFIdfqnrZplByMSy1zsjU8KNHqvBryVTgK4F9vmAorbr2GgQ9PUCE0UFK6A
gn3tPIYU5gMsi4F1iYnHyEiop/yStE+y5HBKT0PJsKoved6gD0tzBehwFpy8znAScmxrvjdrRDG9
3YWRkkwVinaRqHZwmhJY94GL46G2rGycEPx48zjpsm/4bjuwWkHPvXoFjfQim+G6aBaBLVos/zJ2
9e9Df3iUdPo779CyScbuIMt7iRhmOlyP+sCxZbU9Wlw19T11upcZ0spTwnDwbXQdWum807KsY1Fd
q1ifI8crJltt1QQ7U3XVMl7rIAFp9AqPl+2d/MqwT3HtVSRHrnhS9HCUVjjy19u+d1znExiM4UcX
nfOE0LOUQrdicx1Ecs0i2M6T9wYX2autXGkZ+Ue3r6SmeSBJugdEWkVapXzV/f4mBusIjT5+hKjY
MKhek34ALlr1ZoVD40Bj06s4iaCBbfUdW4m1j6E+YJxTixe4qClZDVN8Du8yruYFfsFc9OcPt/ux
0O2e+HJrj25m2GG/Dz15jMskuS9T86jRCE0KXuPAgV4qxvO6TjNYHGhATyOU6ZffTkvz3blIsijW
RWm99H/we1jIysl2wqvVVIZgmH6E1UuMNXXJL53YZ/sk7DuBhs+q70OHd/OaZ+9KUA/AA0B69SpY
/T2YJtmK2fzs3Wemqggx6YuzYZhFNHDYl4WTVBAe+DvXivUWEEG3MvFsGh7w04N6a52zHeSNieRZ
1f4cUsNpwmCU/icABQvvUvM2duwzSoDeLE6cjY/aViK9jn44JFs5qwe5Wg05bxeo3ktloaGxF/Ae
CRB2S4TysjiqXMtLoi3DzPzPCocSPWVTyQ5Yi5IdOnv2QVhGKUGgzVkUGBOLtjCPTApxCchQzXs/
6xyGOYhlj14jWnL8j5meIHXqZLRlrSteeL/PcLuImxVZR9rWCsC9Dk9CzvAmehkskJVuDxs4M+bD
QjBVw5bmeB1T6aQPhVT4lJx5kevMj7tczrrMr4Go1aWRd8/QhoJqg+bMWD9mQ3SZjIiKi0crQT9p
hEsa59KFDHKEHVx+zqVSN+KxEtM8btUY1pDaHkvKT0pI9Mm8vmw714H3JvKsTwnrDilFbo6HPm30
AJw3xIDuCBOiDGPKnJR1WIHS+g+9qtbulYp+QFLBKgcqdIaQboPolKfr2dI0X/WCRmj+2yUcPyDm
c30QKUiitVmJliCJa1g3ZHIvP4kZbQO6DYUvPoAQ+Ah+ViVzpvUdJtjgSUmvuURVrnN5UFcD4ieT
pPf16X5C7Goc9iGQNnKQ+R9XVj3WC0aFldOinyf+m2Lc+Wfc9vJmcz60RyCa4OSsVKeVC0esD9Ea
kQJwn3OZ5/asHogfrIze3avQDf9QY074qvAnNpIV+Kjb+Ci3dd388RkfH1sulKFe8WHEGWMG6qa2
qQpfkoySgUFghQ4V79M3CmmNkpR+VZWLAYfFLOQypBPI/DF4EleycRgreWtrl2g/jFiFiZMT8dX/
YjG7obP8UXcrfyzcwww5QqgOjHlZaUiv540wT6GNdYjfIhODfNhsJv/ylqN75rOdb4d5Un2ZwbYy
kTmsU59WyFOomz1oIPf7FApYX3HYdrf9lxE8wadkO7dfoBTzyi4s403Vww5iM/bzLL8T3v2d5Jqd
iNZItGN1Jv0DkUr7lW8MWehlr6oNeZ1sPPfSnZ5rnfEnxmtI383X9QwnIX2jYyzsXn+RfOcBZsxS
S/yGQjSyO8CM0o/lpKCItHp6wghh//MurISTUU23bEfFtK50JfruKz8svddIqmQWaJ9atmF0K8B7
7mYQZUVCq3vG9WnUSRts+5IkxlSqbNnWtYR4puDL2MQlZ+2iETtlBwKIGCXjt23Vk5gLMJQ6WRY8
vVoRwPE38ogmK8hM2gv+0zJ3B4Ys+sJjM/JSkMhXZqBQALun5vNgZRMbLhrbaxR9b89uu/Hhg9Hx
0yDbm2WqOoWjNLK0Dp8Li0bOsLBA8iSzOOYn/+CWa/trQW6QmjrzDf1KrUJUGSdbgc45Ryhcfb99
JZmQEbj5jJqIr9ph5TVt9t7GZ4IkpO1OUO5VRqlKDUNjKhqLPkRI3m3abcyi4pnuRUS21Te96OFY
RAB+WLBqbuddq6IK170SuN6qZiF6wUFQnFM5H46MMaZO6H++oZFjBhKdlTvJZLIumWIIC//lQ46A
9n9lcQOun3hAxswJtQotINTKqKDt/qbVRmmrL8UlSdzEMLZr7//iemdeOTnegjgiwqMxmvNXT16q
0cEg1uxKkO7Z1ItmsHWGZEvTuokypY/tjeq1ofi5CprH305rD8dXYKx/XA/rjqe4PNSVomT99voC
rteWjgAiSvD92Nj8PalCeUizJ04AwjUdondYQjwkyG3UNFAGaChiEnTPTfCnCLTecUFAQihtucsG
6PQJ1o4iO7w4FvBVXuVnr/xhBgG02UYyMnu8Bhozluk9vLTNgxkPGB05/ZyXqpf7JzI2+CYHjQoA
yxmKzK2R2WKAbZTlCnog8x68NUJtkG9qlHx0g3jblbWKZvH8aHa0uNiPnY/dStTMGYPF7HOcQylI
kfuqrlLOifjyj8zO0Yl/B1QaTov8C97FJjywIqgG4l19bCw5rBlyNVXn/DMsFhRx8Oe7DyCWhOl4
T67OD27XSjIKUgqpAPa9OZucSbV49SCJzG+cP/xZ0vgnER4QQPegFYeAXVLDSYJRGaiQfVUO1lfT
PmFNpRW1oNy2Xjq/HQfdgp/vqffz6cIUSY2MbfWkT5a5hwzzDYyVjDCq5Dsa2H0cYPt+6VDcyWe7
gKnKCqMSChxN/805WPNqaqc2XB8tcLCnXLGhoATylh4R0zPc7F95V6lzsq8BJl6J74uo2ck8/5a/
pSuJLz64k0gOr4VOUdEJ8s7iViyJTcwR43HK04KuwTeLMu0RNsXX5ehX5OgWJ2B2qTDUVrBCgGtD
23itOhoa3xBj6E5o1acSTeuY5ZsqVKDjwj8151v2TvRXJz83cwcFMNQjk83GpSA23SbR4xyQ7euX
Mmuy4bNpH9D6wzEgzRA1FeOd5BkPitafz/sQG0MyRV99b1PXODcJMisP0BojZazSFjxRtHZbVFEq
HPx7KuXpU+1CGMqT88Cd4JYIb5HromihrU0ECouv2wo1Lqew6vOasw5oc7aPhndEoxkX8aSpEc3M
BpAum+gLvWLK374Yw42114TEW/s4Vq/agVzROwv0V6X2mDuLwKjTL5efmRPMbzlov6vunQ4YpTUq
EMoo8wPNw4RM+ubzn2PwzEBNogNL4kyljj2Gjxc3GKN2ZbX2wRqOHoEYUGhnavOEIhGRbkj8Nd8W
6Q0eE3aDF14Nl7llK3+oXA1MI8Vy1QG1MuSlGEjhHNU+wBTxX6jrU1D/MsQdA4Mtc0sM2IY14EF6
ur8kTK771a7cNg5Oh1/X6AkGwLLeU0lEf2uIpmFWKykHiYx78M0Iufk18qRIjlasHnxJlhY8Wzhi
PdUALQ1QH5JSZs4/ZNV62uuSc/GY87jefzH4re0Lf/m1iDRI9tX7x4AzHUEKblctIzQVwoKCrpdQ
2VkfwJO0y6OVdeKQC0YQ3N/6BB7pGVZl/XwFdJmyH/a4FKWQLeTw38Y4HQWLd5ddGsr4+2fFY0vR
PeG/KTbdKtYqCdStGljNt5LmzlbD/l9uExPMmGUEktRTbYTcoxZsEz1dD9q81GlkbTglAdO0azTa
y23KA4LCkp8YoRswf55/Yij53wcw8GHTcr35OONw3Fc4147dLt6ucgkJnwGWh0KeD+FKTXdmF7Bc
2Ul9FkvCHnf4Lm/RO9SIBztfqJYrdii3agKaAPK2PPl/D/j/LkDKC3mDqXb747dXkLG/qqKUA1Iu
+cP9uKPo9AdD2xj71bwl5nLUH1y6BK0o4UNN7djhDfWXRQeZgM6XMtr1L6y/iiLKxJ9dX8eOqyRY
lRERpu3XmfsB16E6QAOOZu8FsX/5aswEsYQQgPtChRdPgIvsoOD7pAvjlR3SOj2DtPCPfrHkmom+
mJWXybxpYiLT0I6phCcbsLahUBGgiUAYasaeLahgJIf2CUCrucEXli7HK92XWgWnAaVy84iAMJM7
rlJa0EzFS4K0Wn4bEXGdxwXKF1YDWXKlAP2lfwQypxDVuTttHKLYR/WMACkkukWG1hnCosPA/F9H
Xas4W82VEJ4KVdCW8+7dLDCpXe6+3ycTKWJKvBSlkOYORYtrp/WoCZbLbOl+IYr5K3RjazLoYN5G
ym5TqNARi5IbplCMmm8O1ONOM9PvjSwyhz0Dd/U1ZHxQHeThSxMgWV56FoN8HQH4MKAXDecVncgQ
Wg4XA34BXECfzLqO0RbGJKX8w8+x+K0rgnwPlMPKbfSrdCgfCIPrrzj+S7/8M+S8TZEQ9Bww2DmV
E/QvcUaD/zdDwrEejiepZeNmu0fvD2zck1nYVkOIDmD6UKoV1JW/URNjZj4gqGmIRQ1EuP9UUn2v
ituUbSfpBPTPIwVrsuoJHb+4n4bMmT44cArKPqpYYewnAObgyd/+jPMOxb0f6Tc4LF05SkkKFXj0
RNmrMQBL/a16wkPJf1vtlzPh4epcdlETXn1+jO0Hbd9lwcPEpUkqh2pdV9FNgyf/gW5mPIgHJ3wI
UA8qykiNaQEmBX/47FChdN/cjdpOuEiYZilLJUQ+G/vhBDXc23K4nEN/h70zZDBIuBhT8iXpGus4
ePa03don30sxLUBkc7KHxEK4woXWDj822a+jzYpWoUQd0cvzZjZtdYYHWX3W1cS8+PS/47SvFwpF
lCgLqn3qxMXwN2GSKaz+hPvPA0dG+31rC04jihMI1MxtHnUx+kjTbneVK4yfVmk9lmcN19p/q3AB
6i8pbYkeWTdzropuSUoL8xqcsJ2oG1RCj1tP+JUkKs2zOFTaQvWv6jbyzI6sgdZCHaAM8utmvweS
q3aaaDANoIceBWRjI4HXw8RnL87KlEFybMdHSvvzEAePDKyTgaQjVt4YhbId6egJ73pEUsbQyter
4r0QE5g42xDXj8D/8dXaQ5zAz7RB0VrRFz8Omyniz7CBCFu1wpO0JrKAMHFpgoAU4HJdoTjrcAOC
LHt8MUXARFnQf6rAMkn68k1e5MGWPqX+oMLTObk5u1SOuAje5IfMPVCEpW1yCrvIqD+z6jWq/sF7
uKYpZQ3TfV2dpF+h5XAmJW005aLpKet+q46jol4vi/V9oIkWhTFOTnw4ivh7HiqqNPYaHTc9m/5B
9UPCuHoFXU8aKxVUVMlhiLtU918CbM4XmLCAWPowAtOV/P9aeAH85wKfSCSQ1wVfTF6w88Lja6+a
DiQijsTWLrjUr2tcNxm/jmkNlQYpM/hFSEgDQe/76Ny967xKa2jLRpV+ECxaq88JYqeA+LZNsjlx
G7rTX/mAufxi1SsRq7JINLbxFrP0Kxt1dn/JiMC6KLyXo5SZSA4Tjlh3qHIZ/FIxcwLOpHFnZvAf
y/7IS2A4t/30LWAXp9KJ8jld45PKb9GG9mAvAk9B+PV3wl8IcEMWAoR9enIIzxykGF3/LOgGo6BF
50cR/bx95vSrMrdhDV4igaQmn/oSxsDa9N+dzsE1tk6Y1rFQyt70nZnpFu/s3Xay3Y0RcWIaL/VE
1a8l0eD7NFZCcJl2C4iS5zvHnT7Ip6rHQ9tMlMY/OgFbYERiGz2PEXfg8kK1qUlRBD/yo8DDamfW
dAGDgnecs8B+QTXZzvIiWPZU49aF9cPBmqDh23+xszWfyHUCtpCRFzDEpnyWQgjg32GCgHzkHnL8
JI/trtKWETL8oHKw6A4BU4O9wXmylsN/r9JITAV59ssG1UpfQ/lK4f74UnDrffwDR2PFZ8B2WNNj
cTH7zERiC3XeIuofiYkKt04lPI7E3xn7J1zl/urHI4LG9oNI0nQntwGllIznXCvrk0Gk9j0GtBNc
X/HlKYNmkOCOwPIq3o2m1TVmlhmI9flf70c5UGp5NQ+ypfBgGFVVuPZBgRZ1d9gHHpH89mL7FUjf
6rUq9g1FQYwsNEBOzVVa38sC2sLMppZSLFrgoR6ercQrU93Zuuo3pS2DMus7nfKNRZYdyL+dXADW
xzgnxXOKvbvJKHNC4s0i/Za+Khvj4S5RJdkMKt1PO4eF9dRoammGsnutkS92YaG7w5nIPlYLHn1X
mC+URoS58L3/1L5XbgqnlObOIiheuvqQsZ9BCgB6pHUu9/5GMyzIN0Xshn2hMZsjKr4Jh12Xu7wV
K7oVuZ5QbZetGMx+4vH+GcdYDT4YkB+A/4QsaIbhcAoPaiV3hLD/vz7r6LoXUMScwTenAQjLelXJ
EZ/n2+JjHyUk8Xq4TqUvFYzlFByp0BqT5XLB3l849qOwfEWEUjNIhwvwsnFo9pO0Pg+znyFkQDdG
CR6rPaxSairKHZxpgV5mimQiyY0lEZ6EPsWjdE66c1S6gv1S0OrUnpIrPYUaigMRhwWibmZk+zb8
NnMcEFWDLtsI2qlL5t4nZQOIRvWdIA1Ew0w0nvtnRrMGn6V1IT0iAKF1irqaArC03V2HHuLo42QJ
Lxlw5rsKhNYFacMw241FdtuviG9UEVIc/6NgjGovJrAz2+9oC8Wg2y8pBfhYphXsNhmHEA5WE6CR
+6y3Zx91kSuTq66FB2iDu01Q/wb9lAcT1E/Ja61gUOYryCNtRZbNDLUiMxSP99SkKUBJPm25krso
Ns2fW5waGTWrHu7Zbs4tkjqJtifTZtC/3oRbmKuCmRyf8ryx4Rf4amvATv7WVgnphbBeIphSdM6Z
yL2ngEgRMCrZIVs6mIi6kW89xcbUfrFxbR5pjrdffhs45VZfjT1hVVr5PQw3F2Dv7jXFOrd5ruUB
mUMtLTA5rg4098Zu40dSYSOxeQLdMG6JhQwoHuYSDnNYrt2Z9mc22TY7kGgUGdiW92n3/4yVKX4b
TPOmouGUBsk8E0lzIJtMvgZSXGY/K6nK3SM7av0dAVahg2zp7fMaQ6sw6zc6Fk21lOEnBHgrwZHJ
fJoJuTg5D4uop7NQFxHL9M8/7pC2OyITzwJKR44OYaRjEEj7PLv9RARhuUufE3q4LUMhI8QPAGA1
+LTc2MTTQYu3zv74kAJEyaMg8SZcgz/GtYdgLGFFwuyPx6635RW35d5KaohboT5SVAJj/oh/vW+o
LItmbr+XcIzjrU8qd+85s626xXFrmwfrW3dfzCMjFr0YnyU/Q/PIp91Nc1n69CVYiOr8EFM93tky
i3WJX20fl0Uc4DdTZtx7uQAniLVpudV6OGqdS4/ztLumf7pAOV6vK6HVHG6KChfSjiXgnJ2hbGmO
Ui+7qTC1qlZ3UyonDYMuy6GUEX3zYPPl0YdFx7jhmRu6m5btf5l4c4xmncxYJV+MBT39AmYoLu7G
5r18BSvlID9cSXareiT09SUqyIlwFTjbiZgxF2fSRizjAYlo6oVG+cyR5F0TCQs0od2f0eGBVUCx
swX7QFoaYfXWAI5kQPlkUg0Kw0a6QyZmeGlGGLvJolh/j5qJQOg23lqIO9m16VwOmz7h0gXTQZ17
3NS2FOk4glaGouj3O+pgIkm8boszVoT9QPDXR0kSwVS+fLvKkJnU//FFksWoJ+8n19ARSIYeVGtl
kUNrQ24Nq/W3EFZ2BISdiYTsMfapTtNIFHnDFiDnhX8zcj9lr6nX9eo9XMnzaeL7EeqwaFL0kl8H
lOnicm3WZ8dN1UdYJfMMRCC+3ePpd3tnBrzLV1v0olMn3WtLAKzDlpkN0gF7z/7zJI38bbDMLt74
JMdbby6fVcqgydK4fh4ttAcUOFWF68+ArzQG/yWhXjGVvyR6qFEK1MECahy3vZmWUUOmjmn3deot
MC58Z5+/2wnafJnyIkb8AWs7CN1+XyYjXIEyfLi1ik6pg8iqoLuIJ/wMPjd2BYAMHnvweoEcoeyj
WG+P+F3n7Fnt6zvQ+sPsBVzv1HquNv2oLLFo66tf5G5YsWE1QgM8Jmt+lpTPlnMFgu0BMTo59Lh5
QQJhOhv3FO1V3WsOtdM1K8Ux5WabAkmq1vQNgX86yH3Hvfbu6AEWr8SmdvKh1kzDK55bQC0v+Ggi
wJy7NPLQnoOGnta7zHIYg9B46oMgNdfhwm6sdnib1OY0KDxg9wifBexPbFx6OulGiYXoBoIITu6w
K4OopSf+o9kP0ws/5ey7YH9+R0000IRgYifoXxOA3KkpDy8yIOJBCgXaiinZTkkN/RmE8uOmlGY0
C+Wr1gLjyDD9XCQe/62bDACBhiZa0+9Ak7i7hhU40Y1Qog69UCC5Ut9lN5xb+gR96mAJ9uxe3Tc0
L0qxyh106SusLBNM+8o3ZsWdDq/qHmRleCT19PuvbOe/ejl/eZmSnbK+mVuYSbx/ITChaZSXsif0
eD3zubQBcAtZrPAyDEYsTck+9c+XdojMHkSOkpQ7BmH88qb8LU/D9FMrlzjlN9P7RMYLe0ICdvMF
wzjBrWXMlJeZZms+gW8ZjZ3VhMnALcmac9A7LJSrwr/CCDO813Qtp0bcMhAgHOX7+R6CLqdHNd2y
aaeL5hN/Kv/OZYIesEpw0JSoocp5I+dAZRqMah9QSqL7g9fC9qquRXp6Q0E3TJnjbQZjY2EuZps9
DrpHcmoK90qxuVzKbz922ZDNCXLBo3am++bv96SWWGVpC7NJSG0LLmrUGZ74KK0LONM6kqHS5MUX
3zPXkps3Lcs0dpHRL+bUD5ny93lDMqDZSy7P08VLxR1y2yBQwkxzJyS5bA4AJwPrqPNnzmMQhX+O
8jgIA8HRHfCSOUJK+rEWkCzgl1AVxLm5A8GKhFGOwcOTRVkW2eHBhPb22vpHrolKeK1/jOj9DmFs
jt79UJldtSS3FNML4LkyYyvedX193jbnc69juEVzwac6iUGs7G4x/HUX1z8DLJTFvhuU5wAqaZi2
BknEi+JEJYuLvsMy889OmQ06gLDEoJe0K5V1XhdPP3bwPqyfyMBuZcjV++PWfYGwMgakdXkHEMuK
WWBkefEwbOvFMj9nDdNVJVjzfBwBG+1YarKABtX+FEENf9LpRm0q1ICOE4IuoSX6+4MvI1vlbdJK
bchpgh01oJc2I/8o+W37XCMBZSGTKmtWxoWXgLvU5fwoNDfjgtqYbtBtflp6MF1p90UoQb9EzaB6
NV6OeAC3txvY5tMykFJvZsxCc7RA2Pvy7wYNREkI3N1t1JX6yVDjMOf/e/+Zx7edXeu0bVB+HO0w
SX5BbiAAJTlihHvBGOBZK+zLBgj22Z12Hd0SMNoqJOlDN/7N/rHwUBAJEzwr7qv0JvbT/cRmjylu
UrPyc9rP9sL3/8da7kdpYX7wQWuuSVeAhu+Dxyy5C4Smmq8/NVR/Y51Am42oDhp7EPKJCnmRzdQW
rVos05Lj1du3m8P8Hb3fX0qwiiYF7gxDWpjQcxXL2Solsl2E/Bb0CYiXlQaHepi/Sim4w/0Ea/Ny
4DuqbsHvmJCn25ScFRmNo3naxzxJeGP0/+j1S5tTx2DWMtpJYcn9te7cRvfnqgCIByrlhE2BVGh9
YuZARWk5MbIFKCp1ASFdIOa9csfjYdmD8HQlERRNw7A1kEEiPEZPBV1porZl4RoN9K4cZDk6Y2GL
4bjudBzFh1drfEhrxHGJ0j/e/UPD0kGuQbIRIye1o9cQdNOjblt8Ij2zr7qrUhAteLsTyLECIKZ4
VPE7mkqk+9/vClftsXXL8AlAZf/vcu+W5mo9TBcjp5qsGYG0rIxGou5xTqqouo8at80yGu5tpP3z
4vLdARCppFlJznS+ZZ9XSyY2sqcinkPXwqGgBRRrT4P7KAPVgP6oQfkey0EyLJGsuhgtmMhXgxhC
f+z8kdgHQk+eMJZCIj5sUqtELQ5l1flwTHQMB9LZORFQ2a4y8VcwLlPx0AMwt4V9s3LT55fMEu+j
uZksqzA0zk3Yv3K9f0Kg/J6ckBq6Ru+qqNotfFsZb1k8GhtIhrM8khhPqQuBdiGPZnqU01C+rEDl
zUOEYBZDwovLi6KUMPvNXiHg/vjwhC0NoVeLxRejOxtXemhpDUuURG40GhX+Tm7qF6GGVQYXyczM
TePZuO/uex0mHUJkBX+U20pRANtl4M+LgZyL8NqIVv1TK/MiD53zKqWhO5ZDX2t1MSycwn989VSw
ZIzKUw+7Biqg51Y4hdbesryUZ2X1eqq2uvR9wOanRztt3dMRa4WXtlRgK5SWhiKOO3i79c+UP0Yb
lUniYopVRpvGHpMS2fBvtVnU8lyzIcZWppqVROFjeZZVG+nORWQbNoUfE56tiVrt3mqV5l67JMSI
mfnCjQIAZUri+IP1DkhGii/ERsJio4SDIyuaoQxZgJUUEuNeh2GKhEcw384U60cDjolI6Bv4tLT6
Dg5NsV7DV7BO4EJkEPbm5eLKenygwTanAEmd9uk2hnUo9GtnwoGBiL15TdiBaIpBiJ2i9illRmH0
1uaukiLH+nkKqKp4ZW8udquVi0vzWy3BmWWeMt7MxfsHwqJ5Bbh+HcwR2vFr4XjU4GLX5kHR+0P8
B69cCRtC9ZK9ioY7FWz4jyBrnwaSLf7AUL14Xcyyvi5nxE7qntGYg6kNljpy9lee2WcOaEcK2ctk
mP+Ll7sPLUcM4zMSNmmU5uacHPZJe+7CkLHMfHxTbPlmPIINOYBi42uv//1GCmsc3LG1HhB4NWHl
0h7BleK9uY9wOPTUCp3jALdjnqVqus+LVPz04fP9ORqcEnTMTVlZBSVkIXyhTJrE/8W/sI8d6nX8
kaf/OfH+y6sHMgvMMX4dSx1Nw41EkWAFqO/X987ZUkqfRb5WtJqp5jeSxnObkPnjZWLj7GUyGIQ+
o5R8JZ+G8oQrU9bd1u8dpIEsv7JHMKUv9VtjnO0Z8RJf3DK1cVBjFw00wNQA7ZRnI236ZVmxLWsz
vrWItWWh0NzL1KV0jPP8rba9fgxPdiFAqH0sUXweb/EgFzZ2oZ5NiuIXEuC6OzMfROnK7fqgrFmZ
9HXQJeN8D5RfgwN8U8u+hlMvZo13chrYkKW1hBpWLVLfnOxxboih0gnJRntRUknQGZnYgprmXaBo
IvdR0QVmUtR/xkxVxhtsDuNMnGHI7lVoL8eMTCYboEDmOzvaOF096RLubDLBgLlig7PTcTmvaqT6
2A9jPw9D1zZhgcdhodSlKcCQiVolvnHh0PkYP3aZfY+Vn6SgLlAnP3ca9O2wSSi6PPd1tlOgAOsc
4J0nvxNld8T2CQ+MHZLl5tMDGQlsLzQ+4en1AN21k26k7332gmPjMGl9QA/bQk4/NOKmiDU2MFrS
hMK14NcrV7jrIvwyAkKi/yFUfx3rgmN/oUTCiVWvwUQ8IbH3rxKnPJ0R6Pwf5CSVW8VxWt1Tevd1
z1xlzzMpkCdKpPRPW93nOLq68NwSh0jaS/uD0p0xvGiSjEtUqNWKYfv3oJ3OFD94zJcNZFoZ8Jrm
1uZuhDsYP7c49sIYYJl3WGXLJr5qMfWNCd+q7OO9BjJEfDIF3GSLkH3E3JRfA10CHQYvb4ol920W
OzXgQEjOSgnOQJqF7AHFmduQt3qxLjXQqUV1G5zGO7ZZ9EBhnRe9LyaP2EsCKR82m1lPB6Nk+Iyo
ec8Ri9N8Lv7hDeJbwYWWGhUuISKwuw8uxJiH+swdWkktHlQWTTmffxvID3sJ0llfPVrC4I/pl3vr
5lZatcej2EqQK5dH7qXKQZYl3yMAP9Q4o1Ux8YpAg6IPqvUwZTFARwYL4mb68GGqgnT5eaLU5+Xk
i/neVXkp2gKAVQQmekzM0pWjsm3SVJS8VHIoir59GL+Di4hvunVow7nFzGBbzK9QG3Q+sXJiZJPr
yT0/AxjBdqB7Vekzfb/IB9/MzwOIGbHzPlRZNTRgay47TfX687D86NMqB7rYCq+Ft8m24RacFMKo
6QbDDqv5aLueShI6hdVnisLhYmgLbkogPdOD/NbPgl7TR2X3ZOEfqClHDxMWI/WeDULS12Dp6lxn
uiO+TTr2WxSRQwpbjzpcHzLZ2pPecYAemt2ce7/yJZIR5tuUHNuc4R6tr53zf0+AIJIO328NU0w1
2hEkkImoPOUDqmJXNQpBvol6p860ycBWVTtxhimk2285YLqNUy4FPso6rBYaO7UhofbCx8NWo1e4
8K/8aU+DM/lTfwcNPuZf/nwr2R8TrD81uCKM2OkUMmf96n9uOxtp2mfUJ+vU3TQG4NbwQrgsY2ZK
j44Em6elMrq8N3alCwNMNh1xlZdlrYkP9akISn3FWUkDZP/edJrCwSZ6/II4xADT7WFvrFR9CcRN
M63MoGqfZ+cfEYrOlY+KjhkRUcKc5QP4M9ztP9dgqAnK64kk02bUizElhMo4aP2/Qvf23a3eVKKX
3NFtC0u5s7kzC+JnLBEYovcNZ/ro4S0fiWX7/sHPJ+vXTQfbI1oTGgr0a/LMn4pdIGcVRb/vRwa0
sHbHX6AnVGKa0fwIWuYBrMOJ/DM/0w8LlviK4sH3wMM6oKQSxbZIURPkUrUli49mCxSJ8k/RDDTN
EZSdTDe76erBVYjpY32gobWs3bwP/3219ImJx3moZa8pfnuFGLN3p91ixEFW2rmNOZ22voR7Vr99
1HB0f0X1SjE3FXyepzSESaEHoSh4oyBsRR8TTagD9sE+6pRnuqMs6cnkl+tQDwQxDxPMPlYw+9fK
Cze8G/9r9kUcqLwmYw7En8AHtow4t8k2bEp+86C8BbBUjMXyViwyy9yslk5R+EzKQ5V8RSFKH9Zk
w35nVj/Ogq+UmrqeHTieLWcwlPRzttf/TaW6X6eAmXBAnRPQDqCtTmv566iQEcNnQtIlMiKAXXfS
y+Veqd/e+xm2iMrr6JjVfLUeXVqAPWpXMdy6mYTDzsevtavbCavC1xtn58tBq1kJKbuO+UyNYBwZ
znpFCZfRcottmcuIa5ZDc5YN8nbylVAwRJkjdI5tSmJleupsecxCAI7EDmitZAEguOx3hDK+vhrP
ZkoZIFpwBNcC+mkc0r8ATKhI2c/2ae30ivnlixsNuWpNwWqXdwYgf4A1PV9fPMnReoDnmq+sKwGL
0WkP/HHIopiy+775WfQZUFWEo4FaUOHS9rc+Go+p/ylTDGlbOatEdrQU9+ic+Oo1Cp8aGi3xDuLv
+JGRQrivGHzpNyp6CcZX8/f286umVmwLICzSvRYkxCHKWuQA+aaD5/t4Q5hR6u3FSQWmNi2/rCc+
06omiSuF3JpGO8BVjqikGFufYjzTB4GJubgdhjK8yb0CXVvd/upFqdYVZBLw9rIdR03kvZ8ibFCp
/ikysIjXyeZZ2vtn2hoceGKCtAaWS2lphwW79+WPR3YMitzfjOY9HGRLxI4U7ThR9/dc5E+Vv95F
5hFMGT05marnaZlGcpt5IQPL/NTLJZaulKJcvFGWDUUIQ0HddM94p6TIGMszJf3578JeLQ/gDBkC
fBw2nUB5SIt0RQfy+KAlswTtE7LFD/+Q/1td6fx42FrVzqGaSzV2RO+SKZq7ASZHyIkREgMQWXhA
THBApf5tTJ90LBC6wnKu+wDF2VB77PB7NwUzP5Iq6tYgTbImqsSw0DBE5BemIfFvGaNdV386fU/X
VKrRqYHPoD2cJTXSG/0o/R+j8wNs5D4q9x66xUyltsGhj5uWZ/Sc+yjzXthGBwPIvbrfuw08auyr
fUrpaQvLaVTuw6MvClRP13EZ+L+uzF8gz0CBMej+5v9+acdtiskf0wz0TnulfDaAV8Hzc+8rydCG
f0GT2pnLZC3DrqnUB/9a/GQ7LVfHhRUBpnAkJRtNRxhO3QyDYd1ISFqWY8DAEiZqjEzqkSlpUAOb
LnuKBak8yGW2JQ0+fc04WopsqYIxFQPhn8ft232Isz1xqM+H5/XyAMoCD1BHyVqEHSR2szKp99JD
p6BixmfkhPavDy+PAEr5L0kEHkNaAhQBXFaJZGS1lPa0wKpMH+ngihfXW2CbzVITnjf/bFPAQRa9
dWRcv9Gu05jKhlYhHNrM5dLgfg3yUfYVIDT/tfwfv2WpsnRY9CFDa22WNcS0wPBKNAvrOtLoE3R+
ar42erWzMWDmGH9wAH0sU7Z+iVblyI9g9BXA1HjP96e1Kzk2lsR+GQTsjoOoAdulQtXyPsoWmuLF
V5g0ID8Mmi/XYmQhCltQRfMVGzJDTgStEFzRQrjAKRsis9MChHp59pZS09d2OBjhsDDdhkK8PIZp
bbjA1upZpuJ+d+6m7LrSCHH/P0YS5wNNp2M4nfwKLoiT6whOEgScgcEQRIH5xnglTM5RODMKXxYB
XCjNsXSuygexr6/8iRWL44Bx5B60PLVauNuiGNV4b6HUxtOxpVXw0Ld7OioM1EQpscfAAIVZ38VF
3Fmu8AF0tRR5Us3Cx38P1txaNr9mdb9WQvC5T3EozVcE88xNN7bJR2AL4wdjPASbYEG2Y+YLCj4O
m6jMMM7nj+XbVXubY6u/AZDL4uwzMYxbcjVataUnk9/uyvZHIA4VWOg/ZliXlF/a5xzJlZHqC8ws
73I2A/DbC+T+w2VeRdU8nOnzFmvbK/XiTEnEEs2RFvC4MDlfD8HK7maXwdgZixMlJrL2nrxVTiYu
y8KNwdRsHGg6pvGVUXkwGi3XTaE0xCTIywXol+xzX1tfBGKNQ+EOaN0vFUFrR16SGjA/fYcWzZ5V
3cXmgeqiWUzYFemlAOyfqVYQsRujd8kEl85VN7yaKG17gLJPB8frALtTxjLlkufTFVe/ULv3QreP
Ba1/bUc5hNcD3j4hFdUgqZ5ramu/4dQvDt20DvDaWfFvRAOCrXO3O/bFx/ZXnvhBsvJ9YkpU0qUL
8OJpAPnWPefAacRZOTH6UI/nyqPuj3xkUFsuOWVH/ZQlT3TRJHgehNFoXYCnYPHOIKJpglY0Koe4
AmbOlcg0jIrLLQRzTOpJd3OrbsBCGycfCSWSbdwZmB84QesIH1ObAMkPPAYQhgY7AN5aXc1ayUdX
Y73GxqYH8zteHXOeP+CK0e4p2upZXGwGO2phbmvsTpdfXJI4WbKB3BvnzAGoDnVPLMnGiTPETk63
rav3c6cksuKNlJCyK+XKZjBIw2NnH7StzXrrop1qnwYGUNImZeoL5JzrLkV4vzHHhj6S4ysAg1wt
auS0790OvbWXhSzEpQVbcQ8oyiJ239GOhXmMFn0+oEtQQXSvGujec5kpsQKR5cbjwr7P2Szv5zJ9
YVxlOsTAZH2KHm220YBBx+PFn3ZFaJUGmv+zHBpZEipfnCPKf9lDAd+wvU4dtiF7S4ssnouHmCGp
WKLa+MGDIFi0P77mNJM3pUj8sBTeseDpAKd9MvhQhZwEV0hbB0yd8/zOYhUzEBrMG81r4RDZvCXl
ls/1RN0g7JiUD2xWN+HKvPNzNqi27s94ub6jxILvzu3VCFADTbCt5L6j59pDJIAQGkgdodJmWO4X
Gu+/ry1fVu3FAkYnWOAYhkHuD8ek1JaZMZeVUXj2hvBKTuB/ICxDXvGmMCu4Rc7W8Z/EZCyK20eK
BDqqo20wNbdGbFffav3IB80TYNBm9jfuD1uFkdqrbVztndgFtgi7vO0NL0lfvFDKjMNwutT/MydS
JbVbknh1hEjacB5r8GVkp+DFl5lPnjmvdfp7aP9sm7scFaz5pRSAU6EAM51/mMr/DWfiPHsIOm0p
m1L/V/mZB4SxveD/wDUr7Hf8hvVoY58rtkT0g1MeDjECYGWou2+JqeneyBEUG8UwJvz8lZVR8MTR
qDZ6pMMH63u3BAePWhvcPa6fB1ia2PYTnIl943b1BsnZSVD01youR8M7pc04BXDGpjyFaWpAxGCu
yYErro9hiJbUcI4YGwa472zApF22OCbEO/wA08VT4PFpGjhzRtkXI5q+7edxPqFOAgkzoyyXbgtV
DHXgH2JizaNLizodbzgfXKkLKurd/FLY+vy6C0XI6oq+mL1RiIcv5sfQB40/TUBB/Zkf60pLzN9E
gc828eVCQomaFzu5Pm2DQEuKlTot8yei602YoRfzX6OcLzIqSwO4vaYg/tcHKY3XxC+1TjHIDfky
iUOFsV7fBQrc/0OHE1vkqGX1j4ZeNC/nAB7LQ+rT9KNFsiV70NKGQBmi6Vu8boxQ0H2zubNo0vJ+
pMR0xMok3Lt0zFalu8mJnTtYmSPMgG4DoWdZjhiSrOJQttRcMYZu6WccMumAtuysLGSOS0BYIUAU
KimjPepxqgM4zed/J8IZft3BB4uBZNazMOqj66ZWDP+gajJR5i+JQxa5wqBXBlw5UKla1FbJOMlK
D15Zy+aO39vLuTyuM8/aHQOom9UB7TdMwGQT8HhM9a/PTYDc+ae/pm3LrJ9Ws6NMp3c1Hl3VKj1A
SjuECMtwWUv4Nkv9zalpoqbXGhpcQcbzbaENJaFctDEq1A0OVEoN9LhShNl2FE0QldqJ3LobZELW
S5BqQdBi7+RbFZmQfYu6NzCL5L6dVn7zn/+45gcLrtjXKS2KQ8bGSNhdNEKW0udl+hHr+8BrbAJ2
Rc5UBa+r6bQ06bnRyDZCXFRl7F3UqmmcCPMbnjfpjj2LXxTPUuQXZWxolkOUE780AVYC4avnSn7i
nk/VGgWlNdAe9lQLXQr3QoibwlalnDlM9L2z/rVh6/et6eTzyAbYUFMioQkgtz2jL/i2cvpABbdj
nifEltYjFTBp949KNMOTH9OojA6eQeksP4BeQP0k4CmCMtVYdOqwzd7cigY9c8hOLvoNVW0o83tr
v1gvnSz+LDT1s8OQDk/HCyyDE+WQqgfEiMo4IpLYZ0fJTyIJ9+FDNFMo8Yy0PVpdN05jVYboAaYN
7JczVQS6NGghMY//OTbrC26fizZmfWs6gE4VAIDiSArcCHuGqc8ECYs9Yi0xFVXSHHmxqT229WcS
dcDwp1xebQ7ZxTO7xoYmefrn2gFEv4FSnl5wNw842gNIXloTMr0dQqZfa8vpUmbSOCjchmE1LVNL
YgGXPYLaUCZ7a+ynzrJQsMUvpCBt9RkAfinR4fQjCGftad6AndRfNA3n8fhMR9O3kPGfSmyR1DFM
67UrzqBDcNcfshJtcYxXOyuaTtW7X2FrKM74T3saYUGSc3+0qp0BE87zJ12iHnslCcYizb+DQP1E
Saqht9NGP0FIk7oXnt26uazJsw9uLtCYuoybDsrM5Lvad6eLOakIZDMPqL7IP+R4D38q3LxexWEb
rikQqxLaUQObWsz7tsEWImlQfaLRMPvXuemMOTIFZPPZlpb26Z+n9BVRdrSRfGgP63i72fniOrrn
oHelD8sf5Iex91e2RmFozWVB5cif6h0G/tRx/ul62HEGS9GQ8h/E+DxEotd1f+1qAodrNGAsyaYN
wgYUUUlhvVbZ7c5r1PZJ0qhIyi74wux76OeBCViFbqq2XNiv7LZVmP4bVLWLbd0jYOGjGV34mDjY
QYpcdd84Wpf+gpKjZXIQMOgRMrtRe7FK3EAZ3oLAqK4vSbhaGsTm26WPMHGzSiNXm3LkFRsy15t/
33d+bBo79rrjoBdDWCmbOllvRLMX4jW0ixKAS9ZSA4Br32GnvDPxQT10paGbQ6dS2FpSoueb2dFp
TA2fwzkMokvyK9WRmF+Wu9Hg/3ZCRSSHQ5JQ738tSRNTeSfF2zEI01ZfkEK5rcw3Ic/oFap37Z2v
zLb+b/4P8WtKvrUETr6h3Xb4uh0cZPpsfW+A5eiH52ZNsAQzBVn5BNHVnyBxzlAltk0k4fN/hegS
N6AIK/e0/PN2GtM3blc9B+N61CnbTLzTbSOGE/2cZWRtDQ2tLKWnAtlQN+bzEcKtODuQTAKJtJl2
N8rPW1UejBAuwW/Vwj8p9mt/gR+0W/XCkMmLbpeZMXLTq9OrltxG6jzcqqLQGgFUJFqS+yjjyXyJ
dXOOesOTWiD6+Z03Cj20jyO7dFqqSA0X/olk/M4mMXWvrkvVxAkihJnznZY81MlJ0vL7ux7HSo4U
370p3GtRvvQEfhkcfWyNAIihVYPMFVxB0ThAIhEPmkBNW4axAviqcbMT9JLunoGFwgoJdbguk07X
+8xrbbTh4nNJAGEymXcLESZdTIItBcsUFt4PjEgFaQDYCBBFIyo7O2//L7shMU2gJl8fz8FjSELF
ObctTsjn/7+ZMRcVJb/O98bukFUDV8tX5wyYHfJBTHXk8XIJnqDCZbIvzxJXUEwBC8XpwqC0h2A8
/a2oldUYyqieifn7LbMq4XJcQefWr3N62sqVfV3UDmIo/5O2ZBYdKwBQTEFKsShoORe8J10bxY8c
TL2nojPmqmQDssX3srcysrgxJXkPY94BKyfu6ATHnU97jW2ft8O0INP2wGqpAwAMiGnw9sNuklJJ
ODTWbEjy8Dun5BZlzsfd48NyMcVRJE0k7v/GVcn4TsXlhBpB53qFDxWsxPHc90fn+aS1uIEuEpiJ
kj11GXrflcgr6hxwkh9SZ7DnlsbgHaLZ68U1yWCU8PXch3o2e2OU62Z0igt8H8JvWwjjB9Be4v8j
N2y5hQEuAKHxrUmgmy90GstEFqlLys0hJyJ9FwvQ9ZgNQDnig46AIl6jsp5eYfyLiyrKHhHA1c+k
Osaj0yy/xxli23PIiSvQB7CCVmznif9tmT6K5pUSHk49tXd6xHoG3NO+oN/uvfGwy3F8LW1OxH8O
KYpgZoifdUt7IrOZKL/pMuaWi7D34Qiq3tyuXRLUAmM/DcQ1iz69wSpvsJuaQBat3I8+VRVSkNag
pThprU4I9KpNNio88TcJ1sokAYtimiMaZaZsIZTVFqKSelMfl9m7NAoZNkgyJsEhTRx7X9gfXqqv
MtHrc/IIhOUKI2e89tsR1CLG7fxXUkve58XcxbajYEPn8MmHyj9V8MjnYxyxjmd1eJvdac04Muj1
65KqiSovM5s7oCgrm3k8weVCAzL6mTHCL5HqQq+GP28oqWqTQB8TxkWkThKUM3jCrzV6gXzBp4l6
r+um0uvlbBCYOS+6/xCzqH7pTYojABURPre4gtfp7FgVbPt7BDlgZWM1Tw9PF7p+XQNblK2Hc3HA
6jXLmFK11cAWyvTnMXu3M+bjSVDQd+htADZ/5b2Gku49RQg+P3e6OKgzhL+6rRSo46PV49Z9Qo3H
W89RIxQNnpn8ekkst2cLxASQiQtEZGlrt62748A6sbkhUA6UylEZ4hxAMBRMRJ48N7W/1JCibhBJ
ajVX4Tcy+sEtZX5WJ6i9eCtTYx4bA0h3gfa6qpue17FSCX5z+WTXcPL7Bmxb+5R0eeeX0KkRkjXQ
eTMnoWMCJwVLC4EYBLp4vRuyrpcAn9JraF8mnSpD3CWjI7CbEP5epX4caIh28mmg5dCnO7C1B4ix
Gou5MRAE/Z0S2J8dGEV+m+HPG+FKAsPRYvYrYmf29LNbbEARHHxB2IEtMbO4KUTg7gAF84rGJewj
a8QrjOm96AOPCqEQ9ujza7Cja/fktXh496hEG6J/Jy+UwqbSVyWYQNdNvV//ncdvlfdR+RvqUmck
v9wZPvWxu7F4WDLBg8sYYY+cH93Nl7DiHpyqTBBElkd92FLffLPwNnBpQn72AFLDyptNb0ee8Yu3
zsbFyqnogSnyTgcOFgYty9coz1lCg6YzWbbK80yNPk5f/ZQ0T+HKRnwt6IMnireOpPnkdfXZSMFV
WdOaZsF9Z+rPrYys1NjT+nt84vK2TBFAKKKeEQEOjM9RcaVv3SCa5j9n5TSfPLmtROOt0hEuqevz
SLOP5CQ1hC6zYTXKW5i95Begv/gE8VOhvy7wAGn6UGhzQ6MCZK2aT7aDlj86J+if/OSqtjfWGuGL
xwyNZN5ooWX7noJvMy4q+ZXomlLdQxnfPvbYOZ4IITPhr6UN57KaNqbqYfLHejH56LHHZjuFeNFP
ZZyvBJ0JAOdV6s5qNTbTVEWd4cp5leJ42T1z/bnIXCdymeaV5yB0z6YLeuhvstLghpZEfM0AR0FG
11pQLIXCGB+pe67K4PhPHY2AuDyW87WUfQp9ZjKEefGqw/f3rJDxWgotULo42WLNimVkmlNTkLdy
shHE1yjAaDHg6wZNob5HbhzcHYwJwkFU0aChzs3z89Cgz61D2coUyLe4CdUtK0RXT1OoYPO6tNy5
f2jx02yte8tV6LDnmkOmr/ENtQe8WAqxZbcv+p6oOPAOlABMkuFmbxS946M+cKSQVOUCSEISYV3e
feLgqADi/emm1NHTpZbLoK/ilLZJsrJbUqHQ5ePYVJMtfc+I0VBlewD7TyKwJ0a1EXG5RpeeaChC
orgbMgdnjJqmA9s2DfsgFI9pVPOsftNtackDH/O31SzQRkoCJWde6iFNXVTqI9PAXvT2V/H31Ceu
GB7uEeQbvclFDBfofrXjewqfgaSY8KpgnnbkRLHAc4jqE4Bg6ImjKpp5UQZPVjtQyP80W3ZSuVhi
tlEsAb5Sb2p/2W9C7UZF77A5jNNyWa84haSJws+c4R8X++6sbcZ+kZRhNkRXy2uZe9PRHEmGmf9Q
WiWxVzd0zZZxxmtpoK0XiEIzWoF/55R+6EYQB5f+pXgjndanCHOu4hlPv35GnvxBK/pj+L+Fjx/n
xaBD30aHrwtbuoRsISTwAyvDAS+JcbZVSvIWfUQaZP3JcTLKwv0cYxvDUmr9RPmxa6MhHebIhpb8
4CaBseiARyY7XNRDj3kAYrezeoLidcocFAomgE7hAQdisLgNrQEuzhehE0ZaYqlSqDKV/Gl/jeqd
egTVC8BxHY2V4D79f+li7Uc24Zm8yzr19POuIP3SNRYmTSHocwUu4rNSq+aMZzdN0b0+ne6GpMp6
Ah3Ovkm3YDDKgS++utlJ6OnfENHZFYsTIiRyDPeuqSXbrEfsL/9kOA4rm7n8i8mFdmDOpp3h2561
FrtOkSCK7ZAAcAMka/FRGMe8JhgwcpB8lTLVOUM6MUoqzPQUsxmi/lxuXE8fszddRrS8kVwg2saM
3BcoUlLj2xmLrCXeXGtClGJrOu43j0vZeLLIA3IrxVgmmuToR7QVZrpHNeJdevA6UMS3quNyfRQj
NOurYVyZWbGAsDEfigXztMX5Ghlyj2ppjWcgZCFQErOaOdIYLldFEgnTDLvjkHYdVQ42sqc5QN3d
obZQeK1IpLroBzKZNkT+w/vPe9xPfi7vtOXJa9SyVPYurn0hJS5Y+DD15fTvFeZD9hcZPWfsjLwA
lQfp2fZvsNARcwZ5yjKthOgf7gnhd3yGxJNeXYNGRaWhpuOJMihXm/s+MwudqQo6olQjP7lpolCl
+nIVZXAkmcuaa/pmP1L22sxxfN9gi+X6y4WgBuEFaGN551e2ddWxGjbcEXLhpLH1tgp82AteUSNW
xq7R+ti6ZNp1Vy42MnlNEl/jOQTtSWZk6W9MR99MzIYKvidkWGVxaWBJFOI7kxi0xoDCs09KbFwc
U9QRRletmfFJF13sHDnMG/iiJGovmK/Aa4vID6qHD2PcnvYbqzQXdHiPmpK1v1g0IOOzjCnhV5At
vbcU+u+qeB4p7fwYy47f172660WG1k1FHDx1o5FV/9XMslFGZT/Zj0KhRtKEahdrW2DL8KZF81sz
aeCQxOt0VOBuO+hGKhF9RS7rQLvgP5WePyyUYo/HKAr8QxiJybhhUBpAXmCfHh3lQcx9uDw+Cp6M
DabKOQ9bm9AotY1AhHxtf64qxe+J3jVmZ6SSFGPb2Hnn2wtr73Nha+DGtCpiEygoe394DTP5TObM
uhNY3NxQEZUATTTfK9MR/kBKcp80eKmpmEvbaGB4eIRSLH5B11AzOFMuyljLvRn0+wTwZHvD4Bjr
5X41JrQJCqCTy/TTEB4bUy3Zpp6bS3DfS7K3ThNehE1ZP1zaUxK2Lkew6q/P/rYZ+8zEvc+OPxVY
X8xb1nreJ/1BpjRcBoR8mX32T/z96haVJmRDRvY5LApL8Nmw5fVHwDlaamaDfzW8bcB4u685q5Qs
CFy8XnjJC6/nRxErHVQfg+zJTu/KJTcEZfhb7Vm38JHmeRgobVF9vXG2UmzXWy6HVveC2H/wcoyD
AJ/iholpbklAOeVY0moE0pl6a/nNVSl7xJBJ+7Xe1z2oVlQrszjYh9j6aoz2S5a1jYOJ80d/dPg/
fpGIX4ggTitEfpZhmKY7nY+3F1Y6noq9yGv0Ik8vq2GSTRP0AZLnk5DALkAGq+mBSR9Q1adviSSI
5vwN+EOStzMAispha+QeNJMtrhfDFhmH8+lvUuTWVqGI7GRVhleUaeY2EROr8zG1giATFf36eO6/
O2XZ3wX+vGHXh225P0oceSZm7jIxKpNJuRQFMJEM5dW0SCK550p6ZZctpLMLeWyrzZ3dAsWPuYuL
evBWX6HVJbcyI/aWqeRDL+6HjQLJQONqXalLgolxhRvLhHp7b6YCf/ILPyd7tssRFcvhWILSkdrX
PIhgGbXgIaSITFGbRkRHVklwxYltuRgw4q+aLQvtWLcK0DHQ1JAr85KDwphIqUb0GFuRyBSmyP+K
F+D6/xKRFRw6LbbezbH47Mbml4zj17sUGXVYBmQxMjqTDzJRqCKhCMi1ycWXFys96JabqJ6Li/k4
83QCpDS0T2KNi9UN95mK/raAvUGRTuDkeraMSAPoYsIkZ1E3auppyrbYvbu05Efo6QeThBmt2qVI
9Mb2iEVNK/OKqoisXZ3fU7P6TuXmUT2HJ/GHsNItGcshMP8I46I80zpi0D17q4XiDTInjRQnF6bK
/8rQ3lPbd4GYheHbuYCMQct1LTtXPK/1xrbH+1TV59Kayrbu51stHcQ5Tibnn+/45ieOoET9vcxV
XdUUw+xWO4EcLV1wt88YfnpBQxm2MloRQdnZIZ+wnY/KglhWDfGkbopIh/alTL8+jGOKyDdojhAi
F7B92b7uRavTidfsYn8+QZHVMT4mCyTg9BJp64kXmi6mBDtHhgetgpW9eTVg/pvrAR9qK7P2kgyk
eLCvRPn8+fooEK9hMZlk8f8Ob+0KlElRWzjOIIjMeX0gdl2vAdXWCFOjEBi8gGfzA7Gp5/k+99eS
LvuwfzlxlXbFPtu7xxXOaT/Ze7++cw0OFzDyXbNbxmfU4i+Fjm4obR17lX6qFhuSm+nmHifrh0df
/g3QzOUHw3/UGfGC7PufY9+GEf4o4qYP6EECqoSGt0NWc8v4gAFfrxREBG0EMPhRwsFVFlD4Bnst
2ZbiinlY9RG/fJUdUR50DrRRwd8yHFH1+vDHHiEzycshkqT9YRyFFTHSG+YmU1Z+44e3wg/+Z0+q
4Bs2Xsu5dd3l9oWlV0wPId7dLW5wpzu0jOttZzMucDjyCDl3uhs/Y+Sm8HpDFTLpwYzDB+GDN7YQ
YRM8m5amFKkREtbBf7GdILkua7F7oDHu4Sd5He8BtCEpcSJTtSVOSRy3pY/s07RI4rTYwKeFcGtm
L/wnYmMjLaOwN23JeN5ZjLyOcFyLHzxv63UL8MtulFkOOQ+B/8T9TXeEQ6AWvERnsDKNf64oPKdd
dnjXHMBcn6WmfPLbXTErkTkT2DVqWxMcklQ3tFUUsZNr75QZvpnMPB6C8/p9moS5703sHrrW3ITZ
BUEnO5quWsqNCI/RBOyO+Jfp4h08zS3X7zef/28thq6t0alvtee6FeD/cJ4sIjlXiKjy2guzjcsj
n4J6m74CkJ5h3nx8VUIdNYhluV859SjGydYiwDhCg8SsWDX4wkFJUiFx0+GTs3kxby+Q3Gxs+53m
ugp2gSFTWboiW2zmb//HyXtJhkb39IGE0lfcuzwSWy71ScGpnY3AC8TrPEojT3PJxMprHO6fPL5x
V1FFE7qmC2XH62VEH7KuTt/CRfIm75t2sIbB3UHP8SDxB4p2/slwTQyNhGwZ485oFTV2D5yZw89i
d8byJzGz5r/aOBFBHFJ28HYMlFFftzvZqfrfGFlKBPq+wkO1fua9JxzKm0NR969mTx1W0RpJmF2t
exa1ZCH+ZbAFoLWwoqUwfj53I5RhK+kT83cmN89ESbsxupkpS4aMw+Hy97duzv1O5d6OE/V0BlDg
mO+LG0f00+iyyP2YFZhbh+I2KxreuYNjgzV4tlkYm0odR9vmC4mp1AJ/l5DjE2ZraDjnj2YPMt8l
cF9xClgiOLtBCnE5ru6jREhSl6J4pnvs/0J9CY5ccjLwvsZ5+Ig9hguqwc7yxZUS5xme+l90ssEs
VY7k24fFhuAyVFIK8thoLaopjqq+qoaqAQvKpeH94+7HkkOPtyCEUIUuDATbFNrZ6EuHFp0NLVgk
ruHbWQr+OVXCobjiUivrMwHAaSuB7GxqNqfa3m9lkbO0PM7PtY3heqMZaNJraEa98Ljil7NRxOOW
psqc1Bn0BjSwIJUQJyqDzlv9qTP6TXTKtKrLXc0fBAn8B5isupXqLbzTP54AnkzMMlacV2WXPPyX
bIKOkutI7i68isWelMaT6fSmsIrj/61fCyZXrCJtWHavXLX8FqXC2QcMisJ02SM8sqZ+1ajn0faC
ZMXt6olM7tdWx882pI1RvuJpSEKwBGHxskZCWaEwPfZtmEzvJ9S7AXU1IBeZfEvC+h3j8PSxwafK
2Bhldf/a3Efoktg3HndmwG7BhfXDmv4es0+yQaMq5dpZ3uUq0wgb6jD5QAv8e7GOhMx2AFXVDbQS
QldAQdIp7KU8UpPVOWQb96SeYrNsZmOP5Btoi4mkasGTwrb8bEtYCSClDAY6coHW5h4/oxpZnpO4
P6x1Y6msWmAJxvk39UJlXLFwTGmOKgWk5RStHMrc6Z6tZMwko6xTRIQEBGMU6KOYP7ol7KqVBA2k
f5zWbJtmxnsWtm8Pzoax06IGBa/vLSDNrk8yECB9lDf6ntxAqLePMhF355XKSxialhZcfHQ7+xAj
mSowXvl7kwvk1u+BM9/yjtI9hbJ7ef7JEe0LUHBrhxrnA/laE4T3P9g1+/rCL1wyeMbhZm6jrGBv
SY4pE4mtC/7YN4IANqsB9ml5Ik5IgA9BOjdgHDi1HS6l6Qt0mC/kjlm037op+1TgFWl9tpIEnQJ2
5RpTA6o0U2jsK7T1V5C0LYQ3thjxkCAzEYGtHPG3QCHo+KVrISfWv9g6As1eAviOAXT7U/iMQV7d
8Rsps7Ve/UVsnJTodbSAB4Ru+I1BobwuCg7sroHldW0jgMKfPkGGDJRwsH0D8fB/+H7h1cJZGCR0
MxQmopHGMS+fzgQULbJ77eVPxsOfkjM7EI4ip+yat1UVw6cJxpQzb9Odqhi9Uer4gzLktE9CXVZ2
G4/ybDETYlnBcrJfLyum6m1geacm5vmJXoKmzZZyln+q2QFDq06hO8fjCvcjeP+s1LXngAPPcXQv
aR9r/OAq0YAqScDieA/0BQvUro2qFJ26rgSFI+xBQjO9C8on9+xG+g3xvTrUucrs2H8zcPQ/NYy9
T61QWHRqwXg4gNMhPXOHITrbgh3ivxSidODjT05zCyl4dc/KKgjM1YsnuDVtPqgAIXyvd6JHb9r1
dw904ciM/8CdYsAONTWiXegxTDaZJ4vBtMa1E1IySO7tw7f2aqEJJTy+TAOmqbzM2D3wYA/MdQ83
ypDqeKtS+8IyQnaBA7eFJaK+OmmnutLpyM5akTS9PaQM1z9IV8iNm5oSOyxi8B5Q9FWBqECiOhX4
J1WLE6mxluZP6FxhnswnXfR8gS4EDKzlueNq4S9lo2p6H6HvvqFsUmiEqDGkM6oMdAJLdKErDrbf
4goel0NzW47psR3dzri6ZOFgeK3FlZBe0A4cFLiJr3174kdEEIhZcJ5ySc1/PszliplesWRqMS5c
7OsqCZM7rIOpxq1jz79rGTWZAsUunWDR8SNGZJ/JS0lrBpXVuettOoOioNRYhhv5AHvPsO9wVQV1
uM7Wc3A5nELWgAYjYcXFDSdq5n2Bv7aBZl2VerFtkIgxP7VxcQckXRjxbWu6YB6uKdO9OAamWYLk
fIYMxt4wDvELKa0hx06C6dTb2WveprLY9+OooDpv5otXqC4pmyk6zfEvrFRvq2LcaWB0yUbOasgR
6dEc5KNik3w++4GjhSE/HMwaTouzCOqoxTG6oUdj2xo1pPzCOv/u5lGWlaUxdaWQkGhmMn8vwTiF
nR3hCY1dE+4r9M9urmDP636rw0OdmjW8P1nTdorIkyRPao+3K36ppIW75rcliz4NZhwWwyUKPmxU
SnkuEu24hlwnukdKRBFW5Us+4PRe0PP8BQUtjLF9ePEnrzFqAw5dgS73vg5CTGMwaIlvEn7ZHMaE
cq5OXxHW+9n2XKncBxvcnqUVVo4OBp64ZWlHfFdhmurxYVtIy9bNP/dYFbhNnOPtvjPV6IuSIjjI
FCHj2izrNgHgbefzEZkLGHWx3fmzEMAqcIX9KkL46fSsuniN8BZphXsgpyTdiDgmJhQDX6y4EJCW
N7gP0oPXoUK+AEUnexKiBr2KPh1+0P7o6qED1R3SBhV5yh4q7NX555xt+7WtZ6bn1lclkCWet7d2
2tTEIJ025/6Te1x4mC6q8rOM8eRdD0o6uwwW2KO2AMHqXfcJ5mxKa7/0l9Avo7A9bgITxqGL8yDA
cDeO46J81o46djcCaHa30Hcj+Ktzs8COnxkaBIhmWRBjsGXk1P/fZxALxFnfhSa+JyFbdFK62LD2
9qHQ5Qd0CXH8pV9J83SkfCzgSWy2/794XpOtVb1bxLR9fdqiUfgEjlRZrvs7XXcNtf9ckGvW+OgU
4AFX//ieHEQaEJuMtZOKbS00XRcql7cq9IUs1u/BSbuPeCdara61JRHZSmtK3YsQ6ajMguufpfsp
v43GdpLgaVC5JLL/ZMe8ji4oEQXW3IM3xD1pQunVbeXBS98FLYyn46HyDm5edjdVAGDFAfq2uPdu
iSTy71TwvcRq/Yb5vHAPyuORcdwsb18rz0xD/IAepgvlwGaR3SkZWb1xX14ILNz46tdjXSW0xZPW
TqWfnF+dD7HDP2Ui+k+G5YAewp5osD6GpI3U7W46+W19qPBeICo5AMhHXiGatkJ+VZicIgT+Ln+B
jTxbltGX0QyaO50FJkCqo+79iHkgCPmnxPP9E3WFCFmS5B8wLVBUuhc9+3c7JYp3R4uz+d64PT8h
rx8msetsGUgVGeQkD7GXlmKxbbZaSmqpl957NHFz/TsBgEnsmqHu4ksUyJ0V/eBKfMjaari+pSOK
csehHSDoBTv98DnwhPanoKv9mR/GhjfVBih8qt4DYB+9oGiKSGwA+waQippS0qR+m/63exGgpzK5
rt1NsGbGRAso/LunGZ8+8i4UXlnW5Df+Lto1Q+QB5V7E/15UHK4Eamywidnf7krBn5PlPhTi8KKg
arTiRVtEmxFYfPhpe/cQ750/YvFgKT3ynmKAOKfKYm11SJMyozMMzMoR5Dow0Cq6qvDYwQqPzwHW
FGuSrHquL4u7fKKxdJfBby5UZ/9ygMMMiIhwGqcdbJArVbW1uXNtcMFhGTmjf2QsAMRv3pTdSeg/
9oqaqFK47zME00+Ydx/515/xXCJaioI0Dhg0wi+RpxQuK8TwGb9CWmkuTNyAaApoEOXTl6M0HVbG
h3Qh23UiE0fgcDOVLFk/sOFiZoMxu16dMW4GoOA7FVacno/AVdOUrjQ5G7fk814ZqUs1gbJz+qjg
LsrKk7hY38C1iKDtyjWJoWmSk8IiGEtxczFFJxjuq2NPX/PcjGRQxsD7KWgTdjmOwvOvuLdC7Q02
fLjzk0grfwJvd3UuRspkwa3UA7dxBvluVtsDXPSKee2webVRVlk0C+N3Xv9Nef7dvVa1Y6CdLphf
IonLcrs6dX3860r6lGizzRw8YJSPt/lf5uRj2Q5IwSP5HHU6AYFRHwnBCkR9c2C557+j9vPxAstF
5WilIMosQBPXzu70ztGoGEy6tupzVbeWh6yrSVCmk9+JXNCnh1RIUyZdBvjFK1LLGjn1BqSmZa+v
+sIdCCw4nm0kDDzLIiP41oGevzfUfxZZki24wzxu8aysxjR0iWq5y24tT5tD4i6j2c7Yy31cXd6G
qZ+BGV9NpXEUq8vkr2uIKxrtMtI/zPniz/VfkS4OPidnQE/agDy98KsTouwvYAmpb2zXzPGEPqhd
NGgBmOjQrY6YsoRkLSFMEwIUX1bhsGp5oIUTF5Lgh8ySKVEsbMpKe/UkmEzi3HiDVYcNgOmrJgZb
BWbrfX+v7n2GRVznVWIvHHAfsv7r5Ne/F5uUF4XZdysjvI7KZ5a0UxXs5mgK/xg5Ma6CNR2UuXT3
kNpTMmavBKQrHd5Cp05ukGyjSzvZHzuv+lLJvsQ9KKH2hJYetQwNKWwuS+moNXd5uvtAuZhb4E1Q
TScFejNBBg1Il5Hp6tQxRz4lnUHUZJJrQgIyUCF18j/RI+h8svnfBOHd1VJaJIqiXq5cVaB5/U6g
4/hCFJNiZq+2VrIYYoYMhVfsGEXwIBNgp3+RxkDcMfAMP8UTPPOEW3YDpp6mxO/hvcei3qqrPaJk
kPBhbmxE4JdnLmyFm71f87sXs3scU/QFdmE/LpK/yV3ODYciZBpVxKDPFkWPY4QK3kxeEwNNNxnr
Y8ikT8sdhlcFfh89oTdF9YSjqTYpJUCyBpS0Av6t3wyOUdl/TlNtAoWpeUc/rtDbhGMH/rzjMZI/
SCRK4l2dlvYgjYj3LXIHnoR2qhw4BAUUW8APVmTo1ZR+5WsEgTKw12ezhE0va37z4dQoQcPpivIh
YCkFLnJmEb+m8K8DqwJ4SCR8SwrMoGBrLTLJmiBgFrCj5Y2ze1kdl3Qrfy5jTcfg5y2LtsoCtvq4
XDNfqifaktls1E5OdEupx+CK9hurEVTVx12Ky7RKapOetiTLpQmkHGADeZGsyno//QAkxnqxJNq0
rrJOBay/hoAMJ41lpolwGJGMVDZkn9JCLcMyYqDsolQfKAtjEDWnUXVjvlsN5jFkO510qkjMY+dZ
2olmYe6ex1u014372tIL/GlrZaTkUtMZXQFJZ2BBRRZ9lMXbB9f+9+fMBothzR1sz7FHto3HmTlU
hK4upg8lHT1iGYcmVB7q6G1BaHRyjzVOudjGv0Xtvi62Arx5dde9ytpp7gz3pJG2lkb0rTV9cQvX
hGV7oZ2Lg5sjVIC4/liffMA/btiG1SQrWO/pdMbc/3L07Hg+cIiWONpYTG6CGymhgINUBDBKMOW7
0u8+JuLEIl4Mem9DL6PLpwPVcKgHcDJYAqt1t2zq1eu4CLlmiYOKh7Zjvy20bOWFMNaoDIzv2AQA
T/O3xcKo8xgbAclANXce86oJ3eD4zdNt8qQDcDSb8UhDBEUToI6zG1aMOpGsyJHFNGXD2yFqENQ0
D7QGBhHgO441piHo086EkUrAyQhBtvqcNRr5o6gJMHZsexhy0Q9qmcLNiWZ9ZhzGQsbn6kKkD6nb
CVYO2RrQLIETNkyHToeh9rv927puyWaWj5hmEzRci5CL37TKDScsLRk20CxAlpgKRBtHchMrLNYc
4AiIWxeRCb55lUslfrzKI/2teOG4P2hBlRH6RX1y6k1RH2d73CmYjsyGh9/S4snyp2vCEwcXxmae
bNz93dB8fAdvbOHN5c1Ank6CbHE1hnEgFOJQ2YtGbuxjAAExxNj20lPUWIG7BIIYd07dK+5yGBVI
osQag+dN/mGSC8/nyYJct974btzBak6tpQv5H5Wu2bpfpTqalZWbbDPH7a282IqcXENTLFrF4je0
D+il2+5fvVd/ogubn/s+yQWG7pc8G1X1b8J+YP+9F0mayef5oCoG0GuoP/X7HrgoMlT8u5ZCcqpY
JastEPygEdHwj/JM5T5A/w900IJxRE7kfliaNCYkXPYOTTr6BqdrjrYK6TQrB6Pzn25/I2lPlvym
J/aZK4wlXbJrcCJUXwHz8Umf2bM0Aqe8j4hX2Nhskn9IEfZ4miK/Vx/aeXs6bzFmmJDjk3wfJ0L7
8VZDfNdyGoRt81jBskywjpXBDODTZmhVJPQnizAQuOmIoi5iqQ9F6qH5G0Vk2QfbXv4G+2z/lcn8
rdenA6pxM2q6MyoXeCie6SRrYCdVTUReEvzO2cSrSpXEahWwnb2+5/Viv0OF3OMW850gMrlP4y8e
pPJoQBQm9SxlKXzLgm9hjVx9rGKF+n1uvnEgN7tWeXN4XtlLKrZ3eLfDV6mU7rE1F2lVvKGMg+w/
V0/ULJjKgcaIselKZVeuVSamzERs/VI083h2wUz433P+EIg4GtcKyfuZ0ULzSe1oVmXRfFbqH2Lr
476+2sP3Cu4MKH5uHElxbDTh/F0vQ/FxN0g1zA0gKa3O2RhEfZD0JhpTL2MlYC2eTHRvRFttjtXq
Kpttlsh5ts4dWv3CISjNbnXITi9sBP/cyGb39p67ds3xlq/8QtMQoXPpDGaAodRsj1u0HyTXrl0c
ZmwmF+uI737lZvVqJi3sbhznjwoX3SuKQmNnCRGgFxy1QjxfogbzSZrzA/mH8SZe1xpnpL/TrYLq
icKHdlEJP5jb0PaIgZLhhl/adJgS5qBIKSicS7ptXBBtkzXaLmfrElbq7hk+3oNgGUamBFhNZ6lY
dhn+s4ZjGXsraQttfc1BIaa4Asr4CjxO+80x8Hd1GfKiSgC9c4mnI4xrAyQCQHEVKhXZCg1Q2oxv
U2Av6Xial/+7nY6jdqZyvvTwRXfJ6V//xjj72lPzuZ85nrt/qBN+pFW9Jz+lvQmWuxpFTXX+vHHB
xnySW4xGcrJiYs2HR61RE5tSAIenWzWcQcMnwMASmz2to+TjOzlyPyVuOhTpbZGFZPAx43fhn5NA
ZVV5mg1xvnFleIF3H8MFz7yXxSaw6RVUARPMcLkcrV2r1u0UGCo+ImFo7oBm1ABacQbI4Pf5fHK+
f5scsPZvtSfQzSz3FNJldlcYdC2K0PVmjJl3fP5TWyCas1YqFqipWfnqO/ATUCepqsCKPZyfI2UZ
s6LwbQrZHaBAhyHDKwfgFbF8nTCxzDFz7P3Y8kfoxRpfZxhDAnHWnVgo+sYVAy5I9cSAnk2M1mav
an/BmGstV2XabNLhsq1C+dUsU1deV2VsIrnDQ52bSijcozTLgmnq/eDi2tqb9dSnW3gBLsS7lO4L
4bvw1EsFVbSUSpXo4/WQBnnHZlwAJuHY0CuMyreUKJX5DwgtWYZMdnFATxwNuy8k3n6QROT36d/c
AJjK5HGXQFZPBLuGXOgxPFQVcQRIkBZzSbgug96qylP/wDvJsE49GuHNvNO/9sJ7Hrb8yScupF2r
J820N4An4IjXIzcPXdNwgO5lC1WtwjBGhOMvll931glUIPvldguWJJHDFWlq7AORqBGb/0hacuZX
oJyThQ+94C8TfG4G/oEN+ktG1hI83o0bkmBputNAUQWiBUIZdZriPLwp/HSlqvzyARO7VHoEiksT
fPgX1fNWKOKDEii9b50xHRH/6UBB70jKyBWaQJ4l+0+arsJGjhlSsHP5GrfpmNBCmK11QeDAhFq/
YY7w72C3HMgbeVcSxSvEQEsteEJmg3P282IimOAoUS6lk2DGQ+gMO7IM5dol/UPVKjwdYjFe4S3l
CTl/anJ0XJXukNK62PokG3BV+3YguLvfeAwvdtiEKNy3Zm8HUkN3lIZV7fbaHNMLmj4kqMzylfdU
HxP/8dNEzUnRrSW8MNN030f9DcCIQTYUMC3Ry0gcyBpplMflJ1j1zfGWmfpEKF/oDeBcstJzF8t7
emE55cyr7hjhP9IPTPJpb8AUzfOTONNcordB4zP5a5vLlvnEetwhUhTDjKTdMyJngrVgBgQq1TL7
S6C7RENZUX/hFMvvjuWEH9Em0gfBBDwlc2BmsniVTgMVIH90s2tY6WMNfmuLR1e8s5TWeBrRzMSg
NWS9Bohj3ABaEjS8Ja5dAh3OQnDqIsAEj8I7F+Om1AFQB6Z50OKYYot+OlGbOu+RlmgGFAIz6Y6d
iRsLkBmFh2DQq6tGvn08OPR9pbthA+I1AIeuOkvgG1IJNj7cnyBGBhGyRTqIAdhrc9QWKLC8SPDM
z2S9W3U1eSSVAxk8f+EyomMRlNI5+1noRosRs5MOYQWENHHqmLAvzu4LjuzSmkJFZ1Hoj1MMDt9p
C5MG1XN8hortAl8cuzBwDuA3wtvAo5PK8FB3OKwKiYhX3/hO0RF1oDnZ60/pCFbXmibjb5HwAk2I
XcrwuQA6xj+ZdLYnE+Y/uCRPPfDnQz5GGm3Z/lw/JciY45W9ig76IKJFI9moalhrwJJTfsDvuTzJ
ujO3JV+XrK5FlNTy7jt09xw9b1llCll3V9K238oYRar6PjGBNoZGYqRI6OBie+ULM+0wQqQDiVdL
IFDBHPsAJZQxFgPvMVE4h5qaA97gSX6ZfelV+lKhLCLD8QFiVG1Q/lfzB6XPvagqRYTwpFsumiYa
JVbHfNeUiZC+0QWmSnnTeWzuJEZspX6xyAgwgWLJZIy1lpSSWEjd48msd/kUESc6DNCJmuTZ4dCv
NTAGYW/M9kQzSwNNWl/opUjQCu1BEQ3TDlPrxGQAL3qw8KpV/ErPZvFcckFT6Np78Kn3LMt8Bf4v
SvcN7ek35XxfTSNpGxgE3iK8uddjCP8B/EC9dEb0eL6HhAB4pJXpufeyo1ZXTcIFbhJAdDxQ8q6R
gFH+380fRoNPCGGAmhhAK/jEDNJT+zkqb+CLpNnltlLnL4JCp8iUkFC2EoH0BNT7hxT5fcg6Wjs1
4nUZ12/jem+nIQALlvv88zOnoQrhDKhtq0oA8LQ5dNilSsZMWA0sE5yUereg0m0XnpO6eLIZ5q65
9eOhoinH4inHCarBDFThZM8C36O16kMUxD8M+K/XVEZsr6xOOKeYgHMgXQieEF/vKX3fLYJL6mg1
8UDlq8VxpsYXRYQcam6yNPao+57x1wet5+Nn+0YtLIMVzbcg0wgbeTPLetnpgRK27mFsmFYcsT2/
RlYb8UBkUI2ldvO/5YxpoqRC1mWxHHo/XzGOBtuDAf3TfgcyEHYFz+pM882uodsw3Eoa5Y/xirjt
nWymqfBw9Q57pDwZos6BInfX2ShMTABFmqGH3MBAW6UmNiPcV4cigcUGDskHi8euQwwz/Et7rlzz
QNopwSmHZ6xQ6CEJUzHGflSv7KE4NGYYxMVQrjlco3jr2xdqZ2EcU1YT4dpcwwcQb9fqoU0+umXq
qOAn+yRpM4krpkDKEABbUNwjfbhdjaWed55P8imQC4zUk4NVHICovRJHx6euwgZqKj35QmrYZdLz
GCahtG7BEP6yO5vRqNFQ+iQjnZlCdBDzoPfQVSJqUFRFQpDcndufb6kbsH63m30Fv1VESEo7ms+S
qgX1WfrVQ/EW5nxlco4kt1LGcPpOaasmv5FduD18FKtI7n4aANkUKdSH8TqhZHNr5BtsKj8XxduB
qH6OrhHgHm0TNWu4B3aj94YB79jwnod4ZFctNsateXWqyvjMquUPTr/F6bYXDltgsOvFfG87x01G
ztW009OB4D/4vMieuBJsp8QOVyzaBcA6ZswHSsV+45AF9wTw5D9fgq3tm6uXl/aS1hOBZxZZc4C9
2X77RIqCf95jK+gwElBKkDAwfelDN9LWKWJubwB3NTBO6Tynj6PVDdf1vik1g2dy657rXslzqzxR
LtcRbaDaZ61RU0k4Qxvf9K9RIIvvROLglC2vjIHTXv2n+J3rihzfjFeBEwkWs5E/A8z12U1B5Okg
aQ93ReH34eqHhilVVlsEpbxBbLzDTfQnkt/Gm82KZCQsZIm8MwBjCusmPCIfRtdJSb9BqpXGqvBV
xunF7ASD0+1WG+jDyIG0mLcbEauhxE862hLvzKi0CNG+nusOGHh+a3ATsdl92jsqT7D0mE/2wemn
TLcy7D1EeBHhqwv+bkEreOQeEVrz9G500MZypdAxb/IaC51wdjXsNpxRX60cTvsAiwN28yiNHTss
bQAvcqDIof4I6xmwXhrXZPNILl/kYJUl6WMfJocq5pRyAWvV5rWjfhDAriwp14eQ+6tlI/5febF5
ALVu6+mfAEuk6iviC5461gwbmjR2YlSnR2EFHTf8Tj1O0jGb7siMu+PbmS99BAQi0MGCiOZKGhe6
vJ75y57xqf+j/60PIdhoCn9zVmxoS/hAuv7xlJGG6K9jqVgmx5T8/G23Lx/UEthDnOlils9Wzqhg
KrnhEZbzVCnQJp373fbZbmAlKAxA6/AvvUQPi6vE3yVyFcsy3qrXmZ2eyT2JNbyaTWXOjkOXhEJs
F4Fz+/VqWd3QkIIqUsTlnz+XyGBkYcRhNuQyPs4zyV8iC9frYff5I9V1D/6nA/bdPA3qAsnGfF7a
rTCxaGsGhZEj/DUToBXr/rwS83Dl2y+E7gmWnpUP16cw3BZ/XF6wbXnLDMYNmC5fbT0HMJQBZLAX
iyGD+MvNnhWMdvlPzvSMOaZyQ8tSsqyZKwWe7CizK3ofYfvTsCA/82gh1daPx3xNTtZaq3OipmoC
xKKA6uGuRMvUp8gD7zrEf8xEBG6CEoYoL4RxWoLKBQx48P5+LxF5xtiPhtSTtYXNwfBb+YIlNBhr
1Aa2TEDHP4fwvS6t23kevXtFgF1OIgVchZa3j++Lj/LXhbxccc/TSlNzCqvlsHLywFudEo7xbb4l
yuhqC3plH3wHxdUn4OMMiJKtjE4wUrz4fo5Mxcgeu9bBQT8X+ZmkQ+ytgxxyvKkuFOgR4hfgfgiG
Vsqok1e5Hwygw3kV6P+9/QTN0i27L07SjE5yx2HEbR40sZ63pN0qzPDseCfXu1UqJayHQvXWK//8
Qy+FghNdB9+/wBKwswI8Xw0HZIIGOGBLnsRvr8dZf+LafCdtHOErXTN+dw7RdJEAJvtoZJeavYWr
NCNugq0ork4mbshAgXxHhgyYNExBv5s3+7BgQ4BswJe4AHKNMA+8koJ2UHMXFcaPIkDQjxKz1loB
EgSt4gy5K3QFegdXx76E8gWFFsl1ruWz9XChqoYuQBDyx1s/m/YNMod/p6VMh7CCY/pwhURKzJB8
lEfWlnnh1MLUpDQLtWr3EVVEWcJV91F/DAKxLnUf9OT8CYpVD3H5ichhYlxaO1+PqywGvFmmIrVl
rcFN0823g0tYzWf7IxlgLJuMfoYuD1WP5GXqJFWRhBpdox5GgGVp04GPyWJetz64Qvi9ziCDSoHJ
11Nv9l+JXQBe5dne1k0bl5A5vQBexX3vtI3Qv7wdQegs/62kWyTym3ahHIhkBf6oP+9PHNCfLd5b
QaFq07fVQegQ9m6lEbA5VDUa/rw3csM1aSs04l0YWw6UkKj1Aj5JAt92+BgW8Y5dfTW3+CNh+dqf
mxa67ddV9H1XIpk1RWkH07rAdz/AFKytW4FIsPbMVGiELc9FBLuuz54LAUGVvtWPlhdyCgrCykV0
zgV07k8Ix8GKZG36UP5OMmUVoHbprGLDN9MoSUt0dw907I9bkAxX14sO6iJFvhLeCnmKP3pFvheh
MDR9LGQ9rOHSr1vjY6QPK+z2LfxEicS8gf5sCcaE2X7OdAz4qLOO8VLsGPv32EbFbUNrd+AOUZg4
OQnfkb31AIn6eL915tKHTf0nlWtu4PEL2tsI1FRXduPgCgJNcsPViRXwlDfLxJmQ59uDDnbnAmmE
M3PmB7fmPBCId/NykGkHONU+KZkSqMLzECqWBwvbdxBzfyL1cI9WkOXCaEYp0uEUQ76ChcvMOmo1
UuLJNDHF39wlZXhlMKKIsdZni9v6mRJwjNV+8dPahsu+0OpYeicKL0qkLsK5koEze40R06TzcG/E
zoC2Xe7DxEU+xegH/QX+YRllXjwxidx8FNqmHPtjVtaEFoseTRkAZVS05rsT+H9kF0xUF+Icgj0r
hiWQS1Abf1GYT/Xe4p+AtiIfgz4aOzSb5miFZH2V+eRZLMqX89ABgXAFTtV3kRUZD1ozFhFKyM6J
VTw3XCJdDPftoE4L5cxIaBsuCtgiWJ48O/chutr2Eyg2d9tVuCi5JI2XdXMR/EXsGAxNI2ujtWk8
hcjvAXRTkljTK3C5BqARJutuFwG+ek4iBoHy+c5d11UWf+DY0Ievw63XffMcCJVbr9FH7s0gcNy+
MWEWJM6RSY1yPT/djDWJtxck8EyooWFxT43MsXLLOC2F7a3hbyn/8v1nwHsb8GoTR6DKXRCfP/NW
4/+vv340zx9PQ6R8t0pc776Sd4C+2y6+gqoD/etfiuNifwSUbFKI9IHd2RAsyOG0HBh4WFMGzHRs
/sTwV7xYIfTPbN/91yqKgIrYF6xbMqxan/hBFxv9w1vxKGFFLOpNgOYccqMKTSCLiEeTj5FoQnZK
Icj5fspi3vj4OJWzVSPZJZWkHj+Yl25vlMd7Cwy4dPkzrzM7A8ouKj4BvqLoyJ+aPe3lC35ZHpVO
CIJ3tB00avV6/XKB6CgIvM/8/gysT1gLWyJPnmlLBBIrClM9WVT7Ez+dg3cdBnALluYqYEF+MPI6
je3RpZEwEkhx+5RbhnjSAiLiwLoZ9HM5l88HdZ5HXeY4QfpEC3k6KKt3nWKvvWca9NeiNrlBg8C6
rvpR1OJqU368XyLtOAEUjgtqJwPhm1i3IdUZ/FlshWc/1Pcot/KS7PKgdvWu4WAZqbGUGDsXY3ce
U36uPmgfQsQUvxOkSyPU4cfwfWV4q1juZZYFyy5i9J1WVhNVBkXor1juATNLXt5wREPL4HoQlKRC
MSXHwypN1MhTVTvpAdTQgk6rs3Ni9MgNfY+QQU7iuknksBTlOxPh0AYG9LQGhRC3E4EA2K/0c4Kw
E/HFVCw0G5mTO4T0Iid0mofjo20QzAusGHOfVaWx2IkQCXckQNl02jpp/9n9MLy7xJgaP3bAAS4V
tEuiJF3G0Uj8M3sZaNGLkQvYhMvZ8nuzhp4tNrXnvLX9Rh504I9vvp638WtTaQwKaGhVgvgbMUCj
tna9r+x5/rAh/5TDC6iQnCiV/FmnYf0nykmyHnPkN/MkGI3/xW2evJbzOZaJ8F8agyR6M062aGB0
HQ/pAq9cPaaHlrgbXIqUjopHKMOIvT7L69fJxmdSqgtOuuW3vq8Hr4vaYUBmWrmFqrTQp7pXFItR
6WL/UKfdgYEadxbe/HTu5lv0jdy2gdjsz5Ca07UFvk5w2aHYjEcOWIMGi4LSE0oGRd829PAE8QU3
s2Ry+0IiAeEblYMW1jmzINibrzw67qekh3BNEuU7vcZoTBnUcmi/pxe615CKGHUEBiLPg/v0znhW
g/CF4v0VIDg1vqYiwWr3+whVOodV71+xYgsK55Gii96fTHEbtNsDRH7NSFl+s+4x37lRN4WS+AS9
Dr1utWxckWv2o769VX9ZrYoYGbSF4Q592a+ckmxOYm4cD4HNwL4hph5LspxmDPkViKPSeLHbD6gK
+f+RP3dlUPjVY3TwkSSdGB6VaGMGH2pw7bJDy+V26pxtNDODNeD2YDtPEtThQ5ZrqUFGfMlypwCZ
ACFDDITW1ArpLGEfqDi4W7vlX4HdRAsokEravIef9hXhoFJiQR8j9K3n/cmqVBkQ1YZkiq7PD//K
KNulbUaz2SLCc+731v3KARvRTvu5UIBK1z52ZvYZ9iA7c9KuVG5VKQ1IxNmkTeDdEzz3PZrHW4Cf
og9939ikmKH4iEk7VCuFSsh+RLsYsr4FfEPHu+oPv4Qx5/iswogAWCQdZe82uZXlplieqH3QzHYA
FZv4RuY3CVf/l9hO1GA0sfwx3SjZMR/MskjkeoIgyGgy61gYBdFZQ0sKADkQDNt7p6RgDVc2hK8+
YBBuD2zSvO63ScaP7EjI0uw06/7aYbqR38Et2Vnfb9n1gz8JUr4t+NOt4jN+q5XZcZUXY5Yogdmc
NO9tB27Q9apwfJgfZqPTr9fjupM7YAo7IrpUNIHMwfkDKseYY0vSJwMpYn3XObZYjpXvS9Q/4PZf
+rgWwK7XLM0Z1TsrLE5oAEwezkx3HtLZMqBGMtI3NEB/2sA5hfO616eBDfN2MqBbN9Xn0q6guCP+
p40r+H1BYrOFThUDQiLfbd/vW6C5bSDwM1ZrUHk5VkLRPJkjDekqS0478IT55296gPIFPJzAR6u1
3GaqLqfiiDInLFdOxqJZoym2RYT8K8TKfrW06AY9LJ+BmG9XHNPf4zmdqh+rndqi0T0RiXJ2jvYf
edlJ9bX+0gf0b72vMc6KM4rKQ8lf7+5Xa4aQXfbYFOkqpEkIb4qbUHka8zmRQCJjYUKVKJ0SF6aV
Blyxlh6BJBw/5PsSKuxyvDd+G/5FJFROLwVvE9R6Q9Ljb6m15B+OZ4rbe/l1vAEoKpkppa8bAJbx
Iy5qr+j8FyNz4jd5O22oo0JnCMcCAUsr/sVXun0Dna1OWq5v5aRa7zKItm7osrOQoTlUw4oMOvug
n/u0TzCPonvMWmeFlaGcK+C9LPBpZmF7+3KE1l03YwcOlEuPXD8xu7n/ItCj37aMV/U92tbyYMq8
ZoFmsZSbOY9+A6ReNZ7CEWndKZxm45Span1x4VSAmZ/lqFlwsOrTsElP5BndgFpgz1mfid1Nc5qw
n+6wgsqCvG34WNCZ/AdOx8hHN+PtvubhfbGPa4LMauDkDamuy43c4isaFHLKvN5psIg+HoJd1Im2
sf30wMEqSKCd+vJO4VLY9ix/LJ0HmQPsCrx4EDnuuBbj9Qbb5cOA8IVW+R2JBTBDOFaWoqXW80cY
/CxtlAGZIxM9FP8BllKH8l4IAc/nl9mZWoBytAcQf68WyM1bUSbQSszKE26Z2u1SO48X/Yan4qMG
CpMCbD46DWT1NTyOQdDO0NBdV62oUcHnNY7TfgpifbJ2rN2xclLR0pdT4R6i3KvR6HHV87miOQyU
1JpQlYWiwUYaaIdN8ipC2cf/Sjtr6rw4b/tN9MIOeC9nLlY9kmbXYdZu8lybKa41n42Bjuw+Mrus
8wWnOqFUrY82gJzNtvuyHr/EXMEa8JNHcXL3oMBhL4j68/yaHKBb/H0ipNt6tXk1pEZ6r1aDq3On
92AAS9K23h44Tc7yYr0GqBHFA8NO/RjiOXmbcfvQI4QL/nWojfFu0Up7tFxCPGNCG5+kwneQqI0U
Sde/cDwyNnvEscBDfC0GGm9HAhZR6ZvnOlECivak4YVYBlMtH7eF+syArI8TXN3kMH5jo7+Us7Kz
NQZ0+olXYSBzQSod1qi80fhWHqwblVRQIhcK58MdXfHIskm05Ekow+EtwbX17ZDfjhPFPNyczQQK
hJYSGFs04+N45ZrTnZjtaEshgWtBXCWvYKT+bObCf5tZXv8KYMQsfGYAN2PgR+nw4VFU42/ssYaE
F/7+5Q3pJQVZZDAnNtHL9TBGhCHUmt0gZrXVKRmrdt820zwb7yz1mFAT9Y7sjEqFUMyPiy/mmUJi
EuGm8W8kQntVQfa6T84qOq0HYRkVHuW10PFsC8N72Vn/VRopTU+cCwz921uDYXWFYTwulqTKKbVZ
R9AYcpPyVCcNA9wolKg+u0iDdS7JzzZ1S+eHX5mi+5LbKOxcPHtYmO93+EXgIVXrWOENbrEbKDRy
HEIZbc+EFkhV3krowh84Tyt8MNk+5hQ8FI8KvF/BYHQNQ+pm9zOkX7GpEwK4vzQNXSuJ9+uGkewZ
EnRbW+WgowrT0S0uWQU25+udSOJpt2yPiq6hCCvEL3M5Gr35TtAPOvQCsjMm1YfqCgCvgo5ZHXnQ
jer+HYTBjAZXbO884HcJ+pXop83WQcpDnGhAmS2khw/M64idF+o1Cy3YrfOsvL1OnRzRPY8l6jmP
PGz+vl3bUylocR2Al//rqI4Y5eoC0Xy7NiQNN0hK2GZZkrG0cKlKdfOlMvEQKJFezoLr++WSME6z
aLrjNVMzKxO77383rfc6egEy+cnH1urZIJm6rpjDxnp1kQvWO5/afB5CYRGUZFZGRHqU/4+MDGdT
32mJE1T2P9BdID+ZaOnHaXSKxrDXcVXLfrPsxObJKrXb5nOVdA3Pk4OjNRTbIMILOxKm6LvAWivX
l9rBvlF9WPq6Sb/b89tjxDHll2HKqjz52ggM8XZOnfmUHxdMzYGlJtgWVyFhzh80JJFZJ7nmzvWs
VF+NuiVR4ejqAr3kh448+rSAn/gD5sgwQLK3UXGrI0C9xql6Xk74KeLCyHPzm2Im+7Dy8JyldUSI
87vdDF7qc6YPYFrNCUldnxZHKx51mhCegbxYLDa5SzOgR4rcXgpOnV8pRhnrIQ6bav8QIcjPmyI4
6r/JwiofVC9Ni4Tl9JTBHhUBqlqS1ZSKk8SyCJRyjjrVNlLvSMLyNwzjImAC4matNMxw5ZH/wCN8
zOgNu7vOy8KsxBF59rZ1cr1yHWTmlqIL1ay25ZxLCgiz3JunaOok98iTJiwjJTdgPUpFvDgxnDz4
LRr1eQeZgybevbDqsvBW26tfhaCJDupsQjvoymyOsyhkPcU0dnMZH0tehauQvjpGWbiPJPLRAqxx
/pFD6ugbRDaEaPRi3lXLlWxvgR2zKXPx/ZkBqJlOV1Hva/AeF7uvUQl2PIm5sdj/aZFOlMk8Wby7
rVOJUUkh3VX7ie2J2XptjhfFFIYW5o5ZTfZ79RS8x8LqIbGvtQYoJ2lkggcxHGoJlV9XyCXjqfHs
Uck1k7ch9bb/hWT/lVSmv1AtSJLtq4TwN/kdaAEnxTF8Ex76MYYmzrJHwfWrzFOcKwFfDKrfg8bf
LLxgq22rnVe48AC81K2/8onaUUD0PqvUObOwQHUqwSxZ62/y6r2KVAIK4ZKGz+DzlbIyEwZ9cw23
EfUWAu+0OkqswiqVAvx+TQdXPslfnEh/SAwjif9bdYdMi+lUl1dDeN3NMX5XEFDYbuucdAsKkE1A
Aj+JJ/VfC3PZI62t51ofc1+FWm49ddtvciSBFotVq599NTLKEPL9p/XVy72IBpilSyVkqHbm/Vgk
3tawCUSPgBxjimCigFaOTfQI3vsjj/NZNfQiF/Ygr3HtB+uCMBoAiKgxr9yEzRiU+KGeX53SHjQn
5TAUrmzYAbOcZmpZwuWbtzcQyg2jN2nDFdGeKw0tfIuNksHX5Y3uKYK10XbN6zkL1awlOBv4kAee
TQGpOnVkJOMLyu4vzIV438mBI5un7MlA/MMSK6pGuQgaTMKzaeAuUYNndM7jgPuntby1Hb5biOK6
DcIiYUebM9I9lqaFipnH+Rs4pOjH46fqeZ2cXX9CQqGi782jJpOCebwNw+V/2ASdKaBj8aZHGxjD
xlTZNrKSewlfunAeJmgjIGKNrE8isiP0GS93bVuqkwNTfabCJ3KZZHt2IMbRAWrco5TZufHHgla3
6BelMwvPbxRkagLRqi///ba+OfmrUuOZObA61NuQqVFHGEIXy5A3pESZrJj+mBJo8IN8rNb9e/My
nsE08VB3KIl28SQbAP5iQtCrlGyhh2SJOlFGYO3q+Njj+/rBZ+YLkGL5rqjPhwOOvq6HAYAutosA
P3PlrKXWQThgcR4EVMbjNlCUjkpivu+hxt9rVrF3stPRJrINWwgpIuSN13pJcQU0bNh75Eexfrqa
ejuH+zJzfkExrP25YPhAx/08o2ZopqVhKsFgpiCl/COCIx8NoQ6wLTrtBjKjPIfh3uXgnaPTD50Z
osDjT8IMb6Ws+0ASilQ1Il5oLHQTJEMRgu96CC5al7envQxEJFQYK8UDWvEKtbz5sSpqFNVLhPe3
B3zvPxZC6H/ycJ9uuOAUyUtIYtPvNftatrRQT+RRqDP4QoaRyfeAE6L2tKVtfqflLZvxite80IaO
byrwWYatUyN+4bp7a0UY5nzyZiuKc0AItzBKpFHS2IIuAlz/vHqLgp9neXRohQoIVKbsp4w3pIES
6/LesgqRlbi/gn8cYT7FuCSjJTRvdq7plMALhMNJqXdjDL61VWvrMJWsUMXMrf+JkUH+M8Gpa4tz
jtfDNjU8KJlMEB43Ce6CuKGOYj3lpZhoYsMGra7puOHO+HWBI90DyzJm9eTVDqE/UOObBneU5HRa
uexc8ob349F343zAVY9Du2Lb8vNHvfPA9qEJ6QEv7oUjhc9Khu7mCWM47r1/fSwLhbljZAS4lb/1
V+E/3SU+AKtE8YgCWFmNlWQY0EPvz5jVInCg3XlkIBOKy9Ihi9qMvu0T/Dwu5fFTcPwyggflcySo
4AoL3V2Sv9+7wco1h+th0YQXaDOTmgsXiwXqaTI/vAJ+117P/9ZKxPXxsdKy4oW5CcoDP2FmSfDU
+dAhCfraIOUcQVaVivOQZeWlCPcqQLu8vG1UrCiX4PRlvgRLJfqGRVO0GAUG2vgKnXQRR5KrA/9U
jw9LVjurMXUM+4h7VEVsWEXMIhlHeqzD5/llV0MZSwuHWb2ylahXYrLClBM01bNLwi5Gq0I8WHtH
XB5b+LwXW4YJRNPAnRkLZlq08qpuGTCOfkaI7XddgWmbtRYWJuHx2u5lxqR5+hBl5r1CltQNyPNp
LdScHfimFqedVyEaRR4Ns1HBaqaLxJgUMM/z6oH7+dt5x/Vcf6xiuUpmXkvqv/Ept7ks/cpq4j4g
1Ku6n9XeOoQbmTBvg/IPHuRd4bFJXStfe5olryR/WENwIHS2aX0Nmx5YjT55+ZoP66Qj4n9fhYf/
fgD3GrfUzrB2lQrDZCBdPDwwAy86YDCSm0LZWCiWc/RA25YY5BZHlsbsZU66wB5LqjvoxMUtfBVR
12ozn6DMK+zntMD8HFv8kuiKd0jcHrLqFzNl6IJyOYUonNSLg0zUX6lM/LL3/DLD+LF4qiQ2djhq
1LBrmf9XuDnHqfIQ5hncHsvXI/xRyKOFYALh5lg2tSRcde6qkHdaUKMAzWtEQmTpfslK4WcXpk1T
3ehdYdvV7p9DRTeRrE3bb7FZwlrPXk0JGqOISmpo6UD0NGRt2nd+/7l+/P6HhgV+QG4MHCDB4aa1
CNn5usqR4SZwPazWsRVyGHJfeoUlHYPwqly4hPC9XIHwou+djZ3vtFM0yUeBoXBfFTZxq8scFZv7
Wqk47rABV5BtavyMGJ6VIfhDp80YEi8BWUiWPPZ/IkQuu0kWwpGDl/W1dU48W6+h9ND0oQveMTgx
02TjGgyrYipANzifzP5a9XLu/hlOqzCbcLu1P83UxvIcRjsB/cG/N4G6Gy0m7Fqed1ou6feP4dmS
Y9c7fVbhkOMdQVNfj/9TbxJI2joaT5OKQ8C8P93onpq3W1dDTLKwxlUVI+lvg6pLsvLupQ3N7q4G
IN4tp/ziiNTt/YodjTxc3OinYcOf6pg9L8RD/gXG8cGpHx5wf6SPzJWJYLNHi3oCxCCsOMo+esNh
Rkj4EhzAk4KWO99ihoNPAmyey8RuQciM1cnZi16VrThYhSr7pa0Nt0DIcJw/qvuzMvEP5/tMr44N
HvipHqpHtEOQ0xiigpb4Ksnwv37tS0cbkfSiqzhcMcS7rT/hQQYfwNE6HocGykvtfWsSZDtATLE4
+ORyxtqA/43Kc5sJJbz0z3Q3c7DyPTtecL6iaDn0U3kRM/sAYBw3VIUkVKArc9rpa/mu1wslNr/S
+H0vvOUCklWrjoJy6J31kWAzY8FbQnTARfmlkgjUtfLzLvRQ3pEFelgl6JJFaDBB9xSlocw/0W8B
ac49t7OP4vIn4PEWE6T2Js0Dv8HcXI28ToxECthRb+IsfTdhcCDUWh7AL1PXTeqmCfOBCSyAKyLj
iWdKmyHOflTsp3TZcMBZnwOkLofCqtQiq3xE0D/dEusbCcPrQzoHxBpLdKKLrnN24+Ni/znL6OoS
CKzSiInspObfd+se/cAJx/Z4gQO+QirLfHOXg4P0Gw5MrVvTZSdrIO/lyh9mDMdHTx55NQtU+LSn
3k9BOOlrjfudgx7uagdp1teV/s57n5P6HnuuaDaYw9v69wS19bcQoXBl7jL6bPDirKJy4SgiNe+M
/8yeJ/U0Z4vrikt8UIxg89FBP8DuG8JIxtBBvvlKtrEcmi0M9xdUayU3S8myw+nFimoRI7GIizl0
nJ/TRa3WiabatYYfVdtJd9i9EzuDDk7CATMbdUSvpDHi6WYqEdbSsKJALJKFK+suzY2nUkeAbFt1
M1+Km6UVJtRNcTj45Jwy+fMbU/nc0oOP839srnbQglgBYkb+SjSOIk42AhYcVTuqsQFQQC/0OVC9
UJK/+P7Fzup97OcF8Q512EwkctNXM7fpjLW8Vi0cJFlmSgBmFQ51dnzjB3dHSK17av32zQG6trLa
1Bi8yim/mHwxBt3g5Lr/W58vAUmJ2Yzg+juBqaNfmnjV5ln8Kr8e9wmzQm0z08s2gKc7G844XC2R
aUkC3GybyvBa66SjAMZlzSAFpcGZ8Z57is3wr8g56cBZZfHhKyD7+KOHIkDkPqMCbvbxzZJE5L7P
soaakmlu8i1A3QEex+er4+9WXr8a3MP7TXlJw/BKmUeEq4PWc34yLrW+1vbDxUvMTfqmy+kxW1/c
qKeprrGhnx5FI59CDrCd1GzMDFNLhA4D65NaGnq3aTbdGP4DRNAeC2mX7Ez9KgE9PFIo+pG4quiw
/rkt+fphYrKefpkVuKFKUQ1aSXwpgW8/G9yvR67mu0jeEfwzftWLqYCj7Bb6hGtL9KrthoriPubF
mSSCAVjG2k0N03Pjd3ESt24S2yRV9MgD4/TCSSzbIMjU+wqohCFpDNaxrPXs3MgGhDXO4wZrNdQV
KriHQ/7wIhgDfALVVT8uAtf9IfyQNZf6OXNetkjiqhyNBKHlICI7aqgXSrKl+Uo+/HgpYWxvG3Yg
ziV1jxUaqqlQmChkQ8wczIQeEJdvqMGjTJYvbIO5KQGscuN8kkPNU0ChPOTDBMIUc915D55p3xCa
JuHFvnRct9eDRtgzg+CQtS0mStXL2+JEKaNtZSNc0KmWOnek27hZqKZiN3QCDOrroyr/nGgAwd/S
7kH//LosP31i5tEPIYlJNaCo+/0Nv69X0r96vRHlr2LBmOhlOmuIE/sMeF+5xWePUq5EwNFi2n8H
snUiOyC769FKHkJb5XI62jWXlvBMpvHleEtFdRK8pxQGo6Bh6GuzT9BHFiIFGiW9fK7sPOezdue2
fMT1mEWasBNRyY/uTsdKCE/VOFp61dKU5NTzzs9tCp4rGP4KU6iVQAgGfwdlVmRY3Xz3wAb5EPTt
V/is1ywjSOGFrRxJP0J0EeFQnB3aCD2jw7BzOeZjbyX02pgQn5WBHAp9xLZNP1OTb0KEo7/c01qm
l5ERfsAkBImWaHI6nd1Dc+OUnSQJJ8cHqscn7HE86g1lREPvnMtB8Z36zbHU+wirAIMoqbwoV2kQ
k3kKgCC9MshBIRbUp21ceponjpwIwhNHOtiMbEVgYmd8OLX6LCFW4k3lWDPbQxaZV7XnXsVPDIML
vzLvI6a0wDYB2UwGQBjCBNYFEvCPOdqVRPwQfmeCw/3ZmwqsHK7CbRGPu3rRhFuLcHKfaBjP8yJL
Qb1fiQo9WDmHynIpKblMvFIqReO4Fv5graSnx2fCg+1N5K3sVwkPhrHbxriAt72KNwdaIcC/4Sik
nJ6eFPR2bEjTmzZFO+MXnNIzkYzLO8BFbY8X/Eqyzv8LeTSweCuu59HHZNVyernzxIVsp34/ju9Y
akOioj/UfQtZx5DhjLzGoSwDqbzbCb/TyzHSiO1e5AD4XMBFn29PQS4D598Tn1zDVHYeMGomv69Z
NxsHr9MN7HX0Lf6Om5j/jgsz84f/afG+vFPeXukHYwUr+9WAl6RWJbfFmYFCQ2kztuT/5mkmwFXe
N5uklPys3qBD2pDPhEMsiTm5pWku/WOfoeW4JlNcnkT+YW9yZ2k0RUEdswoUfh1uRkWaA5HeIML7
R9Lwn5j6TgmNGCxHIQIGQRB+wCAbFAxX+NSfSQAmg831lHK8jheZgAR35BmVcMM0ZM44GyTo7Cm/
jBBAGhmw/ih97KEK+mq1GzMjH9Vk6PIEP0uhkRpy3qXWZqBMH8gB5pdlxCQN4n/EBEt15j/s5PYX
Kj34b2oJ17vHgp3ak2Io8ySmEY/3QchT/v0hJMJGo1eTmwT/klO++mJB/THCqDiWQBOku33TWVbe
XsCZ6txc2fHszJ3gXGniHhw6NC8KbF5bV4C1b8Rqt7VXZbbUY6TJ9Gqk9g/Rprb82a0z7ap7ogfc
RcaCNTe6wt9UpkU9yVMrIUGzQHmLB6lG9aY6EMFlbve+OWlBuFC+xGrJ6klvI5ySdU0LpLMsJ1NV
Dwi6j41mWG5URxbS/LvJunMH6uFZew8IU0t0rdnOHRFHPCm2nL+NJwd6DHAXGSRuOnSXns5nICr9
T/LzjxJZ83Sb/ejSf3msn5kgWTsYBkkdoAQQ1uIkbSMweN9xO/wgw4oyTyaBF3TFfz+0JsCRThEw
iJjHhDgamfu45/cTf63UuNkGf+pjFUI4X4iOEF80UXbGXj0bnFlkoAktw7hgftYm8ZmOJv90RXlX
7/cwR1M01guWyY/QDqiWbUNdpnObBtqUZboeAU4C5c7CcdWplUV6cBF5QQWabmGVwefEZOEdu1kI
GVxky1hT3omh69JFZx0pgbTdohrMs0gW8OMvzXrXvEIge7yljxS/u23Nw3dwkCuh5/cBl8x3BUR7
xohLdXb5FwMTH9V8IsUuh7jR7x4UbbMBX6Y2hLLnDMyOU6Y4MICB0HOKxy7FV0Y/UTnmqRwPYQrv
L6965d19gsCPZQnVocXXpHC5BTrB1/Cl3RngRCGELR7bcFWwEkxReIVMxa6U9Dya46WrNnVgUey5
OzGPLazN4jmXyZOkO33gcprDJKJMJoJvxfMnClAoBmb+YhJcG8N0Ma3NqEkTYnfRL8KITBPQltEi
+/jCo44TjVqmllmpJfKzFNx8jws0sYnpWrJd4HGv5mBHul8EWeDKy2x1xue8mGYf//ITyc88Ddj3
F22jkQply1C5hVSPPATfgBoFsNTnTzVBI4/hzMm2Tj//9RQWK8+ekmLVT/fDz3r0zNeWh322usjp
MkwJqbagcbdGw3WVhThUyDjoGJZAbvayxxKtBXpH4adQLhYvpawmlCaVFCRW4O8btBiod2VXcG1h
V2h45P8counSSuZtZ1ffNRljmnIzUl7EqzusPBasdVWyKJTILkF0Gdgs38KuT3VpccUZW2/Ge0R+
yi8sBAM4OEtd3++Z9na71jKc/BAgvalXkZVETyhmbZvfmLdT26HJ+EovOZjK4yShIzwRp6eXDdPr
XnFUGORmJ44gRzz+DlZLY+ASCHXejCKRXCjEiEDa841Rz2FITTOa8vc4JI4xvvylpTYgdUal8Qy/
FvLKJJ41I0/zhwujUZAEe+qcO2b1QxDddSmic/b3EwFSMZHs5bVImvIUZhQDP0cfHssDJNMjEj9L
kEBHFuZhVITbMK3G/neDnuEVd0RbgTEvWQAznpdO2apriLwPeT4cO8dRf1SeuHDmJPHz1PGm4dSg
FNJa5JkBfX5aWovfkjZxfCKqdtC8irt720veCllz0R2hNNMWg1DmKN0c6A/LrtP0Zfl0wUzopb8J
7pcLBAnZAwcBWa+3w2FcHIPfCZ3h6eKTj3UP+pGPUaVtjXbBvji/EHNb8M/Ll1Z0JnFGEaXEZCxR
78XbkHRgSlQK5KHF3KWti+Fj2juqj2e+vr2PPCfSlpGM8vBu6tUQuVyxA1dRVcGj455bQZMH/hp0
xmdQu1JO6xiWmGz5yuIXtYhZng1DZlhsFGJxZCEDkRqAhxRmzEFA6YidiFDkF3GB6TEwBw72pjSk
AQYup0Ybh9PRDf1o4GP1UoJ+H1M/FiLMkh/S9QZQp+rcVbzGtl0YknLWuz48bD0hxLO9jlyAbr9I
YPiCqaFSHqxOIc9xzPxEIX9CeVsR1k1Fse4uunWZuX3RYrWk5a5z8meC/AJD9qnjZ7w/EnZwng6D
QGwKVP6bLfkFP5DqqDUOm2QVsA4u7NoMiyVLrvJojJz8C6xc5lcFva/0F/hvUCZMZnc0CXDMDROq
PSxtcFlzSTSIxmSbzvaLokwG88ONIraNksT6OeY0ZpUnypIfUH+JEl3zGKqPY6b70FSGXkzOCqBM
Uaq7VkY5zLjjDU5N4p5ch2EYU6aJY2c6a8036Nyyw/V8bDJIn3G4kVooVQ64Jb7qTv6SovNH8u8c
aLBSX5V+tdU7W6Cq7i1YQuA9BnUeCPu+OAe9w+IXS96pMVVy+hJ6MjFLx1nHTfD3sNj/OZEbUcsC
tJLcNN0vF+fr8VfcU/IbJeE54j3wfJPzqRKguoxklmpgZ+Z8dKDTUA4ilQgLM0i8YhCgE7WlC9B5
FXu5zXdF3wdJiibzgqSQ6zmJhVSRhg3Zys0ar37vfBBl0O/UU168PofTm6y18Fj0K+ygYyxN+pgF
bMM/0wX3S5+UxEdyUU/dEi6uureZAJOOV02lWOKq3RQ670IIVoEbHknGo5a6Jq+j57FFnEJGO4da
wDAJKvMhyKdDcDddDzNdMYNvUy+BTJpqi6bv4nw9wjVqB7d29ZbDJ+QXCga+nRhDXUCJGea+W6xW
PVn8jqTGio9+IM7LQGoqgiVqhvdTRUUa3hJym5yA7zsT3lqcYmRDrDCtmmn4VadH3TPFI9LJPLXF
kALuGQutpDR82yJCRmuXJTXwPHx9QDWL0ZS/L9mIY57muUZIBzuxw6ZDYMOoKt2oUE0eqZZQpxMC
ScdjOFi/nkBb6bEY8xbRTgC6h4wrEk3ptSsI2IYMJ7i7y/EnIsb85uPaYd8Z58QywXf3IAy4dE8n
52YVcQftp8y1Bf6AaRq0TQujIJEDE931KipwGgLk8ABQCCD0uezB12o11Wy+NAZV2MEoWylLxZ0S
3wRRQvcCO9zoqe+cuERsvKJSI0spYr5cgWrvKLrxpscVvrgMUejgucQZdbM+5zUkNo4DafOUOe7c
gri+bHmcBMg7+HGk60PI/MruGbnB2npVqQNmQ8Cr5GLAtJUcrTC/tyCqRB57zk2UxW5PBbvb1ApC
82CcVPgDzEDasySMu0LdNr4hTSGfMQCNyMkj1daIll6BU/5yCSDLMb8c8AseAOhyD+PR8c64PYQA
zAmDHw0Ujw9Cj95RzAcYJBE+wxlp4tcr9ZrALvWu7zO+PSSiEaYlcGsBx4mC0+evWgDX0gcr8NlD
nGU0vMUXdkLrxl1GNYerV9ftM5kYe+nIeCE+jD5F2xYUki8HMK9wcdBq2Wqw05Hky2G+MT5HgbDL
cYudywLgRXeF29GtOnu1Q14GwuiHfSbTjsboTM5VcwhfgqyHs1WtEYbuMpGzI4j9r2W0zeOqJ7oh
1SgNXf5JZiQjtc0GHlE9WRkB3HlkU8G5sOX6QURqbnVPkO6+zyk9r+ry1XjxcO89wmyADOvzBsj8
mJsrUpMBTU+7soihxlrDR/4S1RwVoBgoMUSa59rxyDqW7KG72ImPePl+SqZwnGeRqgLCjiD7WBtY
vpfh0crPB8O3uplVAoJJgQxLF+EmPc/IgJtnf2dp8pYv/Ej3KOGxuj+daSPxvG4IRMk12V0iBfJd
Gk1L6LzXLKr04szjkKHWI3ByNCDN9YPMhaxRbaNccOdxRspdvV7dvNu7hPQO2FdNwbt4exDOI2Kt
F1D+EcO8L5cNnYj2uJijNXHqzm746NYydO7/EuAXgSx/kQpPjEmBuWd8mUMyj6cALKRzvcPTdrOB
bfdkMtd8Wt1aATfrSKkQWftBnb+84sWUWGTXJGctiZzy21cRKmu76Wl6ICQvK7hAM2P/nJqBRTrD
RgtV+VodJlbLPel2a5So831cXNDqqmgRSgVotHAoVxdMlySx6cxLMbEZBl8rSb781UDcm9Rca7Of
IXgGf7TziGo0e3LwMzDbeP9J3Rh1vhEro9tfIlBtyjV5vjCS1MR2myFrFVsf3XheWanzk1ZH5HtS
vlv2WwuOe+OXjbos2xyfC/PNshTu9E3+y8TJERCylWqbNYSNxqWM3z8Gvm2cR48I8KljGvMWjzxE
yB800EKcuPf18jM3y3RbzdZw0Dsw5S8XcuMknd7LmLlZjyQt3iP+KsUtVAA6cA1Ro1KBXOo8CXDw
hQxWOr7wNfVDEZv+LYxzWTBPGCPgdLZxp5I+lqbSRWFkr5EP4HWK8+Y1LX71xlkOnfo6meoBjg5v
Ip8J0oe/NHslny0ujXjQVggjvOzCTJRpz415wQ8R3aIalnZPhFAiqCBTSEq44Y6AenEmIXYYcSBp
5qFbfSGv0YERvoEi3n4Zj88pZqqlNtVE8x7OR/W7vTbN2FoucU3p9nxIP/zdDWxUJuanyhK/9aqQ
MssB01WDRYIMQduzNi83SRX2rRTENB0PJ14l/l4iQjzquRnpwYbQMI2e78lfKRHxrioxHIkUOPWO
0/faS7BDYR4XZXRYEY7qVDHKCbeYaC+Rscguzve6uv5EKeorsKR2dvJVQ329TLmqmfhoCf1+mvFy
FmVgs9rbROPvB5sF8lnwZgmjDmCXKLc6kYP6HLlAobQaLO8IdhIkQIpUHwC8MqibfMeMw9YWb2Ez
bbq1foTuWEgE7vMBZbl9otdHcTC4F9g7ObJhoNYEBRkePQsVIrNDfqJF7jzLYU47uLrbPLCgqWA9
Nis7f2kA0U4bfXPP4gmsT8CZ3mE6Opn7bquOtr6l/njtWdmmP8hVhFTE1f8xTdsFw0dce4zra34X
BTGb4mCtJtG1eagt6v2d/0bfaNXRpayTYbX64NjivjHUmBG8fERk0CTPJfm7EabxjpnGlMfvz18w
BkicVihRIGyS6lwVDGyRGQJvZlg7r4wJwde2dWta/vjfxhfNMVBorobgzhvSRgbUHMZg76E7Z3aU
pM5Zc2n4W33W70gAFyYq4ikdeX4yQa8dNeLJGYUPbwSnKSXcp9cVX3zicZ29tR9fNdLjw8hNXnR+
dC06eEIjVq6psl9HRc41onr6aUK3kJKCXy8sC/M3i75HWC9lOpVkzIw6CeP2avUyv2fZqP3P2WwI
Sr1CbQ5xHiZzW6yZikm+M9TYS/YUWlj4ZU7BgWp5q/PshW+raCA45v6uwvhGUzZlNMzkfNNY1hDN
EokMdmPDmCCbebPvx+OUnL9cWiYV+0jeh25jW/aNtecI2Ze8U6yrmWQ+mTOFu211Ftc5BIexyGdF
pIblz9d3QxuvXVcwAutE74WKXxae1LGwDqznHH4PC3seKcR3JFi39UZ6P5rfWiYW7whpSREHlPQ9
BD3ZGuRw6ajQZd93Rl061SYihQIxwPG0UmObHDmsbqxKWDhNRvUK7Nc40RymqQ5YVOclUWGRJ3Aw
PLlPRwogp8PET8FjR+w/a9GmeO3h5SputHacVOnKFEHnF0wxb6yJgPaVkM/13XrdxT6GSfualKks
vFP83FExmsgKCfUtQtbeH17wTHPKTQ43/cCHV70Cq8Kxh5Zw6wd1QbOBHJkotS1c4kgmOPoXUOW/
tCr4nkTfgX6WMa4UKegTlb61KXTq2fHidpBqnxUoDuvFdCS+vr3KzoZEZldR0pZgVUSTUxq1vdmo
RJXNJY3YUDp6EARTkKbeWzR5dxnEEaGg/F9r9KOzvrL9D9Pcka3CJJcgnN1sT5NlTz3oGXomdXIp
TG92P0tBZ6Ldf/kEP1T2ca4rBFaSIjCJCh3YpTgYbKj0vBxbl92wD+FtQ7PhqHks0jeRopByo30W
C54tSNKdnmnweaIE1w370S27PXg2FgzTENPScxpuzq3HPLagVV3L8BNkH6yxzm1Q9h4pUpbjGEp7
mrF72Zy49n+eYLX8orvV9Dmf0edfDOUPUlfk3fIxqAv5B5NTLDl40FX/jiz8o0z0k3R8t8uoIbKM
y7P3A2qq3wHF9Hkj83XwiJUIOInyXJlfHbMfw7oVsIr6sWyPox3SHvMl0hQ+EINj/ZoPzFQvdfeG
eMh7ES/UMjK4sK9+hVs08KWZZA82125kn9MFzdcTvgB1VuU5xXtQAbH8rtUJ5pxFSXDUm3TCv2LL
/uPqM8gP+996WtsfBm9ezJGzrAYjgEKtVapYwtPHaTjNE9SFit7bWJpaDy03duALIQR7/zKcwnFT
g6mlmm8T74iq10zHvIYWarVDq98BD7+TTZW+Ln40EeDzMnnGtHhpigBleXZg6P8+LlzETgpxnifR
VAjMNGNqz/JFwZYoyAnZAMlchKW0iUkJTV+L0w3IZPDd9/CrykR1/Qm9MUIto9tv2au5F+YffxyQ
GYc4GIEfbUkgMMM6nDgVQlI9zNQI7nKZHpff1+2YNxrdfTmqEz4+1byfegbx8tT3kS3zdWb1vrYs
aN9K13ZlWX8gGPMgkzFwurdEbmZa0N4g6hop70gATi1V52q7Kzznob6v8YSQ5oGozEgDAEvLR9UM
HZlZAtlNgIw8F8iL4MjG6zxlFRxinynk4dmg1ZP/AgIchuf3qF6jghpz4X5CsBRvEXvRJC8GW+pT
Fwz/h6++3/Vcmw1VX0FWUmJETXRHiPQr+0L2ZOmR59yHxzveIFPbaXdFhZ41tadc8P0zs6wKKab/
jZ7HPbjxYM1eHPuGoYsDy9ayFzmqvBW19y9c70dyfon6cK6r41eHZSahuUrHUh1ylU6w9ZDhtQUu
xoT8gwtNZWARocm3pX8hS/ejFoJt2MdlqaOLtvDsW1obybQgzqBOXE/os2WaIokcAlspPTRX8H8W
aNjL4r6Lsgj4OWvmsh6Wddn2GqfhcBa3a09yrIv8UvhMw/st3IU48t41JR90pDf5fh1VVa8ETiqo
s0LdAXKnLhSTATqu6YBu5MlX+Ia/3TDcjuHTWAOxvvRuz8CsyRi2dDuCzyV4/gwqqYs+VztonLJz
1uZnGEESc5QVE12XlZCPLCbjLrEkI/o2ky0pJA+eeAhbLjawe/7dPSm77DA2Py4mCK/3tZsugM8K
J/l5NAfEqS+Ikg9yEtPcesyhFKtLG7ZYGyrHBP3r9zDvY6fXrWJ+cxj3cbY18GCGxSHEaOwaFMQF
i/Qwf/ZPDf3ZsOo9Qr/WH0gcH3NxQswdCVuN1FRiS07rxjcmFaBk4I/wogYAotzU6Hsg+R3ILyx7
6isASWRqajhqlgdAyB5i2P4kz50DQ82q3X5DSk9N+vhicmtDTz7Op28c/jXIqwgTAEIuXPcLgbTa
V5BlyUbpONFOpAPMZk/Gu80T7i0tCnbd+hugKJGq9WjdBHd8bh4k5aomXZyKhuIVn3Yzl/Z4xy5F
I7Bq+ERo54emS//p92QV/88g84zi9MEkWj5tf3xjZAuX8WdbmMTb9NwefSHzyfDW5E2te9O37zkv
EKdTHN/gL/qO/fMuN17uk3Vs9cNOxeFuHoPaPfLn9wQzwWt7fxOihfETquaq8a4ZIDxCwCzzCJL5
sqgCRjJbUiPHY27DkZUETTvol/eZfUIQGKV7noiAKipxKrnasDJG5pi+/QcJJSncWUcKLVf8Chmg
kmlFV38dLgYxs8XQLp4R6wQCCiS4BvdhRpwUn0fyaXuGHp7Rf7jIIeuVmd58XBTpJ2b2QItL/gmd
FGFEDIJXhgvmDTqpo/aS5CUJcIw5E5fGvQB1WbATUFksLDNaeloeO8lxu8o/patXKOe2AM1O2Kpn
vFOxxR4+Cb2Rxubdq/DEx1Q4Klh6lCc8SmokmPbZXBtCumd94h3ho32V498gaXINi2rT0JAOiti8
MKTK/auCWWFHqhDSXdhrTpWpaFrp2axVizMi9DShYTZk6GF0z6e5iW5j5VmAyd87ywwfI/9ONE73
ws4WVBmT3jTderF38ggfoUAnf38N9xRm2wb/iGDMoGAAgjReMf8xfqFKoAkoXSHfAVHGbaMmwng2
3+PL10B+BWA7dyribXq6TIpFM2BmVlwsvJDVJlGg1INJgUsJi+Im2/AgTXy48jkCXWtkfRv3QBVH
Gf0HeqhCS93yTX36oczXOO0z79D8g5Be8WZWoc/+JYoDQYagmdMkjDANADfHR4YGTYwvApnNRpqJ
I48Qwfsp2RZJghq15x4GnSYm4EBWSTbyVo9U2LQYaGLzyLnbW98910W6PlBYCdMYnHG7TFbagBGi
dQCTJgRw5vBHteMBUHxgkiuS4sYWjWr6j1GGU8Dv3p07oJR4ORjIjJC5bksJ1B+BHQTCVnP7BD2+
NFIrJ31qHChBbLMt9+jr0Q7wh5XwST9lwfaX0bZ2GZnNmyGeamGYwr1ZFcYddOX7RGfwcING9O0j
qHYbxjTtYwoWPGZibQKvLIgIS1rQeiCcLsfgbYVLb24pWRKUbQ0RgBx3gnZYpma3LEiSrY/gJlTb
jOUQPFRQgp27VSnfGkPLfGTqs82gsdiPR1A4hEnXBNbvVC1ztL5eWLo6so4tbz1FhANT3yYktasG
rSyENTUQ9gLQRny1SX76EwH4ytZep83RR0n2YQ6fEnZ0vMrWcrqXTIvGTw6s++8Xj89BOsijuLU4
5ohAFr/W//OnycU4nctKjT5p4eY3f60M1jlpKYfc4uzNwbD+7Zr8KJLt06Y+P+kDS86Ulkadgo5z
rvrOXiqU2DGQ04ezigxnoG39xpmrzZTh1+2Xtp1wL6nR4A7XFtk7mz7IAgdFkPHuj3OBDjGAmkmC
ua/elZpC/EaR/drBQkvHEFu+bwjKU6wu0S7PCJO8jiG6hXd4VIt7Dk6g7uImOXJ3ACZQtpm0Bt1O
rHJqW4Rr/P6Eo4gzcO6+7VpCNGzKp9RssJja9xlW/TSl26vp95OabTEsrk/A6HT9FYkNdfbewV16
TCGadHmN12/Pw95IF1T6bmB7zY33OqfklGrvbipOQSEkpf/LXWzojTOLhQ11rZIFXDnE6f1OJWl3
T+ZIKgUHiiZSgNK4sTgAmOEuyThpewhgWuVCv8UmiSkV8nkIqsr2yCACgjM+zyOA+9RsgatS7LK9
GsH053FCgnJHZjy3YKmPwiOczYwinlPzd9t0M9nrLtPPsCOswBKEs+WpYW/MaI4qwLtyAIFHYPLr
dq58pPrusapMzFnxF6/NEL7EzDoVdC9Wq0jTLz5pBN98YRdCPtEjzGkXxNATmd+/KRZXcjqvnX0P
IqEEzGj+TZb6xOCsQ+0lmxnljdO0pMzteYS5cJ31+pudeDob7htxfj+MgjFQts53WhMiSLS5HTEl
z7B8uBtVAu9ls0pkuzv2Jv5OuzyGUd6SjvbUFoEJbj7RpiMHrnay+x4uQ9YAKMP8Uf0bjVgwOL0X
BEu9NFMA5pr6zCkRbL0+WevuqcGiqcK13ChS6px3mSWoR5V4bn2Rvg1NbWG42WmJYQnabUcd5M0/
E5/5PoMwBV1ZSqLeeXT7o+57muN2LevN+/n73qL9u+DMYgW48/MQViD5q5zIS+7k8dPHZVlF5mdQ
QlSYkOaK7k2kz+TCZ0hbHsxwm8kVBxEm+J2ExBxVxPkZgxMslfpDzbuM1k+ZzqcAVmXcp4CitkgC
Z//LKZFnDjeJvwWtPt4ERdWKq557au1Pd5qKBccIgfJmRq3wTRUEVshNjPkW3v4cf5DE6bQt5iUi
trfCNZVtIASXvVewjT+5GSJOl4Nr4Swtvg3KSXG4QeJ7Eqtdjtls+ZljJT72GXCJKghNxsLnzU7J
u+GnoNJOo9Rug60JeXKWV35ViOk6Jq/RWC9sIEE/wYTVB3rz1Z90rdkQuwUrNYxKYBKoB4dTq/rf
bcQOiGnyrIlVv4lcr9/bq8Bzu9Da4IqTr2lY5yKw+ulMM1oQcLSDuieMr8rH4fSBBADR38rvHhmX
lF8lu5L+iWlpCm9j6+kvjZtjqfJD7fvh2ZnfU3pW1vReYwxoh1QrR9MPfG44F/Su8fgU+5p3jDZB
v7sOLuNFthBre7CnK52l98GB7AByVIW/s0eQZooPORnvPNLqc7RBE5ENya4HTSzRMtPJFxmlcBVK
DMiO3Vltt0phorvvUv3gj8OfF3F7gppmlzPFlorxZw2xh6tXFeCcY0lQ1V6xlw13iwRG5HAp17wg
BpAUQ05w60kXSdSdKfVEKO8ZBYGA/utYYeeIeO6CKOyOoE67x+HSL9bHMyWDYYIjM1cw9PML6xdv
elijf+iqcmKaXQpUleWgbrYAWBoZ27C7alLVa2ItEf2H2bGR9dF5xPvchzCV5K8fdjd4+ftDdghd
Uhs+Og917bnsVrxV45s1p4Hup+VF/rfXRGwCJzWLeyO/rymdGR2KP9Rh6H0gF5vxRq1n1gKiJcAU
/IipMaMSUBj15x4g88nQSwayLv/I14lAWiS3JBJ96H1CdiTvaNpLibg8FFRUBcu/Jg0qkHOaSodS
iccNQcHPMf8ZmjOhSSkNTD5Eb/73jOI1tRD1tp+86bHFnJgA+QpHKTDGY8ZxTE7CamboRwxL7/es
PdJhvlJqRlq4wR9Vrodn7jIhgJvyn8HEOGUf0cYju8xntkFlk3EuzeW+u8Mb3QzrMIqMGfVbH7PA
lc5Rj5p55y8eIOzqc1SK67SbeCm0oWAShmEOfoC9Y0BboJSNwjt48FhJ+NRIO40iSjQJjpwHCs7h
j62UDqEcMIa0Oj6+wAnlqm7zF9cQJ2mSuTtvk8Zx52NFyhS17vPDCqWX9cXpz1puGrXNn7D6hDRY
YJTApRgutMEFbkAxn56iSKIMBWKp6NOi2tzpFIVEWYBpefODaUVI1RcWxbY6WjcrDX4fITYDsnQS
IV/M6/nB+QrMiXaYREVhQBuOTux6kjJeu9Rb7LnDmzlG4byFG+wwROb6dYEt3LrdMz10VpQxAJZh
RCvqV5Vj4DgEG9au/rlArScTd6KHUCNPIdXQWfIsW4KnGmalVbF1Osxrw++F0CF+h3lWK8ydMHQd
PpgBCZ4SXtj6Wpn8DStspb6UrdmtGbdRyMmxjY5l20wteXDynxcdKDayJlKV2BjzZhQXJJZOZB63
xDoIUiWX+kLKASYqwfPbVoLCDrZQSx6Xhu1fSZDv+8S2uO7iLupjkadSu7RfXWemnrmdRdfri0ep
7v+hJALrse+hDcVEsMCJOJozRBJldqFa3F5YY+4cM5VFUw==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block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`protect end_protected
|
architecture RTL of FIFO is
constant c_a : integer;
signal sig_b: std_logic;
shared variable var_1 : integer;
file file1 : integer;
alias alias1 is name;
alias alias1 : subtype_identifier is name;
-- Comment to break up groups
constant c_ab : integer;
signal sig_bc : std_logic;
shared variable var_12 : integer;
file file12 : integer;
constant c_abc : integer;
signal sig_bcd : std_logic;
shared variable var_123 : integer;
file file123 : integer;
-- Comment to break up groups
constant c_abcd : integer;
signal sig_bcde : std_logic;
shared variable var_1234 : integer;
file file1234 : integer;
begin
end architecture RTL;
|
-- -------------------------------------------------------------
--
-- Generated Configuration for ent_b
--
-- Generated
-- by: wig
-- on: Mon Jul 18 16:07:27 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -sheet HIER=HIER_MIXED -strip -nodelta ../../verilog.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_b-rtl-conf-c.vhd,v 1.4 2005/07/19 07:13:19 wig Exp $
-- $Date: 2005/07/19 07:13:19 $
-- $Log: ent_b-rtl-conf-c.vhd,v $
-- Revision 1.4 2005/07/19 07:13:19 wig
-- Update testcases. Added highlow/nolowbus
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration ent_b_rtl_conf / ent_b
--
configuration ent_b_rtl_conf of ent_b is
for rtl
-- Generated Configuration
-- __I_NO_CONFIG_VERILOG --for inst_ba : ent_ba
-- __I_NO_CONFIG_VERILOG -- use configuration work.ent_ba_rtl_conf;
-- __I_NO_CONFIG_VERILOG --end for;
for inst_bb : ent_bb
use configuration work.ent_bb_rtl_conf;
end for;
end for;
end ent_b_rtl_conf;
--
-- End of Generated Configuration ent_b_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
-----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief FPGA Kintex7 specific constants definition.
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config_target is
-- Technology and synthesis options
constant CFG_FABTECH : integer := kintex7;
constant CFG_MEMTECH : integer := kintex7;
constant CFG_PADTECH : integer := kintex7;
constant CFG_JTAGTECH : integer := kintex7;
constant CFG_RMII : integer := 0;
end;
|
-----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief FPGA Kintex7 specific constants definition.
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config_target is
-- Technology and synthesis options
constant CFG_FABTECH : integer := kintex7;
constant CFG_MEMTECH : integer := kintex7;
constant CFG_PADTECH : integer := kintex7;
constant CFG_JTAGTECH : integer := kintex7;
constant CFG_RMII : integer := 0;
end;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_aa_e
--
-- Generated
-- by: wig
-- on: Sat Mar 3 09:45:57 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl -nodelta ../../udc.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_aa_e-e.vhd,v 1.1 2007/03/03 11:17:34 wig Exp $
-- $Date: 2007/03/03 11:17:34 $
-- $Log: inst_aa_e-e.vhd,v $
-- Revision 1.1 2007/03/03 11:17:34 wig
-- Extended ::udc: language dependent %AINS% and %PINS%: e.g. <VHDL>...</VHDL>
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.101 2007/03/01 16:28:38 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_aa_e
--
entity inst_aa_e is
HOOK: global hook in entity
-- Generics:
-- No Generated Generics for Entity inst_aa_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_aa_e
port_xa_i : in std_ulogic; -- tie to low to create port
port_xa_o : out std_ulogic -- signal test aa to ba
-- End of Generated Port for Entity inst_aa_e
);
end inst_aa_e;
--
-- End of Generated Entity inst_aa_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
-------------------------------------------------------------------------------
-- This file is part of the Queens@TUD solver suite
-- for enumerating and counting the solutions of an N-Queens Puzzle.
--
-- Copyright (C) 2008-2015
-- Thomas B. Preusser <[email protected]>
-------------------------------------------------------------------------------
-- This design is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Affero General Public License as published
-- by the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Affero General Public License for more details.
--
-- You should have received a copy of the GNU Affero General Public License
-- along with this design. If not, see <http://www.gnu.org/licenses/>.
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity enframe is
generic (
SENTINEL : std_logic_vector(7 downto 0) -- Start Byte
);
port (
clk : in std_logic;
rst : in std_logic;
idat : in std_logic_vector(7 downto 0);
ieof : in std_logic;
ivld : in std_logic;
igot : out std_logic;
tx_ful : in std_logic;
tx_put : out std_logic;
tx_dat : out std_logic_vector(7 downto 0)
);
end enframe;
library IEEE;
use IEEE.numeric_std.all;
architecture rtl of enframe is
-- CRC Table for 0x1D5 (CRC-8)
type tFCS is array(0 to 255) of std_logic_vector(7 downto 0);
constant FCS : tFCS := (
x"00", x"D5", x"7F", x"AA", x"FE", x"2B", x"81", x"54",
x"29", x"FC", x"56", x"83", x"D7", x"02", x"A8", x"7D",
x"52", x"87", x"2D", x"F8", x"AC", x"79", x"D3", x"06",
x"7B", x"AE", x"04", x"D1", x"85", x"50", x"FA", x"2F",
x"A4", x"71", x"DB", x"0E", x"5A", x"8F", x"25", x"F0",
x"8D", x"58", x"F2", x"27", x"73", x"A6", x"0C", x"D9",
x"F6", x"23", x"89", x"5C", x"08", x"DD", x"77", x"A2",
x"DF", x"0A", x"A0", x"75", x"21", x"F4", x"5E", x"8B",
x"9D", x"48", x"E2", x"37", x"63", x"B6", x"1C", x"C9",
x"B4", x"61", x"CB", x"1E", x"4A", x"9F", x"35", x"E0",
x"CF", x"1A", x"B0", x"65", x"31", x"E4", x"4E", x"9B",
x"E6", x"33", x"99", x"4C", x"18", x"CD", x"67", x"B2",
x"39", x"EC", x"46", x"93", x"C7", x"12", x"B8", x"6D",
x"10", x"C5", x"6F", x"BA", x"EE", x"3B", x"91", x"44",
x"6B", x"BE", x"14", x"C1", x"95", x"40", x"EA", x"3F",
x"42", x"97", x"3D", x"E8", x"BC", x"69", x"C3", x"16",
x"EF", x"3A", x"90", x"45", x"11", x"C4", x"6E", x"BB",
x"C6", x"13", x"B9", x"6C", x"38", x"ED", x"47", x"92",
x"BD", x"68", x"C2", x"17", x"43", x"96", x"3C", x"E9",
x"94", x"41", x"EB", x"3E", x"6A", x"BF", x"15", x"C0",
x"4B", x"9E", x"34", x"E1", x"B5", x"60", x"CA", x"1F",
x"62", x"B7", x"1D", x"C8", x"9C", x"49", x"E3", x"36",
x"19", x"CC", x"66", x"B3", x"E7", x"32", x"98", x"4D",
x"30", x"E5", x"4F", x"9A", x"CE", x"1B", x"B1", x"64",
x"72", x"A7", x"0D", x"D8", x"8C", x"59", x"F3", x"26",
x"5B", x"8E", x"24", x"F1", x"A5", x"70", x"DA", x"0F",
x"20", x"F5", x"5F", x"8A", x"DE", x"0B", x"A1", x"74",
x"09", x"DC", x"76", x"A3", x"F7", x"22", x"88", x"5D",
x"D6", x"03", x"A9", x"7C", x"28", x"FD", x"57", x"82",
x"FF", x"2A", x"80", x"55", x"01", x"D4", x"7E", x"AB",
x"84", x"51", x"FB", x"2E", x"7A", x"AF", x"05", x"D0",
x"AD", x"78", x"D2", x"07", x"53", x"86", x"2C", x"F9"
);
-- State Machine
type tState is (Idle, Transmit, WriteCRC);
signal State : tState := Idle;
signal NextState : tState;
signal CRC : std_logic_vector(7 downto 0) := (others => '-');
signal InitCRC : std_logic;
signal UpdateCRC : std_logic;
begin
-- State
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
State <= Idle;
CRC <= (others => '-');
else
State <= NextState;
if InitCRC = '1' then
CRC <= FCS(255);
elsif UpdateCRC = '1' then
CRC <= FCS(to_integer(unsigned(CRC xor idat)));
end if;
end if;
end if;
end process;
process(State, tx_ful, ivld, ieof, idat, CRC)
begin
NextState <= State;
InitCRC <= '0';
UpdateCRC <= '0';
tx_dat <= (others => '-');
tx_put <= '0';
igot <= '0';
if tx_ful = '0' then
case State is
when Idle =>
if ivld = '1' then
InitCRC <= '1';
tx_dat <= SENTINEL;
tx_put <= '1';
NextState <= Transmit;
end if;
when Transmit =>
if ivld = '1' then
UpdateCRC <= '1';
tx_dat <= idat;
tx_put <= '1';
igot <= '1';
if ieof = '1' then
NextState <= WriteCRC;
end if;
end if;
when WriteCRC =>
tx_dat <= CRC;
tx_put <= '1';
NextState <= Idle;
end case;
end if;
end process;
end rtl;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
-------------------------------------------------------------------------------
-- This file is part of the Queens@TUD solver suite
-- for enumerating and counting the solutions of an N-Queens Puzzle.
--
-- Copyright (C) 2008-2015
-- Thomas B. Preusser <[email protected]>
-------------------------------------------------------------------------------
-- This design is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Affero General Public License as published
-- by the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Affero General Public License for more details.
--
-- You should have received a copy of the GNU Affero General Public License
-- along with this design. If not, see <http://www.gnu.org/licenses/>.
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity enframe is
generic (
SENTINEL : std_logic_vector(7 downto 0) -- Start Byte
);
port (
clk : in std_logic;
rst : in std_logic;
idat : in std_logic_vector(7 downto 0);
ieof : in std_logic;
ivld : in std_logic;
igot : out std_logic;
tx_ful : in std_logic;
tx_put : out std_logic;
tx_dat : out std_logic_vector(7 downto 0)
);
end enframe;
library IEEE;
use IEEE.numeric_std.all;
architecture rtl of enframe is
-- CRC Table for 0x1D5 (CRC-8)
type tFCS is array(0 to 255) of std_logic_vector(7 downto 0);
constant FCS : tFCS := (
x"00", x"D5", x"7F", x"AA", x"FE", x"2B", x"81", x"54",
x"29", x"FC", x"56", x"83", x"D7", x"02", x"A8", x"7D",
x"52", x"87", x"2D", x"F8", x"AC", x"79", x"D3", x"06",
x"7B", x"AE", x"04", x"D1", x"85", x"50", x"FA", x"2F",
x"A4", x"71", x"DB", x"0E", x"5A", x"8F", x"25", x"F0",
x"8D", x"58", x"F2", x"27", x"73", x"A6", x"0C", x"D9",
x"F6", x"23", x"89", x"5C", x"08", x"DD", x"77", x"A2",
x"DF", x"0A", x"A0", x"75", x"21", x"F4", x"5E", x"8B",
x"9D", x"48", x"E2", x"37", x"63", x"B6", x"1C", x"C9",
x"B4", x"61", x"CB", x"1E", x"4A", x"9F", x"35", x"E0",
x"CF", x"1A", x"B0", x"65", x"31", x"E4", x"4E", x"9B",
x"E6", x"33", x"99", x"4C", x"18", x"CD", x"67", x"B2",
x"39", x"EC", x"46", x"93", x"C7", x"12", x"B8", x"6D",
x"10", x"C5", x"6F", x"BA", x"EE", x"3B", x"91", x"44",
x"6B", x"BE", x"14", x"C1", x"95", x"40", x"EA", x"3F",
x"42", x"97", x"3D", x"E8", x"BC", x"69", x"C3", x"16",
x"EF", x"3A", x"90", x"45", x"11", x"C4", x"6E", x"BB",
x"C6", x"13", x"B9", x"6C", x"38", x"ED", x"47", x"92",
x"BD", x"68", x"C2", x"17", x"43", x"96", x"3C", x"E9",
x"94", x"41", x"EB", x"3E", x"6A", x"BF", x"15", x"C0",
x"4B", x"9E", x"34", x"E1", x"B5", x"60", x"CA", x"1F",
x"62", x"B7", x"1D", x"C8", x"9C", x"49", x"E3", x"36",
x"19", x"CC", x"66", x"B3", x"E7", x"32", x"98", x"4D",
x"30", x"E5", x"4F", x"9A", x"CE", x"1B", x"B1", x"64",
x"72", x"A7", x"0D", x"D8", x"8C", x"59", x"F3", x"26",
x"5B", x"8E", x"24", x"F1", x"A5", x"70", x"DA", x"0F",
x"20", x"F5", x"5F", x"8A", x"DE", x"0B", x"A1", x"74",
x"09", x"DC", x"76", x"A3", x"F7", x"22", x"88", x"5D",
x"D6", x"03", x"A9", x"7C", x"28", x"FD", x"57", x"82",
x"FF", x"2A", x"80", x"55", x"01", x"D4", x"7E", x"AB",
x"84", x"51", x"FB", x"2E", x"7A", x"AF", x"05", x"D0",
x"AD", x"78", x"D2", x"07", x"53", x"86", x"2C", x"F9"
);
-- State Machine
type tState is (Idle, Transmit, WriteCRC);
signal State : tState := Idle;
signal NextState : tState;
signal CRC : std_logic_vector(7 downto 0) := (others => '-');
signal InitCRC : std_logic;
signal UpdateCRC : std_logic;
begin
-- State
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
State <= Idle;
CRC <= (others => '-');
else
State <= NextState;
if InitCRC = '1' then
CRC <= FCS(255);
elsif UpdateCRC = '1' then
CRC <= FCS(to_integer(unsigned(CRC xor idat)));
end if;
end if;
end if;
end process;
process(State, tx_ful, ivld, ieof, idat, CRC)
begin
NextState <= State;
InitCRC <= '0';
UpdateCRC <= '0';
tx_dat <= (others => '-');
tx_put <= '0';
igot <= '0';
if tx_ful = '0' then
case State is
when Idle =>
if ivld = '1' then
InitCRC <= '1';
tx_dat <= SENTINEL;
tx_put <= '1';
NextState <= Transmit;
end if;
when Transmit =>
if ivld = '1' then
UpdateCRC <= '1';
tx_dat <= idat;
tx_put <= '1';
igot <= '1';
if ieof = '1' then
NextState <= WriteCRC;
end if;
end if;
when WriteCRC =>
tx_dat <= CRC;
tx_put <= '1';
NextState <= Idle;
end case;
end if;
end process;
end rtl;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3131.vhd,v 1.2 2001-10-26 16:30:04 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b01x02p12n01i03131ent_a IS
generic ( g1 : boolean := false );
END c05s02b01x02p12n01i03131ent_a;
ARCHITECTURE c05s02b01x02p12n01i03131arch_a OF c05s02b01x02p12n01i03131ent_a IS
BEGIN
TESTING: PROCESS
BEGIN
assert g1 report "g1=false" severity FAILURE;
assert NOT( g1 = true )
report "***PASSED TEST: c05s02b01x02p12n01i03131"
severity NOTE;
assert ( g1 = true )
report "***FAILED TEST: c05s02b01x02p12n01i03131 - An actual associated with a formal generic in a generic map aspect be an expression test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b01x02p12n01i03131arch_a;
ENTITY c05s02b01x02p12n01i03131ent IS
END c05s02b01x02p12n01i03131ent;
ARCHITECTURE c05s02b01x02p12n01i03131arch OF c05s02b01x02p12n01i03131ent IS
BEGIN
labeled : block
component ic_socket
generic ( local_g1 : Boolean := true );
end component;
for instance : ic_socket use entity work .c05s02b01x02p12n01i03131ent_a (c05s02b01x02p12n01i03131arch_a)
generic map ( true );
begin
instance : ic_socket;
end block;
END c05s02b01x02p12n01i03131arch;
configuration c05s02b01x02p12n01i03131cfg of c05s02b01x02p12n01i03131ent is
for c05s02b01x02p12n01i03131arch
end for;
end c05s02b01x02p12n01i03131cfg;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3131.vhd,v 1.2 2001-10-26 16:30:04 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b01x02p12n01i03131ent_a IS
generic ( g1 : boolean := false );
END c05s02b01x02p12n01i03131ent_a;
ARCHITECTURE c05s02b01x02p12n01i03131arch_a OF c05s02b01x02p12n01i03131ent_a IS
BEGIN
TESTING: PROCESS
BEGIN
assert g1 report "g1=false" severity FAILURE;
assert NOT( g1 = true )
report "***PASSED TEST: c05s02b01x02p12n01i03131"
severity NOTE;
assert ( g1 = true )
report "***FAILED TEST: c05s02b01x02p12n01i03131 - An actual associated with a formal generic in a generic map aspect be an expression test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b01x02p12n01i03131arch_a;
ENTITY c05s02b01x02p12n01i03131ent IS
END c05s02b01x02p12n01i03131ent;
ARCHITECTURE c05s02b01x02p12n01i03131arch OF c05s02b01x02p12n01i03131ent IS
BEGIN
labeled : block
component ic_socket
generic ( local_g1 : Boolean := true );
end component;
for instance : ic_socket use entity work .c05s02b01x02p12n01i03131ent_a (c05s02b01x02p12n01i03131arch_a)
generic map ( true );
begin
instance : ic_socket;
end block;
END c05s02b01x02p12n01i03131arch;
configuration c05s02b01x02p12n01i03131cfg of c05s02b01x02p12n01i03131ent is
for c05s02b01x02p12n01i03131arch
end for;
end c05s02b01x02p12n01i03131cfg;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3131.vhd,v 1.2 2001-10-26 16:30:04 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b01x02p12n01i03131ent_a IS
generic ( g1 : boolean := false );
END c05s02b01x02p12n01i03131ent_a;
ARCHITECTURE c05s02b01x02p12n01i03131arch_a OF c05s02b01x02p12n01i03131ent_a IS
BEGIN
TESTING: PROCESS
BEGIN
assert g1 report "g1=false" severity FAILURE;
assert NOT( g1 = true )
report "***PASSED TEST: c05s02b01x02p12n01i03131"
severity NOTE;
assert ( g1 = true )
report "***FAILED TEST: c05s02b01x02p12n01i03131 - An actual associated with a formal generic in a generic map aspect be an expression test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b01x02p12n01i03131arch_a;
ENTITY c05s02b01x02p12n01i03131ent IS
END c05s02b01x02p12n01i03131ent;
ARCHITECTURE c05s02b01x02p12n01i03131arch OF c05s02b01x02p12n01i03131ent IS
BEGIN
labeled : block
component ic_socket
generic ( local_g1 : Boolean := true );
end component;
for instance : ic_socket use entity work .c05s02b01x02p12n01i03131ent_a (c05s02b01x02p12n01i03131arch_a)
generic map ( true );
begin
instance : ic_socket;
end block;
END c05s02b01x02p12n01i03131arch;
configuration c05s02b01x02p12n01i03131cfg of c05s02b01x02p12n01i03131ent is
for c05s02b01x02p12n01i03131arch
end for;
end c05s02b01x02p12n01i03131cfg;
|
entity test is
constant a : b :=
<<constant @foo.bar : t>>;
end;
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 05-05-2016
-- Module Name: ring-counter.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity ring_counter is
generic (N : integer := 4);
port (clk, start : in std_logic;
Q : out std_logic_vector(N - 1 downto 0));
end entity ring_counter;
architecture rtl of ring_counter is
component d_flipflop
port ( clk, reset, preset : in std_logic;
d : in std_logic;
q, qbar : out std_logic);
end component;
for all:d_flipflop use entity work.d_flipflop;
signal b : std_logic_vector (N - 1 downto 0);
signal bbar : std_logic_vector (N - 1 downto 0);
begin
dff:d_flipflop port map (clk, '0', start, b(N - 1), b(0), bbar(0));
dffsg: for I in 1 to N - 1 generate
dffs:d_flipflop port map (clk, start, '0', b(I - 1), b(I), bbar(I));
end generate;
Q <= b;
end architecture;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1631.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s12b00x00p04n02i01631ent IS
END c08s12b00x00p04n02i01631ent;
ARCHITECTURE c08s12b00x00p04n02i01631arch OF c08s12b00x00p04n02i01631ent IS
BEGIN
TESTING: PROCESS
function return_exp_check return integer is
variable k : integer := 0;
begin
k := 10;
return;
end;
variable i : integer := 0;
BEGIN
i := return_exp_check;
assert FALSE
report "***FAILED TEST: c08s12b00x00p04n02i01631 - Return statement in a function must have an expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s12b00x00p04n02i01631arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1631.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s12b00x00p04n02i01631ent IS
END c08s12b00x00p04n02i01631ent;
ARCHITECTURE c08s12b00x00p04n02i01631arch OF c08s12b00x00p04n02i01631ent IS
BEGIN
TESTING: PROCESS
function return_exp_check return integer is
variable k : integer := 0;
begin
k := 10;
return;
end;
variable i : integer := 0;
BEGIN
i := return_exp_check;
assert FALSE
report "***FAILED TEST: c08s12b00x00p04n02i01631 - Return statement in a function must have an expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s12b00x00p04n02i01631arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1631.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s12b00x00p04n02i01631ent IS
END c08s12b00x00p04n02i01631ent;
ARCHITECTURE c08s12b00x00p04n02i01631arch OF c08s12b00x00p04n02i01631ent IS
BEGIN
TESTING: PROCESS
function return_exp_check return integer is
variable k : integer := 0;
begin
k := 10;
return;
end;
variable i : integer := 0;
BEGIN
i := return_exp_check;
assert FALSE
report "***FAILED TEST: c08s12b00x00p04n02i01631 - Return statement in a function must have an expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s12b00x00p04n02i01631arch;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
end entity FIFO;
package my_pkg is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
end package my_pkg;
-- Violation below
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
end entity FIFO;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:02:35 11/13/2013
-- Design Name:
-- Module Name: Y:/cg3207-proj/CPU_test.vhd
-- Project Name: Lab3
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: CPU
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY CPU_test IS
END CPU_test;
ARCHITECTURE behavior OF CPU_test IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT CPU
PORT(
Control : IN std_logic_vector(5 downto 0);
Operand1 : IN std_logic_vector(31 downto 0);
Operand2 : IN std_logic_vector(31 downto 0);
Result1 : OUT std_logic_vector(31 downto 0);
Result2 : OUT std_logic_vector(31 downto 0);
Debug : OUT std_logic_vector(31 downto 0);
REG1 : OUT std_logic_vector(31 downto 0);
REG2 : OUT std_logic_vector(31 downto 0);
REG3 : OUT std_logic_vector(31 downto 0);
REG4 : OUT std_logic_vector(31 downto 0);
REG5 : OUT std_logic_vector(31 downto 0);
REG6 : OUT std_logic_vector(31 downto 0);
REG7 : OUT std_logic_vector(31 downto 0);
REG8 : OUT std_logic_vector(31 downto 0);
ALU_OP : OUT std_logic_vector(2 downto 0);
Clk : IN std_logic;
Reset : IN std_logic
);
END COMPONENT;
--Inputs
signal Control : std_logic_vector(5 downto 0) := (others => '0');
signal Operand1 : std_logic_vector(31 downto 0) := (others => '0');
signal Operand2 : std_logic_vector(31 downto 0) := (others => '0');
signal Clk : std_logic := '0';
signal Reset : std_logic := '0';
--Outputs
signal Result1 : std_logic_vector(31 downto 0);
signal Result2 : std_logic_vector(31 downto 0);
signal Debug : std_logic_vector(31 downto 0);
signal REG1 : std_logic_vector(31 downto 0);
signal REG2 : std_logic_vector(31 downto 0);
signal REG3 : std_logic_vector(31 downto 0);
signal REG4 : std_logic_vector(31 downto 0);
signal REG5 : std_logic_vector(31 downto 0);
signal REG6 : std_logic_vector(31 downto 0);
signal REG7 : std_logic_vector(31 downto 0);
signal REG8 : std_logic_vector(31 downto 0);
signal ALU_OP : std_logic_vector(2 downto 0);
-- Clock period definitions
constant Clk_period : time := 100 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: CPU PORT MAP (
Control => Control,
Operand1 => Operand1,
Operand2 => Operand2,
Result1 => Result1,
Result2 => Result2,
Debug => Debug,
REG1 => REG1,
REG2 => REG2,
REG3 => REG3,
REG4 => REG4,
REG5 => REG5,
REG6 => REG6,
REG7 => REG7,
REG8 => REG8,
ALU_OP => ALU_OP,
Clk => Clk,
Reset => Reset
);
-- Clock process definitions
Clk_process :process
begin
Clk <= '0';
wait for Clk_period/2;
Clk <= '1';
wait for Clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
Reset <='1';
wait for 100 ns;
Reset <='0';
wait for Clk_period*100;
-- insert stimulus here
wait;
end process;
END;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity multiplicador is
port
(
clock : in std_logic;
reset : in std_logic;
-- Entradas/saídas de controle
s : in std_logic;
bb : out std_logic;
-- Output ports
A : in std_logic_vector(3 downto 0);
B : in std_logic_vector(3 downto 0);
R : out std_logic_vector(7 downto 0)
);
end multiplicador;
architecture meumult of multiplicador is
signal ZR,LR,Sub,ZS,LS,ZN,EN,Nm : std_logic;
component datapath
port (
-- Entradas
clk : in std_logic;
A : in std_logic_vector(3 downto 0);
B : in std_logic_vector(3 downto 0);
ZR,LR,Sub,ZS,LS,ZN,EN : in std_logic;
-- Output ports
Nm : out std_logic;
R : out std_logic_vector(7 downto 0)
);
end component;
component controle
port (
clk : in std_logic;
reset : in std_logic;
-- Entradas e saídas de controle
s : in std_logic;
b : out std_logic;
-- comando e status
ZR,LR,Sub,ZS,LS,ZN,EN : out std_logic;
Nm : in std_logic
);
end component;
begin
dtp: datapath port map (clock,A,B,ZR,LR,Sub,ZS,LS,ZN,EN,Nm,R);
ctrl: controle port map (clock,reset,s,bb,ZR,LR,Sub,ZS,LS,ZN,EN,Nm);
end meumult;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Entity: i2cslv
-- File: i2cslv.vhd
-- Author: Jan Andersson - Gaisler Research
-- [email protected]
--
-- Description: Simple I2C-slave with AMBA APB interface
--
-- Documentation of generics:
--
-- [hardaddr]
-- If this generic is set to 1 the core uses i2caddr as the hard coded address.
-- If hardaddr is set to 0 the core's address can be changed via the SLVADDR
-- register.
--
-- [tenbit]
-- Support for ten bit addresses.
--
-- [i2caddr]
-- The slave's (initial) i2c address.
--
-- [oepol]
-- Output enable polarity
--
-- [filter]
-- Length of filters used on SCL and SDA
--
-- The slave has four different modes operation. The mode is defined by the
-- value of the bits RMODE and TMODE.
-- RMODE TMODE I2CSLAVE Mode
-- 0 0 0
-- 0 1 1
-- 1 0 2
-- 1 1 3
--
-- RMODE 0:
-- The slave accepts one byte and NAKs all other transfers until software has
-- acknowledged the received byte.
-- RMODE 1:
-- The slave accepts one byte and keeps SCL low until software has acknowledged
-- the received byte
-- TMODE 0:
-- The slave transmits the same byte to all if the master requests more than
-- one byte in the transfer. The slave then NAKs all read requests unless the
-- Transmit Always Valid (TAV) bit in the control register is set.
-- TMODE 1:
-- The slave transmits one byte and then keeps SCL low until software has
-- acknowledged that the byte has been transmitted.
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.i2c.all;
library grlib;
use grlib.amba.all;
use grlib.devices.all;
use grlib.stdlib.all;
entity i2cslv is
generic (
-- APB generics
pindex : integer := 0; -- slave bus index
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0; -- interrupt index
-- I2C configuration
hardaddr : integer range 0 to 1 := 0; -- See description above
tenbit : integer range 0 to 1 := 0;
i2caddr : integer range 0 to 1023 := 0;
oepol : integer range 0 to 1 := 0;
filter : integer range 2 to 512 := 2
);
port (
rstn : in std_ulogic;
clk : in std_ulogic;
-- APB signals
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
-- I2C signals
i2ci : in i2c_in_type;
i2co : out i2c_out_type
);
end entity i2cslv;
architecture rtl of i2cslv is
-----------------------------------------------------------------------------
-- Constants
-----------------------------------------------------------------------------
-- Core version
constant I2CSLV_REV : integer := 0;
-- AMBA PnP
constant PCONFIG : apb_config_type := (
0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_I2CSLV, 0, I2CSLV_REV, pirq),
1 => apb_iobar(paddr, pmask));
-- Register addresses
constant SLV_ADDR : std_logic_vector(7 downto 2) := "000000";
constant CTRL_ADDR : std_logic_vector(7 downto 2) := "000001";
constant STS_ADDR : std_logic_vector(7 downto 2) := "000010";
constant MSK_ADDR : std_logic_vector(7 downto 2) := "000011";
constant RD_ADDR : std_logic_vector(7 downto 2) := "000100";
constant TD_ADDR : std_logic_vector(7 downto 2) := "000101";
-- Core configuration
constant TENBIT_SUPPORT : integer := tenbit;
constant I2CADDRLEN : integer := 7 + tenbit*3;
constant HARDCADDR : integer := hardaddr;
constant I2CSLVADDR : std_logic_vector((I2CADDRLEN-1) downto 0) :=
conv_std_logic_vector(i2caddr, I2CADDRLEN);
-- Misc constants
constant I2C_READ : std_ulogic := '1'; -- R/Wn bit
constant I2C_WRITE : std_ulogic := '0';
constant OEPOL_LEVEL : std_ulogic := conv_std_logic(oepol = 1);
constant I2C_LOW : std_ulogic := OEPOL_LEVEL; -- OE
constant I2C_HIZ : std_ulogic := not OEPOL_LEVEL;
constant I2C_ACK : std_ulogic := '0';
constant TENBIT_ADDR_START : std_logic_vector(4 downto 0) := "11110";
-----------------------------------------------------------------------------
-- Types
-----------------------------------------------------------------------------
type ctrl_reg_type is record -- Control register
rmode : std_ulogic; -- Receive mode
tmode : std_ulogic; -- Transmit mode
tv : std_ulogic; -- Transmit valid
tav : std_ulogic; -- Transmit always valid
en : std_ulogic; -- Enable
end record;
type sts_reg_type is record -- Status/Mask registers
rec : std_ulogic; -- Received byte
tra : std_ulogic; -- Transmitted byte
nak : std_ulogic; -- NAK'd address
end record;
type slvaddr_reg_type is record -- Slave address register
tba : std_ulogic; -- 10-bit address
slvaddr : std_logic_vector((I2CADDRLEN-1) downto 0);
end record;
type i2cslv_reg_bank is record -- APB registers
slvaddr : slvaddr_reg_type;
ctrl : ctrl_reg_type;
sts : sts_reg_type;
msk : sts_reg_type;
receive : std_logic_vector(7 downto 0);
transmit : std_logic_vector(7 downto 0);
end record;
type i2c_in_array is array (filter downto 0) of i2c_in_type;
type slv_state_type is (idle, checkaddr, check10bitaddr, sclhold,
movebyte, handshake);
type i2cslv_reg_type is record
slvstate : slv_state_type;
--
reg : i2cslv_reg_bank;
irq : std_ulogic;
-- Transfer phase
active : boolean;
addr : boolean;
transmit : boolean;
receive : boolean;
-- Shift register
sreg : std_logic_vector(7 downto 0);
cnt : std_logic_vector(2 downto 0);
-- Synchronizers for inputs SCL and SDA
scl : std_ulogic;
sda : std_ulogic;
i2ci : i2c_in_array;
-- Output enables
scloen : std_ulogic;
sdaoen : std_ulogic;
end record;
-----------------------------------------------------------------------------
-- Subprograms
-----------------------------------------------------------------------------
-- purpose: Compares the first byte of a received address with the slave's
-- address. The tba input determines if the slave is using a ten bit address.
function compaddr1stb (
ibyte : std_logic_vector(7 downto 0); -- I2C byte
sr : slvaddr_reg_type) -- slave address register
return boolean is
variable correct : std_logic_vector(7 downto 1);
begin -- compaddr1stb
if sr.tba = '1' then
correct(7 downto 3) := TENBIT_ADDR_START;
correct(2 downto 1):= sr.slvaddr((I2CADDRLEN-1) downto (I2CADDRLEN-2));
else
correct(7 downto 1) := sr.slvaddr(6 downto 0);
end if;
return ibyte(7 downto 1) = correct(7 downto 1);
end compaddr1stb;
-- purpose: Compares the 2nd byte of a ten bit address with the slave address
function compaddr2ndb (
ibyte : std_logic_vector(7 downto 0); -- I2C byte
slvaddr : std_logic_vector((I2CADDRLEN-1) downto 0)) -- slave address
return boolean is
begin -- compaddr2ndb
return ibyte((I2CADDRLEN-3) downto 0) = slvaddr((I2CADDRLEN-3) downto 0);
end compaddr2ndb;
-----------------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------------
-- Register interface
signal r, rin : i2cslv_reg_type;
begin
comb: process (r, rstn, apbi, i2ci)
variable v : i2cslv_reg_type;
variable irq : std_logic_vector((NAHBIRQ-1) downto 0);
variable apbaddr : std_logic_vector(5 downto 0);
variable apbout : std_logic_vector(31 downto 0);
variable sclfilt : std_logic_vector(filter-1 downto 0);
variable sdafilt : std_logic_vector(filter-1 downto 0);
variable tba : boolean;
begin -- process comb
v := r; v.irq := '0'; irq := (others=>'0'); irq(pirq) := r.irq;
apbaddr := apbi.paddr(7 downto 2); apbout := (others => '0');
v.i2ci(0) := i2ci; v.i2ci(filter downto 1) := r.i2ci(filter-1 downto 0);
tba := false;
---------------------------------------------------------------------------
-- APB register interface
---------------------------------------------------------------------------
-- read registers
if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then
case apbaddr is
when SLV_ADDR =>
apbout(31) := r.reg.slvaddr.tba;
apbout((I2CADDRLEN-1) downto 0) := r.reg.slvaddr.slvaddr;
when CTRL_ADDR =>
apbout(4 downto 0) := r.reg.ctrl.rmode & r.reg.ctrl.tmode &
r.reg.ctrl.tv & r.reg.ctrl.tav & r.reg.ctrl.en;
when STS_ADDR =>
apbout(2 downto 0) := r.reg.sts.rec & r.reg.sts.tra & r.reg.sts.nak;
when MSK_ADDR =>
apbout(2 downto 0) := r.reg.msk.rec & r.reg.msk.tra & r.reg.msk.nak;
when RD_ADDR =>
v.reg.sts.rec := '0';
apbout(7 downto 0) := r.reg.receive;
when TD_ADDR =>
apbout(7 downto 0) := r.reg.transmit;
when others => null;
end case;
end if;
-- write registers
if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
case apbaddr is
when SLV_ADDR =>
if HARDCADDR = 0 then
if TENBIT_SUPPORT = 1 then
v.reg.slvaddr.tba := apbi.pwdata(31);
end if;
v.reg.slvaddr.slvaddr := apbi.pwdata((I2CADDRLEN-1) downto 0);
end if;
when CTRL_ADDR =>
v.reg.ctrl.rmode := apbi.pwdata(4);
v.reg.ctrl.tmode := apbi.pwdata(3);
v.reg.ctrl.tv := apbi.pwdata(2);
v.reg.ctrl.tav := apbi.pwdata(1);
v.reg.ctrl.en := apbi.pwdata(0);
when STS_ADDR =>
v.reg.sts.tra := r.reg.sts.tra and not apbi.pwdata(1);
v.reg.sts.nak := r.reg.sts.nak and not apbi.pwdata(0);
when MSK_ADDR =>
v.reg.msk.rec := apbi.pwdata(2);
v.reg.msk.tra := apbi.pwdata(1);
v.reg.msk.nak := apbi.pwdata(0);
when TD_ADDR =>
v.reg.transmit := apbi.pwdata(7 downto 0);
when others => null;
end case;
end if;
----------------------------------------------------------------------------
-- Bus filtering
----------------------------------------------------------------------------
for i in 0 to filter-1 loop
sclfilt(i) := r.i2ci(i+1).scl; sdafilt(i) := r.i2ci(i+1).sda;
end loop; -- i
if andv(sclfilt) = '1' then v.scl := '1'; end if;
if orv(sclfilt) = '0' then v.scl := '0'; end if;
if andv(sdafilt) = '1' then v.sda := '1'; end if;
if orv(sdafilt) = '0' then v.sda := '0'; end if;
---------------------------------------------------------------------------
-- I2C slave control FSM
---------------------------------------------------------------------------
case r.slvstate is
when idle =>
-- Release bus
if (r.scl and not v.scl) = '1' then
v.sdaoen := I2C_HIZ;
end if;
when checkaddr =>
tba := r.reg.slvaddr.tba = '1';
if compaddr1stb(r.sreg, r.reg.slvaddr) then
if r.sreg(0) = I2C_READ then
if (not tba or (tba and r.active)) then
if r.reg.ctrl.tv = '1' then
-- Transmit data
v.transmit := true;
v.slvstate := handshake;
else
-- No data to transmit, NAK
if (not v.reg.sts.nak and r.reg.msk.nak) = '1' then
v.irq := '1';
end if;
v.reg.sts.nak := '1';
v.slvstate := idle;
end if;
else
-- Ten bit address with R/Wn = 1 and slave not previously
-- addressed.
v.slvstate := idle;
end if;
else
v.receive := not tba;
v.slvstate := handshake;
end if;
else
-- Slave address did not match
v.active := false;
v.slvstate := idle;
end if;
v.sreg := r.reg.transmit;
when check10bitaddr =>
if compaddr2ndb(r.sreg, r.reg.slvaddr.slvaddr) then
-- Slave has been addressed with a matching 10 bit address
-- If we receive a repeated start condition, matching address
-- and R/Wn = 1 we will transmit data. Without start condition we
-- will receive data.
v.addr := true;
v.active := true;
v.receive := true;
v.slvstate := handshake;
else
v.slvstate := idle;
end if;
when sclhold =>
-- This state is used when the device has been addressed to see if SCL
-- should be kept low until the receive register is free or the
-- transmit register is filled. It is also used when a data byte has
-- been transmitted or received to SCL low until software acknowledges
-- the transfer.
if (r.scl and not v.scl) = '1' then
v.scloen := I2C_LOW;
v.sdaoen := I2C_HIZ;
end if;
if ((r.receive and (not r.reg.sts.rec or not r.reg.ctrl.rmode) = '1') or
(r.transmit and (r.reg.ctrl.tv or not r.reg.ctrl.tmode) = '1')) then
v.slvstate := movebyte;
v.scloen := I2C_HIZ;
-- Falling edge that should be detected in movebyte may have passed
if r.transmit and v.scl = '0' then
v.sdaoen := r.sreg(7) xor OEPOL_LEVEL;
end if;
end if;
v.sreg := r.reg.transmit;
when movebyte =>
if (r.scl and not v.scl) = '1' then
if r.transmit then
v.sdaoen := r.sreg(7) xor OEPOL_LEVEL;
else
v.sdaoen := I2C_HIZ;
end if;
end if;
if (not r.scl and v.scl) = '1' then
v.sreg := r.sreg(6 downto 0) & r.sda;
if r.cnt = "111" then
if r.addr then
v.slvstate := checkaddr;
elsif r.receive nor r.transmit then
v.slvstate := check10bitaddr;
else
v.slvstate := handshake;
end if;
v.cnt := (others => '0');
else
v.cnt := r.cnt + 1;
end if;
end if;
when handshake =>
-- Falling edge
if (r.scl and not v.scl) = '1' then
if r.addr then
v.sdaoen := I2C_LOW;
elsif r.receive then
-- Receive, send ACK/NAK
-- Acknowledge byte if core has room in receive register
-- This code assumes that the core's receive register is free if we are
-- in RMODE 1. This should always be the case unless software has
-- reconfigured the core during operation.
if r.reg.sts.rec = '0' then
v.sdaoen := I2C_LOW;
v.reg.receive := r.sreg;
if r.reg.msk.rec = '1' then
v.irq := '1';
end if;
v.reg.sts.rec := '1';
else
-- NAK the byte, the master must abort the transfer
v.sdaoen := I2C_HIZ;
v.slvstate := idle;
end if;
else
-- Transmit, release bus
v.sdaoen := I2C_HIZ;
-- Byte transmitted, unset TV unless TAV is set.
v.reg.ctrl.tv := r.reg.ctrl.tav;
-- Set status bit and check if interrupt should be generated
if (not v.reg.sts.tra and r.reg.msk.tra) = '1' then
v.irq := '1';
end if;
v.reg.sts.tra := '1';
end if;
if not r.addr and r.receive and v.sdaoen = I2C_HIZ then
if (not v.reg.sts.nak and r.reg.msk.nak) = '1' then
v.irq := '1';
end if;
v.reg.sts.nak := '1';
end if;
end if;
-- Risinge edge
if (not r.scl and v.scl) = '1' then
if r.addr then
v.slvstate := movebyte;
else
if r.receive then
-- RMODE 0: Be ready to accept one more byte which will be NAK'd if
-- software has not read the receive register
-- RMODE 1: Keep SCL low until software has acknowledged received byte
if r.reg.ctrl.rmode = '0' then
v.slvstate := movebyte;
else
v.slvstate := sclhold;
end if;
else
-- Transmit, check ACK/NAK from master
-- If the master NAKs the transmitted byte the transfer has ended and
-- we should wait for the master's next action. If the master ACKs the
-- byte the core will act depending on tmode:
-- TMODE 0:
-- If the master ACKs the byte we must continue to transmit and will
-- transmit the same byte on all requests.
-- TMODE 1:
-- IF the master ACKs the byte we will keep SCL low until software has
-- put new transmit data into the transmit register.
if r.sda = I2C_ACK then
if r.reg.ctrl.tmode = '0' then
v.slvstate := movebyte;
else
v.slvstate := sclhold;
end if;
else
v.slvstate := idle;
end if;
end if;
end if;
v.addr := false;
v.sreg := r.reg.transmit;
end if;
end case;
if r.reg.ctrl.en = '1' then
-- STOP condition
if (r.scl and v.scl and not r.sda and v.sda) = '1' then
v.active := false;
v.slvstate := idle;
end if;
-- START or repeated START condition
if (r.scl and v.scl and r.sda and not v.sda) = '1' then
v.slvstate := movebyte;
v.cnt := (others => '0');
v.addr := true;
v.transmit := false;
v.receive := false;
end if;
end if;
----------------------------------------------------------------------------
-- Reset and idle operation
----------------------------------------------------------------------------
if rstn = '0' then
v.slvstate := idle;
v.reg.slvaddr.slvaddr := I2CSLVADDR;
if TENBIT_SUPPORT = 1 then v.reg.slvaddr.tba := '1';
else v.reg.slvaddr.tba := '0'; end if;
v.reg.ctrl.en := '0';
v.reg.sts := ('0', '0', '0');
v.scl := '0';
v.active := false;
v.scloen := I2C_HIZ; v.sdaoen := I2C_HIZ;
end if;
----------------------------------------------------------------------------
-- Signal assignments
----------------------------------------------------------------------------
-- Update registers
rin <= v;
-- Update outputs
apbo.prdata <= apbout;
apbo.pirq <= irq;
apbo.pconfig <= PCONFIG;
apbo.pindex <= pindex;
i2co.scl <= '0';
i2co.scloen <= r.scloen;
i2co.sda <= '0';
i2co.sdaoen <= r.sdaoen;
i2co.enable <= r.reg.ctrl.en;
end process comb;
reg: process (clk)
begin -- process reg
if rising_edge(clk) then
r <= rin;
end if;
end process reg;
-- Boot message
-- pragma translate_off
bootmsg : report_version
generic map (
"i2cslv" & tost(pindex) & ": I2C slave rev " &
tost(I2CSLV_REV) & ", irq " & tost(pirq));
-- pragma translate_on
end architecture rtl;
|
-----------------------------------------------------------------------------------
--! @file sha1.vhd
--! @brief SHA-1 Package :
--! SHA-1用各種定義パッケージ.
--! @version 0.9.1
--! @date 2012/11/27
--! @author Ichiro Kawazome <[email protected]>
-----------------------------------------------------------------------------------
--
-- Copyright (C) 2012 Ichiro Kawazome
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
-- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
-- OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
-- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
-- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
-- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-----------------------------------------------------------------------------------
--! @brief SHA-1用各種定義パッケージ.
-----------------------------------------------------------------------------------
package SHA1 is
-------------------------------------------------------------------------------
-- ハッシュのビット数
-------------------------------------------------------------------------------
constant HASH_BITS : integer := 160;
-------------------------------------------------------------------------------
-- 1ワードのビット数
-------------------------------------------------------------------------------
constant WORD_BITS : integer := 32;
-------------------------------------------------------------------------------
-- ラウンド数
-------------------------------------------------------------------------------
constant ROUNDS : integer := 80;
-------------------------------------------------------------------------------
-- ワードの型宣言
-------------------------------------------------------------------------------
subtype WORD_TYPE is std_logic_vector(WORD_BITS-1 downto 0);
type WORD_VECTOR is array (INTEGER range <>) of WORD_TYPE;
constant WORD_NULL : WORD_TYPE := (others => '0');
-------------------------------------------------------------------------------
-- ハッシュレジスタの初期値
-------------------------------------------------------------------------------
constant H0_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"67452301"));
constant H1_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"EFCDAB89"));
constant H2_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"98BADCFE"));
constant H3_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"10325476"));
constant H4_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"C3D2E1F0"));
-------------------------------------------------------------------------------
-- K[t]の値
-------------------------------------------------------------------------------
constant K0 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"5A827999"));
constant K1 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"6ED9EBA1"));
constant K2 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"8F1BBCDC"));
constant K3 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"CA62C1D6"));
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function RotL(X:WORD_TYPE;N:integer) return std_logic_vector;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Ch(B,C,D:WORD_TYPE) return std_logic_vector;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Parity(B,C,D:WORD_TYPE) return std_logic_vector;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Maj(B,C,D:WORD_TYPE) return std_logic_vector;
-------------------------------------------------------------------------------
-- SHA1_COREのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA1_CORE
generic (
SYMBOL_BITS : --! @brief INPUT SYMBOL BITS :
--! 入力データの1シンボルのビット数を指定する.
integer := 8;
SYMBOLS : --! @brief INPUT SYMBOL SIZE :
--! 入力データのシンボル数を指定する.
integer := 4;
REVERSE : --! @brief INPUT SYMBOL REVERSE :
--! 入力データのシンボルのビット並びを逆にするかどうかを指定する.
integer := 1;
WORDS : --! @brief WORD SIZE :
--! 一度に処理するワード数を指定する.
integer := 1;
BLOCK_GAP : --! @brief BLOCK GAP CYCLE :
--! 1ブロック(16word)処理する毎に挿入するギャップのサイクル
--! 数を指定する.
--! サイクル数分だけスループットが落ちるが、動作周波数が上が
--! る可能性がある.
integer := 1
);
port (
---------------------------------------------------------------------------
-- クロック&リセット信号
---------------------------------------------------------------------------
CLK : --! @brief CLOCK :
--! クロック信号
in std_logic;
RST : --! @brief ASYNCRONOUSE RESET :
--! 非同期リセット信号.アクティブハイ.
in std_logic;
CLR : --! @brief SYNCRONOUSE RESET :
--! 同期リセット信号.アクティブハイ.
in std_logic;
---------------------------------------------------------------------------
-- 入力側 I/F
---------------------------------------------------------------------------
I_DATA : --! @brief INPUT SYMBOL DATA :
in std_logic_vector(SYMBOL_BITS*SYMBOLS-1 downto 0);
I_ENA : --! @brief INPUT SYMBOL DATA ENABLE :
in std_logic_vector( SYMBOLS-1 downto 0);
I_DONE : --! @brief INPUT SYMBOL DATA DONE :
in std_logic;
I_LAST : --! @brief INPUT SYMBOL DATA LAST :
in std_logic;
I_VAL : --! @brief INPUT SYMBOL DATA VALID :
in std_logic;
I_RDY : --! @brief INPUT SYMBOL DATA READY :
out std_logic;
---------------------------------------------------------------------------
-- 出力側 I/F
---------------------------------------------------------------------------
O_DATA : --! @brief OUTPUT WORD DATA :
out std_logic_vector(HASH_BITS-1 downto 0);
O_VAL : --! @brief OUTPUT WORD VALID :
out std_logic;
O_RDY : --! @brief OUTPUT WORD READY :
in std_logic
);
end component;
-------------------------------------------------------------------------------
-- SHA_SCHEDULEのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA_SCHEDULE
generic (
WORD_BITS : integer := WORD_BITS;
WORDS : integer := 1;
INPUT_NUM : integer := 16;
CALC_NUM : integer := ROUNDS;
END_NUM : integer := ROUNDS
);
port (
CLK : in std_logic;
RST : in std_logic;
CLR : in std_logic;
I_DONE : in std_logic;
I_VAL : in std_logic;
I_RDY : out std_logic;
O_INPUT : out std_logic;
O_LAST : out std_logic;
O_DONE : out std_logic;
O_NUM : out integer range 0 to END_NUM-1;
O_VAL : out std_logic;
O_RDY : in std_logic
);
end component;
-------------------------------------------------------------------------------
-- SHA_PRE_PROCのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA_PRE_PROC
generic (
WORD_BITS : integer := 32;
WORDS : integer := 1;
SYMBOL_BITS : integer := 8;
SYMBOLS : integer := 4;
REVERSE : integer := 1
);
port (
CLK : in std_logic;
RST : in std_logic;
CLR : in std_logic;
I_DATA : in std_logic_vector(SYMBOL_BITS*SYMBOLS-1 downto 0);
I_ENA : in std_logic_vector( SYMBOLS-1 downto 0);
I_DONE : in std_logic;
I_LAST : in std_logic;
I_VAL : in std_logic;
I_RDY : out std_logic;
M_DATA : out std_logic_vector(WORD_BITS*WORDS-1 downto 0);
M_DONE : out std_logic;
M_VAL : out std_logic;
M_RDY : in std_logic
);
end component;
-------------------------------------------------------------------------------
-- SHA1_PROCのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA1_PROC
generic (
WORDS : integer := 1;
PIPELINE : integer := 1;
BLOCK_GAP : integer := 0
);
port (
CLK : in std_logic;
RST : in std_logic;
CLR : in std_logic;
M_DATA : in std_logic_vector(WORD_BITS*WORDS-1 downto 0);
M_DONE : in std_logic;
M_VAL : in std_logic;
M_RDY : out std_logic;
O_DATA : out std_logic_vector(HASH_BITS-1 downto 0);
O_VAL : out std_logic;
O_RDY : in std_logic
);
end component;
end SHA1;
-----------------------------------------------------------------------------------
--! @brief SHA-1用各種プロシージャの定義.
-----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package body SHA1 is
-------------------------------------------------------------------------------
-- ローテート演算関数.
-------------------------------------------------------------------------------
function RotL(X:WORD_TYPE;N:integer) return std_logic_vector is
begin
return X(WORD_TYPE'high-N downto WORD_TYPE'low ) &
X(WORD_TYPE'high downto WORD_TYPE'high-N+1);
end function;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Ch(B,C,D:WORD_TYPE) return std_logic_vector is
begin
return D xor (B and (C xor D));
end function;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Parity(B,C,D:WORD_TYPE) return std_logic_vector is
begin
return B xor C xor D;
end function;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Maj(B,C,D:WORD_TYPE) return std_logic_vector is
begin
return (B and C) or ((B or C) and D);
end function;
end SHA1;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc120.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b02x00p29n15i00120ent IS
port ( lpt1 : linkage BIT;
lpt2 : linkage BIT;
lpt3 : linkage BIT;
lpt4 : linkage BIT;
lpt5 : linkage BIT;
lpt6 : linkage BIT) ;
END c04s03b02x00p29n15i00120ent;
ARCHITECTURE c04s03b02x00p29n15i00120arch OF c04s03b02x00p29n15i00120ent IS
signal S1 : BIT;
BEGIN
S1 <= lpt1; -- Failure_here
-- ERROR: Interface elements of mode linkage may not be read except
-- by association with formal linkage ports of subcomponents.
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c04s03b02x00p29n15i00120 - Reading and updating are not permitted on this mode."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b02x00p29n15i00120arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc120.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b02x00p29n15i00120ent IS
port ( lpt1 : linkage BIT;
lpt2 : linkage BIT;
lpt3 : linkage BIT;
lpt4 : linkage BIT;
lpt5 : linkage BIT;
lpt6 : linkage BIT) ;
END c04s03b02x00p29n15i00120ent;
ARCHITECTURE c04s03b02x00p29n15i00120arch OF c04s03b02x00p29n15i00120ent IS
signal S1 : BIT;
BEGIN
S1 <= lpt1; -- Failure_here
-- ERROR: Interface elements of mode linkage may not be read except
-- by association with formal linkage ports of subcomponents.
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c04s03b02x00p29n15i00120 - Reading and updating are not permitted on this mode."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b02x00p29n15i00120arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc120.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b02x00p29n15i00120ent IS
port ( lpt1 : linkage BIT;
lpt2 : linkage BIT;
lpt3 : linkage BIT;
lpt4 : linkage BIT;
lpt5 : linkage BIT;
lpt6 : linkage BIT) ;
END c04s03b02x00p29n15i00120ent;
ARCHITECTURE c04s03b02x00p29n15i00120arch OF c04s03b02x00p29n15i00120ent IS
signal S1 : BIT;
BEGIN
S1 <= lpt1; -- Failure_here
-- ERROR: Interface elements of mode linkage may not be read except
-- by association with formal linkage ports of subcomponents.
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c04s03b02x00p29n15i00120 - Reading and updating are not permitted on this mode."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b02x00p29n15i00120arch;
|
library stack;
use stack.OneHotStack.all;
library ieee;
use ieee.STD_LOGIC_UNSIGNED.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
-- Add your library and packages declaration here ...
entity dpath_tb is
end dpath_tb;
architecture TB_ARCHITECTURE of dpath_tb is
-- Component declaration of the tested unit
component dpath
port(
EN : in STD_LOGIC;
CLK : in STD_LOGIC;
OT : in operation;
OP : in operand;
RES : out operand;
ZF : out STD_LOGIC;
Stop : out STD_LOGIC );
end component;
-- Stimulus signals - signals mapped to the input and inout ports of tested entity
signal EN : STD_LOGIC;
signal CLK : STD_LOGIC;
signal OT : operation;
signal OP : operand;
-- Observed signals - signals mapped to the output ports of tested entity
signal RES : operand;
signal ZF : STD_LOGIC;
signal Stop : STD_LOGIC;
constant CLK_Period: time := 10 ns;
constant Stop_WAIT: time := 5 * CLK_Period;
begin
-- Unit Under Test port map
UUT : dpath
port map (
EN => EN,
CLK => CLK,
OT => OT,
OP => OP,
RES => RES,
ZF => ZF,
Stop => Stop
);
CLK_Process: process
begin
CLK <= '0';
wait for CLK_Period/2;
CLK <= '1';
wait for CLK_Period/2;
end process;
MAIN: process
begin
wait for clk_period;
en <= '0';
op <= "0000000000000010";
ot <= PUSH;
wait for clk_period;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
ot <= ADD;
wait for clk_period;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
ot <= POP;
wait for clk_period;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
ot <= PUSH;
wait for clk_period;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
ot <= SUBT;
wait for clk_period;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
ot <= POP;
wait for clk_period;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
ot <= PUSH;
wait for clk_period;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
ot <= SHIFT;
wait for clk_period;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
ot <= POP;
wait for clk_period;
en <= '1';
wait for clk_period;
en <= '0';
wait for Stop_WAIT;
wait;
end process;
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_dpath of dpath_tb is
for TB_ARCHITECTURE
for UUT : dpath
use entity work.dpath(beh_stack);
end for;
end for;
end TESTBENCH_FOR_dpath;
|
--===========================================================================--
-- --
-- Synthesizable 6850 compatible ACIA --
-- --
--===========================================================================--
--
-- File name : acia6850.vhd
--
-- Entity name : acia6850
--
-- Purpose : Implements a RS232 6850 compatible
-- Asynchronous Communications Interface Adapter (ACIA)
--
-- Dependencies : ieee.std_logic_1164
-- ieee.numeric_std
-- ieee.std_logic_unsigned
--
-- Author : John E. Kent
--
-- Email : [email protected]
--
-- Web : http://opencores.org/project,system09
--
-- Origins : miniUART written by Ovidiu Lupas [email protected]
--
-- Registers :
--
-- IO address + 0 Read - Status Register
--
-- Bit[7] - Interrupt Request Flag
-- Bit[6] - Receive Parity Error (parity bit does not match)
-- Bit[5] - Receive Overrun Error (new character received before last read)
-- Bit[4] - Receive Framing Error (bad stop bit)
-- Bit[3] - Clear To Send level
-- Bit[2] - Data Carrier Detect (lost modem carrier)
-- Bit[1] - Transmit Buffer Empty (ready to accept next transmit character)
-- Bit[0] - Receive Data Ready (character received)
--
-- IO address + 0 Write - Control Register
--
-- Bit[7] - Rx Interupt Enable
-- 0 - disabled
-- 1 - enabled
-- Bits[6..5] - Transmit Control
-- 0 0 - TX interrupt disabled, RTS asserted
-- 0 1 - TX interrupt enabled, RTS asserted
-- 1 0 - TX interrupt disabled, RTS cleared
-- 1 1 - TX interrupt disabled, RTS asserted, Send Break
-- Bits[4..2] - Word Control
-- 0 0 0 - 7 data, even parity, 2 stop
-- 0 0 1 - 7 data, odd parity, 2 stop
-- 0 1 0 - 7 data, even parity, 1 stop
-- 0 1 1 - 7 data, odd parity, 1 stop
-- 1 0 0 - 8 data, no parity, 2 stop
-- 1 0 1 - 8 data, no parity, 1 stop
-- 1 1 0 - 8 data, even parity, 1 stop
-- 1 1 1 - 8 data, odd parity, 1 stop
-- Bits[1..0] - Baud Control
-- 0 0 - Baud Clk divide by 1
-- 0 1 - Baud Clk divide by 16
-- 1 0 - Baud Clk divide by 64
-- 1 1 - Reset
--
-- IO address + 1 Read - Receive Data Register
--
-- Read when Receive Data Ready bit set
-- Read resets Receive Data Ready bit
--
-- IO address + 1 Write - Transmit Data Register
--
-- Write when Transmit Buffer Empty bit set
-- Write resets Transmit Buffer Empty Bit
--
--
-- Copyright (C) 2002 - 2010 John Kent
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
--===========================================================================--
-- --
-- Revision History --
-- --
--===========================================================================--
--
-- Version Author Date Changes
--
-- 0.1 Ovidiu Lupas 2000-01-15 New model
-- 1.0 Ovidiu Lupas 2000-01 Synthesis optimizations
-- 2.0 Ovidiu Lupas 2000-04 Bugs removed - the RSBusCtrl did not
-- process all possible situations
--
-- 3.0 John Kent 2002-10 Changed Status bits to match MC6805
-- Added CTS, RTS, Baud rate control & Software Reset
-- 3.1 John Kent 2003-01-05 Added Word Format control a'la mc6850
-- 3.2 John Kent 2003-07-19 Latched Data input to UART
-- 3.3 John Kent 2004-01-16 Integrated clkunit in rxunit & txunit
-- TX / RX Baud Clock now external
-- also supports x1 clock and DCD.
-- 3.4 John Kent 2005-09-13 Removed LoadCS signal.
-- Fixed ReadCS and Read
-- in miniuart_DCD_Init process
-- 3.5 John Kent 2006-11-28 Cleaned up code.
--
-- 4.0 John Kent 2007-02-03 Renamed ACIA6850
-- 4.1 John Kent 2007-02-06 Made software reset synchronous
-- 4.2 John Kent 2007-02-25 Changed sensitivity lists
-- Rearranged Reset process.
-- 4.3 John Kent 2010-06-17 Updated header
-- 4.4 John Kent 2010-08-27 Combined with ACIA_RX & ACIA_TX
-- Renamed to acia6850
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
--library unisim;
-- use unisim.vcomponents.all;
-----------------------------------------------------------------------
-- Entity for ACIA_6850 --
-----------------------------------------------------------------------
entity acia6850 is
port (
--
-- CPU Interface signals
--
clk : in std_logic; -- System Clock
rst : in std_logic; -- Reset input (active high)
cs : in std_logic; -- miniUART Chip Select
addr : in std_logic; -- Register Select
rw : in std_logic; -- Read / Not Write
data_in : in std_logic_vector(7 downto 0); -- Data Bus In
data_out : out std_logic_vector(7 downto 0); -- Data Bus Out
irq : out std_logic; -- Interrupt Request out
--
-- RS232 Interface Signals
--
RxC : in std_logic; -- Receive Baud Clock
TxC : in std_logic; -- Transmit Baud Clock
RxD : in std_logic; -- Receive Data
TxD : out std_logic; -- Transmit Data
DCD_n : in std_logic; -- Data Carrier Detect
CTS_n : in std_logic; -- Clear To Send
RTS_n : out std_logic -- Request To send
);
end acia6850; --================== End of entity ==============================--
-------------------------------------------------------------------------------
-- Architecture for ACIA_6850 Interface registees
-------------------------------------------------------------------------------
architecture rtl of acia6850 is
type DCD_State_Type is (DCD_State_Idle, DCD_State_Int, DCD_State_Reset);
-----------------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------------
--
-- Reset signals
--
signal ac_rst : std_logic; -- Reset (Software & Hardware)
signal rx_rst : std_logic; -- Receive Reset (Software & Hardware)
signal tx_rst : std_logic; -- Transmit Reset (Software & Hardware)
--------------------------------------------------------------------
-- Status Register: StatReg
----------------------------------------------------------------------
--
-- IO address + 0 Read
--
-----------+--------+-------+--------+--------+--------+--------+--------+
-- Irq | PErr | OErr | FErr | CTS | DCD | TxRdy | RxRdy |
-----------+--------+-------+--------+--------+--------+--------+--------+
--
-- Irq - Bit[7] - Interrupt request
-- PErr - Bit[6] - Receive Parity error (parity bit does not match)
-- OErr - Bit[5] - Receive Overrun error (new character received before last read)
-- FErr - Bit[4] - Receive Framing Error (bad stop bit)
-- CTS - Bit[3] - Clear To Send level
-- DCD - Bit[2] - Data Carrier Detect (lost modem carrier)
-- TxRdy - Bit[1] - Transmit Buffer Empty (ready to accept next transmit character)
-- RxRdy - Bit[0] - Receive Data Ready (character received)
--
signal StatReg : std_logic_vector(7 downto 0) := (others => '0'); -- status register
----------------------------------------------------------------------
-- Control Register: CtrlReg
----------------------------------------------------------------------
--
-- IO address + 0 Write
--
-----------+--------+--------+--------+--------+--------+--------+--------+
-- RxIE |TxCtl(1)|TxCtl(0)|WdFmt(2)|WdFmt(1)|WdFmt(0)|BdCtl(1)|BdCtl(0)|
-----------+--------+--------+--------+--------+--------+--------+--------+
-- RxIEnb - Bit[7]
-- 0 - Rx Interrupt disabled
-- 1 - Rx Interrupt enabled
-- TxCtl - Bits[6..5]
-- 0 1 - Tx Interrupt Enable
-- 1 0 - RTS high
-- WdFmt - Bits[4..2]
-- 0 0 0 - 7 data, even parity, 2 stop
-- 0 0 1 - 7 data, odd parity, 2 stop
-- 0 1 0 - 7 data, even parity, 1 stop
-- 0 1 1 - 7 data, odd parity, 1 stop
-- 1 0 0 - 8 data, no parity, 2 stop
-- 1 0 1 - 8 data, no parity, 1 stop
-- 1 1 0 - 8 data, even parity, 1 stop
-- 1 1 1 - 8 data, odd parity, 1 stop
-- BdCtl - Bits[1..0]
-- 0 0 - Baud Clk divide by 1
-- 0 1 - Baud Clk divide by 16
-- 1 0 - Baud Clk divide by 64
-- 1 1 - reset
signal CtrlReg : std_logic_vector(7 downto 0) := (others => '0'); -- control register
----------------------------------------------------------------------
-- Receive Register
----------------------------------------------------------------------
--
-- IO address + 1 Read
--
signal RxReg : std_logic_vector(7 downto 0) := (others => '0');
----------------------------------------------------------------------
-- Transmit Register
----------------------------------------------------------------------
--
-- IO address + 1 Write
--
signal TxReg : std_logic_vector(7 downto 0) := (others => '0');
signal TxDat : std_logic := '1'; -- Transmit data bit
signal TxRdy : std_logic := '0'; -- Transmit buffer empty
signal RxRdy : std_logic := '0'; -- Receive Data ready
--
signal FErr : std_logic := '0'; -- Frame error
signal OErr : std_logic := '0'; -- Output error
signal PErr : std_logic := '0'; -- Parity Error
--
signal TxIE : std_logic := '0'; -- Transmit interrupt enable
signal RxIE : std_logic := '0'; -- Receive interrupt enable
--
signal RxRd : std_logic := '0'; -- Read receive buffer
signal TxWr : std_logic := '0'; -- Write Transmit buffer
signal StRd : std_logic := '0'; -- Read status register
--
signal DCDState : DCD_State_Type; -- DCD Reset state sequencer
signal DCDDel : std_logic := '0'; -- Delayed DCD_n
signal DCDEdge : std_logic := '0'; -- Rising DCD_N Edge Pulse
signal DCDInt : std_logic := '0'; -- DCD Interrupt
signal BdFmt : std_logic_vector(1 downto 0) := "00"; -- Baud Clock Format
signal WdFmt : std_logic_vector(2 downto 0) := "000"; -- Data Word Format
-----------------------------------------------------------------------------
-- RX Signals
-----------------------------------------------------------------------------
type RxStateType is ( RxState_Wait, RxState_Data, RxState_Parity, RxState_Stop );
signal RxState : RxStateType; -- receive bit state
signal RxDatDel0 : Std_Logic := '0'; -- Delayed Rx Data
signal RxDatDel1 : Std_Logic := '0'; -- Delayed Rx Data
signal RxDatDel2 : Std_Logic := '0'; -- Delayed Rx Data
signal RxDatEdge : Std_Logic := '0'; -- Rx Data Edge pulse
signal RxClkDel : Std_Logic := '0'; -- Delayed Rx Input Clock
signal RxClkEdge : Std_Logic := '0'; -- Rx Input Clock Edge pulse
signal RxStart : Std_Logic := '0'; -- Rx Start request
signal RxEnable : Std_Logic := '0'; -- Rx Enabled
signal RxClkCnt : Std_Logic_Vector(5 downto 0) := (others => '0'); -- Rx Baud Clock Counter
signal RxBdClk : Std_Logic := '0'; -- Rx Baud Clock
signal RxBdDel : Std_Logic := '0'; -- Delayed Rx Baud Clock
signal RxReq : Std_Logic := '0'; -- Rx Data Valid
signal RxAck : Std_Logic := '0'; -- Rx Data Valid
signal RxParity : Std_Logic := '0'; -- Calculated RX parity bit
signal RxBitCount : Std_Logic_Vector(2 downto 0) := (others => '0'); -- Rx Bit counter
signal RxShiftReg : Std_Logic_Vector(7 downto 0) := (others => '0'); -- Shift Register
-----------------------------------------------------------------------------
-- TX Signals
-----------------------------------------------------------------------------
type TxStateType is ( TxState_Idle, TxState_Start, TxState_Data, TxState_Parity, TxState_Stop );
signal TxState : TxStateType; -- Transmitter state
signal TxClkDel : Std_Logic := '0'; -- Delayed Tx Input Clock
signal TxClkEdge : Std_Logic := '0'; -- Tx Input Clock Edge pulse
signal TxClkCnt : Std_Logic_Vector(5 downto 0) := (others => '0'); -- Tx Baud Clock Counter
signal TxBdClk : Std_Logic := '0'; -- Tx Baud Clock
signal TxBdDel : Std_Logic := '0'; -- Delayed Tx Baud Clock
signal TxReq : std_logic := '0'; -- Request transmit start
signal TxAck : std_logic := '0'; -- Acknowledge transmit start
signal TxParity : Std_logic := '0'; -- Parity Bit
signal TxBitCount : Std_Logic_Vector(2 downto 0) := (others => '0'); -- Data Bit Counter
signal TxShiftReg : Std_Logic_Vector(7 downto 0) := (others => '0'); -- Transmit shift register
begin
---------------------------------------------------------------
-- ACIA Reset may be hardware or software
---------------------------------------------------------------
acia_reset : process( clk, rst, ac_rst, dcd_n )
begin
--
-- ACIA reset Synchronous
-- Includes software reset
--
if falling_edge(clk) then
ac_rst <= (CtrlReg(1) and CtrlReg(0)) or rst;
end if;
-- Receiver reset
rx_rst <= ac_rst or DCD_n;
-- Transmitter reset
tx_rst <= ac_rst;
end process;
-----------------------------------------------------------------------------
-- Generate Read / Write strobes.
-----------------------------------------------------------------------------
acia_read_write : process(clk, ac_rst)
begin
if falling_edge(clk) then
if rst = '1' then
CtrlReg(1 downto 0) <= "11";
CtrlReg(7 downto 2) <= (others => '0');
TxReg <= (others => '0');
RxRd <= '0';
TxWr <= '0';
StRd <= '0';
else
RxRd <= '0';
TxWr <= '0';
StRd <= '0';
if cs = '1' then
if Addr = '0' then -- Control / Status register
if rw = '0' then -- write control register
CtrlReg <= data_in;
else -- read status register
StRd <= '1';
end if;
else -- Data Register
if rw = '0' then -- write transmiter register
TxReg <= data_in;
TxWr <= '1';
else -- read receiver register
RxRd <= '1';
end if;
end if;
end if;
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- ACIA Status Register
-----------------------------------------------------------------------------
acia_status : process( clk )
begin
if falling_edge( clk ) then
StatReg(0) <= RxRdy; -- Receive Data Ready
StatReg(1) <= TxRdy and (not CTS_n); -- Transmit Buffer Empty
StatReg(2) <= DCDInt; -- Data Carrier Detect
StatReg(3) <= CTS_n; -- Clear To Send
StatReg(4) <= FErr; -- Framing error
StatReg(5) <= OErr; -- Overrun error
StatReg(6) <= PErr; -- Parity error
StatReg(7) <= (RxIE and RxRdy) or
(RxIE and DCDInt) or
(TxIE and TxRdy);
end if;
end process;
-----------------------------------------------------------------------------
-- ACIA Transmit Control
-----------------------------------------------------------------------------
acia_control : process(CtrlReg, TxDat)
begin
case CtrlReg(6 downto 5) is
when "00" => -- Disable TX Interrupts, Assert RTS
TxD <= TxDat;
TxIE <= '0';
RTS_n <= '0';
when "01" => -- Enable TX interrupts, Assert RTS
TxD <= TxDat;
TxIE <= '1';
RTS_n <= '0';
when "10" => -- Disable Tx Interrupts, Clear RTS
TxD <= TxDat;
TxIE <= '0';
RTS_n <= '1';
when "11" => -- Disable Tx interrupts, Assert RTS, send break
TxD <= '0';
TxIE <= '0';
RTS_n <= '0';
when others =>
null;
end case;
RxIE <= CtrlReg(7);
WdFmt <= CtrlReg(4 downto 2);
BdFmt <= CtrlReg(1 downto 0);
end process;
---------------------------------------------------------------
-- Set Data Output Multiplexer
--------------------------------------------------------------
acia_data_mux : process(Addr, RxReg, StatReg)
begin
if Addr = '1' then
data_out <= RxReg; -- read receiver register
else
data_out <= StatReg; -- read status register
end if;
end process;
irq <= StatReg(7);
---------------------------------------------------------------
-- Data Carrier Detect Edge rising edge detect
---------------------------------------------------------------
acia_dcd_edge : process( clk, ac_rst )
begin
if falling_edge(clk) then
if ac_rst = '1' then
DCDDel <= '0';
DCDEdge <= '0';
else
DCDDel <= DCD_n;
DCDEdge <= DCD_n and (not DCDDel);
end if;
end if;
end process;
---------------------------------------------------------------
-- Data Carrier Detect Interrupt
---------------------------------------------------------------
-- If Data Carrier is lost, an interrupt is generated
-- To clear the interrupt, first read the status register
-- then read the data receive register
acia_dcd_int : process( clk, ac_rst )
begin
if falling_edge(clk) then
if ac_rst = '1' then
DCDInt <= '0';
DCDState <= DCD_State_Idle;
else
case DCDState is
when DCD_State_Idle =>
-- DCD Edge activates interrupt
if DCDEdge = '1' then
DCDInt <= '1';
DCDState <= DCD_State_Int;
end if;
when DCD_State_Int =>
-- To reset DCD interrupt,
-- First read status
if StRd = '1' then
DCDState <= DCD_State_Reset;
end if;
when DCD_State_Reset =>
-- Then read receive register
if RxRd = '1' then
DCDInt <= '0';
DCDState <= DCD_State_Idle;
end if;
when others =>
null;
end case;
end if;
end if;
end process;
---------------------------------------------------------------------
-- Receiver Clock Edge Detection
---------------------------------------------------------------------
-- A rising edge will produce a one clock cycle pulse
--
acia_rx_clock_edge : process( clk, rx_rst )
begin
if falling_edge(clk) then
if rx_rst = '1' then
RxClkDel <= '0';
RxClkEdge <= '0';
else
RxClkDel <= RxC;
RxClkEdge <= (not RxClkDel) and RxC;
end if;
end if;
end process;
---------------------------------------------------------------------
-- Receiver Data Edge Detection
---------------------------------------------------------------------
-- A falling edge will produce a pulse on RxClk wide
--
acia_rx_data_edge : process( clk, rx_rst )
begin
if falling_edge(clk) then
if rx_rst = '1' then
RxDatDel0 <= '0';
RxDatDel1 <= '0';
RxDatDel2 <= '0';
RxDatEdge <= '0';
else
RxDatDel0 <= RxD;
RxDatDel1 <= RxDatDel0;
RxDatDel2 <= RxDatDel1;
RxDatEdge <= RxDatDel0 and (not RxD);
end if;
end if;
end process;
---------------------------------------------------------------------
-- Receiver Start / Stop
---------------------------------------------------------------------
-- Enable the receive clock on detection of a start bit
-- Disable the receive clock after a byte is received.
--
acia_rx_start_stop : process( clk, rx_rst )
begin
if falling_edge(clk) then
if rx_rst = '1' then
RxEnable <= '0';
RxStart <= '0';
elsif (RxEnable = '0') and (RxDatEdge = '1') then
-- Data Edge detected
RxStart <= '1'; -- Request Start and
RxEnable <= '1'; -- Enable Receive Clock
elsif (RxStart = '1') and (RxAck = '1') then
-- Data is being received
RxStart <= '0'; -- Reset Start Request
elsif (RxStart = '0') and (RxAck = '0') then
-- Data has now been received
RxEnable <= '0'; -- Disable Receiver until next Start Bit
end if;
end if;
end process;
---------------------------------------------------------------------
-- Receiver Clock Divider
---------------------------------------------------------------------
-- Hold the Rx Clock divider in reset when the receiver is disabled
-- Advance the count only on a rising Rx clock edge
--
acia_rx_clock_divide : process( clk, rx_rst )
begin
if falling_edge(clk) then
if rx_rst = '1' then
RxClkCnt <= (others => '0');
elsif RxDatEdge = '1' then
-- reset on falling data edge
RxClkCnt <= (others => '0');
elsif RxClkEdge = '1' then
-- increment count on Clock edge
RxClkCnt <= RxClkCnt + "000001";
end if;
end if;
end process;
---------------------------------------------------------------------
-- Receiver Baud Clock Selector
---------------------------------------------------------------------
-- BdFmt
-- 0 0 - Baud Clk divide by 1
-- 0 1 - Baud Clk divide by 16
-- 1 0 - Baud Clk divide by 64
-- 1 1 - Reset
--
acia_rx_baud_clock_select : process( BdFmt, RxC, RxClkCnt )
begin
case BdFmt is
when "00" => -- Div by 1
RxBdClk <= RxC;
when "01" => -- Div by 16
RxBdClk <= RxClkCnt(3);
when "10" => -- Div by 64
RxBdClk <= RxClkCnt(5);
when others => -- Software Reset
RxBdClk <= '0';
end case;
end process;
---------------------------------------------------------------------
-- Receiver process
---------------------------------------------------------------------
-- WdFmt - Bits[4..2]
-- 0 0 0 - 7 data, even parity, 2 stop
-- 0 0 1 - 7 data, odd parity, 2 stop
-- 0 1 0 - 7 data, even parity, 1 stop
-- 0 1 1 - 7 data, odd parity, 1 stop
-- 1 0 0 - 8 data, no parity, 2 stop
-- 1 0 1 - 8 data, no parity, 1 stop
-- 1 1 0 - 8 data, even parity, 1 stop
-- 1 1 1 - 8 data, odd parity, 1 stop
acia_rx_receive : process( clk, rst )
begin
if falling_edge( clk ) then
if rx_rst = '1' then
FErr <= '0';
OErr <= '0';
PErr <= '0';
RxShiftReg <= (others => '0'); -- Reset Shift register
RxReg <= (others => '0');
RxParity <= '0'; -- reset Parity bit
RxAck <= '0'; -- Receiving data
RxBitCount <= (others => '0');
RxState <= RxState_Wait;
else
RxBdDel <= RxBdClk;
if RxBdDel = '0' and RxBdClk = '1' then
case RxState is
when RxState_Wait =>
RxShiftReg <= (others => '0'); -- Reset Shift register
RxParity <= '0'; -- Reset Parity bit
if WdFmt(2) = '0' then -- WdFmt(2) = '0' => 7 data bits
RxBitCount <= "110";
else -- WdFmt(2) = '1' => 8 data bits
RxBitCount <= "111";
end if;
if RxDatDel2 = '0' then -- look for start bit
RxState <= RxState_Data; -- if low, start reading data
end if;
when RxState_Data => -- Receiving data bits
RxShiftReg <= RxDatDel2 & RxShiftReg(7 downto 1);
RxParity <= RxParity xor RxDatDel2;
RxAck <= '1'; -- Flag receive in progress
RxBitCount <= RxBitCount - "001";
if RxBitCount = "000" then
if WdFmt(2) = '0' then -- WdFmt(2) = '0' => 7 data
RxState <= RxState_Parity; -- 7 bits always has parity
elsif WdFmt(1) = '0' then -- WdFmt(2) = '1' => 8 data
RxState <= RxState_Stop; -- WdFmt(1) = '0' => no parity
PErr <= '0'; -- Reset Parity Error
else
RxState <= RxState_Parity; -- WdFmt(1) = '1' => 8 data + parity
end if;
end if;
when RxState_Parity => -- Receive Parity bit
if WdFmt(2) = '0' then -- if 7 data bits, shift parity into MSB
RxShiftReg <= RxDatDel2 & RxShiftReg(7 downto 1); -- 7 data + parity
end if;
if RxParity = (RxDatDel2 xor WdFmt(0)) then
PErr <= '1'; -- If parity not the same flag error
else
PErr <= '0';
end if;
RxState <= RxState_Stop;
when RxState_Stop => -- stop bit (Only one required for RX)
RxAck <= '0'; -- Flag Receive Complete
RxReg <= RxShiftReg;
if RxDatDel2 = '1' then -- stop bit expected
FErr <= '0'; -- yes, no framing error
else
FErr <= '1'; -- no, framing error
end if;
if RxRdy = '1' then -- Has previous data been read ?
OErr <= '1'; -- no, overrun error
else
OErr <= '0'; -- yes, no over run error
end if;
RxState <= RxState_Wait;
when others =>
RxAck <= '0'; -- Flag Receive Complete
RxState <= RxState_Wait;
end case;
end if;
end if;
end if;
end process;
---------------------------------------------------------------------
-- Receiver Read process
---------------------------------------------------------------------
acia_rx_read : process( clk, rst, RxRdy )
begin
if falling_edge(clk) then
if rx_rst = '1' then
RxRdy <= '0';
RxReq <= '0';
elsif RxRd = '1' then
-- Data was read,
RxRdy <= '0'; -- Reset receive full
RxReq <= '1'; -- Request more data
elsif RxReq = '1' and RxAck = '1' then
-- Data is being received
RxReq <= '0'; -- reset receive request
elsif RxReq = '0' and RxAck = '0' then
-- Data now received
RxRdy <= '1'; -- Flag RxRdy and read Shift Register
end if;
end if;
end process;
---------------------------------------------------------------------
-- Transmit Clock Edge Detection
-- A falling edge will produce a one clock cycle pulse
---------------------------------------------------------------------
acia_tx_clock_edge : process( Clk, tx_rst )
begin
if falling_edge(clk) then
if tx_rst = '1' then
TxClkDel <= '0';
TxClkEdge <= '0';
else
TxClkDel <= TxC;
TxClkEdge <= TxClkDel and (not TxC);
end if;
end if;
end process;
---------------------------------------------------------------------
-- Transmit Clock Divider
-- Advance the count only on an input clock pulse
---------------------------------------------------------------------
acia_tx_clock_divide : process( clk, tx_rst )
begin
if falling_edge(clk) then
if tx_rst = '1' then
TxClkCnt <= (others=>'0');
elsif TxClkEdge = '1' then
TxClkCnt <= TxClkCnt + "000001";
end if;
end if;
end process;
---------------------------------------------------------------------
-- Transmit Baud Clock Selector
---------------------------------------------------------------------
acia_tx_baud_clock_select : process( BdFmt, TxClkCnt, TxC )
begin
-- BdFmt
-- 0 0 - Baud Clk divide by 1
-- 0 1 - Baud Clk divide by 16
-- 1 0 - Baud Clk divide by 64
-- 1 1 - reset
case BdFmt is
when "00" => -- Div by 1
TxBdClk <= TxC;
when "01" => -- Div by 16
TxBdClk <= TxClkCnt(3);
when "10" => -- Div by 64
TxBdClk <= TxClkCnt(5);
when others => -- Software reset
TxBdClk <= '0';
end case;
end process;
-----------------------------------------------------------------------------
-- Implements the Tx unit
-----------------------------------------------------------------------------
-- WdFmt - Bits[4..2]
-- 0 0 0 - 7 data, even parity, 2 stop
-- 0 0 1 - 7 data, odd parity, 2 stop
-- 0 1 0 - 7 data, even parity, 1 stop
-- 0 1 1 - 7 data, odd parity, 1 stop
-- 1 0 0 - 8 data, no parity, 2 stop
-- 1 0 1 - 8 data, no parity, 1 stop
-- 1 1 0 - 8 data, even parity, 1 stop
-- 1 1 1 - 8 data, odd parity, 1 stop
acia_tx_transmit : process( clk, tx_rst)
begin
if falling_edge(clk) then
if tx_rst = '1' then
TxDat <= '1';
TxShiftReg <= (others=>'0');
TxParity <= '0';
TxBitCount <= (others=>'0');
TxAck <= '0';
TxState <= TxState_Idle;
else
TxBdDel <= TxBdClk;
-- On rising edge of baud clock, run the state machine
if TxBdDel = '0' and TxBdClk = '1' then
case TxState is
when TxState_Idle =>
TxDat <= '1';
if TxReq = '1' then
TxShiftReg <= TxReg; -- Load Shift reg with Tx Data
TxAck <= '1';
TxState <= TxState_Start;
end if;
when TxState_Start =>
TxDat <= '0'; -- Start bit
TxParity <= '0';
if WdFmt(2) = '0' then
TxBitCount <= "110"; -- 7 data + parity
else
TxBitCount <= "111"; -- 8 data
end if;
TxState <= TxState_Data;
when TxState_Data =>
TxDat <= TxShiftReg(0);
TxShiftReg <= '1' & TxShiftReg(7 downto 1);
TxParity <= TxParity xor TxShiftReg(0);
TxBitCount <= TxBitCount - "001";
if TxBitCount = "000" then
if (WdFmt(2) = '1') and (WdFmt(1) = '0') then
if WdFmt(0) = '0' then -- 8 data bits
TxState <= TxState_Stop; -- 2 stops
else
TxAck <= '0';
TxState <= TxState_Idle; -- 1 stop
end if;
else
TxState <= TxState_Parity; -- parity
end if;
end if;
when TxState_Parity => -- 7/8 data + parity bit
if WdFmt(0) = '0' then
TxDat <= not(TxParity); -- even parity
else
TxDat <= TxParity; -- odd parity
end if;
if WdFmt(1) = '0' then
TxState <= TxState_Stop; -- 2 stops
else
TxAck <= '0';
TxState <= TxState_Idle; -- 1 stop
end if;
when TxState_Stop => -- first of two stop bits
TxDat <= '1';
TxAck <= '0';
TxState <= TxState_Idle;
end case;
end if;
end if;
end if;
end process;
---------------------------------------------------------------------
-- Transmitter Write process
---------------------------------------------------------------------
acia_tx_write : process( clk, tx_rst, TxWr, TxReq, TxAck )
begin
if falling_edge(clk) then
if tx_rst = '1' then
TxRdy <= '0';
TxReq <= '0';
elsif TxWr = '1' then
-- Data was read,
TxRdy <= '0'; -- Reset transmit empty
TxReq <= '1'; -- Request data transmit
elsif TxReq = '1' and TxAck = '1' then -- Data is being transmitted
TxReq <= '0'; -- reset transmit request
elsif TxReq = '0' and TxAck = '0' then -- Data transmitted
TxRdy <= '1'; -- Flag TxRdy
end if;
end if;
end process;
end rtl;
|
--============================================================================--
-- Design unit : AMBA (Package declaration)
--
-- File name : amba.vhd
--
-- Purpose : This package declares types to be used with the
-- Advanced Microcontroller Bus Architecture (AMBA).
--
-- Reference : AMBA(TM) Specification (Rev 2.0), ARM IHI 0011A,
-- 13th May 1999, issue A, first release, ARM Limited
--
-- The document can be retrieved from http://www.arm.com
--
-- AMBA is a trademark of ARM Limited.
-- ARM is a registered trademark of ARM Limited.
--
-- Note : Naming convention according to AMBA(TM) Specification:
-- Signal names are in upper case, except for the following:
-- A lower case n in the name indicates that the signal is
-- active low. A lower case x in the name suffix indicates that
-- the signal is unique to a module. Constant names are in upper
-- case.
--
-- The least significant bit of an array is located to the right,
-- carrying the index number zero.
--
-- Library : AMBA_Lib {recommended}
--
-- Author : European Space Agency (ESA)
-- P.O. Box 299
-- NL-2200 AG Noordwijk ZH
-- The Netherlands
--
-- Contact : mailto:[email protected]
-- http://www.estec.esa.nl/microelectronics
--
-- Copyright (C): European Space Agency (ESA) 2000. This source code may be
-- redistributed provided that the source code and this notice
-- remain intact. This source code may not under any
-- circumstances be resold or redistributed for compensation
-- of any kind without prior written permission.
--
-- Disclaimer : All information is provided "as is", there is no warranty that
-- the information is correct or suitable for any purpose,
-- neither implicit nor explicit. This information does not
-- necessarily reflect the policy of the European Space Agency.
--------------------------------------------------------------------------------
-- Version Author Date Changes
--
-- 0.2 ESA 5 Jul 2000 Package created
-- 0.3 ESA 10 Jul 2000 Additional HREADY slave input,
-- Std_ULogic usage for non-array signals,
-- Additional comments on casing and addressing
-- 0.4 ESA 14 Jul 2000 HRESETn removed from AHB Slave input record
-- Additional comments on clocking and reset
-- Additional comments on AHB endianness
-- Additional comments on APB addressing
-- 0.5 ESA 18 Jul 2000 Re-defined vector types for AHB arbiter
-- and APB master
--------------------------------------------------------------------------------
library IEEE;
use IEEE.Std_Logic_1164.all;
package AMBA is
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) Advanced High-performance Bus (AHB)
-----------------------------------------------------------------------------
-- Records are defined for the input and output of an AHB Master, as well as
-- for an AHB Slave. These records are grouped in arrays, for scalability,
-- and new records using these arrays are defined for the input and output of
-- an AHB Arbiter/Decoder.
--
-- The routing of the clock and reset signals defined in the AMBA(TM)
-- Specification is not covered in this package, since being dependent on
-- the clock and reset conventions defined at system level.
--
-- The HCLK and HRESETn signals are routed separately:
-- HCLK: Std_ULogic; -- rising edge
-- HRESETn: Std_ULogic; -- active low reset
--
-- The address bus HADDR contains byte addresses. The relation between the
-- byte address and the n-byte data bus HDATA can either be little-endian or
-- big-endian according to the AMBA(TM) Specification.
--
-- It is recommended that only big-endian modules are implemented using
-- this package.
--
-----------------------------------------------------------------------------
-- Constant definitions for AMBA(TM) AHB
-----------------------------------------------------------------------------
constant HDMAX: Positive range 32 to 1024 := 32; -- data width
constant HAMAX: Positive range 32 to 32 := 32; -- address width
-- constant HMMAX: Positive range 1 to 16 := 16; -- number of masters
-- constant HSMAX: Positive := 16; -- number of slaves
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) AHB Masters
-----------------------------------------------------------------------------
-- AHB master inputs (HCLK and HRESETn routed separately)
type AHB_Mst_In_Type is
record
HGRANT: Std_ULogic; -- bus grant
HREADY: Std_ULogic; -- transfer done
HRESP: Std_Logic_Vector(1 downto 0); -- response type
HRDATA: Std_Logic_Vector(HDMAX-1 downto 0); -- read data bus
HCACHE: Std_ULogic; -- cacheable data
end record;
-- AHB master outputs
type AHB_Mst_Out_Type is
record
HBUSREQ: Std_ULogic; -- bus request
HLOCK: Std_ULogic; -- lock request
HTRANS: Std_Logic_Vector(1 downto 0); -- transfer type
HADDR: Std_Logic_Vector(HAMAX-1 downto 0); -- address bus (byte)
HWRITE: Std_ULogic; -- read/write
HSIZE: Std_Logic_Vector(2 downto 0); -- transfer size
HBURST: Std_Logic_Vector(2 downto 0); -- burst type
HPROT: Std_Logic_Vector(3 downto 0); -- protection control
HWDATA: Std_Logic_Vector(HDMAX-1 downto 0); -- write data bus
end record;
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) AHB Slaves
-----------------------------------------------------------------------------
-- AHB slave inputs (HCLK and HRESETn routed separately)
type AHB_Slv_In_Type is
record
HSEL: Std_ULogic; -- slave select
HADDR: Std_Logic_Vector(HAMAX-1 downto 0); -- address bus (byte)
HWRITE: Std_ULogic; -- read/write
HTRANS: Std_Logic_Vector(1 downto 0); -- transfer type
HSIZE: Std_Logic_Vector(2 downto 0); -- transfer size
HBURST: Std_Logic_Vector(2 downto 0); -- burst type
HWDATA: Std_Logic_Vector(HDMAX-1 downto 0); -- write data bus
HPROT: Std_Logic_Vector(3 downto 0); -- protection control
HREADY: Std_ULogic; -- transfer done
HMASTER: Std_Logic_Vector(3 downto 0); -- current master
HMASTLOCK: Std_ULogic; -- locked access
end record;
-- AHB slave outputs
type AHB_Slv_Out_Type is
record
HREADY: Std_ULogic; -- transfer done
HRESP: Std_Logic_Vector(1 downto 0); -- response type
HRDATA: Std_Logic_Vector(HDMAX-1 downto 0); -- read data bus
HSPLIT: Std_Logic_Vector(15 downto 0); -- split completion
end record;
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) AHB Arbiter/Decoder
-----------------------------------------------------------------------------
-- supporting array types
type AHB_Mst_In_Vector is array (Natural Range <> ) of AHB_Mst_In_Type;
type AHB_Mst_Out_Vector is array (Natural Range <> ) of AHB_Mst_Out_Type;
type AHB_Slv_In_Vector is array (Natural Range <> ) of AHB_Slv_In_Type;
type AHB_Slv_Out_Vector is array (Natural Range <> ) of AHB_Slv_Out_Type;
-- An AHB arbiter could be defined as follows:
-- entity AHBarbiter is
-- generic (
-- masters : integer := 2; -- number of masters
-- slaves : integer := 2; -- number of slaves
-- );
-- port (
-- clk : in std_ulogic;
-- rst : in std_ulogic;
-- msti : out ahb_mst_in_vector(0 to masters-1);
-- msto : in ahb_mst_out_vector(0 to masters-1);
-- slvi : out ahb_slv_in_vector(0 to slaves-1);
-- slvo : in ahb_slv_out_vector(0 to slaves-1)
-- );
-- end;
-----------------------------------------------------------------------------
-- Auxiliary constant definitions for AMBA(TM) AHB
-----------------------------------------------------------------------------
-- constants for HTRANS (transition type, slave output)
constant HTRANS_IDLE: Std_Logic_Vector(1 downto 0) := "00";
constant HTRANS_BUSY: Std_Logic_Vector(1 downto 0) := "01";
constant HTRANS_NONSEQ: Std_Logic_Vector(1 downto 0) := "10";
constant HTRANS_SEQ: Std_Logic_Vector(1 downto 0) := "11";
-- constants for HBURST (burst type, master output)
constant HBURST_SINGLE: Std_Logic_Vector(2 downto 0) := "000";
constant HBURST_INCR: Std_Logic_Vector(2 downto 0) := "001";
constant HBURST_WRAP4: Std_Logic_Vector(2 downto 0) := "010";
constant HBURST_INCR4: Std_Logic_Vector(2 downto 0) := "011";
constant HBURST_WRAP8: Std_Logic_Vector(2 downto 0) := "100";
constant HBURST_INCR8: Std_Logic_Vector(2 downto 0) := "101";
constant HBURST_WRAP16: Std_Logic_Vector(2 downto 0) := "110";
constant HBURST_INCR16: Std_Logic_Vector(2 downto 0) := "111";
-- constants for HSIZE (transfer size, master output)
constant HSIZE_BYTE: Std_Logic_Vector(2 downto 0) := "000";
constant HSIZE_HWORD: Std_Logic_Vector(2 downto 0) := "001";
constant HSIZE_WORD: Std_Logic_Vector(2 downto 0) := "010";
constant HSIZE_DWORD: Std_Logic_Vector(2 downto 0) := "011";
constant HSIZE_4WORD: Std_Logic_Vector(2 downto 0) := "100";
constant HSIZE_8WORD: Std_Logic_Vector(2 downto 0) := "101";
constant HSIZE_16WORD: Std_Logic_Vector(2 downto 0) := "110";
constant HSIZE_32WORD: Std_Logic_Vector(2 downto 0) := "111";
-- constants for HRESP (response, slave output)
constant HRESP_OKAY: Std_Logic_Vector(1 downto 0) := "00";
constant HRESP_ERROR: Std_Logic_Vector(1 downto 0) := "01";
constant HRESP_RETRY: Std_Logic_Vector(1 downto 0) := "10";
constant HRESP_SPLIT: Std_Logic_Vector(1 downto 0) := "11";
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) Advanced Peripheral Bus (APB)
-----------------------------------------------------------------------------
-- Records are defined for the input and output of an APB Slave. These
-- records are grouped in arrays, for scalability, and new records using
-- these arrays are defined for the input and output of an APB Bridge.
--
-- The routing of the clock and reset signals defined in the AMBA(TM)
-- Specification is not covered in this package, since being dependent on
-- the clock and reset conventions defined at system level.
--
-- The PCLK and PRESETn signals are routed separately:
-- PCLK: Std_ULogic; -- rising edge
-- PRESETn: Std_ULogic; -- active low reset
--
-- The characteristics of the address bus PADDR are undefined in the
-- AMBA(TM) Specification.
--
-- When implementing modules with this package, it is recommended that the
-- information on the address bus PADDR is interpreted as byte addresses, but
-- it should only be used for 32-bit word addressing, i.e. the value of
-- address bits 0 and 1 should always be logical 0. For modules not
-- supporting full 32-bit words on the data bus PDATA, e.g. only supporting
-- 16-bit halfwords or 8-bit bytes, the addressing will still be word based.
-- Consequently, one halfword or byte will be accessed for each word address.
-- Modules only supporting byte sized data should exchange data on bit 7 to 0
-- on the PDATA data bus. Modules only supporting halfword sized data should
-- exchange data on bit 15 to 0 on the PDATA data bus. Modules supporting
-- word sized data should exchange data on bit 31 to 0 on the PDATA data bus.
--
-----------------------------------------------------------------------------
-- Constant definitions for AMBA(TM) APB
-----------------------------------------------------------------------------
constant PDMAX: Positive range 8 to 32 := 32; -- data width
constant PAMAX: Positive range 8 to 32 := 32; -- address width
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) APB Slaves
-----------------------------------------------------------------------------
-- APB slave inputs (PCLK and PRESETn routed separately)
type APB_Slv_In_Type is
record
PSEL: Std_ULogic; -- slave select
PENABLE: Std_ULogic; -- strobe
PADDR: Std_Logic_Vector(PAMAX-1 downto 0); -- address bus (byte)
PWRITE: Std_ULogic; -- write
PWDATA: Std_Logic_Vector(PDMAX-1 downto 0); -- write data bus
end record;
-- APB slave outputs
type APB_Slv_Out_Type is
record
PRDATA: Std_Logic_Vector(PDMAX-1 downto 0); -- read data bus
end record;
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) APB Bridge
-----------------------------------------------------------------------------
-- supporting array types
type APB_Slv_In_Vector is array (Natural Range <> ) of APB_Slv_In_Type;
type APB_Slv_Out_Vector is array (Natural Range <> ) of APB_Slv_Out_Type;
-- An AHB/APB bridge could be defined as follows:
-- entity apbmst is
-- generic (slaves : natural := 32);
-- port (
-- clk : in std_ulogic;
-- rst : in std_ulogic;
-- ahbi : in ahb_slv_in_type;
-- ahbo : out ahb_slv_out_type;
-- apbi : in apb_slv_out_vector(0 to slaves-1);
-- apbo : out apb_slv_in_vector(0 to slaves-1)
-- );
-- end;
end AMBA; --==================================================================-- |
--============================================================================--
-- Design unit : AMBA (Package declaration)
--
-- File name : amba.vhd
--
-- Purpose : This package declares types to be used with the
-- Advanced Microcontroller Bus Architecture (AMBA).
--
-- Reference : AMBA(TM) Specification (Rev 2.0), ARM IHI 0011A,
-- 13th May 1999, issue A, first release, ARM Limited
--
-- The document can be retrieved from http://www.arm.com
--
-- AMBA is a trademark of ARM Limited.
-- ARM is a registered trademark of ARM Limited.
--
-- Note : Naming convention according to AMBA(TM) Specification:
-- Signal names are in upper case, except for the following:
-- A lower case n in the name indicates that the signal is
-- active low. A lower case x in the name suffix indicates that
-- the signal is unique to a module. Constant names are in upper
-- case.
--
-- The least significant bit of an array is located to the right,
-- carrying the index number zero.
--
-- Library : AMBA_Lib {recommended}
--
-- Author : European Space Agency (ESA)
-- P.O. Box 299
-- NL-2200 AG Noordwijk ZH
-- The Netherlands
--
-- Contact : mailto:[email protected]
-- http://www.estec.esa.nl/microelectronics
--
-- Copyright (C): European Space Agency (ESA) 2000. This source code may be
-- redistributed provided that the source code and this notice
-- remain intact. This source code may not under any
-- circumstances be resold or redistributed for compensation
-- of any kind without prior written permission.
--
-- Disclaimer : All information is provided "as is", there is no warranty that
-- the information is correct or suitable for any purpose,
-- neither implicit nor explicit. This information does not
-- necessarily reflect the policy of the European Space Agency.
--------------------------------------------------------------------------------
-- Version Author Date Changes
--
-- 0.2 ESA 5 Jul 2000 Package created
-- 0.3 ESA 10 Jul 2000 Additional HREADY slave input,
-- Std_ULogic usage for non-array signals,
-- Additional comments on casing and addressing
-- 0.4 ESA 14 Jul 2000 HRESETn removed from AHB Slave input record
-- Additional comments on clocking and reset
-- Additional comments on AHB endianness
-- Additional comments on APB addressing
-- 0.5 ESA 18 Jul 2000 Re-defined vector types for AHB arbiter
-- and APB master
--------------------------------------------------------------------------------
library IEEE;
use IEEE.Std_Logic_1164.all;
package AMBA is
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) Advanced High-performance Bus (AHB)
-----------------------------------------------------------------------------
-- Records are defined for the input and output of an AHB Master, as well as
-- for an AHB Slave. These records are grouped in arrays, for scalability,
-- and new records using these arrays are defined for the input and output of
-- an AHB Arbiter/Decoder.
--
-- The routing of the clock and reset signals defined in the AMBA(TM)
-- Specification is not covered in this package, since being dependent on
-- the clock and reset conventions defined at system level.
--
-- The HCLK and HRESETn signals are routed separately:
-- HCLK: Std_ULogic; -- rising edge
-- HRESETn: Std_ULogic; -- active low reset
--
-- The address bus HADDR contains byte addresses. The relation between the
-- byte address and the n-byte data bus HDATA can either be little-endian or
-- big-endian according to the AMBA(TM) Specification.
--
-- It is recommended that only big-endian modules are implemented using
-- this package.
--
-----------------------------------------------------------------------------
-- Constant definitions for AMBA(TM) AHB
-----------------------------------------------------------------------------
constant HDMAX: Positive range 32 to 1024 := 32; -- data width
constant HAMAX: Positive range 32 to 32 := 32; -- address width
-- constant HMMAX: Positive range 1 to 16 := 16; -- number of masters
-- constant HSMAX: Positive := 16; -- number of slaves
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) AHB Masters
-----------------------------------------------------------------------------
-- AHB master inputs (HCLK and HRESETn routed separately)
type AHB_Mst_In_Type is
record
HGRANT: Std_ULogic; -- bus grant
HREADY: Std_ULogic; -- transfer done
HRESP: Std_Logic_Vector(1 downto 0); -- response type
HRDATA: Std_Logic_Vector(HDMAX-1 downto 0); -- read data bus
HCACHE: Std_ULogic; -- cacheable data
end record;
-- AHB master outputs
type AHB_Mst_Out_Type is
record
HBUSREQ: Std_ULogic; -- bus request
HLOCK: Std_ULogic; -- lock request
HTRANS: Std_Logic_Vector(1 downto 0); -- transfer type
HADDR: Std_Logic_Vector(HAMAX-1 downto 0); -- address bus (byte)
HWRITE: Std_ULogic; -- read/write
HSIZE: Std_Logic_Vector(2 downto 0); -- transfer size
HBURST: Std_Logic_Vector(2 downto 0); -- burst type
HPROT: Std_Logic_Vector(3 downto 0); -- protection control
HWDATA: Std_Logic_Vector(HDMAX-1 downto 0); -- write data bus
end record;
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) AHB Slaves
-----------------------------------------------------------------------------
-- AHB slave inputs (HCLK and HRESETn routed separately)
type AHB_Slv_In_Type is
record
HSEL: Std_ULogic; -- slave select
HADDR: Std_Logic_Vector(HAMAX-1 downto 0); -- address bus (byte)
HWRITE: Std_ULogic; -- read/write
HTRANS: Std_Logic_Vector(1 downto 0); -- transfer type
HSIZE: Std_Logic_Vector(2 downto 0); -- transfer size
HBURST: Std_Logic_Vector(2 downto 0); -- burst type
HWDATA: Std_Logic_Vector(HDMAX-1 downto 0); -- write data bus
HPROT: Std_Logic_Vector(3 downto 0); -- protection control
HREADY: Std_ULogic; -- transfer done
HMASTER: Std_Logic_Vector(3 downto 0); -- current master
HMASTLOCK: Std_ULogic; -- locked access
end record;
-- AHB slave outputs
type AHB_Slv_Out_Type is
record
HREADY: Std_ULogic; -- transfer done
HRESP: Std_Logic_Vector(1 downto 0); -- response type
HRDATA: Std_Logic_Vector(HDMAX-1 downto 0); -- read data bus
HSPLIT: Std_Logic_Vector(15 downto 0); -- split completion
end record;
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) AHB Arbiter/Decoder
-----------------------------------------------------------------------------
-- supporting array types
type AHB_Mst_In_Vector is array (Natural Range <> ) of AHB_Mst_In_Type;
type AHB_Mst_Out_Vector is array (Natural Range <> ) of AHB_Mst_Out_Type;
type AHB_Slv_In_Vector is array (Natural Range <> ) of AHB_Slv_In_Type;
type AHB_Slv_Out_Vector is array (Natural Range <> ) of AHB_Slv_Out_Type;
-- An AHB arbiter could be defined as follows:
-- entity AHBarbiter is
-- generic (
-- masters : integer := 2; -- number of masters
-- slaves : integer := 2; -- number of slaves
-- );
-- port (
-- clk : in std_ulogic;
-- rst : in std_ulogic;
-- msti : out ahb_mst_in_vector(0 to masters-1);
-- msto : in ahb_mst_out_vector(0 to masters-1);
-- slvi : out ahb_slv_in_vector(0 to slaves-1);
-- slvo : in ahb_slv_out_vector(0 to slaves-1)
-- );
-- end;
-----------------------------------------------------------------------------
-- Auxiliary constant definitions for AMBA(TM) AHB
-----------------------------------------------------------------------------
-- constants for HTRANS (transition type, slave output)
constant HTRANS_IDLE: Std_Logic_Vector(1 downto 0) := "00";
constant HTRANS_BUSY: Std_Logic_Vector(1 downto 0) := "01";
constant HTRANS_NONSEQ: Std_Logic_Vector(1 downto 0) := "10";
constant HTRANS_SEQ: Std_Logic_Vector(1 downto 0) := "11";
-- constants for HBURST (burst type, master output)
constant HBURST_SINGLE: Std_Logic_Vector(2 downto 0) := "000";
constant HBURST_INCR: Std_Logic_Vector(2 downto 0) := "001";
constant HBURST_WRAP4: Std_Logic_Vector(2 downto 0) := "010";
constant HBURST_INCR4: Std_Logic_Vector(2 downto 0) := "011";
constant HBURST_WRAP8: Std_Logic_Vector(2 downto 0) := "100";
constant HBURST_INCR8: Std_Logic_Vector(2 downto 0) := "101";
constant HBURST_WRAP16: Std_Logic_Vector(2 downto 0) := "110";
constant HBURST_INCR16: Std_Logic_Vector(2 downto 0) := "111";
-- constants for HSIZE (transfer size, master output)
constant HSIZE_BYTE: Std_Logic_Vector(2 downto 0) := "000";
constant HSIZE_HWORD: Std_Logic_Vector(2 downto 0) := "001";
constant HSIZE_WORD: Std_Logic_Vector(2 downto 0) := "010";
constant HSIZE_DWORD: Std_Logic_Vector(2 downto 0) := "011";
constant HSIZE_4WORD: Std_Logic_Vector(2 downto 0) := "100";
constant HSIZE_8WORD: Std_Logic_Vector(2 downto 0) := "101";
constant HSIZE_16WORD: Std_Logic_Vector(2 downto 0) := "110";
constant HSIZE_32WORD: Std_Logic_Vector(2 downto 0) := "111";
-- constants for HRESP (response, slave output)
constant HRESP_OKAY: Std_Logic_Vector(1 downto 0) := "00";
constant HRESP_ERROR: Std_Logic_Vector(1 downto 0) := "01";
constant HRESP_RETRY: Std_Logic_Vector(1 downto 0) := "10";
constant HRESP_SPLIT: Std_Logic_Vector(1 downto 0) := "11";
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) Advanced Peripheral Bus (APB)
-----------------------------------------------------------------------------
-- Records are defined for the input and output of an APB Slave. These
-- records are grouped in arrays, for scalability, and new records using
-- these arrays are defined for the input and output of an APB Bridge.
--
-- The routing of the clock and reset signals defined in the AMBA(TM)
-- Specification is not covered in this package, since being dependent on
-- the clock and reset conventions defined at system level.
--
-- The PCLK and PRESETn signals are routed separately:
-- PCLK: Std_ULogic; -- rising edge
-- PRESETn: Std_ULogic; -- active low reset
--
-- The characteristics of the address bus PADDR are undefined in the
-- AMBA(TM) Specification.
--
-- When implementing modules with this package, it is recommended that the
-- information on the address bus PADDR is interpreted as byte addresses, but
-- it should only be used for 32-bit word addressing, i.e. the value of
-- address bits 0 and 1 should always be logical 0. For modules not
-- supporting full 32-bit words on the data bus PDATA, e.g. only supporting
-- 16-bit halfwords or 8-bit bytes, the addressing will still be word based.
-- Consequently, one halfword or byte will be accessed for each word address.
-- Modules only supporting byte sized data should exchange data on bit 7 to 0
-- on the PDATA data bus. Modules only supporting halfword sized data should
-- exchange data on bit 15 to 0 on the PDATA data bus. Modules supporting
-- word sized data should exchange data on bit 31 to 0 on the PDATA data bus.
--
-----------------------------------------------------------------------------
-- Constant definitions for AMBA(TM) APB
-----------------------------------------------------------------------------
constant PDMAX: Positive range 8 to 32 := 32; -- data width
constant PAMAX: Positive range 8 to 32 := 32; -- address width
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) APB Slaves
-----------------------------------------------------------------------------
-- APB slave inputs (PCLK and PRESETn routed separately)
type APB_Slv_In_Type is
record
PSEL: Std_ULogic; -- slave select
PENABLE: Std_ULogic; -- strobe
PADDR: Std_Logic_Vector(PAMAX-1 downto 0); -- address bus (byte)
PWRITE: Std_ULogic; -- write
PWDATA: Std_Logic_Vector(PDMAX-1 downto 0); -- write data bus
end record;
-- APB slave outputs
type APB_Slv_Out_Type is
record
PRDATA: Std_Logic_Vector(PDMAX-1 downto 0); -- read data bus
end record;
-----------------------------------------------------------------------------
-- Definitions for AMBA(TM) APB Bridge
-----------------------------------------------------------------------------
-- supporting array types
type APB_Slv_In_Vector is array (Natural Range <> ) of APB_Slv_In_Type;
type APB_Slv_Out_Vector is array (Natural Range <> ) of APB_Slv_Out_Type;
-- An AHB/APB bridge could be defined as follows:
-- entity apbmst is
-- generic (slaves : natural := 32);
-- port (
-- clk : in std_ulogic;
-- rst : in std_ulogic;
-- ahbi : in ahb_slv_in_type;
-- ahbo : out ahb_slv_out_type;
-- apbi : in apb_slv_out_vector(0 to slaves-1);
-- apbo : out apb_slv_in_vector(0 to slaves-1)
-- );
-- end;
end AMBA; --==================================================================-- |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_03_fg_03_08.vhd,v 1.3 2001-10-26 16:29:33 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
entity max3 is
port ( a, b, c : in integer; z : out integer );
end entity max3;
architecture check_error of max3 is
begin
maximizer : process (a, b, c)
variable result : integer;
begin
if a > b then
if a > c then
result := a;
else
result := a; -- Oops! Should be: result := c;
end if;
elsif b > c then
result := b;
else
result := c;
end if;
assert result >= a and result >= b and result >= c
report "inconsistent result for maximum"
severity failure;
z <= result;
end process maximizer;
end architecture check_error;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_03_fg_03_08.vhd,v 1.3 2001-10-26 16:29:33 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
entity max3 is
port ( a, b, c : in integer; z : out integer );
end entity max3;
architecture check_error of max3 is
begin
maximizer : process (a, b, c)
variable result : integer;
begin
if a > b then
if a > c then
result := a;
else
result := a; -- Oops! Should be: result := c;
end if;
elsif b > c then
result := b;
else
result := c;
end if;
assert result >= a and result >= b and result >= c
report "inconsistent result for maximum"
severity failure;
z <= result;
end process maximizer;
end architecture check_error;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_03_fg_03_08.vhd,v 1.3 2001-10-26 16:29:33 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
entity max3 is
port ( a, b, c : in integer; z : out integer );
end entity max3;
architecture check_error of max3 is
begin
maximizer : process (a, b, c)
variable result : integer;
begin
if a > b then
if a > c then
result := a;
else
result := a; -- Oops! Should be: result := c;
end if;
elsif b > c then
result := b;
else
result := c;
end if;
assert result >= a and result >= b and result >= c
report "inconsistent result for maximum"
severity failure;
z <= result;
end process maximizer;
end architecture check_error;
|
entity null1 is
end entity;
architecture test of null1 is
type int_array is array (integer range <>) of integer;
function get_null return int_array is
variable b : int_array(7 to -999999) := (others => 0);
begin
return b;
end function;
function get_left(x : int_array) return integer is
begin
return x'left;
end function;
function get_right(x : int_array) return integer is
begin
return x'right;
end function;
begin
process is
variable a : int_array(0 to -1) := (others => 0);
variable b : int_array(7 to -999999) := (others => 0);
variable c : int_array(0 downto 1) := (others => 0);
begin
report integer'image(a'length);
assert a'length = 0;
report integer'image(b'length);
assert b'length = 0;
report integer'image(c'length);
assert c'length = 0;
a := get_null;
assert get_left(b) = 7;
-- This is probably wrong according to the LRM but we currently
-- normalise the indexes of null arrays
assert get_right(b) = 6;
wait;
end process;
end architecture;
|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity shiftreg_rnd is
port(
clock: in std_logic;
input: in std_logic_vector(0 downto 0);
output: out std_logic_vector(0 downto 0)
);
end shiftreg_rnd;
architecture behaviour of shiftreg_rnd is
constant st0: std_logic_vector(2 downto 0) := "101";
constant st4: std_logic_vector(2 downto 0) := "010";
constant st1: std_logic_vector(2 downto 0) := "011";
constant st2: std_logic_vector(2 downto 0) := "110";
constant st5: std_logic_vector(2 downto 0) := "111";
constant st3: std_logic_vector(2 downto 0) := "001";
constant st6: std_logic_vector(2 downto 0) := "000";
constant st7: std_logic_vector(2 downto 0) := "100";
signal current_state, next_state: std_logic_vector(2 downto 0);
begin
process(clock) begin
if rising_edge(clock) then current_state <= next_state;
end if;
end process;
process(input, current_state) begin
next_state <= "---"; output <= "-";
case current_state is
when st0 =>
if std_match(input, "0") then next_state <= st0; output <= "0";
elsif std_match(input, "1") then next_state <= st4; output <= "0";
end if;
when st1 =>
if std_match(input, "0") then next_state <= st0; output <= "1";
elsif std_match(input, "1") then next_state <= st4; output <= "1";
end if;
when st2 =>
if std_match(input, "0") then next_state <= st1; output <= "0";
elsif std_match(input, "1") then next_state <= st5; output <= "0";
end if;
when st3 =>
if std_match(input, "0") then next_state <= st1; output <= "1";
elsif std_match(input, "1") then next_state <= st5; output <= "1";
end if;
when st4 =>
if std_match(input, "0") then next_state <= st2; output <= "0";
elsif std_match(input, "1") then next_state <= st6; output <= "0";
end if;
when st5 =>
if std_match(input, "0") then next_state <= st2; output <= "1";
elsif std_match(input, "1") then next_state <= st6; output <= "1";
end if;
when st6 =>
if std_match(input, "0") then next_state <= st3; output <= "0";
elsif std_match(input, "1") then next_state <= st7; output <= "0";
end if;
when st7 =>
if std_match(input, "0") then next_state <= st3; output <= "1";
elsif std_match(input, "1") then next_state <= st7; output <= "1";
end if;
when others => next_state <= "---"; output <= "-";
end case;
end process;
end behaviour;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: DEBUG_RAM_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan3e
-- C_XDEVICEFAMILY : spartan3e
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 1
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 1
-- C_DEFAULT_DATA : 20
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 16
-- C_READ_DEPTH_A : 16
-- C_ADDRA_WIDTH : 4
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 4
-- C_READ_WIDTH_B : 4
-- C_WRITE_DEPTH_B : 128
-- C_READ_DEPTH_B : 128
-- C_ADDRB_WIDTH : 7
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY DEBUG_RAM_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END DEBUG_RAM_prod;
ARCHITECTURE xilinx OF DEBUG_RAM_prod IS
COMPONENT DEBUG_RAM_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : DEBUG_RAM_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: DEBUG_RAM_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan3e
-- C_XDEVICEFAMILY : spartan3e
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 1
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 1
-- C_DEFAULT_DATA : 20
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 16
-- C_READ_DEPTH_A : 16
-- C_ADDRA_WIDTH : 4
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 4
-- C_READ_WIDTH_B : 4
-- C_WRITE_DEPTH_B : 128
-- C_READ_DEPTH_B : 128
-- C_ADDRB_WIDTH : 7
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY DEBUG_RAM_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END DEBUG_RAM_prod;
ARCHITECTURE xilinx OF DEBUG_RAM_prod IS
COMPONENT DEBUG_RAM_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : DEBUG_RAM_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: DEBUG_RAM_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan3e
-- C_XDEVICEFAMILY : spartan3e
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 1
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 1
-- C_DEFAULT_DATA : 20
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 16
-- C_READ_DEPTH_A : 16
-- C_ADDRA_WIDTH : 4
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 4
-- C_READ_WIDTH_B : 4
-- C_WRITE_DEPTH_B : 128
-- C_READ_DEPTH_B : 128
-- C_ADDRB_WIDTH : 7
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY DEBUG_RAM_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END DEBUG_RAM_prod;
ARCHITECTURE xilinx OF DEBUG_RAM_prod IS
COMPONENT DEBUG_RAM_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : DEBUG_RAM_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: DEBUG_RAM_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan3e
-- C_XDEVICEFAMILY : spartan3e
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 1
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 1
-- C_DEFAULT_DATA : 20
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 16
-- C_READ_DEPTH_A : 16
-- C_ADDRA_WIDTH : 4
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 4
-- C_READ_WIDTH_B : 4
-- C_WRITE_DEPTH_B : 128
-- C_READ_DEPTH_B : 128
-- C_ADDRB_WIDTH : 7
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY DEBUG_RAM_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END DEBUG_RAM_prod;
ARCHITECTURE xilinx OF DEBUG_RAM_prod IS
COMPONENT DEBUG_RAM_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : DEBUG_RAM_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: DEBUG_RAM_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan3e
-- C_XDEVICEFAMILY : spartan3e
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 1
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 1
-- C_DEFAULT_DATA : 20
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 16
-- C_READ_DEPTH_A : 16
-- C_ADDRA_WIDTH : 4
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 4
-- C_READ_WIDTH_B : 4
-- C_WRITE_DEPTH_B : 128
-- C_READ_DEPTH_B : 128
-- C_ADDRB_WIDTH : 7
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY DEBUG_RAM_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END DEBUG_RAM_prod;
ARCHITECTURE xilinx OF DEBUG_RAM_prod IS
COMPONENT DEBUG_RAM_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : DEBUG_RAM_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.