content
stringlengths
1
1.04M
------------------------------------------------------------------------------- -- Title : Accelerator Adapter -- Project : ------------------------------------------------------------------------------- -- File : s2s_async_fifo_wt.vhd -- Author : rmg/jn -- Company : Xilinx, Inc. -- Created : 2012-09-05 -- Last update: 2013-01-22 -- Platform : -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- (c) Copyright 2012 Xilinx, Inc. All rights reserved. ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2012-09-05 1.0 rmg/jn Created ------------------------------------------------------------------------------- -- **************************************************************************** -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- **************************************************************************** ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library axis_accelerator_adapter_v2_1_6; use axis_accelerator_adapter_v2_1_6.xd_adapter_pkg.all; library fifo_generator_v13_0_1; use fifo_generator_v13_0_1.all; entity s2s_async_fifo_wt is generic ( C_FAMILY : string := "virtex6"; C_MTBF_STAGES : integer := 31; DEPTH : integer := 31; WIDTH : integer := 16); port ( din : in std_logic_vector(WIDTH-1 downto 0); din_vld : in std_logic; din_rdy : out std_logic; wr_clk : in std_logic; wr_rst : in std_logic; dout : out std_logic_vector(WIDTH-1 downto 0); dout_vld : out std_logic; dout_rdy : in std_logic; rd_clk : in std_logic; rd_rst : in std_logic); end s2s_async_fifo_wt; architecture rtl of s2s_async_fifo_wt is constant FIFO_DEPTH : integer := calc_fifo_depth(DEPTH)+1; constant ADDR_BITS : integer := log2(FIFO_DEPTH); signal rd_addr : unsigned(ADDR_BITS-1 downto 0); signal wr_addr : unsigned(ADDR_BITS-1 downto 0); -- Following signals have gray values signal wr_cnt : std_logic_vector(ADDR_BITS-1 downto 0); signal wr_cnt_wr : std_logic_vector(ADDR_BITS-1 downto 0); signal wr_cnt_rd : std_logic_vector(ADDR_BITS-1 downto 0); signal next_wr_cnt : std_logic_vector(ADDR_BITS-1 downto 0); signal next_wr_cnt_wr : std_logic_vector(ADDR_BITS-1 downto 0); signal dummy_next_wr_cnt : std_logic_vector(ADDR_BITS-1 downto 0); signal rd_cnt : std_logic_vector(ADDR_BITS-1 downto 0); signal rd_cnt_rd : std_logic_vector(ADDR_BITS-1 downto 0); signal next_rd_cnt : std_logic_vector(ADDR_BITS-1 downto 0); signal next_rd_cnt_rd : std_logic_vector(ADDR_BITS-1 downto 0); signal dummy_next_rd_cnt : std_logic_vector(ADDR_BITS-1 downto 0); signal prev_rd_cnt : std_logic_vector(ADDR_BITS-1 downto 0); signal prev_rd_cnt_wr : std_logic_vector(ADDR_BITS-1 downto 0); signal fifo_we : std_logic; signal fifo_re : std_logic; signal din_rdy_i : std_logic; signal empty_i : std_logic; signal rd_en : std_logic; signal dout_vld_i : std_logic; signal full : std_logic; signal empty : std_logic; signal almost_full :std_logic; signal wr_ack :std_logic; signal overflow :std_logic; signal almost_empty :std_logic; signal valid :std_logic; signal underflow :std_logic; signal data_count :std_logic_vector(ADDR_BITS-1 downto 0); signal rd_data_count :std_logic_vector(ADDR_BITS-1 downto 0); signal wr_data_count :std_logic_vector(ADDR_BITS-1 downto 0); signal prog_full :std_logic; signal prog_empty :std_logic; signal sbiterr :std_logic; signal dbiterr :std_logic; signal wr_rst_busy :std_logic; signal rd_rst_busy :std_logic; signal m_axi_awid :std_logic_vector(0 downto 0); signal m_axi_awaddr :std_logic_vector(31 downto 0); signal m_axi_awlen :std_logic_vector(7 downto 0); signal m_axi_awsize :std_logic_vector(2 downto 0); signal m_axi_awburst :std_logic_vector(1 downto 0); signal m_axi_awlock :std_logic_vector(0 downto 0); signal m_axi_awcache :std_logic_vector(3 downto 0); signal m_axi_awprot :std_logic_vector(2 downto 0); signal m_axi_awqos :std_logic_vector(3 downto 0); signal m_axi_awregion :std_logic_vector(3 downto 0); signal m_axi_awuser :std_logic_vector(0 downto 0); signal m_axi_awvalid :std_logic; signal m_axi_wid :std_logic_vector(0 downto 0); signal m_axi_wdata :std_logic_vector(63 downto 0); signal m_axi_wstrb :std_logic_vector(7 downto 0); signal m_axi_wlast :std_logic; signal m_axi_wuser :std_logic_vector(0 downto 0); signal m_axi_wvalid :std_logic; signal m_axi_bready :std_logic; signal s_axi_awready :std_logic; signal s_axi_wready :std_logic; signal s_axi_bid :std_logic_vector(0 downto 0); signal s_axi_bresp :std_logic_vector(1 downto 0); signal s_axi_buser :std_logic_vector(0 downto 0); signal m_axi_arid :std_logic_vector(0 downto 0); signal m_axi_araddr :std_logic_vector(31 downto 0); signal m_axi_arlen :std_logic_vector(7 downto 0); signal m_axi_arsize :std_logic_vector(2 downto 0); signal m_axi_arburst :std_logic_vector(1 downto 0); signal m_axi_arlock :std_logic_vector(0 downto 0); signal m_axi_arcache :std_logic_vector(3 downto 0); signal m_axi_arprot :std_logic_vector(2 downto 0); signal m_axi_arqos :std_logic_vector(3 downto 0); signal m_axi_arregion :std_logic_vector(3 downto 0); signal m_axi_aruser :std_logic_vector(0 downto 0); signal m_axi_arvalid :std_logic; signal m_axi_rready :std_logic; signal s_axi_arready :std_logic; signal s_axi_rid :std_logic_vector(0 downto 0); signal s_axi_rdata :std_logic_vector(63 downto 0); signal s_axi_rresp :std_logic_vector(1 downto 0); signal s_axi_rlast :std_logic; signal s_axi_ruser :std_logic_vector(0 downto 0); signal m_axis_tvalid :std_logic; signal m_axis_tdata :std_logic_vector(7 downto 0); signal m_axis_tstrb :std_logic_vector(0 downto 0); signal m_axis_tlast :std_logic; signal m_axis_tkeep :std_logic_vector(0 downto 0); signal m_axis_tid :std_logic_vector(0 downto 0); signal m_axis_tdest :std_logic_vector(0 downto 0); signal m_axis_tuser :std_logic_vector(3 downto 0); signal s_axis_tready :std_logic; signal axi_aw_data_count :std_logic_vector(4 downto 0); signal axi_aw_wr_data_count :std_logic_vector(4 downto 0); signal axi_aw_rd_data_count :std_logic_vector(4 downto 0); signal axi_aw_sbiterr :std_logic; signal axi_aw_dbiterr :std_logic; signal axi_aw_overflow :std_logic; signal axi_aw_underflow :std_logic; signal axi_aw_prog_full :std_logic; signal axi_aw_prog_empty :std_logic; signal axi_w_data_count :std_logic_vector(10 downto 0); signal axi_w_wr_data_count :std_logic_vector(10 downto 0); signal axi_w_rd_data_count :std_logic_vector(10 downto 0); signal axi_w_sbiterr :std_logic; signal axi_w_dbiterr :std_logic; signal axi_w_overflow :std_logic; signal axi_w_underflow :std_logic; signal axi_w_prog_full :std_logic; signal axi_w_prog_empty :std_logic; signal axi_b_data_count :std_logic_vector(4 downto 0); signal axi_b_wr_data_count :std_logic_vector(4 downto 0); signal axi_b_rd_data_count :std_logic_vector(4 downto 0); signal axi_b_sbiterr :std_logic; signal axi_b_dbiterr :std_logic; signal axi_b_overflow :std_logic; signal axi_b_underflow :std_logic; signal axi_b_prog_full :std_logic; signal axi_b_prog_empty :std_logic; signal axi_ar_data_count :std_logic_vector(4 downto 0); signal axi_ar_wr_data_count :std_logic_vector(4 downto 0); signal axi_ar_rd_data_count :std_logic_vector(4 downto 0); signal axi_ar_sbiterr :std_logic; signal axi_ar_dbiterr :std_logic; signal axi_ar_overflow :std_logic; signal axi_ar_underflow :std_logic; signal axi_ar_prog_full :std_logic; signal axi_ar_prog_empty :std_logic; signal axi_r_data_count :std_logic_vector(10 downto 0); signal axi_r_wr_data_count :std_logic_vector(10 downto 0); signal axi_r_rd_data_count :std_logic_vector(10 downto 0); signal axi_r_sbiterr :std_logic; signal axi_r_dbiterr :std_logic; signal axi_r_overflow :std_logic; signal axi_r_underflow :std_logic; signal axi_r_prog_full :std_logic; signal axi_r_prog_empty :std_logic; signal axis_data_count :std_logic_vector(10 downto 0); signal axis_wr_data_count :std_logic_vector(10 downto 0); signal axis_rd_data_count :std_logic_vector(10 downto 0); signal axis_sbiterr :std_logic; signal axis_dbiterr :std_logic; signal axis_overflow :std_logic; signal axis_underflow :std_logic; signal axis_prog_full :std_logic; signal axis_prog_empty :std_logic; function calc_ram_style(is_unidir : natural) return boolean is variable bram_style : boolean := false; begin return bram_style; end function calc_ram_style; constant USE_BRAM : boolean := (ADDR_BITS > 5); -- pragma translate_off signal dbg_ADDR_BITS : integer := ADDR_BITS; -- pragma translate_on constant C_EXTRA_SYNCS : integer := 1; begin EXISTING : if (C_EXTRA_SYNCS = 0) generate begin fifo_we <= din_vld and din_rdy_i; process(wr_clk, wr_rst) begin if(wr_rst = '1') then wr_addr <= (others => '0'); elsif(wr_clk'event and wr_clk = '1') then if(fifo_we = '1') then wr_addr <= wr_addr + 1; end if; end if; end process; fifo_re <= rd_en and not(empty_i); process(rd_clk, rd_rst) begin if(rd_rst = '1') then rd_addr <= (others => '0'); elsif(rd_clk'event and rd_clk = '1') then if(fifo_re = '1') then rd_addr <= rd_addr + 1; end if; end if; end process; --------------------------------------------------------- -- From all gray signals, only next_rd_cnt and next_wr_cnt are counters. all -- the rest are registers. It's simpler to use a binary counter and then use -- a table to transfor to gray. Given that the address counter is initialized -- to zero, signal next_XX_cnt will load code gray(0) after first increment. -- Hence, it should be initialized to gray(N-1). that is, the initial values -- will be: -- * next_XX_cnt = gray(N-1) -- * XX_cnt = gray(N-2) -- * prev_XX_cnt = gray(N-3) process(rd_clk, rd_rst) begin if(rd_rst = '1') then next_rd_cnt <= bin2gray(2**ADDR_BITS-1, ADDR_BITS); rd_cnt <= bin2gray(2**ADDR_BITS-2, ADDR_BITS); prev_rd_cnt <= bin2gray(2**ADDR_BITS-3, ADDR_BITS); elsif(rd_clk'event and rd_clk = '1') then if(fifo_re = '1') then prev_rd_cnt <= rd_cnt; rd_cnt <= next_rd_cnt; next_rd_cnt <= bin2gray(std_logic_vector(rd_addr)); end if; end if; end process; -- process(rd_clk, rd_rst) -- begin -- if(rd_rst = '1') then -- wr_cnt_rd <= bin2gray(2**ADDR_BITS-2, ADDR_BITS); -- dummy_next_wr_cnt<= bin2gray(2**ADDR_BITS-2, ADDR_BITS); -- elsif(rd_clk'event and rd_clk = '1') then -- --if(fifo_we = '1') then -- dummy_next_wr_cnt <= bin2gray(std_logic_vector(wr_addr)); -- wr_cnt_rd <= dummy_next_wr_cnt; -- --end if; -- end if; -- end process; --dummy_next_rd_cnt <= bin2gray(std_logic_vector(rd_addr)); process(wr_clk, wr_rst) begin if(wr_rst = '1') then next_wr_cnt <= bin2gray(2**ADDR_BITS-1, ADDR_BITS); wr_cnt <= bin2gray(2**ADDR_BITS-2, ADDR_BITS); elsif(wr_clk'event and wr_clk = '1') then if(fifo_we = '1') then wr_cnt <= next_wr_cnt; next_wr_cnt <= bin2gray(std_logic_vector(wr_addr)); end if; end if; end process; -- process(wr_clk, wr_rst) -- begin -- if(wr_rst = '1') then -- prev_rd_cnt_wr <= bin2gray(2**ADDR_BITS-3, ADDR_BITS); -- dummy_next_rd_cnt<= bin2gray(2**ADDR_BITS-3, ADDR_BITS); -- elsif(rd_clk'event and rd_clk = '1') then -- --if(fifo_re = '1') then -- dummy_next_rd_cnt <= bin2gray(std_logic_vector(rd_addr )); -- prev_rd_cnt_wr <= dummy_next_rd_cnt; -- --end if; -- end if; -- end process; --dummy_next_wr_cnt <= bin2gray(std_logic_vector(wr_addr)); ----------------------------------------------------------------- -- Flag FULL: -- 1.- move to full condition (not din_rdy) when there is an efective -- write next_wr_cnt = prev_rd_cnt -- 2.- stay in full condition (not din_rdy) while this condition is true: -- next_wr_cnt = rd_cnt process(wr_clk, wr_rst) begin if(wr_rst = '1') then din_rdy_i <= '0'; elsif(wr_clk'event and wr_clk = '1') then if((not(din_rdy_i) or fifo_we) = '1') then if(din_rdy_i = '1') then if (next_wr_cnt = prev_rd_cnt) then din_rdy_i <= '0'; else din_rdy_i <= '1'; end if; else if (wr_cnt = prev_rd_cnt) then din_rdy_i <= '0'; else din_rdy_i <= '1'; end if; end if; end if; end if; end process; din_rdy <= din_rdy_i; -- Flag EMPTY: -- 1.- move to empty condition when there is read (next_rd_cnt = wr_cnt) -- 2.- stay in empty condition while the two pointers are the same (wr_cnt = rd_cnt) process(rd_clk, rd_rst) begin if(rd_rst = '1') then empty_i <= '1'; elsif(rd_clk'event and rd_clk = '1') then if((empty_i or fifo_re) = '1') then if(empty_i = '0') then if(next_rd_cnt = wr_cnt) then empty_i <= '1'; else empty_i <= '0'; end if; else if(rd_cnt = wr_cnt) then empty_i <= '1'; else empty_i <= '0'; end if; end if; end if; end if; end process; rd_en <= not(dout_vld_i) or (dout_vld_i and dout_rdy); process(rd_clk, rd_rst) begin if(rd_rst = '1') then dout_vld_i <= '0'; elsif(rd_clk'event and rd_clk = '1') then if(rd_en = '1') then dout_vld_i <= not(empty_i); end if; end if; end process; dout_vld <= dout_vld_i; ----------------------------------------------------------------------- -- memory modeling (XST to infer) DIST_MEM_GEN : if not(USE_BRAM) generate type mem_type is array (2**ADDR_BITS-1 downto 0) of std_logic_vector (WIDTH-1 downto 0); signal mem : mem_type; attribute ram_style : string; attribute ram_style of mem : signal is "distributed"; signal mem_dout : std_logic_vector(WIDTH-1 downto 0); begin process(wr_clk) begin if(wr_clk'event and wr_clk = '1') then if(fifo_we = '1') then mem(to_integer(wr_addr)) <= din; end if; end if; end process; mem_dout <= mem(to_integer(rd_addr)); process(rd_clk, rd_rst) begin if(rd_rst = '1') then dout <= (others => '0'); elsif(rd_clk'event and rd_clk = '1') then if(fifo_re = '1') then dout <= mem_dout; end if; end if; end process; end generate DIST_MEM_GEN; BRAM_MEM_GEN : if (USE_BRAM) generate type mem_type is array (2**ADDR_BITS-1 downto 0) of std_logic_vector (WIDTH-1 downto 0); signal mem : mem_type; attribute ram_style : string; attribute ram_style of mem : signal is "block"; begin process(wr_clk) begin if(wr_clk'event and wr_clk = '1') then if(fifo_we = '1') then mem(to_integer(wr_addr)) <= din; end if; end if; end process; process(rd_clk, rd_rst) begin if(rd_rst = '1') then dout <= (others => '0'); elsif(rd_clk'event and rd_clk = '1') then if(fifo_re = '1') then dout <= mem(to_integer(rd_addr)); end if; end if; end process; end generate BRAM_MEM_GEN; end generate EXISTING; NEW_INTRO : if (C_EXTRA_SYNCS = 1) generate begin din_rdy <= not(full); dout_vld <= not(empty); DIST_MEM_GEN : if not(USE_BRAM) generate begin FIF_DMG_INST : entity fifo_generator_v13_0_1.fifo_generator_v13_0_1 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADDR_BITS, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => WIDTH, C_ENABLE_RLOCS => 0, C_FAMILY => C_FAMILY, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 0, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 29, C_PROG_FULL_THRESH_NEGATE_VAL => 28, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADDR_BITS, C_RD_DEPTH => FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADDR_BITS, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => ADDR_BITS, C_WR_DEPTH => FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADDR_BITS, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_MTBF_STAGES, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => din_vld, rd_en => dout_rdy, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, almost_full => almost_full, wr_ack => wr_ack, overflow => overflow, empty => empty, almost_empty => almost_empty, valid => valid, underflow => underflow, data_count => data_count, rd_data_count => rd_data_count, wr_data_count => wr_data_count, prog_full => prog_full, prog_empty => prog_empty, sbiterr => sbiterr, dbiterr => dbiterr, wr_rst_busy => wr_rst_busy, rd_rst_busy => rd_rst_busy, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', m_axi_awid => m_axi_awid, m_axi_awaddr => m_axi_awaddr, m_axi_awlen => m_axi_awlen, m_axi_awsize => m_axi_awsize, m_axi_awburst => m_axi_awburst, m_axi_awlock => m_axi_awlock, m_axi_awcache => m_axi_awcache, m_axi_awprot => m_axi_awprot, m_axi_awqos => m_axi_awqos, m_axi_awregion => m_axi_awregion, m_axi_awuser => m_axi_awuser, m_axi_awvalid => m_axi_awvalid, m_axi_awready => '0', m_axi_wid => m_axi_wid, m_axi_wdata => m_axi_wdata, m_axi_wstrb => m_axi_wstrb, m_axi_wlast => m_axi_wlast, m_axi_wuser => m_axi_wuser, m_axi_wvalid => m_axi_wvalid, m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', m_axi_bready => m_axi_bready, s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_awready => s_axi_awready, s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_wready => s_axi_wready, s_axi_bid => s_axi_bid, s_axi_bresp => s_axi_bresp, s_axi_buser => s_axi_buser, s_axi_bready => '0', m_axi_arid => m_axi_arid, m_axi_araddr => m_axi_araddr, m_axi_arlen => m_axi_arlen, m_axi_arsize => m_axi_arsize, m_axi_arburst => m_axi_arburst, m_axi_arlock => m_axi_arlock, m_axi_arcache => m_axi_arcache, m_axi_arprot => m_axi_arprot, m_axi_arqos => m_axi_arqos, m_axi_arregion => m_axi_arregion, m_axi_aruser => m_axi_aruser, m_axi_arvalid => m_axi_arvalid, m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', m_axi_rready => m_axi_rready, s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_arready => s_axi_arready, s_axi_rid => s_axi_rid, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rlast => s_axi_rlast, s_axi_ruser => s_axi_ruser, s_axi_rready => '0', m_axis_tvalid => m_axis_tvalid, m_axis_tready => '0', m_axis_tdata => m_axis_tdata , m_axis_tstrb => m_axis_tstrb , m_axis_tkeep => m_axis_tkeep , m_axis_tlast => m_axis_tlast , m_axis_tid => m_axis_tid , m_axis_tdest => m_axis_tdest , m_axis_tuser => m_axis_tuser , s_axis_tvalid => '0', s_axis_tready => s_axis_tready, s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_data_count => axi_aw_data_count, axi_aw_wr_data_count => axi_aw_wr_data_count, axi_aw_rd_data_count => axi_aw_rd_data_count, axi_aw_sbiterr => axi_aw_sbiterr, axi_aw_dbiterr => axi_aw_dbiterr, axi_aw_overflow => axi_aw_overflow, axi_aw_underflow => axi_aw_underflow, axi_aw_prog_full => axi_aw_prog_full, axi_aw_prog_empty => axi_aw_prog_empty, axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_data_count => axi_w_data_count, axi_w_wr_data_count => axi_w_wr_data_count, axi_w_rd_data_count => axi_w_rd_data_count, axi_w_sbiterr => axi_w_sbiterr, axi_w_dbiterr => axi_w_dbiterr, axi_w_overflow => axi_w_overflow, axi_w_underflow => axi_w_underflow, axi_w_prog_full => axi_w_prog_full, axi_w_prog_empty => axi_w_prog_empty, axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_data_count => axi_b_data_count, axi_b_wr_data_count => axi_b_wr_data_count, axi_b_rd_data_count => axi_b_rd_data_count, axi_b_sbiterr => axi_b_sbiterr, axi_b_dbiterr => axi_b_dbiterr, axi_b_overflow => axi_b_overflow, axi_b_underflow => axi_b_underflow, axi_b_prog_full => axi_b_prog_full, axi_b_prog_empty => axi_b_prog_empty, axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_data_count => axi_ar_data_count, axi_ar_wr_data_count => axi_ar_wr_data_count, axi_ar_rd_data_count => axi_ar_rd_data_count, axi_ar_sbiterr => axi_ar_sbiterr, axi_ar_dbiterr => axi_ar_dbiterr, axi_ar_overflow => axi_ar_overflow, axi_ar_underflow => axi_ar_underflow, axi_ar_prog_full => axi_ar_prog_full, axi_ar_prog_empty => axi_ar_prog_empty, axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_data_count => axi_r_data_count, axi_r_wr_data_count => axi_r_wr_data_count, axi_r_rd_data_count => axi_r_rd_data_count, axi_r_sbiterr => axi_r_sbiterr, axi_r_dbiterr => axi_r_dbiterr, axi_r_overflow => axi_r_overflow, axi_r_underflow => axi_r_underflow, axi_r_prog_full => axi_r_prog_full, axi_r_prog_empty => axi_r_prog_empty, axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_data_count => axis_data_count, axis_wr_data_count => axis_wr_data_count, axis_rd_data_count => axis_rd_data_count, axis_sbiterr => axis_sbiterr, axis_dbiterr => axis_dbiterr, axis_overflow => axis_overflow, axis_underflow => axis_underflow, axis_prog_full => axis_prog_full, axis_prog_empty => axis_prog_empty ); end generate DIST_MEM_GEN; BRAM_MEM_GEN : if (USE_BRAM) generate begin FIF_BMG_INST : entity fifo_generator_v13_0_1.fifo_generator_v13_0_1 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADDR_BITS, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => WIDTH, C_ENABLE_RLOCS => 0, C_FAMILY => C_FAMILY, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 0, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 29, C_PROG_FULL_THRESH_NEGATE_VAL => 28, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADDR_BITS, C_RD_DEPTH => FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADDR_BITS, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => ADDR_BITS, C_WR_DEPTH => FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADDR_BITS, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_MTBF_STAGES, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => wr_rst, rd_clk => rd_clk, rd_rst => rd_rst, din => din, wr_en => din_vld, rd_en => dout_rdy, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, ADDR_BITS)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, almost_full => almost_full, wr_ack => wr_ack, overflow => overflow, empty => empty, almost_empty => almost_empty, valid => valid, underflow => underflow, data_count => data_count, rd_data_count => rd_data_count, wr_data_count => wr_data_count, prog_full => prog_full, prog_empty => prog_empty, sbiterr => sbiterr, dbiterr => dbiterr, wr_rst_busy => wr_rst_busy, rd_rst_busy => rd_rst_busy, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', m_axi_awid => m_axi_awid, m_axi_awaddr => m_axi_awaddr, m_axi_awlen => m_axi_awlen, m_axi_awsize => m_axi_awsize, m_axi_awburst => m_axi_awburst, m_axi_awlock => m_axi_awlock, m_axi_awcache => m_axi_awcache, m_axi_awprot => m_axi_awprot, m_axi_awqos => m_axi_awqos, m_axi_awregion => m_axi_awregion, m_axi_awuser => m_axi_awuser, m_axi_awvalid => m_axi_awvalid, m_axi_awready => '0', m_axi_wid => m_axi_wid, m_axi_wdata => m_axi_wdata, m_axi_wstrb => m_axi_wstrb, m_axi_wlast => m_axi_wlast, m_axi_wuser => m_axi_wuser, m_axi_wvalid => m_axi_wvalid, m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', m_axi_bready => m_axi_bready, s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_awready => s_axi_awready, s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_wready => s_axi_wready, s_axi_bid => s_axi_bid, s_axi_bresp => s_axi_bresp, s_axi_buser => s_axi_buser, s_axi_bready => '0', m_axi_arid => m_axi_arid, m_axi_araddr => m_axi_araddr, m_axi_arlen => m_axi_arlen, m_axi_arsize => m_axi_arsize, m_axi_arburst => m_axi_arburst, m_axi_arlock => m_axi_arlock, m_axi_arcache => m_axi_arcache, m_axi_arprot => m_axi_arprot, m_axi_arqos => m_axi_arqos, m_axi_arregion => m_axi_arregion, m_axi_aruser => m_axi_aruser, m_axi_arvalid => m_axi_arvalid, m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', m_axi_rready => m_axi_rready, s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_arready => s_axi_arready, s_axi_rid => s_axi_rid, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rlast => s_axi_rlast, s_axi_ruser => s_axi_ruser, s_axi_rready => '0', m_axis_tvalid => m_axis_tvalid, m_axis_tready => '0', m_axis_tdata => m_axis_tdata , m_axis_tstrb => m_axis_tstrb , m_axis_tkeep => m_axis_tkeep , m_axis_tlast => m_axis_tlast , m_axis_tid => m_axis_tid , m_axis_tdest => m_axis_tdest , m_axis_tuser => m_axis_tuser , s_axis_tvalid => '0', s_axis_tready => s_axis_tready, s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_data_count => axi_aw_data_count, axi_aw_wr_data_count => axi_aw_wr_data_count, axi_aw_rd_data_count => axi_aw_rd_data_count, axi_aw_sbiterr => axi_aw_sbiterr, axi_aw_dbiterr => axi_aw_dbiterr, axi_aw_overflow => axi_aw_overflow, axi_aw_underflow => axi_aw_underflow, axi_aw_prog_full => axi_aw_prog_full, axi_aw_prog_empty => axi_aw_prog_empty, axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_data_count => axi_w_data_count, axi_w_wr_data_count => axi_w_wr_data_count, axi_w_rd_data_count => axi_w_rd_data_count, axi_w_sbiterr => axi_w_sbiterr, axi_w_dbiterr => axi_w_dbiterr, axi_w_overflow => axi_w_overflow, axi_w_underflow => axi_w_underflow, axi_w_prog_full => axi_w_prog_full, axi_w_prog_empty => axi_w_prog_empty, axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_data_count => axi_b_data_count, axi_b_wr_data_count => axi_b_wr_data_count, axi_b_rd_data_count => axi_b_rd_data_count, axi_b_sbiterr => axi_b_sbiterr, axi_b_dbiterr => axi_b_dbiterr, axi_b_overflow => axi_b_overflow, axi_b_underflow => axi_b_underflow, axi_b_prog_full => axi_b_prog_full, axi_b_prog_empty => axi_b_prog_empty, axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_data_count => axi_ar_data_count, axi_ar_wr_data_count => axi_ar_wr_data_count, axi_ar_rd_data_count => axi_ar_rd_data_count, axi_ar_sbiterr => axi_ar_sbiterr, axi_ar_dbiterr => axi_ar_dbiterr, axi_ar_overflow => axi_ar_overflow, axi_ar_underflow => axi_ar_underflow, axi_ar_prog_full => axi_ar_prog_full, axi_ar_prog_empty => axi_ar_prog_empty, axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_data_count => axi_r_data_count, axi_r_wr_data_count => axi_r_wr_data_count, axi_r_rd_data_count => axi_r_rd_data_count, axi_r_sbiterr => axi_r_sbiterr, axi_r_dbiterr => axi_r_dbiterr, axi_r_overflow => axi_r_overflow, axi_r_underflow => axi_r_underflow, axi_r_prog_full => axi_r_prog_full, axi_r_prog_empty => axi_r_prog_empty, axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_data_count => axis_data_count, axis_wr_data_count => axis_wr_data_count, axis_rd_data_count => axis_rd_data_count, axis_sbiterr => axis_sbiterr, axis_dbiterr => axis_dbiterr, axis_overflow => axis_overflow, axis_underflow => axis_underflow, axis_prog_full => axis_prog_full, axis_prog_empty => axis_prog_empty ); end generate BRAM_MEM_GEN; end generate NEW_INTRO; end rtl;
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity StateMachineEditor_import is port ( clock : in std_logic; counter : in std_logic_vector(24-1 downto 0); data_end : in std_logic; ready : in std_logic; reset : in std_logic; state : out std_logic_vector(3-1 downto 0) ); end entity StateMachineEditor_import; architecture rtl of StateMachineEditor_import is component StateMachineEditor_import_GN is port ( clock : in std_logic; counter : in std_logic_vector(24-1 downto 0); data_end : in std_logic; ready : in std_logic; reset : in std_logic; state : out std_logic_vector(3-1 downto 0) ); end component StateMachineEditor_import_GN; begin StateMachineEditor_import_GN_0: if true generate inst_StateMachineEditor_import_GN_0: StateMachineEditor_import_GN port map(clock => clock, counter => counter, data_end => data_end, ready => ready, reset => reset, state => state); end generate; end architecture rtl;
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity StateMachineEditor_import is port ( clock : in std_logic; counter : in std_logic_vector(24-1 downto 0); data_end : in std_logic; ready : in std_logic; reset : in std_logic; state : out std_logic_vector(3-1 downto 0) ); end entity StateMachineEditor_import; architecture rtl of StateMachineEditor_import is component StateMachineEditor_import_GN is port ( clock : in std_logic; counter : in std_logic_vector(24-1 downto 0); data_end : in std_logic; ready : in std_logic; reset : in std_logic; state : out std_logic_vector(3-1 downto 0) ); end component StateMachineEditor_import_GN; begin StateMachineEditor_import_GN_0: if true generate inst_StateMachineEditor_import_GN_0: StateMachineEditor_import_GN port map(clock => clock, counter => counter, data_end => data_end, ready => ready, reset => reset, state => state); end generate; end architecture rtl;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch Ifk4Z8Oulg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx 6PdsYAvnCf3xQFi7I2w= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O zAXbiBIex84Cf/eo0y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3 VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE 08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ 7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9 QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG +aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block ZSNt4Iv9z7W52E4HVDUrJbeeR7Q0PW2t0Pfo6v3+LQGHCwgYhwzTe4JnjSXGYdxieaZqe91npCPD PCGvcZkjQRlHKK7g4ch4C4+GTed+K8R1ZHdKSHk+OhXeOBFkDQjUdWgTDZa68CBpHYZY76DH7KgU 8qYtHccaYNEh7/UIFgwfGQ0aFOH5eLdecljwOvUE+F6F39iAw8E3php/NptUT4Tb9vOhKy8qcOsK vCbBiKEwXQnRJopmciPBQcTAMJvbnoPtXVG/eueYIppoYUl/oP6THRqxyEhOkT0sgtzSc1ZQumUo Yzz4zSCOMinVrIPRXtuD7nJ6PKiKfaHlGrr5+slvt5sk7aU+1qSnqSZEXKjkUriMkmRi1r4Z+knX hCTnGND5A+kEmuYfDZMGqteG47dS1+vR2hxMv666pDernJCwf5HsLAwcRcMdBDZXCb8Kxg6teVFd qMdm7K3z9H2sjtp22HbRvwlauDQcYd1LLR1RwUMIALPentT0khk4D2PlqVjAtEUgoGeNEb5RhWS3 nP1OCkpxulsEDktZycTr1NMuDDiibFjzjFdR5r/cRWRMtMHOh+QuQZlN6a+pycpceVjbnQ0pKd85 mf/wLzlpVKP8VJ6itKiPg5c6oXzdyUm01uCeUbd64QcL7kodY7T2Q2qct44MynQTDHMBhdCMOreH EvtvmGAPNh3UdvPt+csvgfWv6GHoXJnTASazUNGXUu/E5QnvAdZze4hxdrdugJq5ZVc87bfYplNA W53S70bu+CItwc2Y2nnZ8uPBUpky4iuwqN8BPjM3wn3lcyRskVoYPb7mBGLsgphtPViRb0hFTzIF 38cEw7+cU1uHUdzsKe3fSPtsK13AGLKiUHg1PPEvnlDgBoFm7mYYBMW2imejgRNkDmvL9+jD895W m0FlQ9V+rJrx+bdysXPO8qOOcG9jfCuWa2wyZr/CjvLcn5h3b0b1K9zCj4aj6fLsf3VQcVcgYhLk /FTghPRp5qbFPJi3WDS/31ESiE4tdqZgVizpn5gYnpF5L/ZDlsvsj6v3Jbx3CSfP+3vk/r7uHakm 2cxZNo4H3eKAjce7EmGDa/yL8dv/1JOmiQr9Zj/5zvMq5tDpEcrKnS8iap09k8GtbkaqkKbarhA3 2DI/5ycas0lqD8+af0nmarNxbBzDZK03E2AYC13HFPqIwcrCTmGsGYC/EhO+JeeRlntOovkJD6tO Hp07A+qDZju0pFDg9Py2gYP+hMo4HQqbRsPzruDvra5SIMkba4J8fdEEpnf24ZHdCmewFSeBeHx0 x9rPxx4gQDRUKA5u+b8Av4dlaM8H3MJ55HfunJaeFf43wUf332Jq7M0CWhDDonRmQsYMuFesuF0O u2DYdNKq6FSYU4svIrdPqrlFQur5p2k8RrUrXw8R/1EMYo6BGhOmA0pLN3Gq8VywxAcIMZsTFZQp hGtYdtdEZwTCuKpMt4JHSO8r1LX8t7r3JUwGOWXbl8wM5OaynsfQ8gj7lGVpkeQRpo4WvLLL4Pkf 97fTAwt6K46juscyoqGkx3Is9bRfJ/kMTguHvpM8yue8Hi73e5F52L4pb4Q9KM7Ecu0BAip5XtI6 M92oP85L+WV+/AiFiInKLGIhvx53m6+IhumuCfrh1cZdI6yD6oJnPmisZpH+CH+H7qmZGz9VPYX2 9yyXXD6haz48ntLBzOGzsWS3IzADnNALuZKS+XZ5aoA3uy5SQlEgUDaCSWnV42qygdNQKxkqvPUA ud2cVbDs6C1kp0m37JVMOShiSx4YGoNZYjEkJXgCRasqFMJmD6/lkWJNqhkRKJBlYKO2jfyN2fLe UXxUfp6EmNU5iO8JXfbPQ/LMNTDQq5lg3BYUEsTmebKdKd2g6ZYLa1tdb1wcHtUPCaHhZVdnWXk0 cvkM3KUf/xLcTsbSNRbIL2dPOHKOD2nJ6i4bsiQc2kYFMNINc4mJ+4asFGGeh9eMtFQ3i5OW7jdk ps7tfOK4MJIjoHmorn/rPK/HmFOcEwJaaoL2WfD2zDw33zs6NXzewkLN/9LVmN4W/Z/NXzfKGMrw bFJimaTU32sHsYkyI+JnArLTDtxtmqpNp2HUNoDdTTgUrD7ysZ4bH7hDFbGw7kyBI/ccnxHJWNE/ gZ/pIwCfXURv8rVPT2p5RwMcxE3q6G6rCl5cKbvk6UpiLlPd8QcZz4Ksuz6EbuZhzlLmxbSCowu4 mGzFliTYuvjbz6GqKHImzWKymh0Z0iWvM7jUkvEGuUSaz1XESacXorGXAU1zmhfGCdHXYblQODvA X3mjQEpfLQCtOxWDjr4qG/8zwSSXPy709mBJphXa1tuF2Bz9EmGjYbqeJ2jOkXk0GVfamJ3P3zcu ErbfBi+wBYZLBfAMliprD4WWkQ0zVkZ19s11gNbqZytuoYxnmgGAdKSN2dAN2SGRqT6h5CynjeU7 qE1TRSyH53yHY7IuLytyWSsT+Y/o1PVGpYbneGUSb9iOUR3ZarX4Y256zSz8ysP+uppBW+u7md11 QOSI1QxuXa74VBGc6H4/IpwBLgA8CSZVlgDAqd5Qgb3yt0wcSM++i89xyJte377GJfeGoXZIKe3V 88Z2/RaMc31JkiNYiPCtJx+UspWBzJbPgW93foSeT9vDbMvuniV2mV0ZSSguHSfp+ztuuNhS2QCU P9ie3SJgwpb2xaXq2cX5I7yzygUGfZjBnMqUGnKkhzZmNCSx+lmcOpJnQeKN8lxIjAK/mEfALu6L 8zxlaoQAn1yYnFJV9BNaeh01c2T7ew8rEK0Du9JFQcOtx39IOTxpKpb1S74E00A9M3H9a9izhtTF sDxdYJi6j2TE6GY9DZci8yOLD//yCn3V4b44jRoTEERfSk+Ej+gBoDin4KJpxj/3qRFfaC9mVtY2 cNOze20+yLld4s63QG8Ki3XvGca/GuulGycw1ApBVMbb7R7Fo4sPbwYnVex0dU24k1d/GAP9Q6S8 OI9rBQC3O3tm9plj1Y8WS3qoPbKZGVB+k4Jh8vLllY78TwbM0vHFkK2I37ruT1yGslIBBUVo+N+A ZOhtYTpr+fvStfNWwNhIAHzyBH/RuUour7fdLS9TQ3C+jDfLk675H0FeSgRxXdEoWX9dxoU15IuJ DiOmq5BgMLPz6KH45G/yQZLYWLKlttFqjXw85JjeDCmynidQktJgvVdiaZp1LX6vnGA/nQ9VWWgm gn+y6VAwWhMh4w4Flvi8qUcggIvvRxKiISTM4bPN6Vrt7nwO8eHVLTI/5U6uEJpWzTnmmsq6HtOT RXb2Bfj12bB2aURtQaIIw6wFclwvpkEIGAJeK5Pi+pekmN3a2LTi9Y9AGi7Frf6q6qEEHFs09cid OWYWO7RcWIbWIM3aZgA1ziW/vodSjqx9w4e7E/tCgbolXu8I21lBVqpjyrrumcZqthlAOJknfmV1 iETVMf2Nw9XauKxMxw7TuHq+UmMvm4nuDrj8lfPR24/ZB4Mjyb2/cdtlqeozybBmSr2YhDyGiK7P qPpKM5NiJa5kLlwmWGCp9kgREhbl4JBvRCDlKgwjjvaY8OQOOkf+zEM+f74lZ+r3ILkQJJQWF7AB WJFgZ08lwcmjO/rOzM5QblElqrV8Ugg50F/uGUsasQwon8ZwBD3EUjy0867uzSWKgQ1xJo9KxoLN TCZwAQaR0IGtQ5ImvwwOJqedWDm6c3DlHVcijeYMMGDexLu8zwZzemeSA97GBjLOfQhh86u07vtg z8N5cE/j/HQrt7aXT2EWC5K4v4Ei1dvGTeVCxj03PAjm5KmZs7APh3giN740jIOsVxGiVvNWw9tV zpwa1TBt9nqnD0Nwwlj0X2fp7iLg3kAvuMoBczpEAfcVWe85SDf1NjcfQuD6Alf1Ewo5LhEFZFn5 LxAg6iJT3DTT/S8YdV2Shqxmkgwylmokx8j0FwDyEERwF9jPoH2dLM9hWwu7An7vENaJqjbVrxgE ua1iPVkf/d0cjvss2+nlNXiO7gb81i80YUMd//zRCdkbT8ddkjaePlQS4t6rMxiitR5DU9oKx7J3 kPOuyIKy1NPQYLXrwnZFQ2lI8Af3IgaXNADjwFb8s/UFJD9AVRw2Gdk9tpsbhZivZRomaDsV4L48 KKh2b3aPrTKNausgYSqLFztQLIP1YywQuEyQNkRjGw/P2JopmZ97hskPuJqtg91Wv7AlVj4xOLu3 Qy2uYBBfNmMsapdk9h+7dz5Rw88EC1x7bcm28rtVlOAc2ry/Qq1WR6cfsdnGjYRNj4m158mz6Jk/ Wp2EuQ7J5uVLvgZdSfOFomBzfFc4Oz/N0zcZmBO9uru6YAn5VRXyMgqEhxTDFpVGniTE9eOXDeCn RnKzvcf09msWOSeV2i3GOChaCdBlmujyrm5gbFGjzF6Skyuymggffl7YzB+i/lPcVcyuJgLD0WzX HcnFvOjBC0AKA5scI0XeHGM99CSqPpO/hvwk5FzYN9guSeKmqZdZg8dR+Se/BeQJPj6FlBbaKOvD wUxVQpp6bwgwKjk6u5rSTnE+zt1tMR4xiCxmX+2Yh0jN9MlQZOd53WFTipFa4meUDgR6Kx75sU9T PLlYti2aGdxuKrLXvUMOPE30kw6mNoI/ULeZatXwJ6giGrQFmSJ9Hkq8R5v+knddu1dnkiQCneNJ unMuibIn63RmftcUgZxoSOTMYb9g+sCCvjc/qLtnqlXDYl/8fcQv1pmDlQ+RDrvkaLwfj2JEuJVZ Qpwf75hA47x3QNxM3j+Czwl8wzg+ZQy+2G8iNTfWF9eqzVFSENGi3ZQ4TffBjZEtwqE/No9cMutY CiOyHBELMTrzpwAcV7H5XVi+/TBgZm/2qyi9Vc0/ba/Eiq4c4w2Tl9b8TfDvbJvLTQoXu8NqacdF opT7agzWYfH6cNjnkhbO4EeHRsKHsl0TVN/yq7ckxpfsZX6QAIOJ0pi+wCk0sRrRucPtakZJHKid oAWyqmc8spww5jJqWuOfDEpKamV5bGPX6Jp0Ff0JwzN+CBl3ORxMV4G4eiOouYmAln/iDsMUURAj AuXYKT+9ftGLkBxeER5Roop9s4w9Uqjnl0iaOabLSdsfk0uAyaZtfbu/50RqDYyXlKZzNaTKpBJm Ya7vaztCNgfHX/p7O5hUl0DrDmHoVN50fGmCZ2XyKRyEG/anTmE1+ueqqvOH+1iFFbMreGxDpmkU EnTm5swWaPyX8qgnhqA+vG7/xxqWqrVqt/k4f1M+ae5mZ5wHZow/VGhyDt3MQjqjGh9qSwRMujIN 1PGFOmkeKCO8B0glqLU1gSV+fdQkbWRLTLUe738AssyW+qEcObL8PBMde2CP2AWkZ/zLV8I+ivWW dc2FOIrZ+yC9fb27mbsy38LO81/73FUZ56c9uINd93BWl+nuAEAFoEvemAUGNNXISS8SRJL3dSRk uUOq1xcIpMBuFI+pn5svhj5j7tNM1I+hZ+grSHfFRdSoV2u0apN8FW2jbTHlFnfHIhEdH5ugXDW9 IvKuRlwMmFHH9gE26pxbgJckl9ouDusYgHM1sAE/9JhjRKCl8VuHvbCPdNUGVd3OXiYXiPQ5m3mS 0u7qbNUvE95UHwC7ZLWWEXFx8PUTgUwC7CmNL++SbNWTW8XIO3gFFz5X11IPHmDk881pwbXHW8o8 GPKH4hlVH4sEYX4WgcIpxmjw8M4Iy13KQ3UPHDHbYCPth1DqCCCEO5y6DFb5kdD4HFkfkWfby+5Q Na+eY8szudw2hO0ii0Te1UEIT6YfQ6vjWnkuYz5dJ97UJDvEaDoqZpdL46Y8LCQ2f4txdNkODqK9 GIH2GStWynYBvwNytQ77vSrrh+jlMmFwukNRLQqpb6g12DPysaPXZc3b7LAk2YTsb4oW+zthTGDs yvntpwvlw9Ed6JIsRMGthVPeChA677u7VlJ6VaJGFshJnAJgE+P4tvSm2ZmqbAIEB1pl0wolwJ1Z fgnPIy4mViCc8f1ZLMTNLuRvgU1DBnUOfYysF0xxEfHhGgl27QUHX777ZOc01iBTxqWsxDbA3h/6 +yvs3cTNFUz4x41mZ3UG85+qOvZPvDh/Nb7XxNKQA/HyPRsvn23a+L9migDTxzwaLNGutmH8uqSz GNp2OGYi2TDh8O5VLtjCkZW7Y121GkXX0gIzDuet5C0fLBc8iUzKNV357G1+GCpZrwjEsIkVVsg9 2QQRcUEN63sjX8MFnuhoSxvYG5puHMDkFblIHlJNKkNp9RKVI2iCuIocRBv0uE9yPGDCm53PPhkj JRTi1m4KqRsumIQ/QusktK1jutFcH4s92bCHaP6eN91L6BG052u3M63hMVsCRrxD17NTNVuyxxo4 HdC/Ay+tcqt7/SluE8d29MUd4mta7cOsCYK2ng8ODWH0UrlHT3ufN9LrgGxICOisyDhj1C8= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch Ifk4Z8Oulg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx 6PdsYAvnCf3xQFi7I2w= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O zAXbiBIex84Cf/eo0y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3 VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE 08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ 7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9 QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG +aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block ZSNt4Iv9z7W52E4HVDUrJbeeR7Q0PW2t0Pfo6v3+LQGHCwgYhwzTe4JnjSXGYdxieaZqe91npCPD PCGvcZkjQRlHKK7g4ch4C4+GTed+K8R1ZHdKSHk+OhXeOBFkDQjUdWgTDZa68CBpHYZY76DH7KgU 8qYtHccaYNEh7/UIFgwfGQ0aFOH5eLdecljwOvUE+F6F39iAw8E3php/NptUT4Tb9vOhKy8qcOsK vCbBiKEwXQnRJopmciPBQcTAMJvbnoPtXVG/eueYIppoYUl/oP6THRqxyEhOkT0sgtzSc1ZQumUo Yzz4zSCOMinVrIPRXtuD7nJ6PKiKfaHlGrr5+slvt5sk7aU+1qSnqSZEXKjkUriMkmRi1r4Z+knX hCTnGND5A+kEmuYfDZMGqteG47dS1+vR2hxMv666pDernJCwf5HsLAwcRcMdBDZXCb8Kxg6teVFd qMdm7K3z9H2sjtp22HbRvwlauDQcYd1LLR1RwUMIALPentT0khk4D2PlqVjAtEUgoGeNEb5RhWS3 nP1OCkpxulsEDktZycTr1NMuDDiibFjzjFdR5r/cRWRMtMHOh+QuQZlN6a+pycpceVjbnQ0pKd85 mf/wLzlpVKP8VJ6itKiPg5c6oXzdyUm01uCeUbd64QcL7kodY7T2Q2qct44MynQTDHMBhdCMOreH EvtvmGAPNh3UdvPt+csvgfWv6GHoXJnTASazUNGXUu/E5QnvAdZze4hxdrdugJq5ZVc87bfYplNA W53S70bu+CItwc2Y2nnZ8uPBUpky4iuwqN8BPjM3wn3lcyRskVoYPb7mBGLsgphtPViRb0hFTzIF 38cEw7+cU1uHUdzsKe3fSPtsK13AGLKiUHg1PPEvnlDgBoFm7mYYBMW2imejgRNkDmvL9+jD895W m0FlQ9V+rJrx+bdysXPO8qOOcG9jfCuWa2wyZr/CjvLcn5h3b0b1K9zCj4aj6fLsf3VQcVcgYhLk /FTghPRp5qbFPJi3WDS/31ESiE4tdqZgVizpn5gYnpF5L/ZDlsvsj6v3Jbx3CSfP+3vk/r7uHakm 2cxZNo4H3eKAjce7EmGDa/yL8dv/1JOmiQr9Zj/5zvMq5tDpEcrKnS8iap09k8GtbkaqkKbarhA3 2DI/5ycas0lqD8+af0nmarNxbBzDZK03E2AYC13HFPqIwcrCTmGsGYC/EhO+JeeRlntOovkJD6tO Hp07A+qDZju0pFDg9Py2gYP+hMo4HQqbRsPzruDvra5SIMkba4J8fdEEpnf24ZHdCmewFSeBeHx0 x9rPxx4gQDRUKA5u+b8Av4dlaM8H3MJ55HfunJaeFf43wUf332Jq7M0CWhDDonRmQsYMuFesuF0O u2DYdNKq6FSYU4svIrdPqrlFQur5p2k8RrUrXw8R/1EMYo6BGhOmA0pLN3Gq8VywxAcIMZsTFZQp hGtYdtdEZwTCuKpMt4JHSO8r1LX8t7r3JUwGOWXbl8wM5OaynsfQ8gj7lGVpkeQRpo4WvLLL4Pkf 97fTAwt6K46juscyoqGkx3Is9bRfJ/kMTguHvpM8yue8Hi73e5F52L4pb4Q9KM7Ecu0BAip5XtI6 M92oP85L+WV+/AiFiInKLGIhvx53m6+IhumuCfrh1cZdI6yD6oJnPmisZpH+CH+H7qmZGz9VPYX2 9yyXXD6haz48ntLBzOGzsWS3IzADnNALuZKS+XZ5aoA3uy5SQlEgUDaCSWnV42qygdNQKxkqvPUA ud2cVbDs6C1kp0m37JVMOShiSx4YGoNZYjEkJXgCRasqFMJmD6/lkWJNqhkRKJBlYKO2jfyN2fLe UXxUfp6EmNU5iO8JXfbPQ/LMNTDQq5lg3BYUEsTmebKdKd2g6ZYLa1tdb1wcHtUPCaHhZVdnWXk0 cvkM3KUf/xLcTsbSNRbIL2dPOHKOD2nJ6i4bsiQc2kYFMNINc4mJ+4asFGGeh9eMtFQ3i5OW7jdk ps7tfOK4MJIjoHmorn/rPK/HmFOcEwJaaoL2WfD2zDw33zs6NXzewkLN/9LVmN4W/Z/NXzfKGMrw bFJimaTU32sHsYkyI+JnArLTDtxtmqpNp2HUNoDdTTgUrD7ysZ4bH7hDFbGw7kyBI/ccnxHJWNE/ gZ/pIwCfXURv8rVPT2p5RwMcxE3q6G6rCl5cKbvk6UpiLlPd8QcZz4Ksuz6EbuZhzlLmxbSCowu4 mGzFliTYuvjbz6GqKHImzWKymh0Z0iWvM7jUkvEGuUSaz1XESacXorGXAU1zmhfGCdHXYblQODvA X3mjQEpfLQCtOxWDjr4qG/8zwSSXPy709mBJphXa1tuF2Bz9EmGjYbqeJ2jOkXk0GVfamJ3P3zcu ErbfBi+wBYZLBfAMliprD4WWkQ0zVkZ19s11gNbqZytuoYxnmgGAdKSN2dAN2SGRqT6h5CynjeU7 qE1TRSyH53yHY7IuLytyWSsT+Y/o1PVGpYbneGUSb9iOUR3ZarX4Y256zSz8ysP+uppBW+u7md11 QOSI1QxuXa74VBGc6H4/IpwBLgA8CSZVlgDAqd5Qgb3yt0wcSM++i89xyJte377GJfeGoXZIKe3V 88Z2/RaMc31JkiNYiPCtJx+UspWBzJbPgW93foSeT9vDbMvuniV2mV0ZSSguHSfp+ztuuNhS2QCU P9ie3SJgwpb2xaXq2cX5I7yzygUGfZjBnMqUGnKkhzZmNCSx+lmcOpJnQeKN8lxIjAK/mEfALu6L 8zxlaoQAn1yYnFJV9BNaeh01c2T7ew8rEK0Du9JFQcOtx39IOTxpKpb1S74E00A9M3H9a9izhtTF sDxdYJi6j2TE6GY9DZci8yOLD//yCn3V4b44jRoTEERfSk+Ej+gBoDin4KJpxj/3qRFfaC9mVtY2 cNOze20+yLld4s63QG8Ki3XvGca/GuulGycw1ApBVMbb7R7Fo4sPbwYnVex0dU24k1d/GAP9Q6S8 OI9rBQC3O3tm9plj1Y8WS3qoPbKZGVB+k4Jh8vLllY78TwbM0vHFkK2I37ruT1yGslIBBUVo+N+A ZOhtYTpr+fvStfNWwNhIAHzyBH/RuUour7fdLS9TQ3C+jDfLk675H0FeSgRxXdEoWX9dxoU15IuJ DiOmq5BgMLPz6KH45G/yQZLYWLKlttFqjXw85JjeDCmynidQktJgvVdiaZp1LX6vnGA/nQ9VWWgm gn+y6VAwWhMh4w4Flvi8qUcggIvvRxKiISTM4bPN6Vrt7nwO8eHVLTI/5U6uEJpWzTnmmsq6HtOT RXb2Bfj12bB2aURtQaIIw6wFclwvpkEIGAJeK5Pi+pekmN3a2LTi9Y9AGi7Frf6q6qEEHFs09cid OWYWO7RcWIbWIM3aZgA1ziW/vodSjqx9w4e7E/tCgbolXu8I21lBVqpjyrrumcZqthlAOJknfmV1 iETVMf2Nw9XauKxMxw7TuHq+UmMvm4nuDrj8lfPR24/ZB4Mjyb2/cdtlqeozybBmSr2YhDyGiK7P qPpKM5NiJa5kLlwmWGCp9kgREhbl4JBvRCDlKgwjjvaY8OQOOkf+zEM+f74lZ+r3ILkQJJQWF7AB WJFgZ08lwcmjO/rOzM5QblElqrV8Ugg50F/uGUsasQwon8ZwBD3EUjy0867uzSWKgQ1xJo9KxoLN TCZwAQaR0IGtQ5ImvwwOJqedWDm6c3DlHVcijeYMMGDexLu8zwZzemeSA97GBjLOfQhh86u07vtg z8N5cE/j/HQrt7aXT2EWC5K4v4Ei1dvGTeVCxj03PAjm5KmZs7APh3giN740jIOsVxGiVvNWw9tV zpwa1TBt9nqnD0Nwwlj0X2fp7iLg3kAvuMoBczpEAfcVWe85SDf1NjcfQuD6Alf1Ewo5LhEFZFn5 LxAg6iJT3DTT/S8YdV2Shqxmkgwylmokx8j0FwDyEERwF9jPoH2dLM9hWwu7An7vENaJqjbVrxgE ua1iPVkf/d0cjvss2+nlNXiO7gb81i80YUMd//zRCdkbT8ddkjaePlQS4t6rMxiitR5DU9oKx7J3 kPOuyIKy1NPQYLXrwnZFQ2lI8Af3IgaXNADjwFb8s/UFJD9AVRw2Gdk9tpsbhZivZRomaDsV4L48 KKh2b3aPrTKNausgYSqLFztQLIP1YywQuEyQNkRjGw/P2JopmZ97hskPuJqtg91Wv7AlVj4xOLu3 Qy2uYBBfNmMsapdk9h+7dz5Rw88EC1x7bcm28rtVlOAc2ry/Qq1WR6cfsdnGjYRNj4m158mz6Jk/ Wp2EuQ7J5uVLvgZdSfOFomBzfFc4Oz/N0zcZmBO9uru6YAn5VRXyMgqEhxTDFpVGniTE9eOXDeCn RnKzvcf09msWOSeV2i3GOChaCdBlmujyrm5gbFGjzF6Skyuymggffl7YzB+i/lPcVcyuJgLD0WzX HcnFvOjBC0AKA5scI0XeHGM99CSqPpO/hvwk5FzYN9guSeKmqZdZg8dR+Se/BeQJPj6FlBbaKOvD wUxVQpp6bwgwKjk6u5rSTnE+zt1tMR4xiCxmX+2Yh0jN9MlQZOd53WFTipFa4meUDgR6Kx75sU9T PLlYti2aGdxuKrLXvUMOPE30kw6mNoI/ULeZatXwJ6giGrQFmSJ9Hkq8R5v+knddu1dnkiQCneNJ unMuibIn63RmftcUgZxoSOTMYb9g+sCCvjc/qLtnqlXDYl/8fcQv1pmDlQ+RDrvkaLwfj2JEuJVZ Qpwf75hA47x3QNxM3j+Czwl8wzg+ZQy+2G8iNTfWF9eqzVFSENGi3ZQ4TffBjZEtwqE/No9cMutY CiOyHBELMTrzpwAcV7H5XVi+/TBgZm/2qyi9Vc0/ba/Eiq4c4w2Tl9b8TfDvbJvLTQoXu8NqacdF opT7agzWYfH6cNjnkhbO4EeHRsKHsl0TVN/yq7ckxpfsZX6QAIOJ0pi+wCk0sRrRucPtakZJHKid oAWyqmc8spww5jJqWuOfDEpKamV5bGPX6Jp0Ff0JwzN+CBl3ORxMV4G4eiOouYmAln/iDsMUURAj AuXYKT+9ftGLkBxeER5Roop9s4w9Uqjnl0iaOabLSdsfk0uAyaZtfbu/50RqDYyXlKZzNaTKpBJm Ya7vaztCNgfHX/p7O5hUl0DrDmHoVN50fGmCZ2XyKRyEG/anTmE1+ueqqvOH+1iFFbMreGxDpmkU EnTm5swWaPyX8qgnhqA+vG7/xxqWqrVqt/k4f1M+ae5mZ5wHZow/VGhyDt3MQjqjGh9qSwRMujIN 1PGFOmkeKCO8B0glqLU1gSV+fdQkbWRLTLUe738AssyW+qEcObL8PBMde2CP2AWkZ/zLV8I+ivWW dc2FOIrZ+yC9fb27mbsy38LO81/73FUZ56c9uINd93BWl+nuAEAFoEvemAUGNNXISS8SRJL3dSRk uUOq1xcIpMBuFI+pn5svhj5j7tNM1I+hZ+grSHfFRdSoV2u0apN8FW2jbTHlFnfHIhEdH5ugXDW9 IvKuRlwMmFHH9gE26pxbgJckl9ouDusYgHM1sAE/9JhjRKCl8VuHvbCPdNUGVd3OXiYXiPQ5m3mS 0u7qbNUvE95UHwC7ZLWWEXFx8PUTgUwC7CmNL++SbNWTW8XIO3gFFz5X11IPHmDk881pwbXHW8o8 GPKH4hlVH4sEYX4WgcIpxmjw8M4Iy13KQ3UPHDHbYCPth1DqCCCEO5y6DFb5kdD4HFkfkWfby+5Q Na+eY8szudw2hO0ii0Te1UEIT6YfQ6vjWnkuYz5dJ97UJDvEaDoqZpdL46Y8LCQ2f4txdNkODqK9 GIH2GStWynYBvwNytQ77vSrrh+jlMmFwukNRLQqpb6g12DPysaPXZc3b7LAk2YTsb4oW+zthTGDs yvntpwvlw9Ed6JIsRMGthVPeChA677u7VlJ6VaJGFshJnAJgE+P4tvSm2ZmqbAIEB1pl0wolwJ1Z fgnPIy4mViCc8f1ZLMTNLuRvgU1DBnUOfYysF0xxEfHhGgl27QUHX777ZOc01iBTxqWsxDbA3h/6 +yvs3cTNFUz4x41mZ3UG85+qOvZPvDh/Nb7XxNKQA/HyPRsvn23a+L9migDTxzwaLNGutmH8uqSz GNp2OGYi2TDh8O5VLtjCkZW7Y121GkXX0gIzDuet5C0fLBc8iUzKNV357G1+GCpZrwjEsIkVVsg9 2QQRcUEN63sjX8MFnuhoSxvYG5puHMDkFblIHlJNKkNp9RKVI2iCuIocRBv0uE9yPGDCm53PPhkj JRTi1m4KqRsumIQ/QusktK1jutFcH4s92bCHaP6eN91L6BG052u3M63hMVsCRrxD17NTNVuyxxo4 HdC/Ay+tcqt7/SluE8d29MUd4mta7cOsCYK2ng8ODWH0UrlHT3ufN9LrgGxICOisyDhj1C8= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch Ifk4Z8Oulg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx 6PdsYAvnCf3xQFi7I2w= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O zAXbiBIex84Cf/eo0y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3 VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE 08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ 7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9 QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG +aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block ZSNt4Iv9z7W52E4HVDUrJbeeR7Q0PW2t0Pfo6v3+LQGHCwgYhwzTe4JnjSXGYdxieaZqe91npCPD PCGvcZkjQRlHKK7g4ch4C4+GTed+K8R1ZHdKSHk+OhXeOBFkDQjUdWgTDZa68CBpHYZY76DH7KgU 8qYtHccaYNEh7/UIFgwfGQ0aFOH5eLdecljwOvUE+F6F39iAw8E3php/NptUT4Tb9vOhKy8qcOsK vCbBiKEwXQnRJopmciPBQcTAMJvbnoPtXVG/eueYIppoYUl/oP6THRqxyEhOkT0sgtzSc1ZQumUo Yzz4zSCOMinVrIPRXtuD7nJ6PKiKfaHlGrr5+slvt5sk7aU+1qSnqSZEXKjkUriMkmRi1r4Z+knX hCTnGND5A+kEmuYfDZMGqteG47dS1+vR2hxMv666pDernJCwf5HsLAwcRcMdBDZXCb8Kxg6teVFd qMdm7K3z9H2sjtp22HbRvwlauDQcYd1LLR1RwUMIALPentT0khk4D2PlqVjAtEUgoGeNEb5RhWS3 nP1OCkpxulsEDktZycTr1NMuDDiibFjzjFdR5r/cRWRMtMHOh+QuQZlN6a+pycpceVjbnQ0pKd85 mf/wLzlpVKP8VJ6itKiPg5c6oXzdyUm01uCeUbd64QcL7kodY7T2Q2qct44MynQTDHMBhdCMOreH EvtvmGAPNh3UdvPt+csvgfWv6GHoXJnTASazUNGXUu/E5QnvAdZze4hxdrdugJq5ZVc87bfYplNA W53S70bu+CItwc2Y2nnZ8uPBUpky4iuwqN8BPjM3wn3lcyRskVoYPb7mBGLsgphtPViRb0hFTzIF 38cEw7+cU1uHUdzsKe3fSPtsK13AGLKiUHg1PPEvnlDgBoFm7mYYBMW2imejgRNkDmvL9+jD895W m0FlQ9V+rJrx+bdysXPO8qOOcG9jfCuWa2wyZr/CjvLcn5h3b0b1K9zCj4aj6fLsf3VQcVcgYhLk /FTghPRp5qbFPJi3WDS/31ESiE4tdqZgVizpn5gYnpF5L/ZDlsvsj6v3Jbx3CSfP+3vk/r7uHakm 2cxZNo4H3eKAjce7EmGDa/yL8dv/1JOmiQr9Zj/5zvMq5tDpEcrKnS8iap09k8GtbkaqkKbarhA3 2DI/5ycas0lqD8+af0nmarNxbBzDZK03E2AYC13HFPqIwcrCTmGsGYC/EhO+JeeRlntOovkJD6tO Hp07A+qDZju0pFDg9Py2gYP+hMo4HQqbRsPzruDvra5SIMkba4J8fdEEpnf24ZHdCmewFSeBeHx0 x9rPxx4gQDRUKA5u+b8Av4dlaM8H3MJ55HfunJaeFf43wUf332Jq7M0CWhDDonRmQsYMuFesuF0O u2DYdNKq6FSYU4svIrdPqrlFQur5p2k8RrUrXw8R/1EMYo6BGhOmA0pLN3Gq8VywxAcIMZsTFZQp hGtYdtdEZwTCuKpMt4JHSO8r1LX8t7r3JUwGOWXbl8wM5OaynsfQ8gj7lGVpkeQRpo4WvLLL4Pkf 97fTAwt6K46juscyoqGkx3Is9bRfJ/kMTguHvpM8yue8Hi73e5F52L4pb4Q9KM7Ecu0BAip5XtI6 M92oP85L+WV+/AiFiInKLGIhvx53m6+IhumuCfrh1cZdI6yD6oJnPmisZpH+CH+H7qmZGz9VPYX2 9yyXXD6haz48ntLBzOGzsWS3IzADnNALuZKS+XZ5aoA3uy5SQlEgUDaCSWnV42qygdNQKxkqvPUA ud2cVbDs6C1kp0m37JVMOShiSx4YGoNZYjEkJXgCRasqFMJmD6/lkWJNqhkRKJBlYKO2jfyN2fLe UXxUfp6EmNU5iO8JXfbPQ/LMNTDQq5lg3BYUEsTmebKdKd2g6ZYLa1tdb1wcHtUPCaHhZVdnWXk0 cvkM3KUf/xLcTsbSNRbIL2dPOHKOD2nJ6i4bsiQc2kYFMNINc4mJ+4asFGGeh9eMtFQ3i5OW7jdk ps7tfOK4MJIjoHmorn/rPK/HmFOcEwJaaoL2WfD2zDw33zs6NXzewkLN/9LVmN4W/Z/NXzfKGMrw bFJimaTU32sHsYkyI+JnArLTDtxtmqpNp2HUNoDdTTgUrD7ysZ4bH7hDFbGw7kyBI/ccnxHJWNE/ gZ/pIwCfXURv8rVPT2p5RwMcxE3q6G6rCl5cKbvk6UpiLlPd8QcZz4Ksuz6EbuZhzlLmxbSCowu4 mGzFliTYuvjbz6GqKHImzWKymh0Z0iWvM7jUkvEGuUSaz1XESacXorGXAU1zmhfGCdHXYblQODvA X3mjQEpfLQCtOxWDjr4qG/8zwSSXPy709mBJphXa1tuF2Bz9EmGjYbqeJ2jOkXk0GVfamJ3P3zcu ErbfBi+wBYZLBfAMliprD4WWkQ0zVkZ19s11gNbqZytuoYxnmgGAdKSN2dAN2SGRqT6h5CynjeU7 qE1TRSyH53yHY7IuLytyWSsT+Y/o1PVGpYbneGUSb9iOUR3ZarX4Y256zSz8ysP+uppBW+u7md11 QOSI1QxuXa74VBGc6H4/IpwBLgA8CSZVlgDAqd5Qgb3yt0wcSM++i89xyJte377GJfeGoXZIKe3V 88Z2/RaMc31JkiNYiPCtJx+UspWBzJbPgW93foSeT9vDbMvuniV2mV0ZSSguHSfp+ztuuNhS2QCU P9ie3SJgwpb2xaXq2cX5I7yzygUGfZjBnMqUGnKkhzZmNCSx+lmcOpJnQeKN8lxIjAK/mEfALu6L 8zxlaoQAn1yYnFJV9BNaeh01c2T7ew8rEK0Du9JFQcOtx39IOTxpKpb1S74E00A9M3H9a9izhtTF sDxdYJi6j2TE6GY9DZci8yOLD//yCn3V4b44jRoTEERfSk+Ej+gBoDin4KJpxj/3qRFfaC9mVtY2 cNOze20+yLld4s63QG8Ki3XvGca/GuulGycw1ApBVMbb7R7Fo4sPbwYnVex0dU24k1d/GAP9Q6S8 OI9rBQC3O3tm9plj1Y8WS3qoPbKZGVB+k4Jh8vLllY78TwbM0vHFkK2I37ruT1yGslIBBUVo+N+A ZOhtYTpr+fvStfNWwNhIAHzyBH/RuUour7fdLS9TQ3C+jDfLk675H0FeSgRxXdEoWX9dxoU15IuJ DiOmq5BgMLPz6KH45G/yQZLYWLKlttFqjXw85JjeDCmynidQktJgvVdiaZp1LX6vnGA/nQ9VWWgm gn+y6VAwWhMh4w4Flvi8qUcggIvvRxKiISTM4bPN6Vrt7nwO8eHVLTI/5U6uEJpWzTnmmsq6HtOT RXb2Bfj12bB2aURtQaIIw6wFclwvpkEIGAJeK5Pi+pekmN3a2LTi9Y9AGi7Frf6q6qEEHFs09cid OWYWO7RcWIbWIM3aZgA1ziW/vodSjqx9w4e7E/tCgbolXu8I21lBVqpjyrrumcZqthlAOJknfmV1 iETVMf2Nw9XauKxMxw7TuHq+UmMvm4nuDrj8lfPR24/ZB4Mjyb2/cdtlqeozybBmSr2YhDyGiK7P qPpKM5NiJa5kLlwmWGCp9kgREhbl4JBvRCDlKgwjjvaY8OQOOkf+zEM+f74lZ+r3ILkQJJQWF7AB WJFgZ08lwcmjO/rOzM5QblElqrV8Ugg50F/uGUsasQwon8ZwBD3EUjy0867uzSWKgQ1xJo9KxoLN TCZwAQaR0IGtQ5ImvwwOJqedWDm6c3DlHVcijeYMMGDexLu8zwZzemeSA97GBjLOfQhh86u07vtg z8N5cE/j/HQrt7aXT2EWC5K4v4Ei1dvGTeVCxj03PAjm5KmZs7APh3giN740jIOsVxGiVvNWw9tV zpwa1TBt9nqnD0Nwwlj0X2fp7iLg3kAvuMoBczpEAfcVWe85SDf1NjcfQuD6Alf1Ewo5LhEFZFn5 LxAg6iJT3DTT/S8YdV2Shqxmkgwylmokx8j0FwDyEERwF9jPoH2dLM9hWwu7An7vENaJqjbVrxgE ua1iPVkf/d0cjvss2+nlNXiO7gb81i80YUMd//zRCdkbT8ddkjaePlQS4t6rMxiitR5DU9oKx7J3 kPOuyIKy1NPQYLXrwnZFQ2lI8Af3IgaXNADjwFb8s/UFJD9AVRw2Gdk9tpsbhZivZRomaDsV4L48 KKh2b3aPrTKNausgYSqLFztQLIP1YywQuEyQNkRjGw/P2JopmZ97hskPuJqtg91Wv7AlVj4xOLu3 Qy2uYBBfNmMsapdk9h+7dz5Rw88EC1x7bcm28rtVlOAc2ry/Qq1WR6cfsdnGjYRNj4m158mz6Jk/ Wp2EuQ7J5uVLvgZdSfOFomBzfFc4Oz/N0zcZmBO9uru6YAn5VRXyMgqEhxTDFpVGniTE9eOXDeCn RnKzvcf09msWOSeV2i3GOChaCdBlmujyrm5gbFGjzF6Skyuymggffl7YzB+i/lPcVcyuJgLD0WzX HcnFvOjBC0AKA5scI0XeHGM99CSqPpO/hvwk5FzYN9guSeKmqZdZg8dR+Se/BeQJPj6FlBbaKOvD wUxVQpp6bwgwKjk6u5rSTnE+zt1tMR4xiCxmX+2Yh0jN9MlQZOd53WFTipFa4meUDgR6Kx75sU9T PLlYti2aGdxuKrLXvUMOPE30kw6mNoI/ULeZatXwJ6giGrQFmSJ9Hkq8R5v+knddu1dnkiQCneNJ unMuibIn63RmftcUgZxoSOTMYb9g+sCCvjc/qLtnqlXDYl/8fcQv1pmDlQ+RDrvkaLwfj2JEuJVZ Qpwf75hA47x3QNxM3j+Czwl8wzg+ZQy+2G8iNTfWF9eqzVFSENGi3ZQ4TffBjZEtwqE/No9cMutY CiOyHBELMTrzpwAcV7H5XVi+/TBgZm/2qyi9Vc0/ba/Eiq4c4w2Tl9b8TfDvbJvLTQoXu8NqacdF opT7agzWYfH6cNjnkhbO4EeHRsKHsl0TVN/yq7ckxpfsZX6QAIOJ0pi+wCk0sRrRucPtakZJHKid oAWyqmc8spww5jJqWuOfDEpKamV5bGPX6Jp0Ff0JwzN+CBl3ORxMV4G4eiOouYmAln/iDsMUURAj AuXYKT+9ftGLkBxeER5Roop9s4w9Uqjnl0iaOabLSdsfk0uAyaZtfbu/50RqDYyXlKZzNaTKpBJm Ya7vaztCNgfHX/p7O5hUl0DrDmHoVN50fGmCZ2XyKRyEG/anTmE1+ueqqvOH+1iFFbMreGxDpmkU EnTm5swWaPyX8qgnhqA+vG7/xxqWqrVqt/k4f1M+ae5mZ5wHZow/VGhyDt3MQjqjGh9qSwRMujIN 1PGFOmkeKCO8B0glqLU1gSV+fdQkbWRLTLUe738AssyW+qEcObL8PBMde2CP2AWkZ/zLV8I+ivWW dc2FOIrZ+yC9fb27mbsy38LO81/73FUZ56c9uINd93BWl+nuAEAFoEvemAUGNNXISS8SRJL3dSRk uUOq1xcIpMBuFI+pn5svhj5j7tNM1I+hZ+grSHfFRdSoV2u0apN8FW2jbTHlFnfHIhEdH5ugXDW9 IvKuRlwMmFHH9gE26pxbgJckl9ouDusYgHM1sAE/9JhjRKCl8VuHvbCPdNUGVd3OXiYXiPQ5m3mS 0u7qbNUvE95UHwC7ZLWWEXFx8PUTgUwC7CmNL++SbNWTW8XIO3gFFz5X11IPHmDk881pwbXHW8o8 GPKH4hlVH4sEYX4WgcIpxmjw8M4Iy13KQ3UPHDHbYCPth1DqCCCEO5y6DFb5kdD4HFkfkWfby+5Q Na+eY8szudw2hO0ii0Te1UEIT6YfQ6vjWnkuYz5dJ97UJDvEaDoqZpdL46Y8LCQ2f4txdNkODqK9 GIH2GStWynYBvwNytQ77vSrrh+jlMmFwukNRLQqpb6g12DPysaPXZc3b7LAk2YTsb4oW+zthTGDs yvntpwvlw9Ed6JIsRMGthVPeChA677u7VlJ6VaJGFshJnAJgE+P4tvSm2ZmqbAIEB1pl0wolwJ1Z fgnPIy4mViCc8f1ZLMTNLuRvgU1DBnUOfYysF0xxEfHhGgl27QUHX777ZOc01iBTxqWsxDbA3h/6 +yvs3cTNFUz4x41mZ3UG85+qOvZPvDh/Nb7XxNKQA/HyPRsvn23a+L9migDTxzwaLNGutmH8uqSz GNp2OGYi2TDh8O5VLtjCkZW7Y121GkXX0gIzDuet5C0fLBc8iUzKNV357G1+GCpZrwjEsIkVVsg9 2QQRcUEN63sjX8MFnuhoSxvYG5puHMDkFblIHlJNKkNp9RKVI2iCuIocRBv0uE9yPGDCm53PPhkj JRTi1m4KqRsumIQ/QusktK1jutFcH4s92bCHaP6eN91L6BG052u3M63hMVsCRrxD17NTNVuyxxo4 HdC/Ay+tcqt7/SluE8d29MUd4mta7cOsCYK2ng8ODWH0UrlHT3ufN9LrgGxICOisyDhj1C8= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch Ifk4Z8Oulg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx 6PdsYAvnCf3xQFi7I2w= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O zAXbiBIex84Cf/eo0y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3 VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE 08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ 7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9 QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG +aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block ZSNt4Iv9z7W52E4HVDUrJbeeR7Q0PW2t0Pfo6v3+LQGHCwgYhwzTe4JnjSXGYdxieaZqe91npCPD PCGvcZkjQRlHKK7g4ch4C4+GTed+K8R1ZHdKSHk+OhXeOBFkDQjUdWgTDZa68CBpHYZY76DH7KgU 8qYtHccaYNEh7/UIFgwfGQ0aFOH5eLdecljwOvUE+F6F39iAw8E3php/NptUT4Tb9vOhKy8qcOsK vCbBiKEwXQnRJopmciPBQcTAMJvbnoPtXVG/eueYIppoYUl/oP6THRqxyEhOkT0sgtzSc1ZQumUo Yzz4zSCOMinVrIPRXtuD7nJ6PKiKfaHlGrr5+slvt5sk7aU+1qSnqSZEXKjkUriMkmRi1r4Z+knX hCTnGND5A+kEmuYfDZMGqteG47dS1+vR2hxMv666pDernJCwf5HsLAwcRcMdBDZXCb8Kxg6teVFd qMdm7K3z9H2sjtp22HbRvwlauDQcYd1LLR1RwUMIALPentT0khk4D2PlqVjAtEUgoGeNEb5RhWS3 nP1OCkpxulsEDktZycTr1NMuDDiibFjzjFdR5r/cRWRMtMHOh+QuQZlN6a+pycpceVjbnQ0pKd85 mf/wLzlpVKP8VJ6itKiPg5c6oXzdyUm01uCeUbd64QcL7kodY7T2Q2qct44MynQTDHMBhdCMOreH EvtvmGAPNh3UdvPt+csvgfWv6GHoXJnTASazUNGXUu/E5QnvAdZze4hxdrdugJq5ZVc87bfYplNA W53S70bu+CItwc2Y2nnZ8uPBUpky4iuwqN8BPjM3wn3lcyRskVoYPb7mBGLsgphtPViRb0hFTzIF 38cEw7+cU1uHUdzsKe3fSPtsK13AGLKiUHg1PPEvnlDgBoFm7mYYBMW2imejgRNkDmvL9+jD895W m0FlQ9V+rJrx+bdysXPO8qOOcG9jfCuWa2wyZr/CjvLcn5h3b0b1K9zCj4aj6fLsf3VQcVcgYhLk /FTghPRp5qbFPJi3WDS/31ESiE4tdqZgVizpn5gYnpF5L/ZDlsvsj6v3Jbx3CSfP+3vk/r7uHakm 2cxZNo4H3eKAjce7EmGDa/yL8dv/1JOmiQr9Zj/5zvMq5tDpEcrKnS8iap09k8GtbkaqkKbarhA3 2DI/5ycas0lqD8+af0nmarNxbBzDZK03E2AYC13HFPqIwcrCTmGsGYC/EhO+JeeRlntOovkJD6tO Hp07A+qDZju0pFDg9Py2gYP+hMo4HQqbRsPzruDvra5SIMkba4J8fdEEpnf24ZHdCmewFSeBeHx0 x9rPxx4gQDRUKA5u+b8Av4dlaM8H3MJ55HfunJaeFf43wUf332Jq7M0CWhDDonRmQsYMuFesuF0O u2DYdNKq6FSYU4svIrdPqrlFQur5p2k8RrUrXw8R/1EMYo6BGhOmA0pLN3Gq8VywxAcIMZsTFZQp hGtYdtdEZwTCuKpMt4JHSO8r1LX8t7r3JUwGOWXbl8wM5OaynsfQ8gj7lGVpkeQRpo4WvLLL4Pkf 97fTAwt6K46juscyoqGkx3Is9bRfJ/kMTguHvpM8yue8Hi73e5F52L4pb4Q9KM7Ecu0BAip5XtI6 M92oP85L+WV+/AiFiInKLGIhvx53m6+IhumuCfrh1cZdI6yD6oJnPmisZpH+CH+H7qmZGz9VPYX2 9yyXXD6haz48ntLBzOGzsWS3IzADnNALuZKS+XZ5aoA3uy5SQlEgUDaCSWnV42qygdNQKxkqvPUA ud2cVbDs6C1kp0m37JVMOShiSx4YGoNZYjEkJXgCRasqFMJmD6/lkWJNqhkRKJBlYKO2jfyN2fLe UXxUfp6EmNU5iO8JXfbPQ/LMNTDQq5lg3BYUEsTmebKdKd2g6ZYLa1tdb1wcHtUPCaHhZVdnWXk0 cvkM3KUf/xLcTsbSNRbIL2dPOHKOD2nJ6i4bsiQc2kYFMNINc4mJ+4asFGGeh9eMtFQ3i5OW7jdk ps7tfOK4MJIjoHmorn/rPK/HmFOcEwJaaoL2WfD2zDw33zs6NXzewkLN/9LVmN4W/Z/NXzfKGMrw bFJimaTU32sHsYkyI+JnArLTDtxtmqpNp2HUNoDdTTgUrD7ysZ4bH7hDFbGw7kyBI/ccnxHJWNE/ gZ/pIwCfXURv8rVPT2p5RwMcxE3q6G6rCl5cKbvk6UpiLlPd8QcZz4Ksuz6EbuZhzlLmxbSCowu4 mGzFliTYuvjbz6GqKHImzWKymh0Z0iWvM7jUkvEGuUSaz1XESacXorGXAU1zmhfGCdHXYblQODvA X3mjQEpfLQCtOxWDjr4qG/8zwSSXPy709mBJphXa1tuF2Bz9EmGjYbqeJ2jOkXk0GVfamJ3P3zcu ErbfBi+wBYZLBfAMliprD4WWkQ0zVkZ19s11gNbqZytuoYxnmgGAdKSN2dAN2SGRqT6h5CynjeU7 qE1TRSyH53yHY7IuLytyWSsT+Y/o1PVGpYbneGUSb9iOUR3ZarX4Y256zSz8ysP+uppBW+u7md11 QOSI1QxuXa74VBGc6H4/IpwBLgA8CSZVlgDAqd5Qgb3yt0wcSM++i89xyJte377GJfeGoXZIKe3V 88Z2/RaMc31JkiNYiPCtJx+UspWBzJbPgW93foSeT9vDbMvuniV2mV0ZSSguHSfp+ztuuNhS2QCU P9ie3SJgwpb2xaXq2cX5I7yzygUGfZjBnMqUGnKkhzZmNCSx+lmcOpJnQeKN8lxIjAK/mEfALu6L 8zxlaoQAn1yYnFJV9BNaeh01c2T7ew8rEK0Du9JFQcOtx39IOTxpKpb1S74E00A9M3H9a9izhtTF sDxdYJi6j2TE6GY9DZci8yOLD//yCn3V4b44jRoTEERfSk+Ej+gBoDin4KJpxj/3qRFfaC9mVtY2 cNOze20+yLld4s63QG8Ki3XvGca/GuulGycw1ApBVMbb7R7Fo4sPbwYnVex0dU24k1d/GAP9Q6S8 OI9rBQC3O3tm9plj1Y8WS3qoPbKZGVB+k4Jh8vLllY78TwbM0vHFkK2I37ruT1yGslIBBUVo+N+A ZOhtYTpr+fvStfNWwNhIAHzyBH/RuUour7fdLS9TQ3C+jDfLk675H0FeSgRxXdEoWX9dxoU15IuJ DiOmq5BgMLPz6KH45G/yQZLYWLKlttFqjXw85JjeDCmynidQktJgvVdiaZp1LX6vnGA/nQ9VWWgm gn+y6VAwWhMh4w4Flvi8qUcggIvvRxKiISTM4bPN6Vrt7nwO8eHVLTI/5U6uEJpWzTnmmsq6HtOT RXb2Bfj12bB2aURtQaIIw6wFclwvpkEIGAJeK5Pi+pekmN3a2LTi9Y9AGi7Frf6q6qEEHFs09cid OWYWO7RcWIbWIM3aZgA1ziW/vodSjqx9w4e7E/tCgbolXu8I21lBVqpjyrrumcZqthlAOJknfmV1 iETVMf2Nw9XauKxMxw7TuHq+UmMvm4nuDrj8lfPR24/ZB4Mjyb2/cdtlqeozybBmSr2YhDyGiK7P qPpKM5NiJa5kLlwmWGCp9kgREhbl4JBvRCDlKgwjjvaY8OQOOkf+zEM+f74lZ+r3ILkQJJQWF7AB WJFgZ08lwcmjO/rOzM5QblElqrV8Ugg50F/uGUsasQwon8ZwBD3EUjy0867uzSWKgQ1xJo9KxoLN TCZwAQaR0IGtQ5ImvwwOJqedWDm6c3DlHVcijeYMMGDexLu8zwZzemeSA97GBjLOfQhh86u07vtg z8N5cE/j/HQrt7aXT2EWC5K4v4Ei1dvGTeVCxj03PAjm5KmZs7APh3giN740jIOsVxGiVvNWw9tV zpwa1TBt9nqnD0Nwwlj0X2fp7iLg3kAvuMoBczpEAfcVWe85SDf1NjcfQuD6Alf1Ewo5LhEFZFn5 LxAg6iJT3DTT/S8YdV2Shqxmkgwylmokx8j0FwDyEERwF9jPoH2dLM9hWwu7An7vENaJqjbVrxgE ua1iPVkf/d0cjvss2+nlNXiO7gb81i80YUMd//zRCdkbT8ddkjaePlQS4t6rMxiitR5DU9oKx7J3 kPOuyIKy1NPQYLXrwnZFQ2lI8Af3IgaXNADjwFb8s/UFJD9AVRw2Gdk9tpsbhZivZRomaDsV4L48 KKh2b3aPrTKNausgYSqLFztQLIP1YywQuEyQNkRjGw/P2JopmZ97hskPuJqtg91Wv7AlVj4xOLu3 Qy2uYBBfNmMsapdk9h+7dz5Rw88EC1x7bcm28rtVlOAc2ry/Qq1WR6cfsdnGjYRNj4m158mz6Jk/ Wp2EuQ7J5uVLvgZdSfOFomBzfFc4Oz/N0zcZmBO9uru6YAn5VRXyMgqEhxTDFpVGniTE9eOXDeCn RnKzvcf09msWOSeV2i3GOChaCdBlmujyrm5gbFGjzF6Skyuymggffl7YzB+i/lPcVcyuJgLD0WzX HcnFvOjBC0AKA5scI0XeHGM99CSqPpO/hvwk5FzYN9guSeKmqZdZg8dR+Se/BeQJPj6FlBbaKOvD wUxVQpp6bwgwKjk6u5rSTnE+zt1tMR4xiCxmX+2Yh0jN9MlQZOd53WFTipFa4meUDgR6Kx75sU9T PLlYti2aGdxuKrLXvUMOPE30kw6mNoI/ULeZatXwJ6giGrQFmSJ9Hkq8R5v+knddu1dnkiQCneNJ unMuibIn63RmftcUgZxoSOTMYb9g+sCCvjc/qLtnqlXDYl/8fcQv1pmDlQ+RDrvkaLwfj2JEuJVZ Qpwf75hA47x3QNxM3j+Czwl8wzg+ZQy+2G8iNTfWF9eqzVFSENGi3ZQ4TffBjZEtwqE/No9cMutY CiOyHBELMTrzpwAcV7H5XVi+/TBgZm/2qyi9Vc0/ba/Eiq4c4w2Tl9b8TfDvbJvLTQoXu8NqacdF opT7agzWYfH6cNjnkhbO4EeHRsKHsl0TVN/yq7ckxpfsZX6QAIOJ0pi+wCk0sRrRucPtakZJHKid oAWyqmc8spww5jJqWuOfDEpKamV5bGPX6Jp0Ff0JwzN+CBl3ORxMV4G4eiOouYmAln/iDsMUURAj AuXYKT+9ftGLkBxeER5Roop9s4w9Uqjnl0iaOabLSdsfk0uAyaZtfbu/50RqDYyXlKZzNaTKpBJm Ya7vaztCNgfHX/p7O5hUl0DrDmHoVN50fGmCZ2XyKRyEG/anTmE1+ueqqvOH+1iFFbMreGxDpmkU EnTm5swWaPyX8qgnhqA+vG7/xxqWqrVqt/k4f1M+ae5mZ5wHZow/VGhyDt3MQjqjGh9qSwRMujIN 1PGFOmkeKCO8B0glqLU1gSV+fdQkbWRLTLUe738AssyW+qEcObL8PBMde2CP2AWkZ/zLV8I+ivWW dc2FOIrZ+yC9fb27mbsy38LO81/73FUZ56c9uINd93BWl+nuAEAFoEvemAUGNNXISS8SRJL3dSRk uUOq1xcIpMBuFI+pn5svhj5j7tNM1I+hZ+grSHfFRdSoV2u0apN8FW2jbTHlFnfHIhEdH5ugXDW9 IvKuRlwMmFHH9gE26pxbgJckl9ouDusYgHM1sAE/9JhjRKCl8VuHvbCPdNUGVd3OXiYXiPQ5m3mS 0u7qbNUvE95UHwC7ZLWWEXFx8PUTgUwC7CmNL++SbNWTW8XIO3gFFz5X11IPHmDk881pwbXHW8o8 GPKH4hlVH4sEYX4WgcIpxmjw8M4Iy13KQ3UPHDHbYCPth1DqCCCEO5y6DFb5kdD4HFkfkWfby+5Q Na+eY8szudw2hO0ii0Te1UEIT6YfQ6vjWnkuYz5dJ97UJDvEaDoqZpdL46Y8LCQ2f4txdNkODqK9 GIH2GStWynYBvwNytQ77vSrrh+jlMmFwukNRLQqpb6g12DPysaPXZc3b7LAk2YTsb4oW+zthTGDs yvntpwvlw9Ed6JIsRMGthVPeChA677u7VlJ6VaJGFshJnAJgE+P4tvSm2ZmqbAIEB1pl0wolwJ1Z fgnPIy4mViCc8f1ZLMTNLuRvgU1DBnUOfYysF0xxEfHhGgl27QUHX777ZOc01iBTxqWsxDbA3h/6 +yvs3cTNFUz4x41mZ3UG85+qOvZPvDh/Nb7XxNKQA/HyPRsvn23a+L9migDTxzwaLNGutmH8uqSz GNp2OGYi2TDh8O5VLtjCkZW7Y121GkXX0gIzDuet5C0fLBc8iUzKNV357G1+GCpZrwjEsIkVVsg9 2QQRcUEN63sjX8MFnuhoSxvYG5puHMDkFblIHlJNKkNp9RKVI2iCuIocRBv0uE9yPGDCm53PPhkj JRTi1m4KqRsumIQ/QusktK1jutFcH4s92bCHaP6eN91L6BG052u3M63hMVsCRrxD17NTNVuyxxo4 HdC/Ay+tcqt7/SluE8d29MUd4mta7cOsCYK2ng8ODWH0UrlHT3ufN9LrgGxICOisyDhj1C8= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch Ifk4Z8Oulg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx 6PdsYAvnCf3xQFi7I2w= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O zAXbiBIex84Cf/eo0y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3 VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE 08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ 7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9 QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG +aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block ZSNt4Iv9z7W52E4HVDUrJbeeR7Q0PW2t0Pfo6v3+LQGHCwgYhwzTe4JnjSXGYdxieaZqe91npCPD PCGvcZkjQRlHKK7g4ch4C4+GTed+K8R1ZHdKSHk+OhXeOBFkDQjUdWgTDZa68CBpHYZY76DH7KgU 8qYtHccaYNEh7/UIFgwfGQ0aFOH5eLdecljwOvUE+F6F39iAw8E3php/NptUT4Tb9vOhKy8qcOsK vCbBiKEwXQnRJopmciPBQcTAMJvbnoPtXVG/eueYIppoYUl/oP6THRqxyEhOkT0sgtzSc1ZQumUo Yzz4zSCOMinVrIPRXtuD7nJ6PKiKfaHlGrr5+slvt5sk7aU+1qSnqSZEXKjkUriMkmRi1r4Z+knX hCTnGND5A+kEmuYfDZMGqteG47dS1+vR2hxMv666pDernJCwf5HsLAwcRcMdBDZXCb8Kxg6teVFd qMdm7K3z9H2sjtp22HbRvwlauDQcYd1LLR1RwUMIALPentT0khk4D2PlqVjAtEUgoGeNEb5RhWS3 nP1OCkpxulsEDktZycTr1NMuDDiibFjzjFdR5r/cRWRMtMHOh+QuQZlN6a+pycpceVjbnQ0pKd85 mf/wLzlpVKP8VJ6itKiPg5c6oXzdyUm01uCeUbd64QcL7kodY7T2Q2qct44MynQTDHMBhdCMOreH EvtvmGAPNh3UdvPt+csvgfWv6GHoXJnTASazUNGXUu/E5QnvAdZze4hxdrdugJq5ZVc87bfYplNA W53S70bu+CItwc2Y2nnZ8uPBUpky4iuwqN8BPjM3wn3lcyRskVoYPb7mBGLsgphtPViRb0hFTzIF 38cEw7+cU1uHUdzsKe3fSPtsK13AGLKiUHg1PPEvnlDgBoFm7mYYBMW2imejgRNkDmvL9+jD895W m0FlQ9V+rJrx+bdysXPO8qOOcG9jfCuWa2wyZr/CjvLcn5h3b0b1K9zCj4aj6fLsf3VQcVcgYhLk /FTghPRp5qbFPJi3WDS/31ESiE4tdqZgVizpn5gYnpF5L/ZDlsvsj6v3Jbx3CSfP+3vk/r7uHakm 2cxZNo4H3eKAjce7EmGDa/yL8dv/1JOmiQr9Zj/5zvMq5tDpEcrKnS8iap09k8GtbkaqkKbarhA3 2DI/5ycas0lqD8+af0nmarNxbBzDZK03E2AYC13HFPqIwcrCTmGsGYC/EhO+JeeRlntOovkJD6tO Hp07A+qDZju0pFDg9Py2gYP+hMo4HQqbRsPzruDvra5SIMkba4J8fdEEpnf24ZHdCmewFSeBeHx0 x9rPxx4gQDRUKA5u+b8Av4dlaM8H3MJ55HfunJaeFf43wUf332Jq7M0CWhDDonRmQsYMuFesuF0O u2DYdNKq6FSYU4svIrdPqrlFQur5p2k8RrUrXw8R/1EMYo6BGhOmA0pLN3Gq8VywxAcIMZsTFZQp hGtYdtdEZwTCuKpMt4JHSO8r1LX8t7r3JUwGOWXbl8wM5OaynsfQ8gj7lGVpkeQRpo4WvLLL4Pkf 97fTAwt6K46juscyoqGkx3Is9bRfJ/kMTguHvpM8yue8Hi73e5F52L4pb4Q9KM7Ecu0BAip5XtI6 M92oP85L+WV+/AiFiInKLGIhvx53m6+IhumuCfrh1cZdI6yD6oJnPmisZpH+CH+H7qmZGz9VPYX2 9yyXXD6haz48ntLBzOGzsWS3IzADnNALuZKS+XZ5aoA3uy5SQlEgUDaCSWnV42qygdNQKxkqvPUA ud2cVbDs6C1kp0m37JVMOShiSx4YGoNZYjEkJXgCRasqFMJmD6/lkWJNqhkRKJBlYKO2jfyN2fLe UXxUfp6EmNU5iO8JXfbPQ/LMNTDQq5lg3BYUEsTmebKdKd2g6ZYLa1tdb1wcHtUPCaHhZVdnWXk0 cvkM3KUf/xLcTsbSNRbIL2dPOHKOD2nJ6i4bsiQc2kYFMNINc4mJ+4asFGGeh9eMtFQ3i5OW7jdk ps7tfOK4MJIjoHmorn/rPK/HmFOcEwJaaoL2WfD2zDw33zs6NXzewkLN/9LVmN4W/Z/NXzfKGMrw bFJimaTU32sHsYkyI+JnArLTDtxtmqpNp2HUNoDdTTgUrD7ysZ4bH7hDFbGw7kyBI/ccnxHJWNE/ gZ/pIwCfXURv8rVPT2p5RwMcxE3q6G6rCl5cKbvk6UpiLlPd8QcZz4Ksuz6EbuZhzlLmxbSCowu4 mGzFliTYuvjbz6GqKHImzWKymh0Z0iWvM7jUkvEGuUSaz1XESacXorGXAU1zmhfGCdHXYblQODvA X3mjQEpfLQCtOxWDjr4qG/8zwSSXPy709mBJphXa1tuF2Bz9EmGjYbqeJ2jOkXk0GVfamJ3P3zcu ErbfBi+wBYZLBfAMliprD4WWkQ0zVkZ19s11gNbqZytuoYxnmgGAdKSN2dAN2SGRqT6h5CynjeU7 qE1TRSyH53yHY7IuLytyWSsT+Y/o1PVGpYbneGUSb9iOUR3ZarX4Y256zSz8ysP+uppBW+u7md11 QOSI1QxuXa74VBGc6H4/IpwBLgA8CSZVlgDAqd5Qgb3yt0wcSM++i89xyJte377GJfeGoXZIKe3V 88Z2/RaMc31JkiNYiPCtJx+UspWBzJbPgW93foSeT9vDbMvuniV2mV0ZSSguHSfp+ztuuNhS2QCU P9ie3SJgwpb2xaXq2cX5I7yzygUGfZjBnMqUGnKkhzZmNCSx+lmcOpJnQeKN8lxIjAK/mEfALu6L 8zxlaoQAn1yYnFJV9BNaeh01c2T7ew8rEK0Du9JFQcOtx39IOTxpKpb1S74E00A9M3H9a9izhtTF sDxdYJi6j2TE6GY9DZci8yOLD//yCn3V4b44jRoTEERfSk+Ej+gBoDin4KJpxj/3qRFfaC9mVtY2 cNOze20+yLld4s63QG8Ki3XvGca/GuulGycw1ApBVMbb7R7Fo4sPbwYnVex0dU24k1d/GAP9Q6S8 OI9rBQC3O3tm9plj1Y8WS3qoPbKZGVB+k4Jh8vLllY78TwbM0vHFkK2I37ruT1yGslIBBUVo+N+A ZOhtYTpr+fvStfNWwNhIAHzyBH/RuUour7fdLS9TQ3C+jDfLk675H0FeSgRxXdEoWX9dxoU15IuJ DiOmq5BgMLPz6KH45G/yQZLYWLKlttFqjXw85JjeDCmynidQktJgvVdiaZp1LX6vnGA/nQ9VWWgm gn+y6VAwWhMh4w4Flvi8qUcggIvvRxKiISTM4bPN6Vrt7nwO8eHVLTI/5U6uEJpWzTnmmsq6HtOT RXb2Bfj12bB2aURtQaIIw6wFclwvpkEIGAJeK5Pi+pekmN3a2LTi9Y9AGi7Frf6q6qEEHFs09cid OWYWO7RcWIbWIM3aZgA1ziW/vodSjqx9w4e7E/tCgbolXu8I21lBVqpjyrrumcZqthlAOJknfmV1 iETVMf2Nw9XauKxMxw7TuHq+UmMvm4nuDrj8lfPR24/ZB4Mjyb2/cdtlqeozybBmSr2YhDyGiK7P qPpKM5NiJa5kLlwmWGCp9kgREhbl4JBvRCDlKgwjjvaY8OQOOkf+zEM+f74lZ+r3ILkQJJQWF7AB WJFgZ08lwcmjO/rOzM5QblElqrV8Ugg50F/uGUsasQwon8ZwBD3EUjy0867uzSWKgQ1xJo9KxoLN TCZwAQaR0IGtQ5ImvwwOJqedWDm6c3DlHVcijeYMMGDexLu8zwZzemeSA97GBjLOfQhh86u07vtg z8N5cE/j/HQrt7aXT2EWC5K4v4Ei1dvGTeVCxj03PAjm5KmZs7APh3giN740jIOsVxGiVvNWw9tV zpwa1TBt9nqnD0Nwwlj0X2fp7iLg3kAvuMoBczpEAfcVWe85SDf1NjcfQuD6Alf1Ewo5LhEFZFn5 LxAg6iJT3DTT/S8YdV2Shqxmkgwylmokx8j0FwDyEERwF9jPoH2dLM9hWwu7An7vENaJqjbVrxgE ua1iPVkf/d0cjvss2+nlNXiO7gb81i80YUMd//zRCdkbT8ddkjaePlQS4t6rMxiitR5DU9oKx7J3 kPOuyIKy1NPQYLXrwnZFQ2lI8Af3IgaXNADjwFb8s/UFJD9AVRw2Gdk9tpsbhZivZRomaDsV4L48 KKh2b3aPrTKNausgYSqLFztQLIP1YywQuEyQNkRjGw/P2JopmZ97hskPuJqtg91Wv7AlVj4xOLu3 Qy2uYBBfNmMsapdk9h+7dz5Rw88EC1x7bcm28rtVlOAc2ry/Qq1WR6cfsdnGjYRNj4m158mz6Jk/ Wp2EuQ7J5uVLvgZdSfOFomBzfFc4Oz/N0zcZmBO9uru6YAn5VRXyMgqEhxTDFpVGniTE9eOXDeCn RnKzvcf09msWOSeV2i3GOChaCdBlmujyrm5gbFGjzF6Skyuymggffl7YzB+i/lPcVcyuJgLD0WzX HcnFvOjBC0AKA5scI0XeHGM99CSqPpO/hvwk5FzYN9guSeKmqZdZg8dR+Se/BeQJPj6FlBbaKOvD wUxVQpp6bwgwKjk6u5rSTnE+zt1tMR4xiCxmX+2Yh0jN9MlQZOd53WFTipFa4meUDgR6Kx75sU9T PLlYti2aGdxuKrLXvUMOPE30kw6mNoI/ULeZatXwJ6giGrQFmSJ9Hkq8R5v+knddu1dnkiQCneNJ unMuibIn63RmftcUgZxoSOTMYb9g+sCCvjc/qLtnqlXDYl/8fcQv1pmDlQ+RDrvkaLwfj2JEuJVZ Qpwf75hA47x3QNxM3j+Czwl8wzg+ZQy+2G8iNTfWF9eqzVFSENGi3ZQ4TffBjZEtwqE/No9cMutY CiOyHBELMTrzpwAcV7H5XVi+/TBgZm/2qyi9Vc0/ba/Eiq4c4w2Tl9b8TfDvbJvLTQoXu8NqacdF opT7agzWYfH6cNjnkhbO4EeHRsKHsl0TVN/yq7ckxpfsZX6QAIOJ0pi+wCk0sRrRucPtakZJHKid oAWyqmc8spww5jJqWuOfDEpKamV5bGPX6Jp0Ff0JwzN+CBl3ORxMV4G4eiOouYmAln/iDsMUURAj AuXYKT+9ftGLkBxeER5Roop9s4w9Uqjnl0iaOabLSdsfk0uAyaZtfbu/50RqDYyXlKZzNaTKpBJm Ya7vaztCNgfHX/p7O5hUl0DrDmHoVN50fGmCZ2XyKRyEG/anTmE1+ueqqvOH+1iFFbMreGxDpmkU EnTm5swWaPyX8qgnhqA+vG7/xxqWqrVqt/k4f1M+ae5mZ5wHZow/VGhyDt3MQjqjGh9qSwRMujIN 1PGFOmkeKCO8B0glqLU1gSV+fdQkbWRLTLUe738AssyW+qEcObL8PBMde2CP2AWkZ/zLV8I+ivWW dc2FOIrZ+yC9fb27mbsy38LO81/73FUZ56c9uINd93BWl+nuAEAFoEvemAUGNNXISS8SRJL3dSRk uUOq1xcIpMBuFI+pn5svhj5j7tNM1I+hZ+grSHfFRdSoV2u0apN8FW2jbTHlFnfHIhEdH5ugXDW9 IvKuRlwMmFHH9gE26pxbgJckl9ouDusYgHM1sAE/9JhjRKCl8VuHvbCPdNUGVd3OXiYXiPQ5m3mS 0u7qbNUvE95UHwC7ZLWWEXFx8PUTgUwC7CmNL++SbNWTW8XIO3gFFz5X11IPHmDk881pwbXHW8o8 GPKH4hlVH4sEYX4WgcIpxmjw8M4Iy13KQ3UPHDHbYCPth1DqCCCEO5y6DFb5kdD4HFkfkWfby+5Q Na+eY8szudw2hO0ii0Te1UEIT6YfQ6vjWnkuYz5dJ97UJDvEaDoqZpdL46Y8LCQ2f4txdNkODqK9 GIH2GStWynYBvwNytQ77vSrrh+jlMmFwukNRLQqpb6g12DPysaPXZc3b7LAk2YTsb4oW+zthTGDs yvntpwvlw9Ed6JIsRMGthVPeChA677u7VlJ6VaJGFshJnAJgE+P4tvSm2ZmqbAIEB1pl0wolwJ1Z fgnPIy4mViCc8f1ZLMTNLuRvgU1DBnUOfYysF0xxEfHhGgl27QUHX777ZOc01iBTxqWsxDbA3h/6 +yvs3cTNFUz4x41mZ3UG85+qOvZPvDh/Nb7XxNKQA/HyPRsvn23a+L9migDTxzwaLNGutmH8uqSz GNp2OGYi2TDh8O5VLtjCkZW7Y121GkXX0gIzDuet5C0fLBc8iUzKNV357G1+GCpZrwjEsIkVVsg9 2QQRcUEN63sjX8MFnuhoSxvYG5puHMDkFblIHlJNKkNp9RKVI2iCuIocRBv0uE9yPGDCm53PPhkj JRTi1m4KqRsumIQ/QusktK1jutFcH4s92bCHaP6eN91L6BG052u3M63hMVsCRrxD17NTNVuyxxo4 HdC/Ay+tcqt7/SluE8d29MUd4mta7cOsCYK2ng8ODWH0UrlHT3ufN9LrgGxICOisyDhj1C8= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch Ifk4Z8Oulg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx 6PdsYAvnCf3xQFi7I2w= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O zAXbiBIex84Cf/eo0y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3 VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE 08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ 7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9 QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG +aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block ZSNt4Iv9z7W52E4HVDUrJbeeR7Q0PW2t0Pfo6v3+LQGHCwgYhwzTe4JnjSXGYdxieaZqe91npCPD PCGvcZkjQRlHKK7g4ch4C4+GTed+K8R1ZHdKSHk+OhXeOBFkDQjUdWgTDZa68CBpHYZY76DH7KgU 8qYtHccaYNEh7/UIFgwfGQ0aFOH5eLdecljwOvUE+F6F39iAw8E3php/NptUT4Tb9vOhKy8qcOsK vCbBiKEwXQnRJopmciPBQcTAMJvbnoPtXVG/eueYIppoYUl/oP6THRqxyEhOkT0sgtzSc1ZQumUo Yzz4zSCOMinVrIPRXtuD7nJ6PKiKfaHlGrr5+slvt5sk7aU+1qSnqSZEXKjkUriMkmRi1r4Z+knX hCTnGND5A+kEmuYfDZMGqteG47dS1+vR2hxMv666pDernJCwf5HsLAwcRcMdBDZXCb8Kxg6teVFd qMdm7K3z9H2sjtp22HbRvwlauDQcYd1LLR1RwUMIALPentT0khk4D2PlqVjAtEUgoGeNEb5RhWS3 nP1OCkpxulsEDktZycTr1NMuDDiibFjzjFdR5r/cRWRMtMHOh+QuQZlN6a+pycpceVjbnQ0pKd85 mf/wLzlpVKP8VJ6itKiPg5c6oXzdyUm01uCeUbd64QcL7kodY7T2Q2qct44MynQTDHMBhdCMOreH EvtvmGAPNh3UdvPt+csvgfWv6GHoXJnTASazUNGXUu/E5QnvAdZze4hxdrdugJq5ZVc87bfYplNA W53S70bu+CItwc2Y2nnZ8uPBUpky4iuwqN8BPjM3wn3lcyRskVoYPb7mBGLsgphtPViRb0hFTzIF 38cEw7+cU1uHUdzsKe3fSPtsK13AGLKiUHg1PPEvnlDgBoFm7mYYBMW2imejgRNkDmvL9+jD895W m0FlQ9V+rJrx+bdysXPO8qOOcG9jfCuWa2wyZr/CjvLcn5h3b0b1K9zCj4aj6fLsf3VQcVcgYhLk /FTghPRp5qbFPJi3WDS/31ESiE4tdqZgVizpn5gYnpF5L/ZDlsvsj6v3Jbx3CSfP+3vk/r7uHakm 2cxZNo4H3eKAjce7EmGDa/yL8dv/1JOmiQr9Zj/5zvMq5tDpEcrKnS8iap09k8GtbkaqkKbarhA3 2DI/5ycas0lqD8+af0nmarNxbBzDZK03E2AYC13HFPqIwcrCTmGsGYC/EhO+JeeRlntOovkJD6tO Hp07A+qDZju0pFDg9Py2gYP+hMo4HQqbRsPzruDvra5SIMkba4J8fdEEpnf24ZHdCmewFSeBeHx0 x9rPxx4gQDRUKA5u+b8Av4dlaM8H3MJ55HfunJaeFf43wUf332Jq7M0CWhDDonRmQsYMuFesuF0O u2DYdNKq6FSYU4svIrdPqrlFQur5p2k8RrUrXw8R/1EMYo6BGhOmA0pLN3Gq8VywxAcIMZsTFZQp hGtYdtdEZwTCuKpMt4JHSO8r1LX8t7r3JUwGOWXbl8wM5OaynsfQ8gj7lGVpkeQRpo4WvLLL4Pkf 97fTAwt6K46juscyoqGkx3Is9bRfJ/kMTguHvpM8yue8Hi73e5F52L4pb4Q9KM7Ecu0BAip5XtI6 M92oP85L+WV+/AiFiInKLGIhvx53m6+IhumuCfrh1cZdI6yD6oJnPmisZpH+CH+H7qmZGz9VPYX2 9yyXXD6haz48ntLBzOGzsWS3IzADnNALuZKS+XZ5aoA3uy5SQlEgUDaCSWnV42qygdNQKxkqvPUA ud2cVbDs6C1kp0m37JVMOShiSx4YGoNZYjEkJXgCRasqFMJmD6/lkWJNqhkRKJBlYKO2jfyN2fLe UXxUfp6EmNU5iO8JXfbPQ/LMNTDQq5lg3BYUEsTmebKdKd2g6ZYLa1tdb1wcHtUPCaHhZVdnWXk0 cvkM3KUf/xLcTsbSNRbIL2dPOHKOD2nJ6i4bsiQc2kYFMNINc4mJ+4asFGGeh9eMtFQ3i5OW7jdk ps7tfOK4MJIjoHmorn/rPK/HmFOcEwJaaoL2WfD2zDw33zs6NXzewkLN/9LVmN4W/Z/NXzfKGMrw bFJimaTU32sHsYkyI+JnArLTDtxtmqpNp2HUNoDdTTgUrD7ysZ4bH7hDFbGw7kyBI/ccnxHJWNE/ gZ/pIwCfXURv8rVPT2p5RwMcxE3q6G6rCl5cKbvk6UpiLlPd8QcZz4Ksuz6EbuZhzlLmxbSCowu4 mGzFliTYuvjbz6GqKHImzWKymh0Z0iWvM7jUkvEGuUSaz1XESacXorGXAU1zmhfGCdHXYblQODvA X3mjQEpfLQCtOxWDjr4qG/8zwSSXPy709mBJphXa1tuF2Bz9EmGjYbqeJ2jOkXk0GVfamJ3P3zcu ErbfBi+wBYZLBfAMliprD4WWkQ0zVkZ19s11gNbqZytuoYxnmgGAdKSN2dAN2SGRqT6h5CynjeU7 qE1TRSyH53yHY7IuLytyWSsT+Y/o1PVGpYbneGUSb9iOUR3ZarX4Y256zSz8ysP+uppBW+u7md11 QOSI1QxuXa74VBGc6H4/IpwBLgA8CSZVlgDAqd5Qgb3yt0wcSM++i89xyJte377GJfeGoXZIKe3V 88Z2/RaMc31JkiNYiPCtJx+UspWBzJbPgW93foSeT9vDbMvuniV2mV0ZSSguHSfp+ztuuNhS2QCU P9ie3SJgwpb2xaXq2cX5I7yzygUGfZjBnMqUGnKkhzZmNCSx+lmcOpJnQeKN8lxIjAK/mEfALu6L 8zxlaoQAn1yYnFJV9BNaeh01c2T7ew8rEK0Du9JFQcOtx39IOTxpKpb1S74E00A9M3H9a9izhtTF sDxdYJi6j2TE6GY9DZci8yOLD//yCn3V4b44jRoTEERfSk+Ej+gBoDin4KJpxj/3qRFfaC9mVtY2 cNOze20+yLld4s63QG8Ki3XvGca/GuulGycw1ApBVMbb7R7Fo4sPbwYnVex0dU24k1d/GAP9Q6S8 OI9rBQC3O3tm9plj1Y8WS3qoPbKZGVB+k4Jh8vLllY78TwbM0vHFkK2I37ruT1yGslIBBUVo+N+A ZOhtYTpr+fvStfNWwNhIAHzyBH/RuUour7fdLS9TQ3C+jDfLk675H0FeSgRxXdEoWX9dxoU15IuJ DiOmq5BgMLPz6KH45G/yQZLYWLKlttFqjXw85JjeDCmynidQktJgvVdiaZp1LX6vnGA/nQ9VWWgm gn+y6VAwWhMh4w4Flvi8qUcggIvvRxKiISTM4bPN6Vrt7nwO8eHVLTI/5U6uEJpWzTnmmsq6HtOT RXb2Bfj12bB2aURtQaIIw6wFclwvpkEIGAJeK5Pi+pekmN3a2LTi9Y9AGi7Frf6q6qEEHFs09cid OWYWO7RcWIbWIM3aZgA1ziW/vodSjqx9w4e7E/tCgbolXu8I21lBVqpjyrrumcZqthlAOJknfmV1 iETVMf2Nw9XauKxMxw7TuHq+UmMvm4nuDrj8lfPR24/ZB4Mjyb2/cdtlqeozybBmSr2YhDyGiK7P qPpKM5NiJa5kLlwmWGCp9kgREhbl4JBvRCDlKgwjjvaY8OQOOkf+zEM+f74lZ+r3ILkQJJQWF7AB WJFgZ08lwcmjO/rOzM5QblElqrV8Ugg50F/uGUsasQwon8ZwBD3EUjy0867uzSWKgQ1xJo9KxoLN TCZwAQaR0IGtQ5ImvwwOJqedWDm6c3DlHVcijeYMMGDexLu8zwZzemeSA97GBjLOfQhh86u07vtg z8N5cE/j/HQrt7aXT2EWC5K4v4Ei1dvGTeVCxj03PAjm5KmZs7APh3giN740jIOsVxGiVvNWw9tV zpwa1TBt9nqnD0Nwwlj0X2fp7iLg3kAvuMoBczpEAfcVWe85SDf1NjcfQuD6Alf1Ewo5LhEFZFn5 LxAg6iJT3DTT/S8YdV2Shqxmkgwylmokx8j0FwDyEERwF9jPoH2dLM9hWwu7An7vENaJqjbVrxgE ua1iPVkf/d0cjvss2+nlNXiO7gb81i80YUMd//zRCdkbT8ddkjaePlQS4t6rMxiitR5DU9oKx7J3 kPOuyIKy1NPQYLXrwnZFQ2lI8Af3IgaXNADjwFb8s/UFJD9AVRw2Gdk9tpsbhZivZRomaDsV4L48 KKh2b3aPrTKNausgYSqLFztQLIP1YywQuEyQNkRjGw/P2JopmZ97hskPuJqtg91Wv7AlVj4xOLu3 Qy2uYBBfNmMsapdk9h+7dz5Rw88EC1x7bcm28rtVlOAc2ry/Qq1WR6cfsdnGjYRNj4m158mz6Jk/ Wp2EuQ7J5uVLvgZdSfOFomBzfFc4Oz/N0zcZmBO9uru6YAn5VRXyMgqEhxTDFpVGniTE9eOXDeCn RnKzvcf09msWOSeV2i3GOChaCdBlmujyrm5gbFGjzF6Skyuymggffl7YzB+i/lPcVcyuJgLD0WzX HcnFvOjBC0AKA5scI0XeHGM99CSqPpO/hvwk5FzYN9guSeKmqZdZg8dR+Se/BeQJPj6FlBbaKOvD wUxVQpp6bwgwKjk6u5rSTnE+zt1tMR4xiCxmX+2Yh0jN9MlQZOd53WFTipFa4meUDgR6Kx75sU9T PLlYti2aGdxuKrLXvUMOPE30kw6mNoI/ULeZatXwJ6giGrQFmSJ9Hkq8R5v+knddu1dnkiQCneNJ unMuibIn63RmftcUgZxoSOTMYb9g+sCCvjc/qLtnqlXDYl/8fcQv1pmDlQ+RDrvkaLwfj2JEuJVZ Qpwf75hA47x3QNxM3j+Czwl8wzg+ZQy+2G8iNTfWF9eqzVFSENGi3ZQ4TffBjZEtwqE/No9cMutY CiOyHBELMTrzpwAcV7H5XVi+/TBgZm/2qyi9Vc0/ba/Eiq4c4w2Tl9b8TfDvbJvLTQoXu8NqacdF opT7agzWYfH6cNjnkhbO4EeHRsKHsl0TVN/yq7ckxpfsZX6QAIOJ0pi+wCk0sRrRucPtakZJHKid oAWyqmc8spww5jJqWuOfDEpKamV5bGPX6Jp0Ff0JwzN+CBl3ORxMV4G4eiOouYmAln/iDsMUURAj AuXYKT+9ftGLkBxeER5Roop9s4w9Uqjnl0iaOabLSdsfk0uAyaZtfbu/50RqDYyXlKZzNaTKpBJm Ya7vaztCNgfHX/p7O5hUl0DrDmHoVN50fGmCZ2XyKRyEG/anTmE1+ueqqvOH+1iFFbMreGxDpmkU EnTm5swWaPyX8qgnhqA+vG7/xxqWqrVqt/k4f1M+ae5mZ5wHZow/VGhyDt3MQjqjGh9qSwRMujIN 1PGFOmkeKCO8B0glqLU1gSV+fdQkbWRLTLUe738AssyW+qEcObL8PBMde2CP2AWkZ/zLV8I+ivWW dc2FOIrZ+yC9fb27mbsy38LO81/73FUZ56c9uINd93BWl+nuAEAFoEvemAUGNNXISS8SRJL3dSRk uUOq1xcIpMBuFI+pn5svhj5j7tNM1I+hZ+grSHfFRdSoV2u0apN8FW2jbTHlFnfHIhEdH5ugXDW9 IvKuRlwMmFHH9gE26pxbgJckl9ouDusYgHM1sAE/9JhjRKCl8VuHvbCPdNUGVd3OXiYXiPQ5m3mS 0u7qbNUvE95UHwC7ZLWWEXFx8PUTgUwC7CmNL++SbNWTW8XIO3gFFz5X11IPHmDk881pwbXHW8o8 GPKH4hlVH4sEYX4WgcIpxmjw8M4Iy13KQ3UPHDHbYCPth1DqCCCEO5y6DFb5kdD4HFkfkWfby+5Q Na+eY8szudw2hO0ii0Te1UEIT6YfQ6vjWnkuYz5dJ97UJDvEaDoqZpdL46Y8LCQ2f4txdNkODqK9 GIH2GStWynYBvwNytQ77vSrrh+jlMmFwukNRLQqpb6g12DPysaPXZc3b7LAk2YTsb4oW+zthTGDs yvntpwvlw9Ed6JIsRMGthVPeChA677u7VlJ6VaJGFshJnAJgE+P4tvSm2ZmqbAIEB1pl0wolwJ1Z fgnPIy4mViCc8f1ZLMTNLuRvgU1DBnUOfYysF0xxEfHhGgl27QUHX777ZOc01iBTxqWsxDbA3h/6 +yvs3cTNFUz4x41mZ3UG85+qOvZPvDh/Nb7XxNKQA/HyPRsvn23a+L9migDTxzwaLNGutmH8uqSz GNp2OGYi2TDh8O5VLtjCkZW7Y121GkXX0gIzDuet5C0fLBc8iUzKNV357G1+GCpZrwjEsIkVVsg9 2QQRcUEN63sjX8MFnuhoSxvYG5puHMDkFblIHlJNKkNp9RKVI2iCuIocRBv0uE9yPGDCm53PPhkj JRTi1m4KqRsumIQ/QusktK1jutFcH4s92bCHaP6eN91L6BG052u3M63hMVsCRrxD17NTNVuyxxo4 HdC/Ay+tcqt7/SluE8d29MUd4mta7cOsCYK2ng8ODWH0UrlHT3ufN9LrgGxICOisyDhj1C8= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch Ifk4Z8Oulg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx 6PdsYAvnCf3xQFi7I2w= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O zAXbiBIex84Cf/eo0y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3 VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE 08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ 7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9 QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG +aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block ZSNt4Iv9z7W52E4HVDUrJbeeR7Q0PW2t0Pfo6v3+LQGHCwgYhwzTe4JnjSXGYdxieaZqe91npCPD PCGvcZkjQRlHKK7g4ch4C4+GTed+K8R1ZHdKSHk+OhXeOBFkDQjUdWgTDZa68CBpHYZY76DH7KgU 8qYtHccaYNEh7/UIFgwfGQ0aFOH5eLdecljwOvUE+F6F39iAw8E3php/NptUT4Tb9vOhKy8qcOsK vCbBiKEwXQnRJopmciPBQcTAMJvbnoPtXVG/eueYIppoYUl/oP6THRqxyEhOkT0sgtzSc1ZQumUo Yzz4zSCOMinVrIPRXtuD7nJ6PKiKfaHlGrr5+slvt5sk7aU+1qSnqSZEXKjkUriMkmRi1r4Z+knX hCTnGND5A+kEmuYfDZMGqteG47dS1+vR2hxMv666pDernJCwf5HsLAwcRcMdBDZXCb8Kxg6teVFd qMdm7K3z9H2sjtp22HbRvwlauDQcYd1LLR1RwUMIALPentT0khk4D2PlqVjAtEUgoGeNEb5RhWS3 nP1OCkpxulsEDktZycTr1NMuDDiibFjzjFdR5r/cRWRMtMHOh+QuQZlN6a+pycpceVjbnQ0pKd85 mf/wLzlpVKP8VJ6itKiPg5c6oXzdyUm01uCeUbd64QcL7kodY7T2Q2qct44MynQTDHMBhdCMOreH EvtvmGAPNh3UdvPt+csvgfWv6GHoXJnTASazUNGXUu/E5QnvAdZze4hxdrdugJq5ZVc87bfYplNA W53S70bu+CItwc2Y2nnZ8uPBUpky4iuwqN8BPjM3wn3lcyRskVoYPb7mBGLsgphtPViRb0hFTzIF 38cEw7+cU1uHUdzsKe3fSPtsK13AGLKiUHg1PPEvnlDgBoFm7mYYBMW2imejgRNkDmvL9+jD895W m0FlQ9V+rJrx+bdysXPO8qOOcG9jfCuWa2wyZr/CjvLcn5h3b0b1K9zCj4aj6fLsf3VQcVcgYhLk /FTghPRp5qbFPJi3WDS/31ESiE4tdqZgVizpn5gYnpF5L/ZDlsvsj6v3Jbx3CSfP+3vk/r7uHakm 2cxZNo4H3eKAjce7EmGDa/yL8dv/1JOmiQr9Zj/5zvMq5tDpEcrKnS8iap09k8GtbkaqkKbarhA3 2DI/5ycas0lqD8+af0nmarNxbBzDZK03E2AYC13HFPqIwcrCTmGsGYC/EhO+JeeRlntOovkJD6tO Hp07A+qDZju0pFDg9Py2gYP+hMo4HQqbRsPzruDvra5SIMkba4J8fdEEpnf24ZHdCmewFSeBeHx0 x9rPxx4gQDRUKA5u+b8Av4dlaM8H3MJ55HfunJaeFf43wUf332Jq7M0CWhDDonRmQsYMuFesuF0O u2DYdNKq6FSYU4svIrdPqrlFQur5p2k8RrUrXw8R/1EMYo6BGhOmA0pLN3Gq8VywxAcIMZsTFZQp hGtYdtdEZwTCuKpMt4JHSO8r1LX8t7r3JUwGOWXbl8wM5OaynsfQ8gj7lGVpkeQRpo4WvLLL4Pkf 97fTAwt6K46juscyoqGkx3Is9bRfJ/kMTguHvpM8yue8Hi73e5F52L4pb4Q9KM7Ecu0BAip5XtI6 M92oP85L+WV+/AiFiInKLGIhvx53m6+IhumuCfrh1cZdI6yD6oJnPmisZpH+CH+H7qmZGz9VPYX2 9yyXXD6haz48ntLBzOGzsWS3IzADnNALuZKS+XZ5aoA3uy5SQlEgUDaCSWnV42qygdNQKxkqvPUA ud2cVbDs6C1kp0m37JVMOShiSx4YGoNZYjEkJXgCRasqFMJmD6/lkWJNqhkRKJBlYKO2jfyN2fLe UXxUfp6EmNU5iO8JXfbPQ/LMNTDQq5lg3BYUEsTmebKdKd2g6ZYLa1tdb1wcHtUPCaHhZVdnWXk0 cvkM3KUf/xLcTsbSNRbIL2dPOHKOD2nJ6i4bsiQc2kYFMNINc4mJ+4asFGGeh9eMtFQ3i5OW7jdk ps7tfOK4MJIjoHmorn/rPK/HmFOcEwJaaoL2WfD2zDw33zs6NXzewkLN/9LVmN4W/Z/NXzfKGMrw bFJimaTU32sHsYkyI+JnArLTDtxtmqpNp2HUNoDdTTgUrD7ysZ4bH7hDFbGw7kyBI/ccnxHJWNE/ gZ/pIwCfXURv8rVPT2p5RwMcxE3q6G6rCl5cKbvk6UpiLlPd8QcZz4Ksuz6EbuZhzlLmxbSCowu4 mGzFliTYuvjbz6GqKHImzWKymh0Z0iWvM7jUkvEGuUSaz1XESacXorGXAU1zmhfGCdHXYblQODvA X3mjQEpfLQCtOxWDjr4qG/8zwSSXPy709mBJphXa1tuF2Bz9EmGjYbqeJ2jOkXk0GVfamJ3P3zcu ErbfBi+wBYZLBfAMliprD4WWkQ0zVkZ19s11gNbqZytuoYxnmgGAdKSN2dAN2SGRqT6h5CynjeU7 qE1TRSyH53yHY7IuLytyWSsT+Y/o1PVGpYbneGUSb9iOUR3ZarX4Y256zSz8ysP+uppBW+u7md11 QOSI1QxuXa74VBGc6H4/IpwBLgA8CSZVlgDAqd5Qgb3yt0wcSM++i89xyJte377GJfeGoXZIKe3V 88Z2/RaMc31JkiNYiPCtJx+UspWBzJbPgW93foSeT9vDbMvuniV2mV0ZSSguHSfp+ztuuNhS2QCU P9ie3SJgwpb2xaXq2cX5I7yzygUGfZjBnMqUGnKkhzZmNCSx+lmcOpJnQeKN8lxIjAK/mEfALu6L 8zxlaoQAn1yYnFJV9BNaeh01c2T7ew8rEK0Du9JFQcOtx39IOTxpKpb1S74E00A9M3H9a9izhtTF sDxdYJi6j2TE6GY9DZci8yOLD//yCn3V4b44jRoTEERfSk+Ej+gBoDin4KJpxj/3qRFfaC9mVtY2 cNOze20+yLld4s63QG8Ki3XvGca/GuulGycw1ApBVMbb7R7Fo4sPbwYnVex0dU24k1d/GAP9Q6S8 OI9rBQC3O3tm9plj1Y8WS3qoPbKZGVB+k4Jh8vLllY78TwbM0vHFkK2I37ruT1yGslIBBUVo+N+A ZOhtYTpr+fvStfNWwNhIAHzyBH/RuUour7fdLS9TQ3C+jDfLk675H0FeSgRxXdEoWX9dxoU15IuJ DiOmq5BgMLPz6KH45G/yQZLYWLKlttFqjXw85JjeDCmynidQktJgvVdiaZp1LX6vnGA/nQ9VWWgm gn+y6VAwWhMh4w4Flvi8qUcggIvvRxKiISTM4bPN6Vrt7nwO8eHVLTI/5U6uEJpWzTnmmsq6HtOT RXb2Bfj12bB2aURtQaIIw6wFclwvpkEIGAJeK5Pi+pekmN3a2LTi9Y9AGi7Frf6q6qEEHFs09cid OWYWO7RcWIbWIM3aZgA1ziW/vodSjqx9w4e7E/tCgbolXu8I21lBVqpjyrrumcZqthlAOJknfmV1 iETVMf2Nw9XauKxMxw7TuHq+UmMvm4nuDrj8lfPR24/ZB4Mjyb2/cdtlqeozybBmSr2YhDyGiK7P qPpKM5NiJa5kLlwmWGCp9kgREhbl4JBvRCDlKgwjjvaY8OQOOkf+zEM+f74lZ+r3ILkQJJQWF7AB WJFgZ08lwcmjO/rOzM5QblElqrV8Ugg50F/uGUsasQwon8ZwBD3EUjy0867uzSWKgQ1xJo9KxoLN TCZwAQaR0IGtQ5ImvwwOJqedWDm6c3DlHVcijeYMMGDexLu8zwZzemeSA97GBjLOfQhh86u07vtg z8N5cE/j/HQrt7aXT2EWC5K4v4Ei1dvGTeVCxj03PAjm5KmZs7APh3giN740jIOsVxGiVvNWw9tV zpwa1TBt9nqnD0Nwwlj0X2fp7iLg3kAvuMoBczpEAfcVWe85SDf1NjcfQuD6Alf1Ewo5LhEFZFn5 LxAg6iJT3DTT/S8YdV2Shqxmkgwylmokx8j0FwDyEERwF9jPoH2dLM9hWwu7An7vENaJqjbVrxgE ua1iPVkf/d0cjvss2+nlNXiO7gb81i80YUMd//zRCdkbT8ddkjaePlQS4t6rMxiitR5DU9oKx7J3 kPOuyIKy1NPQYLXrwnZFQ2lI8Af3IgaXNADjwFb8s/UFJD9AVRw2Gdk9tpsbhZivZRomaDsV4L48 KKh2b3aPrTKNausgYSqLFztQLIP1YywQuEyQNkRjGw/P2JopmZ97hskPuJqtg91Wv7AlVj4xOLu3 Qy2uYBBfNmMsapdk9h+7dz5Rw88EC1x7bcm28rtVlOAc2ry/Qq1WR6cfsdnGjYRNj4m158mz6Jk/ Wp2EuQ7J5uVLvgZdSfOFomBzfFc4Oz/N0zcZmBO9uru6YAn5VRXyMgqEhxTDFpVGniTE9eOXDeCn RnKzvcf09msWOSeV2i3GOChaCdBlmujyrm5gbFGjzF6Skyuymggffl7YzB+i/lPcVcyuJgLD0WzX HcnFvOjBC0AKA5scI0XeHGM99CSqPpO/hvwk5FzYN9guSeKmqZdZg8dR+Se/BeQJPj6FlBbaKOvD wUxVQpp6bwgwKjk6u5rSTnE+zt1tMR4xiCxmX+2Yh0jN9MlQZOd53WFTipFa4meUDgR6Kx75sU9T PLlYti2aGdxuKrLXvUMOPE30kw6mNoI/ULeZatXwJ6giGrQFmSJ9Hkq8R5v+knddu1dnkiQCneNJ unMuibIn63RmftcUgZxoSOTMYb9g+sCCvjc/qLtnqlXDYl/8fcQv1pmDlQ+RDrvkaLwfj2JEuJVZ Qpwf75hA47x3QNxM3j+Czwl8wzg+ZQy+2G8iNTfWF9eqzVFSENGi3ZQ4TffBjZEtwqE/No9cMutY CiOyHBELMTrzpwAcV7H5XVi+/TBgZm/2qyi9Vc0/ba/Eiq4c4w2Tl9b8TfDvbJvLTQoXu8NqacdF opT7agzWYfH6cNjnkhbO4EeHRsKHsl0TVN/yq7ckxpfsZX6QAIOJ0pi+wCk0sRrRucPtakZJHKid oAWyqmc8spww5jJqWuOfDEpKamV5bGPX6Jp0Ff0JwzN+CBl3ORxMV4G4eiOouYmAln/iDsMUURAj AuXYKT+9ftGLkBxeER5Roop9s4w9Uqjnl0iaOabLSdsfk0uAyaZtfbu/50RqDYyXlKZzNaTKpBJm Ya7vaztCNgfHX/p7O5hUl0DrDmHoVN50fGmCZ2XyKRyEG/anTmE1+ueqqvOH+1iFFbMreGxDpmkU EnTm5swWaPyX8qgnhqA+vG7/xxqWqrVqt/k4f1M+ae5mZ5wHZow/VGhyDt3MQjqjGh9qSwRMujIN 1PGFOmkeKCO8B0glqLU1gSV+fdQkbWRLTLUe738AssyW+qEcObL8PBMde2CP2AWkZ/zLV8I+ivWW dc2FOIrZ+yC9fb27mbsy38LO81/73FUZ56c9uINd93BWl+nuAEAFoEvemAUGNNXISS8SRJL3dSRk uUOq1xcIpMBuFI+pn5svhj5j7tNM1I+hZ+grSHfFRdSoV2u0apN8FW2jbTHlFnfHIhEdH5ugXDW9 IvKuRlwMmFHH9gE26pxbgJckl9ouDusYgHM1sAE/9JhjRKCl8VuHvbCPdNUGVd3OXiYXiPQ5m3mS 0u7qbNUvE95UHwC7ZLWWEXFx8PUTgUwC7CmNL++SbNWTW8XIO3gFFz5X11IPHmDk881pwbXHW8o8 GPKH4hlVH4sEYX4WgcIpxmjw8M4Iy13KQ3UPHDHbYCPth1DqCCCEO5y6DFb5kdD4HFkfkWfby+5Q Na+eY8szudw2hO0ii0Te1UEIT6YfQ6vjWnkuYz5dJ97UJDvEaDoqZpdL46Y8LCQ2f4txdNkODqK9 GIH2GStWynYBvwNytQ77vSrrh+jlMmFwukNRLQqpb6g12DPysaPXZc3b7LAk2YTsb4oW+zthTGDs yvntpwvlw9Ed6JIsRMGthVPeChA677u7VlJ6VaJGFshJnAJgE+P4tvSm2ZmqbAIEB1pl0wolwJ1Z fgnPIy4mViCc8f1ZLMTNLuRvgU1DBnUOfYysF0xxEfHhGgl27QUHX777ZOc01iBTxqWsxDbA3h/6 +yvs3cTNFUz4x41mZ3UG85+qOvZPvDh/Nb7XxNKQA/HyPRsvn23a+L9migDTxzwaLNGutmH8uqSz GNp2OGYi2TDh8O5VLtjCkZW7Y121GkXX0gIzDuet5C0fLBc8iUzKNV357G1+GCpZrwjEsIkVVsg9 2QQRcUEN63sjX8MFnuhoSxvYG5puHMDkFblIHlJNKkNp9RKVI2iCuIocRBv0uE9yPGDCm53PPhkj JRTi1m4KqRsumIQ/QusktK1jutFcH4s92bCHaP6eN91L6BG052u3M63hMVsCRrxD17NTNVuyxxo4 HdC/Ay+tcqt7/SluE8d29MUd4mta7cOsCYK2ng8ODWH0UrlHT3ufN9LrgGxICOisyDhj1C8= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch Ifk4Z8Oulg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx 6PdsYAvnCf3xQFi7I2w= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O zAXbiBIex84Cf/eo0y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3 VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE 08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ 7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9 QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG +aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block ZSNt4Iv9z7W52E4HVDUrJbeeR7Q0PW2t0Pfo6v3+LQGHCwgYhwzTe4JnjSXGYdxieaZqe91npCPD PCGvcZkjQRlHKK7g4ch4C4+GTed+K8R1ZHdKSHk+OhXeOBFkDQjUdWgTDZa68CBpHYZY76DH7KgU 8qYtHccaYNEh7/UIFgwfGQ0aFOH5eLdecljwOvUE+F6F39iAw8E3php/NptUT4Tb9vOhKy8qcOsK vCbBiKEwXQnRJopmciPBQcTAMJvbnoPtXVG/eueYIppoYUl/oP6THRqxyEhOkT0sgtzSc1ZQumUo Yzz4zSCOMinVrIPRXtuD7nJ6PKiKfaHlGrr5+slvt5sk7aU+1qSnqSZEXKjkUriMkmRi1r4Z+knX hCTnGND5A+kEmuYfDZMGqteG47dS1+vR2hxMv666pDernJCwf5HsLAwcRcMdBDZXCb8Kxg6teVFd qMdm7K3z9H2sjtp22HbRvwlauDQcYd1LLR1RwUMIALPentT0khk4D2PlqVjAtEUgoGeNEb5RhWS3 nP1OCkpxulsEDktZycTr1NMuDDiibFjzjFdR5r/cRWRMtMHOh+QuQZlN6a+pycpceVjbnQ0pKd85 mf/wLzlpVKP8VJ6itKiPg5c6oXzdyUm01uCeUbd64QcL7kodY7T2Q2qct44MynQTDHMBhdCMOreH EvtvmGAPNh3UdvPt+csvgfWv6GHoXJnTASazUNGXUu/E5QnvAdZze4hxdrdugJq5ZVc87bfYplNA W53S70bu+CItwc2Y2nnZ8uPBUpky4iuwqN8BPjM3wn3lcyRskVoYPb7mBGLsgphtPViRb0hFTzIF 38cEw7+cU1uHUdzsKe3fSPtsK13AGLKiUHg1PPEvnlDgBoFm7mYYBMW2imejgRNkDmvL9+jD895W m0FlQ9V+rJrx+bdysXPO8qOOcG9jfCuWa2wyZr/CjvLcn5h3b0b1K9zCj4aj6fLsf3VQcVcgYhLk /FTghPRp5qbFPJi3WDS/31ESiE4tdqZgVizpn5gYnpF5L/ZDlsvsj6v3Jbx3CSfP+3vk/r7uHakm 2cxZNo4H3eKAjce7EmGDa/yL8dv/1JOmiQr9Zj/5zvMq5tDpEcrKnS8iap09k8GtbkaqkKbarhA3 2DI/5ycas0lqD8+af0nmarNxbBzDZK03E2AYC13HFPqIwcrCTmGsGYC/EhO+JeeRlntOovkJD6tO Hp07A+qDZju0pFDg9Py2gYP+hMo4HQqbRsPzruDvra5SIMkba4J8fdEEpnf24ZHdCmewFSeBeHx0 x9rPxx4gQDRUKA5u+b8Av4dlaM8H3MJ55HfunJaeFf43wUf332Jq7M0CWhDDonRmQsYMuFesuF0O u2DYdNKq6FSYU4svIrdPqrlFQur5p2k8RrUrXw8R/1EMYo6BGhOmA0pLN3Gq8VywxAcIMZsTFZQp hGtYdtdEZwTCuKpMt4JHSO8r1LX8t7r3JUwGOWXbl8wM5OaynsfQ8gj7lGVpkeQRpo4WvLLL4Pkf 97fTAwt6K46juscyoqGkx3Is9bRfJ/kMTguHvpM8yue8Hi73e5F52L4pb4Q9KM7Ecu0BAip5XtI6 M92oP85L+WV+/AiFiInKLGIhvx53m6+IhumuCfrh1cZdI6yD6oJnPmisZpH+CH+H7qmZGz9VPYX2 9yyXXD6haz48ntLBzOGzsWS3IzADnNALuZKS+XZ5aoA3uy5SQlEgUDaCSWnV42qygdNQKxkqvPUA ud2cVbDs6C1kp0m37JVMOShiSx4YGoNZYjEkJXgCRasqFMJmD6/lkWJNqhkRKJBlYKO2jfyN2fLe UXxUfp6EmNU5iO8JXfbPQ/LMNTDQq5lg3BYUEsTmebKdKd2g6ZYLa1tdb1wcHtUPCaHhZVdnWXk0 cvkM3KUf/xLcTsbSNRbIL2dPOHKOD2nJ6i4bsiQc2kYFMNINc4mJ+4asFGGeh9eMtFQ3i5OW7jdk ps7tfOK4MJIjoHmorn/rPK/HmFOcEwJaaoL2WfD2zDw33zs6NXzewkLN/9LVmN4W/Z/NXzfKGMrw bFJimaTU32sHsYkyI+JnArLTDtxtmqpNp2HUNoDdTTgUrD7ysZ4bH7hDFbGw7kyBI/ccnxHJWNE/ gZ/pIwCfXURv8rVPT2p5RwMcxE3q6G6rCl5cKbvk6UpiLlPd8QcZz4Ksuz6EbuZhzlLmxbSCowu4 mGzFliTYuvjbz6GqKHImzWKymh0Z0iWvM7jUkvEGuUSaz1XESacXorGXAU1zmhfGCdHXYblQODvA X3mjQEpfLQCtOxWDjr4qG/8zwSSXPy709mBJphXa1tuF2Bz9EmGjYbqeJ2jOkXk0GVfamJ3P3zcu ErbfBi+wBYZLBfAMliprD4WWkQ0zVkZ19s11gNbqZytuoYxnmgGAdKSN2dAN2SGRqT6h5CynjeU7 qE1TRSyH53yHY7IuLytyWSsT+Y/o1PVGpYbneGUSb9iOUR3ZarX4Y256zSz8ysP+uppBW+u7md11 QOSI1QxuXa74VBGc6H4/IpwBLgA8CSZVlgDAqd5Qgb3yt0wcSM++i89xyJte377GJfeGoXZIKe3V 88Z2/RaMc31JkiNYiPCtJx+UspWBzJbPgW93foSeT9vDbMvuniV2mV0ZSSguHSfp+ztuuNhS2QCU P9ie3SJgwpb2xaXq2cX5I7yzygUGfZjBnMqUGnKkhzZmNCSx+lmcOpJnQeKN8lxIjAK/mEfALu6L 8zxlaoQAn1yYnFJV9BNaeh01c2T7ew8rEK0Du9JFQcOtx39IOTxpKpb1S74E00A9M3H9a9izhtTF sDxdYJi6j2TE6GY9DZci8yOLD//yCn3V4b44jRoTEERfSk+Ej+gBoDin4KJpxj/3qRFfaC9mVtY2 cNOze20+yLld4s63QG8Ki3XvGca/GuulGycw1ApBVMbb7R7Fo4sPbwYnVex0dU24k1d/GAP9Q6S8 OI9rBQC3O3tm9plj1Y8WS3qoPbKZGVB+k4Jh8vLllY78TwbM0vHFkK2I37ruT1yGslIBBUVo+N+A ZOhtYTpr+fvStfNWwNhIAHzyBH/RuUour7fdLS9TQ3C+jDfLk675H0FeSgRxXdEoWX9dxoU15IuJ DiOmq5BgMLPz6KH45G/yQZLYWLKlttFqjXw85JjeDCmynidQktJgvVdiaZp1LX6vnGA/nQ9VWWgm gn+y6VAwWhMh4w4Flvi8qUcggIvvRxKiISTM4bPN6Vrt7nwO8eHVLTI/5U6uEJpWzTnmmsq6HtOT RXb2Bfj12bB2aURtQaIIw6wFclwvpkEIGAJeK5Pi+pekmN3a2LTi9Y9AGi7Frf6q6qEEHFs09cid OWYWO7RcWIbWIM3aZgA1ziW/vodSjqx9w4e7E/tCgbolXu8I21lBVqpjyrrumcZqthlAOJknfmV1 iETVMf2Nw9XauKxMxw7TuHq+UmMvm4nuDrj8lfPR24/ZB4Mjyb2/cdtlqeozybBmSr2YhDyGiK7P qPpKM5NiJa5kLlwmWGCp9kgREhbl4JBvRCDlKgwjjvaY8OQOOkf+zEM+f74lZ+r3ILkQJJQWF7AB WJFgZ08lwcmjO/rOzM5QblElqrV8Ugg50F/uGUsasQwon8ZwBD3EUjy0867uzSWKgQ1xJo9KxoLN TCZwAQaR0IGtQ5ImvwwOJqedWDm6c3DlHVcijeYMMGDexLu8zwZzemeSA97GBjLOfQhh86u07vtg z8N5cE/j/HQrt7aXT2EWC5K4v4Ei1dvGTeVCxj03PAjm5KmZs7APh3giN740jIOsVxGiVvNWw9tV zpwa1TBt9nqnD0Nwwlj0X2fp7iLg3kAvuMoBczpEAfcVWe85SDf1NjcfQuD6Alf1Ewo5LhEFZFn5 LxAg6iJT3DTT/S8YdV2Shqxmkgwylmokx8j0FwDyEERwF9jPoH2dLM9hWwu7An7vENaJqjbVrxgE ua1iPVkf/d0cjvss2+nlNXiO7gb81i80YUMd//zRCdkbT8ddkjaePlQS4t6rMxiitR5DU9oKx7J3 kPOuyIKy1NPQYLXrwnZFQ2lI8Af3IgaXNADjwFb8s/UFJD9AVRw2Gdk9tpsbhZivZRomaDsV4L48 KKh2b3aPrTKNausgYSqLFztQLIP1YywQuEyQNkRjGw/P2JopmZ97hskPuJqtg91Wv7AlVj4xOLu3 Qy2uYBBfNmMsapdk9h+7dz5Rw88EC1x7bcm28rtVlOAc2ry/Qq1WR6cfsdnGjYRNj4m158mz6Jk/ Wp2EuQ7J5uVLvgZdSfOFomBzfFc4Oz/N0zcZmBO9uru6YAn5VRXyMgqEhxTDFpVGniTE9eOXDeCn RnKzvcf09msWOSeV2i3GOChaCdBlmujyrm5gbFGjzF6Skyuymggffl7YzB+i/lPcVcyuJgLD0WzX HcnFvOjBC0AKA5scI0XeHGM99CSqPpO/hvwk5FzYN9guSeKmqZdZg8dR+Se/BeQJPj6FlBbaKOvD wUxVQpp6bwgwKjk6u5rSTnE+zt1tMR4xiCxmX+2Yh0jN9MlQZOd53WFTipFa4meUDgR6Kx75sU9T PLlYti2aGdxuKrLXvUMOPE30kw6mNoI/ULeZatXwJ6giGrQFmSJ9Hkq8R5v+knddu1dnkiQCneNJ unMuibIn63RmftcUgZxoSOTMYb9g+sCCvjc/qLtnqlXDYl/8fcQv1pmDlQ+RDrvkaLwfj2JEuJVZ Qpwf75hA47x3QNxM3j+Czwl8wzg+ZQy+2G8iNTfWF9eqzVFSENGi3ZQ4TffBjZEtwqE/No9cMutY CiOyHBELMTrzpwAcV7H5XVi+/TBgZm/2qyi9Vc0/ba/Eiq4c4w2Tl9b8TfDvbJvLTQoXu8NqacdF opT7agzWYfH6cNjnkhbO4EeHRsKHsl0TVN/yq7ckxpfsZX6QAIOJ0pi+wCk0sRrRucPtakZJHKid oAWyqmc8spww5jJqWuOfDEpKamV5bGPX6Jp0Ff0JwzN+CBl3ORxMV4G4eiOouYmAln/iDsMUURAj AuXYKT+9ftGLkBxeER5Roop9s4w9Uqjnl0iaOabLSdsfk0uAyaZtfbu/50RqDYyXlKZzNaTKpBJm Ya7vaztCNgfHX/p7O5hUl0DrDmHoVN50fGmCZ2XyKRyEG/anTmE1+ueqqvOH+1iFFbMreGxDpmkU EnTm5swWaPyX8qgnhqA+vG7/xxqWqrVqt/k4f1M+ae5mZ5wHZow/VGhyDt3MQjqjGh9qSwRMujIN 1PGFOmkeKCO8B0glqLU1gSV+fdQkbWRLTLUe738AssyW+qEcObL8PBMde2CP2AWkZ/zLV8I+ivWW dc2FOIrZ+yC9fb27mbsy38LO81/73FUZ56c9uINd93BWl+nuAEAFoEvemAUGNNXISS8SRJL3dSRk uUOq1xcIpMBuFI+pn5svhj5j7tNM1I+hZ+grSHfFRdSoV2u0apN8FW2jbTHlFnfHIhEdH5ugXDW9 IvKuRlwMmFHH9gE26pxbgJckl9ouDusYgHM1sAE/9JhjRKCl8VuHvbCPdNUGVd3OXiYXiPQ5m3mS 0u7qbNUvE95UHwC7ZLWWEXFx8PUTgUwC7CmNL++SbNWTW8XIO3gFFz5X11IPHmDk881pwbXHW8o8 GPKH4hlVH4sEYX4WgcIpxmjw8M4Iy13KQ3UPHDHbYCPth1DqCCCEO5y6DFb5kdD4HFkfkWfby+5Q Na+eY8szudw2hO0ii0Te1UEIT6YfQ6vjWnkuYz5dJ97UJDvEaDoqZpdL46Y8LCQ2f4txdNkODqK9 GIH2GStWynYBvwNytQ77vSrrh+jlMmFwukNRLQqpb6g12DPysaPXZc3b7LAk2YTsb4oW+zthTGDs yvntpwvlw9Ed6JIsRMGthVPeChA677u7VlJ6VaJGFshJnAJgE+P4tvSm2ZmqbAIEB1pl0wolwJ1Z fgnPIy4mViCc8f1ZLMTNLuRvgU1DBnUOfYysF0xxEfHhGgl27QUHX777ZOc01iBTxqWsxDbA3h/6 +yvs3cTNFUz4x41mZ3UG85+qOvZPvDh/Nb7XxNKQA/HyPRsvn23a+L9migDTxzwaLNGutmH8uqSz GNp2OGYi2TDh8O5VLtjCkZW7Y121GkXX0gIzDuet5C0fLBc8iUzKNV357G1+GCpZrwjEsIkVVsg9 2QQRcUEN63sjX8MFnuhoSxvYG5puHMDkFblIHlJNKkNp9RKVI2iCuIocRBv0uE9yPGDCm53PPhkj JRTi1m4KqRsumIQ/QusktK1jutFcH4s92bCHaP6eN91L6BG052u3M63hMVsCRrxD17NTNVuyxxo4 HdC/Ay+tcqt7/SluE8d29MUd4mta7cOsCYK2ng8ODWH0UrlHT3ufN9LrgGxICOisyDhj1C8= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch Ifk4Z8Oulg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx 6PdsYAvnCf3xQFi7I2w= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O zAXbiBIex84Cf/eo0y4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3 VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE 08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ 7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9 QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG +aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block ZSNt4Iv9z7W52E4HVDUrJbeeR7Q0PW2t0Pfo6v3+LQGHCwgYhwzTe4JnjSXGYdxieaZqe91npCPD PCGvcZkjQRlHKK7g4ch4C4+GTed+K8R1ZHdKSHk+OhXeOBFkDQjUdWgTDZa68CBpHYZY76DH7KgU 8qYtHccaYNEh7/UIFgwfGQ0aFOH5eLdecljwOvUE+F6F39iAw8E3php/NptUT4Tb9vOhKy8qcOsK vCbBiKEwXQnRJopmciPBQcTAMJvbnoPtXVG/eueYIppoYUl/oP6THRqxyEhOkT0sgtzSc1ZQumUo Yzz4zSCOMinVrIPRXtuD7nJ6PKiKfaHlGrr5+slvt5sk7aU+1qSnqSZEXKjkUriMkmRi1r4Z+knX hCTnGND5A+kEmuYfDZMGqteG47dS1+vR2hxMv666pDernJCwf5HsLAwcRcMdBDZXCb8Kxg6teVFd qMdm7K3z9H2sjtp22HbRvwlauDQcYd1LLR1RwUMIALPentT0khk4D2PlqVjAtEUgoGeNEb5RhWS3 nP1OCkpxulsEDktZycTr1NMuDDiibFjzjFdR5r/cRWRMtMHOh+QuQZlN6a+pycpceVjbnQ0pKd85 mf/wLzlpVKP8VJ6itKiPg5c6oXzdyUm01uCeUbd64QcL7kodY7T2Q2qct44MynQTDHMBhdCMOreH EvtvmGAPNh3UdvPt+csvgfWv6GHoXJnTASazUNGXUu/E5QnvAdZze4hxdrdugJq5ZVc87bfYplNA W53S70bu+CItwc2Y2nnZ8uPBUpky4iuwqN8BPjM3wn3lcyRskVoYPb7mBGLsgphtPViRb0hFTzIF 38cEw7+cU1uHUdzsKe3fSPtsK13AGLKiUHg1PPEvnlDgBoFm7mYYBMW2imejgRNkDmvL9+jD895W m0FlQ9V+rJrx+bdysXPO8qOOcG9jfCuWa2wyZr/CjvLcn5h3b0b1K9zCj4aj6fLsf3VQcVcgYhLk /FTghPRp5qbFPJi3WDS/31ESiE4tdqZgVizpn5gYnpF5L/ZDlsvsj6v3Jbx3CSfP+3vk/r7uHakm 2cxZNo4H3eKAjce7EmGDa/yL8dv/1JOmiQr9Zj/5zvMq5tDpEcrKnS8iap09k8GtbkaqkKbarhA3 2DI/5ycas0lqD8+af0nmarNxbBzDZK03E2AYC13HFPqIwcrCTmGsGYC/EhO+JeeRlntOovkJD6tO Hp07A+qDZju0pFDg9Py2gYP+hMo4HQqbRsPzruDvra5SIMkba4J8fdEEpnf24ZHdCmewFSeBeHx0 x9rPxx4gQDRUKA5u+b8Av4dlaM8H3MJ55HfunJaeFf43wUf332Jq7M0CWhDDonRmQsYMuFesuF0O u2DYdNKq6FSYU4svIrdPqrlFQur5p2k8RrUrXw8R/1EMYo6BGhOmA0pLN3Gq8VywxAcIMZsTFZQp hGtYdtdEZwTCuKpMt4JHSO8r1LX8t7r3JUwGOWXbl8wM5OaynsfQ8gj7lGVpkeQRpo4WvLLL4Pkf 97fTAwt6K46juscyoqGkx3Is9bRfJ/kMTguHvpM8yue8Hi73e5F52L4pb4Q9KM7Ecu0BAip5XtI6 M92oP85L+WV+/AiFiInKLGIhvx53m6+IhumuCfrh1cZdI6yD6oJnPmisZpH+CH+H7qmZGz9VPYX2 9yyXXD6haz48ntLBzOGzsWS3IzADnNALuZKS+XZ5aoA3uy5SQlEgUDaCSWnV42qygdNQKxkqvPUA ud2cVbDs6C1kp0m37JVMOShiSx4YGoNZYjEkJXgCRasqFMJmD6/lkWJNqhkRKJBlYKO2jfyN2fLe UXxUfp6EmNU5iO8JXfbPQ/LMNTDQq5lg3BYUEsTmebKdKd2g6ZYLa1tdb1wcHtUPCaHhZVdnWXk0 cvkM3KUf/xLcTsbSNRbIL2dPOHKOD2nJ6i4bsiQc2kYFMNINc4mJ+4asFGGeh9eMtFQ3i5OW7jdk ps7tfOK4MJIjoHmorn/rPK/HmFOcEwJaaoL2WfD2zDw33zs6NXzewkLN/9LVmN4W/Z/NXzfKGMrw bFJimaTU32sHsYkyI+JnArLTDtxtmqpNp2HUNoDdTTgUrD7ysZ4bH7hDFbGw7kyBI/ccnxHJWNE/ gZ/pIwCfXURv8rVPT2p5RwMcxE3q6G6rCl5cKbvk6UpiLlPd8QcZz4Ksuz6EbuZhzlLmxbSCowu4 mGzFliTYuvjbz6GqKHImzWKymh0Z0iWvM7jUkvEGuUSaz1XESacXorGXAU1zmhfGCdHXYblQODvA X3mjQEpfLQCtOxWDjr4qG/8zwSSXPy709mBJphXa1tuF2Bz9EmGjYbqeJ2jOkXk0GVfamJ3P3zcu ErbfBi+wBYZLBfAMliprD4WWkQ0zVkZ19s11gNbqZytuoYxnmgGAdKSN2dAN2SGRqT6h5CynjeU7 qE1TRSyH53yHY7IuLytyWSsT+Y/o1PVGpYbneGUSb9iOUR3ZarX4Y256zSz8ysP+uppBW+u7md11 QOSI1QxuXa74VBGc6H4/IpwBLgA8CSZVlgDAqd5Qgb3yt0wcSM++i89xyJte377GJfeGoXZIKe3V 88Z2/RaMc31JkiNYiPCtJx+UspWBzJbPgW93foSeT9vDbMvuniV2mV0ZSSguHSfp+ztuuNhS2QCU P9ie3SJgwpb2xaXq2cX5I7yzygUGfZjBnMqUGnKkhzZmNCSx+lmcOpJnQeKN8lxIjAK/mEfALu6L 8zxlaoQAn1yYnFJV9BNaeh01c2T7ew8rEK0Du9JFQcOtx39IOTxpKpb1S74E00A9M3H9a9izhtTF sDxdYJi6j2TE6GY9DZci8yOLD//yCn3V4b44jRoTEERfSk+Ej+gBoDin4KJpxj/3qRFfaC9mVtY2 cNOze20+yLld4s63QG8Ki3XvGca/GuulGycw1ApBVMbb7R7Fo4sPbwYnVex0dU24k1d/GAP9Q6S8 OI9rBQC3O3tm9plj1Y8WS3qoPbKZGVB+k4Jh8vLllY78TwbM0vHFkK2I37ruT1yGslIBBUVo+N+A ZOhtYTpr+fvStfNWwNhIAHzyBH/RuUour7fdLS9TQ3C+jDfLk675H0FeSgRxXdEoWX9dxoU15IuJ DiOmq5BgMLPz6KH45G/yQZLYWLKlttFqjXw85JjeDCmynidQktJgvVdiaZp1LX6vnGA/nQ9VWWgm gn+y6VAwWhMh4w4Flvi8qUcggIvvRxKiISTM4bPN6Vrt7nwO8eHVLTI/5U6uEJpWzTnmmsq6HtOT RXb2Bfj12bB2aURtQaIIw6wFclwvpkEIGAJeK5Pi+pekmN3a2LTi9Y9AGi7Frf6q6qEEHFs09cid OWYWO7RcWIbWIM3aZgA1ziW/vodSjqx9w4e7E/tCgbolXu8I21lBVqpjyrrumcZqthlAOJknfmV1 iETVMf2Nw9XauKxMxw7TuHq+UmMvm4nuDrj8lfPR24/ZB4Mjyb2/cdtlqeozybBmSr2YhDyGiK7P qPpKM5NiJa5kLlwmWGCp9kgREhbl4JBvRCDlKgwjjvaY8OQOOkf+zEM+f74lZ+r3ILkQJJQWF7AB WJFgZ08lwcmjO/rOzM5QblElqrV8Ugg50F/uGUsasQwon8ZwBD3EUjy0867uzSWKgQ1xJo9KxoLN TCZwAQaR0IGtQ5ImvwwOJqedWDm6c3DlHVcijeYMMGDexLu8zwZzemeSA97GBjLOfQhh86u07vtg z8N5cE/j/HQrt7aXT2EWC5K4v4Ei1dvGTeVCxj03PAjm5KmZs7APh3giN740jIOsVxGiVvNWw9tV zpwa1TBt9nqnD0Nwwlj0X2fp7iLg3kAvuMoBczpEAfcVWe85SDf1NjcfQuD6Alf1Ewo5LhEFZFn5 LxAg6iJT3DTT/S8YdV2Shqxmkgwylmokx8j0FwDyEERwF9jPoH2dLM9hWwu7An7vENaJqjbVrxgE ua1iPVkf/d0cjvss2+nlNXiO7gb81i80YUMd//zRCdkbT8ddkjaePlQS4t6rMxiitR5DU9oKx7J3 kPOuyIKy1NPQYLXrwnZFQ2lI8Af3IgaXNADjwFb8s/UFJD9AVRw2Gdk9tpsbhZivZRomaDsV4L48 KKh2b3aPrTKNausgYSqLFztQLIP1YywQuEyQNkRjGw/P2JopmZ97hskPuJqtg91Wv7AlVj4xOLu3 Qy2uYBBfNmMsapdk9h+7dz5Rw88EC1x7bcm28rtVlOAc2ry/Qq1WR6cfsdnGjYRNj4m158mz6Jk/ Wp2EuQ7J5uVLvgZdSfOFomBzfFc4Oz/N0zcZmBO9uru6YAn5VRXyMgqEhxTDFpVGniTE9eOXDeCn RnKzvcf09msWOSeV2i3GOChaCdBlmujyrm5gbFGjzF6Skyuymggffl7YzB+i/lPcVcyuJgLD0WzX HcnFvOjBC0AKA5scI0XeHGM99CSqPpO/hvwk5FzYN9guSeKmqZdZg8dR+Se/BeQJPj6FlBbaKOvD wUxVQpp6bwgwKjk6u5rSTnE+zt1tMR4xiCxmX+2Yh0jN9MlQZOd53WFTipFa4meUDgR6Kx75sU9T PLlYti2aGdxuKrLXvUMOPE30kw6mNoI/ULeZatXwJ6giGrQFmSJ9Hkq8R5v+knddu1dnkiQCneNJ unMuibIn63RmftcUgZxoSOTMYb9g+sCCvjc/qLtnqlXDYl/8fcQv1pmDlQ+RDrvkaLwfj2JEuJVZ Qpwf75hA47x3QNxM3j+Czwl8wzg+ZQy+2G8iNTfWF9eqzVFSENGi3ZQ4TffBjZEtwqE/No9cMutY CiOyHBELMTrzpwAcV7H5XVi+/TBgZm/2qyi9Vc0/ba/Eiq4c4w2Tl9b8TfDvbJvLTQoXu8NqacdF opT7agzWYfH6cNjnkhbO4EeHRsKHsl0TVN/yq7ckxpfsZX6QAIOJ0pi+wCk0sRrRucPtakZJHKid oAWyqmc8spww5jJqWuOfDEpKamV5bGPX6Jp0Ff0JwzN+CBl3ORxMV4G4eiOouYmAln/iDsMUURAj AuXYKT+9ftGLkBxeER5Roop9s4w9Uqjnl0iaOabLSdsfk0uAyaZtfbu/50RqDYyXlKZzNaTKpBJm Ya7vaztCNgfHX/p7O5hUl0DrDmHoVN50fGmCZ2XyKRyEG/anTmE1+ueqqvOH+1iFFbMreGxDpmkU EnTm5swWaPyX8qgnhqA+vG7/xxqWqrVqt/k4f1M+ae5mZ5wHZow/VGhyDt3MQjqjGh9qSwRMujIN 1PGFOmkeKCO8B0glqLU1gSV+fdQkbWRLTLUe738AssyW+qEcObL8PBMde2CP2AWkZ/zLV8I+ivWW dc2FOIrZ+yC9fb27mbsy38LO81/73FUZ56c9uINd93BWl+nuAEAFoEvemAUGNNXISS8SRJL3dSRk uUOq1xcIpMBuFI+pn5svhj5j7tNM1I+hZ+grSHfFRdSoV2u0apN8FW2jbTHlFnfHIhEdH5ugXDW9 IvKuRlwMmFHH9gE26pxbgJckl9ouDusYgHM1sAE/9JhjRKCl8VuHvbCPdNUGVd3OXiYXiPQ5m3mS 0u7qbNUvE95UHwC7ZLWWEXFx8PUTgUwC7CmNL++SbNWTW8XIO3gFFz5X11IPHmDk881pwbXHW8o8 GPKH4hlVH4sEYX4WgcIpxmjw8M4Iy13KQ3UPHDHbYCPth1DqCCCEO5y6DFb5kdD4HFkfkWfby+5Q Na+eY8szudw2hO0ii0Te1UEIT6YfQ6vjWnkuYz5dJ97UJDvEaDoqZpdL46Y8LCQ2f4txdNkODqK9 GIH2GStWynYBvwNytQ77vSrrh+jlMmFwukNRLQqpb6g12DPysaPXZc3b7LAk2YTsb4oW+zthTGDs yvntpwvlw9Ed6JIsRMGthVPeChA677u7VlJ6VaJGFshJnAJgE+P4tvSm2ZmqbAIEB1pl0wolwJ1Z fgnPIy4mViCc8f1ZLMTNLuRvgU1DBnUOfYysF0xxEfHhGgl27QUHX777ZOc01iBTxqWsxDbA3h/6 +yvs3cTNFUz4x41mZ3UG85+qOvZPvDh/Nb7XxNKQA/HyPRsvn23a+L9migDTxzwaLNGutmH8uqSz GNp2OGYi2TDh8O5VLtjCkZW7Y121GkXX0gIzDuet5C0fLBc8iUzKNV357G1+GCpZrwjEsIkVVsg9 2QQRcUEN63sjX8MFnuhoSxvYG5puHMDkFblIHlJNKkNp9RKVI2iCuIocRBv0uE9yPGDCm53PPhkj JRTi1m4KqRsumIQ/QusktK1jutFcH4s92bCHaP6eN91L6BG052u3M63hMVsCRrxD17NTNVuyxxo4 HdC/Ay+tcqt7/SluE8d29MUd4mta7cOsCYK2ng8ODWH0UrlHT3ufN9LrgGxICOisyDhj1C8= `protect end_protected
package pkg1 is type int_arr is array (natural range <>) of integer; end pkg1; use work.pkg1.all; package pkg2 is function func (a : int_arr) return natural; end pkg2; package body pkg2 is function func (a : int_arr) return natural is begin return a'length; end func; end pkg2; entity tb is end tb; use work.pkg2.all; architecture behav of tb is begin process constant c : natural := func (a => (1, 2, 3)); begin wait; end process; end behav;
package pkg1 is type int_arr is array (natural range <>) of integer; end pkg1; use work.pkg1.all; package pkg2 is function func (a : int_arr) return natural; end pkg2; package body pkg2 is function func (a : int_arr) return natural is begin return a'length; end func; end pkg2; entity tb is end tb; use work.pkg2.all; architecture behav of tb is begin process constant c : natural := func (a => (1, 2, 3)); begin wait; end process; end behav;
package pkg1 is type int_arr is array (natural range <>) of integer; end pkg1; use work.pkg1.all; package pkg2 is function func (a : int_arr) return natural; end pkg2; package body pkg2 is function func (a : int_arr) return natural is begin return a'length; end func; end pkg2; entity tb is end tb; use work.pkg2.all; architecture behav of tb is begin process constant c : natural := func (a => (1, 2, 3)); begin wait; end process; end behav;
------------------------------------------------------------------------------ -- LEON3 Demonstration design -- Copyright (C) 2006 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use techmap.allclkgen.all; library gaisler; use gaisler.memctrl.all; use gaisler.ddrpkg.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.net.all; use gaisler.jtag.all; library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; ddrfreq : integer := 100000 -- frequency of ddr clock in kHz ); port ( resetn : in std_ulogic; resoutn : out std_logic; clk_100mhz : in std_ulogic; errorn : out std_ulogic; -- prom interface address : out std_logic_vector(21 downto 0); data : inout std_logic_vector(15 downto 0); romsn : out std_ulogic; oen : out std_ulogic; writen : out std_ulogic; romrstn : out std_ulogic; -- pragma translate_off iosn : out std_ulogic; testdata : inout std_logic_vector(15 downto 0); -- pragma translate_on -- ddr memory ddr_clk0 : out std_logic; ddr_clk0b : out std_logic; ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke0 : out std_logic; ddr_cs0b : out std_logic; ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (12 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (15 downto 0); -- ddr data -- debug support unit dsuen : in std_ulogic; dsubre : in std_ulogic; dsuact : out std_ulogic; -- UART for serial DCL/console I/O serrx : in std_ulogic; sertx : out std_ulogic; rtsn : out std_ulogic; ctsn : in std_ulogic; led_rx : out std_ulogic; led_tx : out std_ulogic; -- ethernet signals emdio : inout std_logic; -- ethernet PHY interface etx_clk : in std_ulogic; erx_clk : in std_ulogic; erxd : in std_logic_vector(3 downto 0); erx_dv : in std_ulogic; erx_er : in std_ulogic; erx_col : in std_ulogic; erx_crs : in std_ulogic; etxd : out std_logic_vector(3 downto 0); etx_en : out std_ulogic; etx_er : out std_ulogic; emdc : out std_ulogic; erstn : out std_ulogic; -- OLED display signals disp_dcn : out std_ulogic; disp_csn : out std_ulogic; disp_rdn : out std_ulogic; disp_wrn : out std_ulogic; disp_d : inout std_logic_vector(7 downto 0) ); end; architecture rtl of leon3mp is constant blength : integer := 12; constant fifodepth : integer := 8; signal vcc, gnd : std_logic_vector(4 downto 0); signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal sdi : sdctrl_in_type; signal sdo : sdctrl_out_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal lclk : std_ulogic; signal ddrclk, ddrrst, ddrclkfb : std_ulogic; signal clkm, rstn, clkml, clk2x : std_ulogic; signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, dui : uart_in_type; signal u1o, duo : uart_out_type; signal irqi : irq_in_vector(0 to CFG_NCPU-1); signal irqo : irq_out_vector(0 to CFG_NCPU-1); signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal ethi, ethi1, ethi2 : eth_in_type; signal etho, etho1, etho2 : eth_out_type; signal gpti : gptimer_in_type; signal tck, tms, tdi, tdo : std_ulogic; -- signal dsubre : std_logic; signal duart, ldsuen : std_logic; signal rsertx, rserrx, rdsuen : std_logic; signal rstraw : std_logic; signal rstneg : std_logic; signal rxd1 : std_logic; signal txd1 : std_logic; signal lock : std_logic; signal ddr_clk : std_logic_vector(2 downto 0); signal ddr_clkb : std_logic_vector(2 downto 0); signal ddr_cke : std_logic_vector(1 downto 0); signal ddr_csb : std_logic_vector(1 downto 0); signal ddr_adl : std_logic_vector(13 downto 0); -- ddr address attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; attribute syn_keep of lock : signal is true; attribute syn_keep of clkml : signal is true; attribute syn_preserve of clkml : signal is true; attribute keep of lock : signal is true; attribute keep of clkml : signal is true; attribute keep of clkm : signal is true; constant BOARD_FREQ : integer := 100000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz begin romrstn <= rstn; ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; rstneg <= not resetn; rst0 : rstgen port map (rstneg, clkm, lock, rstn, rstraw); clk_pad : clkpad generic map (tech => padtech) port map (clk_100mhz, lclk); clkgen0 : clkgen -- clock generator generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, 0, 1, 0, 0, 0, BOARD_FREQ, 0) port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1, nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- leon3gen : if CFG_LEON3 = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; error_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); -- dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable); dsui.enable <= '1'; dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active); end generate; end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0 : ahbuart -- Debug UART generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU)); end generate; nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller sr1 : mctrl generic map (hindex => 5, pindex => 0, paddr => 0, srbanks => 1, ramaddr => 16#600#, rammask => 16#F00#, ram16 => 1 ) port map (rstn, clkm, memi, memo, ahbsi, ahbso(5), apbi, apbo(0), wpo, open); end generate; memi.brdyn <= '1'; memi.bexcn <= '1'; memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01"; mg0 : if (CFG_MCTRL_LEON2 = 0) generate apbo(0) <= apb_none; ahbso(0) <= ahbs_none; roms_pad : outpad generic map (tech => padtech) port map (romsn, vcc(0)); end generate; mgpads : if (CFG_MCTRL_LEON2 /= 0) generate addr_pad : outpadv generic map (width => 22, tech => padtech) port map (address, memo.address(22 downto 1)); roms_pad : outpad generic map (tech => padtech) port map (romsn, memo.romsn(0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); wri_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); -- pragma translate_off iosn_pad : outpad generic map (tech => padtech) port map (iosn, memo.iosn); tbdr : for i in 0 to 1 generate data_pad : iopadv generic map (tech => padtech, width => 8) port map (testdata(15-i*8 downto 8-i*8), memo.data(15-i*8 downto 8-i*8), memo.bdrive(i+2), memi.data(15-i*8 downto 8-i*8)); end generate; -- pragma translate_on bdr : for i in 0 to 1 generate data_pad : iopadv generic map (tech => padtech, width => 8) port map (data(15-i*8 downto 8-i*8), memo.data(31-i*8 downto 24-i*8), memo.bdrive(i), memi.data(31-i*8 downto 24-i*8)); end generate; end generate; ---------------------------------------------------------------------- --- DDR memory controller ------------------------------------------- ---------------------------------------------------------------------- ddrsp0 : if (CFG_DDRSP /= 0) generate ddrc : ddrspa generic map ( fabtech => virtex4, memtech => memtech, hindex => 4, haddr => 16#400#, hmask => 16#F00#, ioaddr => 1, pwron => CFG_DDRSP_INIT, MHz => BOARD_FREQ/1000, rskew => -95 -- pragma translate_off * 0 -- disable clock skew during simulation -- pragma translate_on , clkmul => CFG_DDRSP_FREQ/5, clkdiv => 20, col => CFG_DDRSP_COL, Mbyte => CFG_DDRSP_SIZE, ahbfreq => CPU_FREQ/1000, ddrbits => 16) port map ( rstneg, rstn, lclk, clkm, lock, clkml, clkml, ahbsi, ahbso(4), ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_adl, ddr_ba, ddr_dq); ddr_clk0 <= ddr_clk(0); ddr_clk0b <= ddr_clkb(0); ddr_cke0 <= ddr_cke(0); ddr_cs0b <= ddr_csb(0); ddr_ad <= ddr_adl(12 downto 0); end generate; noddr : if (CFG_DDRSP = 0) generate lock <= '1'; end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo); ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd; end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GR GPIO unit grgpio0: grgpio generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 12 --CFG_GRGPIO_WIDTH ) port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo); disp_csn_pad : outpad generic map (tech => padtech) port map (disp_csn, gpioo.dout(8)); disp_dcn_pad : outpad generic map (tech => padtech) port map (disp_dcn, gpioo.dout(9)); disp_rdn_pad : outpad generic map (tech => padtech) port map (disp_rdn, gpioo.dout(10)); disp_wrn_pad : outpad generic map (tech => padtech) port map (disp_wrn, gpioo.dout(11)); disp_d_pads : for i in 0 to 7 generate pio_pad : iopad generic map (tech => padtech) port map (disp_d(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : grethm generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, pindex => 15, paddr => 15, pirq => 12, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, phyrstadr => 3, giga => CFG_GRETH1G) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi, apbo => apbo(15), ethi => ethi, etho => etho); emdio_pad : iopad generic map (tech => padtech) port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i); etxc_pad : inpad generic map (tech => padtech) port map (etx_clk, ethi.tx_clk); erxc_pad : inpad generic map (tech => padtech) port map (erx_clk, ethi.rx_clk); erxd_pad : inpadv generic map (tech => padtech, width => 4) port map (erxd, ethi.rxd(3 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (erx_dv, ethi.rx_dv); erxer_pad : inpad generic map (tech => padtech) port map (erx_er, ethi.rx_er); erxco_pad : inpad generic map (tech => padtech) port map (erx_col, ethi.rx_col); erxcr_pad : inpad generic map (tech => padtech) port map (erx_crs, ethi.rx_crs); etxd_pad : outpadv generic map (tech => padtech, width => 4) port map (etxd, etho.txd(3 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map (etx_en, etho.tx_en); etxer_pad : outpad generic map (tech => padtech) port map (etx_er, etho.tx_er); emdc_pad : outpad generic map (tech => padtech) port map (emdc, etho.mdc); erstn_pad : outpad generic map (tech => padtech) port map (erstn, rstn); end generate; ----------------------------------------------------------------------- --- AHB DMA ---------------------------------------------------------- ----------------------------------------------------------------------- -- dma0 : ahbdma -- generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH, -- pindex => 12, paddr => 12, dbuf => 32) -- port map (rstn, clkm, apbi, apbo(12), ahbmi, -- ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH)); -- -- at0 : ahbtrace -- generic map ( hindex => 7, ioaddr => 16#200#, iomask => 16#E00#, -- tech => memtech, irq => 0, kbytes => 8) -- port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7)); ----------------------------------------------------------------------- --- AHB ROM ---------------------------------------------------------- ----------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(6)); end generate; nobpromgen : if CFG_AHBROMEN = 0 generate ahbso(6) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ahbramgen : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 3, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ) port map (rstn, clkm, ahbsi, ahbso(3)); end generate; nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1) to NAHBMST-1 generate ahbmo(i) <= ahbm_none; end generate; -- nap0 : for i in 9 to NAPBSLV-1-CFG_GRETH generate apbo(i) <= apb_none; end generate; -- nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate; resoutn <= rstn; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 MP Demonstration design for Avnet Virtex4 Eval board", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on -- use switch 1 to multiplex DSU UART and UART1 dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, ldsuen); duart <= rdsuen when CFG_AHB_UART /= 0 else '0'; rxd1 <= txd1 when duart = '1' else rserrx; rsertx <= duo.txd when duart = '1' else txd1; dui.rxd <= rserrx when duart = '1' else '1'; led_rx <= not rserrx; p1 : process(clkm) begin if rising_edge(clkm) then sertx <= rsertx; rserrx <= serrx; rdsuen <= ldsuen; rtsn <= '0'; led_tx <= not rsertx; end if; end process; end rtl;
--**************************************************************** --** MODEL : package_utility ** --** COMPANY : Cypress Semiconductor ** --** REVISION: 1.0 Created new package utility model ** --** ** --**************************************************************** Library ieee,work; Use ieee.std_logic_1164.all; -- Use IEEE.Std_Logic_Arith.all; use ieee.numeric_std.all; -- Use IEEE.std_logic_TextIO.all; --- Use work.package_timing.all; Library Std; Use STD.TextIO.all; Package package_utility is FUNCTION convert_string( S: in STRING) RETURN STD_LOGIC_VECTOR; FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER; End; -- package package_utility Package body package_utility is ------------------------------------------------------------------------------------------------ --Converts string into std_logic_vector ------------------------------------------------------------------------------------------------ FUNCTION convert_string(S: in STRING) RETURN STD_LOGIC_VECTOR IS VARIABLE result : STD_LOGIC_VECTOR(S'RANGE); BEGIN FOR i IN S'RANGE LOOP IF S(i) = '0' THEN result(i) := '0'; ELSIF S(i) = '1' THEN result(i) := '1'; ELSIF S(i) = 'X' THEN result(i) := 'X'; ELSE result(i) := 'Z'; END IF; END LOOP; RETURN result; END convert_string; ------------------------------------------------------------------------------------------------ --Converts std_logic_vector into integer ------------------------------------------------------------------------------------------------ FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; BEGIN FOR i IN S'RANGE LOOP IF S(i) = '1' THEN result := result + (2**i); ELSIF S(i) = '0' THEN result := result; ELSE result := 0; END IF; END LOOP; RETURN result; END CONV_INTEGER1; end package_utility;
--**************************************************************** --** MODEL : package_utility ** --** COMPANY : Cypress Semiconductor ** --** REVISION: 1.0 Created new package utility model ** --** ** --**************************************************************** Library ieee,work; Use ieee.std_logic_1164.all; -- Use IEEE.Std_Logic_Arith.all; use ieee.numeric_std.all; -- Use IEEE.std_logic_TextIO.all; --- Use work.package_timing.all; Library Std; Use STD.TextIO.all; Package package_utility is FUNCTION convert_string( S: in STRING) RETURN STD_LOGIC_VECTOR; FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER; End; -- package package_utility Package body package_utility is ------------------------------------------------------------------------------------------------ --Converts string into std_logic_vector ------------------------------------------------------------------------------------------------ FUNCTION convert_string(S: in STRING) RETURN STD_LOGIC_VECTOR IS VARIABLE result : STD_LOGIC_VECTOR(S'RANGE); BEGIN FOR i IN S'RANGE LOOP IF S(i) = '0' THEN result(i) := '0'; ELSIF S(i) = '1' THEN result(i) := '1'; ELSIF S(i) = 'X' THEN result(i) := 'X'; ELSE result(i) := 'Z'; END IF; END LOOP; RETURN result; END convert_string; ------------------------------------------------------------------------------------------------ --Converts std_logic_vector into integer ------------------------------------------------------------------------------------------------ FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; BEGIN FOR i IN S'RANGE LOOP IF S(i) = '1' THEN result := result + (2**i); ELSIF S(i) = '0' THEN result := result; ELSE result := 0; END IF; END LOOP; RETURN result; END CONV_INTEGER1; end package_utility;
--**************************************************************** --** MODEL : package_utility ** --** COMPANY : Cypress Semiconductor ** --** REVISION: 1.0 Created new package utility model ** --** ** --**************************************************************** Library ieee,work; Use ieee.std_logic_1164.all; -- Use IEEE.Std_Logic_Arith.all; use ieee.numeric_std.all; -- Use IEEE.std_logic_TextIO.all; --- Use work.package_timing.all; Library Std; Use STD.TextIO.all; Package package_utility is FUNCTION convert_string( S: in STRING) RETURN STD_LOGIC_VECTOR; FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER; End; -- package package_utility Package body package_utility is ------------------------------------------------------------------------------------------------ --Converts string into std_logic_vector ------------------------------------------------------------------------------------------------ FUNCTION convert_string(S: in STRING) RETURN STD_LOGIC_VECTOR IS VARIABLE result : STD_LOGIC_VECTOR(S'RANGE); BEGIN FOR i IN S'RANGE LOOP IF S(i) = '0' THEN result(i) := '0'; ELSIF S(i) = '1' THEN result(i) := '1'; ELSIF S(i) = 'X' THEN result(i) := 'X'; ELSE result(i) := 'Z'; END IF; END LOOP; RETURN result; END convert_string; ------------------------------------------------------------------------------------------------ --Converts std_logic_vector into integer ------------------------------------------------------------------------------------------------ FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; BEGIN FOR i IN S'RANGE LOOP IF S(i) = '1' THEN result := result + (2**i); ELSIF S(i) = '0' THEN result := result; ELSE result := 0; END IF; END LOOP; RETURN result; END CONV_INTEGER1; end package_utility;
--**************************************************************** --** MODEL : package_utility ** --** COMPANY : Cypress Semiconductor ** --** REVISION: 1.0 Created new package utility model ** --** ** --**************************************************************** Library ieee,work; Use ieee.std_logic_1164.all; -- Use IEEE.Std_Logic_Arith.all; use ieee.numeric_std.all; -- Use IEEE.std_logic_TextIO.all; --- Use work.package_timing.all; Library Std; Use STD.TextIO.all; Package package_utility is FUNCTION convert_string( S: in STRING) RETURN STD_LOGIC_VECTOR; FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER; End; -- package package_utility Package body package_utility is ------------------------------------------------------------------------------------------------ --Converts string into std_logic_vector ------------------------------------------------------------------------------------------------ FUNCTION convert_string(S: in STRING) RETURN STD_LOGIC_VECTOR IS VARIABLE result : STD_LOGIC_VECTOR(S'RANGE); BEGIN FOR i IN S'RANGE LOOP IF S(i) = '0' THEN result(i) := '0'; ELSIF S(i) = '1' THEN result(i) := '1'; ELSIF S(i) = 'X' THEN result(i) := 'X'; ELSE result(i) := 'Z'; END IF; END LOOP; RETURN result; END convert_string; ------------------------------------------------------------------------------------------------ --Converts std_logic_vector into integer ------------------------------------------------------------------------------------------------ FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; BEGIN FOR i IN S'RANGE LOOP IF S(i) = '1' THEN result := result + (2**i); ELSIF S(i) = '0' THEN result := result; ELSE result := 0; END IF; END LOOP; RETURN result; END CONV_INTEGER1; end package_utility;
--**************************************************************** --** MODEL : package_utility ** --** COMPANY : Cypress Semiconductor ** --** REVISION: 1.0 Created new package utility model ** --** ** --**************************************************************** Library ieee,work; Use ieee.std_logic_1164.all; -- Use IEEE.Std_Logic_Arith.all; use ieee.numeric_std.all; -- Use IEEE.std_logic_TextIO.all; --- Use work.package_timing.all; Library Std; Use STD.TextIO.all; Package package_utility is FUNCTION convert_string( S: in STRING) RETURN STD_LOGIC_VECTOR; FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER; End; -- package package_utility Package body package_utility is ------------------------------------------------------------------------------------------------ --Converts string into std_logic_vector ------------------------------------------------------------------------------------------------ FUNCTION convert_string(S: in STRING) RETURN STD_LOGIC_VECTOR IS VARIABLE result : STD_LOGIC_VECTOR(S'RANGE); BEGIN FOR i IN S'RANGE LOOP IF S(i) = '0' THEN result(i) := '0'; ELSIF S(i) = '1' THEN result(i) := '1'; ELSIF S(i) = 'X' THEN result(i) := 'X'; ELSE result(i) := 'Z'; END IF; END LOOP; RETURN result; END convert_string; ------------------------------------------------------------------------------------------------ --Converts std_logic_vector into integer ------------------------------------------------------------------------------------------------ FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; BEGIN FOR i IN S'RANGE LOOP IF S(i) = '1' THEN result := result + (2**i); ELSIF S(i) = '0' THEN result := result; ELSE result := 0; END IF; END LOOP; RETURN result; END CONV_INTEGER1; end package_utility;
--**************************************************************** --** MODEL : package_utility ** --** COMPANY : Cypress Semiconductor ** --** REVISION: 1.0 Created new package utility model ** --** ** --**************************************************************** Library ieee,work; Use ieee.std_logic_1164.all; -- Use IEEE.Std_Logic_Arith.all; use ieee.numeric_std.all; -- Use IEEE.std_logic_TextIO.all; --- Use work.package_timing.all; Library Std; Use STD.TextIO.all; Package package_utility is FUNCTION convert_string( S: in STRING) RETURN STD_LOGIC_VECTOR; FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER; End; -- package package_utility Package body package_utility is ------------------------------------------------------------------------------------------------ --Converts string into std_logic_vector ------------------------------------------------------------------------------------------------ FUNCTION convert_string(S: in STRING) RETURN STD_LOGIC_VECTOR IS VARIABLE result : STD_LOGIC_VECTOR(S'RANGE); BEGIN FOR i IN S'RANGE LOOP IF S(i) = '0' THEN result(i) := '0'; ELSIF S(i) = '1' THEN result(i) := '1'; ELSIF S(i) = 'X' THEN result(i) := 'X'; ELSE result(i) := 'Z'; END IF; END LOOP; RETURN result; END convert_string; ------------------------------------------------------------------------------------------------ --Converts std_logic_vector into integer ------------------------------------------------------------------------------------------------ FUNCTION CONV_INTEGER1(S : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; BEGIN FOR i IN S'RANGE LOOP IF S(i) = '1' THEN result := result + (2**i); ELSIF S(i) = '0' THEN result := result; ELSE result := 0; END IF; END LOOP; RETURN result; END CONV_INTEGER1; end package_utility;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- file: clk_32to100_dcm.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____50.000______0.000______50.0______600.000____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary__________32.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_32to100_dcm is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end clk_32to100_dcm; architecture xilinx of clk_32to100_dcm is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_32to100_dcm,clk_wiz_v3_6,{component_name=clk_32to100_dcm,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=31.25,clkin2_period=31.25,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- --clkin1 <= CLK_IN1; clkin2_inst: BUFG port map ( I => CLK_IN1, O => clkin1 ); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 8, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 31.25, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- -- no phase alignment active, connect to ground clkfb <= '0'; -- clkout1_buf : BUFG -- port map -- (O => CLK_OUT1, -- I => clkfx); CLK_OUT1 <= clkfx; end xilinx;
-- Automatically generated VHDL-93 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.MATH_REAL.ALL; use std.textio.all; use work.all; use work.mac_types.all; entity mac_outputverifier_soutputverifier is port(i : in signed(8 downto 0); -- clock system1000 : in std_logic; -- asynchronous reset: active low system1000_rstn : in std_logic; result : out boolean); end; architecture structural of mac_outputverifier_soutputverifier is signal app_arg : signed(8 downto 0); signal app_arg_0 : boolean; signal app_arg_1 : boolean; signal x : signed(8 downto 0); signal result_0 : mac_types.tup2_1; signal y : boolean; signal y_0 : mac_types.tup2_1; signal result_1 : mac_types.tup2_2; signal tup_app_arg : unsigned(1 downto 0); signal tup_app_arg_0 : mac_types.tup2_1; signal tup_case_scrut : boolean; signal tup_case_alt : unsigned(1 downto 0); signal result_2 : signed(8 downto 0); signal tup_app_arg_1 : boolean; signal x_0 : unsigned(1 downto 0); signal tup_app_arg_2 : signed(63 downto 0); signal x_app_arg : unsigned(1 downto 0); signal wild : signed(63 downto 0); signal wild_app_arg : signed(63 downto 0); signal x_1 : unsigned(1 downto 0); begin -- assert begin assert_r : block -- pragma translate_off function slv2string (slv : std_logic_vector) return STRING is variable result : string (1 to slv'length); variable res_l : string (1 to 3); variable r : integer; begin r := 1; for i in slv'range loop res_l := std_logic'image(slv(i)); result(r) := res_l(2); r := r + 1; end loop; return result; end; signal actual : signed(8 downto 0); signal expected : signed(8 downto 0); -- pragma translate_on begin -- pragma translate_off actual <= i; expected <= app_arg; process(system1000,system1000_rstn) is begin if (rising_edge(system1000) or rising_edge(system1000_rstn)) then assert (actual = expected) report (("outputVerifier") & ", expected: " & slv2string(toSLV(expected)) & ", actual: " & slv2string(toSLV(actual))) severity error; end if; end process; -- pragma translate_on result <= app_arg_0; end block; -- assert end app_arg <= x; -- register begin mac_outputverifier_soutputverifier_register : process(system1000,system1000_rstn) begin if system1000_rstn = '0' then app_arg_0 <= false; elsif rising_edge(system1000) then app_arg_0 <= app_arg_1; end if; end process; -- register end app_arg_1 <= y; x <= result_0.tup2_1_sel0; result_0 <= y_0; y <= result_0.tup2_1_sel1; y_0 <= result_1.tup2_2_sel1; result_1 <= (tup2_2_sel0 => tup_app_arg ,tup2_2_sel1 => tup_app_arg_0); tup_app_arg <= tup_case_alt when tup_case_scrut else x_0; tup_app_arg_0 <= (tup2_1_sel0 => result_2 ,tup2_1_sel1 => tup_app_arg_1); tup_case_scrut <= x_0 < (resize(unsigned(std_logic_vector(((to_signed(4,64) - to_signed(1,64))))),2)); tup_case_alt <= x_0 + to_unsigned(1,2); -- index begin indexvec : block signal vec : mac_types.array_of_signed_9(0 to 3); signal vec_index : integer range 0 to 4-1; begin vec <= mac_types.array_of_signed_9'(to_signed(0,9),to_signed(1,9),to_signed(5,9),to_signed(14,9)); vec_index <= to_integer(tup_app_arg_2) -- pragma translate_off mod 4 -- pragma translate_on ; result_2 <= vec(vec_index); end block; -- index end tup_app_arg_1 <= x_0 = (resize(unsigned(std_logic_vector(((to_signed(4,64) - to_signed(1,64))))),2)); -- register begin mac_outputverifier_soutputverifier_register_0 : process(system1000,system1000_rstn) begin if system1000_rstn = '0' then x_0 <= to_unsigned(0,2); elsif rising_edge(system1000) then x_0 <= x_app_arg; end if; end process; -- register end tup_app_arg_2 <= wild; x_app_arg <= x_1; wild <= wild_app_arg; wild_app_arg <= signed(std_logic_vector(resize(x_0,64))); x_1 <= result_1.tup2_2_sel0; end;
-- Copyright (c) 2016 Federico Madotto and Coline Doebelin -- federico.madotto (at) gmail.com -- coline.doebelin (at) gmail.com -- https://github.com/fmadotto/DS_sha256 -- start_FF.vhd is part of DS_sha256. -- DS_sha256 is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- DS_sha256 is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; -- std_logic use ieee.std_logic_arith.all; -- signed/unsigned, conv_integer(), conv_std_logic_vector(signal, no. bit) use ieee.numeric_std.all; -- to_integer() entity start_FF is port ( clk : in std_ulogic; -- clock d : in std_ulogic; -- data in start : out std_ulogic -- data out ); end entity start_FF; architecture behav of start_FF is begin process (clk) begin if clk'event and clk = '1' then start <= '0'; -- this makes the output lasts for only one clock cycle if d = '1' then start <= d; end if; end if; end process; end architecture behav;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:00:31 05/17/2011 -- Design Name: -- Module Name: /home/xiadz/prog/fpga/oscilloscope/test_vga_controller_1280_1024.vhd -- Project Name: oscilloscope -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: vga_controller_1280_1024 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; use ieee.numeric_std.all; ENTITY test_vga_controller_1280_1024 IS END test_vga_controller_1280_1024; ARCHITECTURE behavior OF test_vga_controller_1280_1024 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT vga_controller_1280_1024 PORT( nrst : IN std_logic; clk108 : IN std_logic; hsync : OUT std_logic; vsync : OUT std_logic; vblank : OUT std_logic; line_change : OUT std_logic; page_change : OUT std_logic; column : out integer range 0 to 1279; column_change : out std_logic ); END COMPONENT; --Inputs signal nrst : std_logic := '1'; signal clk108 : std_logic := '0'; --Outputs signal hsync : std_logic; signal vsync : std_logic; signal vblank : std_logic; signal line_change : std_logic; signal page_change : std_logic; signal column : integer range 0 to 1279; signal column_change : std_logic; signal clock_periods : std_logic_vector (31 downto 0) := (others => '0'); -- Clock period definitions constant clk108_period : time := 9.25925926 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: vga_controller_1280_1024 PORT MAP ( nrst => nrst, clk108 => clk108, hsync => hsync, vsync => vsync, vblank => vblank, line_change => line_change, page_change => page_change, column => column, column_change => column_change ); -- Clock process definitions clk108_process : process begin clk108 <= '1'; wait for clk108_period/2; clk108 <= '0'; wait for clk108_period/2; clock_periods <= clock_periods + 1; end process; END;
-- $Id: ibdr_dz11.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2019- by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: ibdr_dz11 - syn -- Description: ibus dev(rem): DZ11 -- -- Dependencies: fifo_simple_dram -- ib_rlim_slv -- Test bench: xxdp: zdzaj0 -- Target Devices: generic -- Tool versions: ise 8.2-14.7; 2017.2; ghdl 0.35 -- -- Revision History: -- Date Rev Version Comment -- 2019-05-19 1150 1.0 Initial version -- 2019-05-01 1144 0.1 First draft ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.memlib.all; use work.iblib.all; -- ---------------------------------------------------------------------------- entity ibdr_dz11 is -- ibus dev(rem): DZ11 -- fixed address: 160100 generic ( IB_ADDR : slv16 := slv(to_unsigned(8#160100#,16)); AWIDTH : natural := 5); -- fifo address width port ( CLK : in slbit; -- clock RESET : in slbit; -- system reset BRESET : in slbit; -- ibus reset RLIM_CEV : in slv8; -- clock enable vector RB_LAM : out slbit; -- remote attention IB_MREQ : in ib_mreq_type; -- ibus request IB_SRES : out ib_sres_type; -- ibus response EI_REQ_RX : out slbit; -- interrupt request, receiver EI_REQ_TX : out slbit; -- interrupt request, transmitter EI_ACK_RX : in slbit; -- interrupt acknowledge, receiver EI_ACK_TX : in slbit -- interrupt acknowledge, transmitter ); end ibdr_dz11; architecture syn of ibdr_dz11 is -- loc view register naming, offsets and bit definitions constant ibaddr_csr : slv2 := "00"; -- csr address offset constant ibaddr_rbuf_lpr : slv2 := "01"; -- rbuf/lpr address offset constant ibaddr_tcr : slv2 := "10"; -- tcr address offset constant ibaddr_msr_tdr : slv2 := "11"; -- msr/tdr address offset constant csr_ibf_trdy : integer := 15; constant csr_ibf_tie : integer := 14; constant csr_ibf_sa : integer := 13; constant csr_ibf_sae : integer := 12; subtype csr_ibf_tline is integer range 10 downto 8; constant csr_ibf_rdone : integer := 7; constant csr_ibf_rie : integer := 6; constant csr_ibf_mse : integer := 5; constant csr_ibf_clr : integer := 4; constant csr_ibf_maint : integer := 3; constant rbuf_ibf_val : integer := 15; constant rbuf_ibf_ferr : integer := 13; subtype rbuf_ibf_line is integer range 10 downto 8; subtype rbuf_ibf_data is integer range 7 downto 0; constant lpr_ibf_rxon : integer := 12; subtype lpr_ibf_line is integer range 2 downto 0; subtype tcr_ibf_dtr is integer range 15 downto 8; subtype tcr_ibf_lena is integer range 7 downto 0; subtype msr_ibf_co is integer range 15 downto 8; subtype msr_ibf_ring is integer range 7 downto 0; subtype tdr_ibf_brk is integer range 15 downto 8; subtype tdr_ibf_tbuf is integer range 7 downto 0; -- rem view register naming, offsets and bit definitions constant ibaddr_cntl : slv2 := "00"; -- cntl address offset constant ibaddr_stat : slv2 := "01"; -- stat address offset constant ibaddr_fuse : slv2 := "10"; -- fuse address offset constant ibaddr_fdat : slv2 := "11"; -- fdat address offset -- rem-r view subtype cntl_ibf_awdth is integer range 10 downto 8; subtype cntl_ibf_ssel is integer range 4 downto 3; -- also wr constant cntl_ibf_sam: integer := 7; -- also wr constant cntl_ibf_mse : integer := 2; constant cntl_ibf_maint : integer := 1; -- rem-w view subtype cntl_ibf_data is integer range 15 downto 8; subtype cntl_ibf_rrlim is integer range 14 downto 12; subtype cntl_ibf_trlim is integer range 10 downto 8; constant cntl_ibf_rclr : integer := 6; constant cntl_ibf_tclr : integer := 5; -- cntl_ibf_ssel is integer range 4 downto 3; subtype cntl_ibf_func is integer range 2 downto 0; constant func_noop : slv3 := "000"; -- func: noop constant func_sco : slv3 := "001"; -- func: set CO constant func_sring : slv3 := "010"; -- func: set RING constant func_srlim : slv3 := "011"; -- func: set RLIM constant ssel_dtle : slv2 := "00"; -- ssel: get DTR and LENA constant ssel_brrx : slv2 := "01"; -- ssel: get BRK and RXON constant ssel_cori : slv2 := "10"; -- ssel: get CO and RING constant ssel_rlcn : slv2 := "11"; -- ssel: get RLIM and CNTL constant cal_dtr : slv3 := "000"; -- cal: DTR constant cal_brk : slv3 := "001"; -- cal: BRK constant cal_rxon : slv3 := "010"; -- cal: RXON constant cal_csr : slv3 := "011"; -- cal: CSR subtype sdlle_ibf_dtr is integer range 15 downto 8; subtype sdlle_ibf_lena is integer range 7 downto 0; subtype sbrrx_ibf_brk is integer range 15 downto 8; subtype sbrrx_ibf_rxon is integer range 7 downto 0; subtype scori_ibf_co is integer range 15 downto 8; subtype scori_ibf_ring is integer range 7 downto 0; subtype srlcn_ibf_rrlim is integer range 14 downto 12; subtype srlcn_ibf_trlim is integer range 10 downto 8; constant srlcn_ibf_rir : integer := 7; constant srlcn_ibf_tir : integer := 6; constant srlcn_ibf_mse : integer := 5; constant srlcn_ibf_maint: integer := 3; subtype fuse_ibf_rsize is integer range AWIDTH-1+8 downto 8; subtype fuse_ibf_tsize is integer range AWIDTH-1 downto 0; constant fdat_ibf_val : integer := 15; constant fdat_ibf_last : integer := 14; constant fdat_ibf_ferr : integer := 13; constant fdat_ibf_cal : integer := 11; subtype fdat_ibf_line is integer range 10 downto 8; subtype fdat_ibf_data is integer range 7 downto 0; constant fbuf_ibf_cal : integer := 12; constant fbuf_ibf_ferr : integer := 11; subtype fbuf_ibf_line is integer range 10 downto 8; subtype fbuf_ibf_data is integer range 7 downto 0; type regs_type is record -- state registers ibsel : slbit; -- ibus select ssel : slv2; -- rcsr: status select rrlim : slv3; -- rcsr: receiver rate limit trlim : slv3; -- rcsr: transmitter rate limit dtr : slv8; -- line state: dtr lena : slv8; -- line state: lena brk : slv8; -- line state: brk rxon : slv8; -- line state: rxon co : slv8; -- line state: co ring : slv8; -- line state: ring trdy : slbit; -- csr: transmitter ready tie : slbit; -- csr: transmitter ie sa : slbit; -- csr: silo alarm sae : slbit; -- csr: silo alarm enable tline : slv3; -- csr: transmit line rdone : slbit; -- csr: receiver done rie : slbit; -- csr: receiver ie mse : slbit; -- csr: master scan enable clr : slbit; -- csr: clear maint : slbit; -- csr: maintenance mode sam : slbit; -- sae monitor lcnt : slv3; -- line counter scnt : slv5; -- silo counter qdtr : slbit; -- queue DTR alert qbrk : slbit; -- queue BRK alert qrxon : slbit; -- queue RXON alert qcsr : slbit; -- queue CSR alert qclr : slbit; -- queue CLR alert rintreq : slbit; -- rx interrupt request tintreq : slbit; -- tx interrupt request end record regs_type; constant regs_init : regs_type := ( '0', -- ibsel "00","000","000", -- ssel,rrlim,trlim (others=>'0'), -- dtr (others=>'0'), -- lena (others=>'0'), -- brk (others=>'0'), -- rxon (others=>'0'), -- co (others=>'0'), -- ring '0','0','0','0', -- trdy,tie,sa,sae "000", -- tline '0','0','0','0','0', -- rdone,rie,mse,clr,maint '0', -- sam (others=>'0'), -- lcnt (others=>'0'), -- scnt '0','0','0','0','0', -- qdtr,qbrk,qrxon,qcsr,qclr '0','0' -- rintreq,tintreq ); constant c_fuse1 : slv(AWIDTH-1 downto 0) := slv(to_unsigned(1,AWIDTH)); signal R_REGS : regs_type := regs_init; signal N_REGS : regs_type := regs_init; signal RBUF_CE : slbit := '0'; signal RBUF_WE : slbit := '0'; signal RBUF_DI : slv12 := (others=>'0'); signal RBUF_DO : slv12 := (others=>'0'); signal RBUF_RESET : slbit := '0'; signal RBUF_EMPTY : slbit := '0'; signal RBUF_FULL : slbit := '0'; signal RBUF_FUSE : slv(AWIDTH-1 downto 0) := (others=>'0'); signal TBUF_CE : slbit := '0'; signal TBUF_WE : slbit := '0'; signal TBUF_DI : slv13 := (others=>'0'); signal TBUF_DO : slv13 := (others=>'0'); signal TBUF_RESET : slbit := '0'; signal TBUF_EMPTY : slbit := '0'; signal TBUF_FULL : slbit := '0'; signal TBUF_FUSE : slv(AWIDTH-1 downto 0) := (others=>'0'); signal RRLIM_START : slbit := '0'; signal RRLIM_BUSY : slbit := '0'; signal TRLIM_START : slbit := '0'; signal TRLIM_BUSY : slbit := '0'; pure function toint (val : slv3) return integer is begin return to_integer(unsigned(val)); end function toint; begin assert AWIDTH>=5 and AWIDTH<=7 report "assert(AWIDTH>=5 and AWIDTH<=7): unsupported AWIDTH" severity failure; RBUF : fifo_simple_dram generic map ( AWIDTH => AWIDTH, DWIDTH => 12) -- FER+LINE(3)+BUF(8) port map ( CLK => CLK, RESET => RBUF_RESET, CE => RBUF_CE, WE => RBUF_WE, DI => RBUF_DI, DO => RBUF_DO, EMPTY => RBUF_EMPTY, FULL => RBUF_FULL, SIZE => RBUF_FUSE ); TBUF : fifo_simple_dram generic map ( AWIDTH => AWIDTH, DWIDTH => 13) -- CAL+FER+LINE(3)+BUF(8) port map ( CLK => CLK, RESET => TBUF_RESET, CE => TBUF_CE, WE => TBUF_WE, DI => TBUF_DI, DO => TBUF_DO, EMPTY => TBUF_EMPTY, FULL => TBUF_FULL, SIZE => TBUF_FUSE ); RRLIM : ib_rlim_slv port map ( CLK => CLK, RESET => RESET, RLIM_CEV => RLIM_CEV, SEL => R_REGS.rrlim, START => RRLIM_START, STOP => BRESET, DONE => open, BUSY => RRLIM_BUSY ); TRLIM : ib_rlim_slv port map ( CLK => CLK, RESET => RESET, RLIM_CEV => RLIM_CEV, SEL => R_REGS.trlim, START => TRLIM_START, STOP => BRESET, DONE => open, BUSY => TRLIM_BUSY ); proc_regs: process (CLK) begin if rising_edge(CLK) then if BRESET='1' or R_REGS.clr='1' then R_REGS <= regs_init; if RESET = '0' then -- if RESET=0 we do just an ibus reset R_REGS.ssel <= N_REGS.ssel; -- keep SSEL field R_REGS.rrlim <= N_REGS.rrlim; -- keep RRLIM field R_REGS.trlim <= N_REGS.trlim; -- keep TRLIM field R_REGS.qclr <= N_REGS.qclr; -- keep clr cal request R_REGS.dtr <= N_REGS.dtr; -- keep DTR (model cntl) R_REGS.co <= N_REGS.co; -- keep CO (model cntl) R_REGS.ring <= N_REGS.ring; -- keep RING (model cntl) end if; else R_REGS <= N_REGS; end if; end if; end process proc_regs; proc_next : process (R_REGS, IB_MREQ, EI_ACK_RX, EI_ACK_TX, RESET, RBUF_DO, RBUF_EMPTY, RBUF_FULL, RBUF_FUSE, RRLIM_BUSY, TBUF_DO, TBUF_EMPTY, TBUF_FULL, TBUF_FUSE, TRLIM_BUSY) variable r : regs_type := regs_init; variable n : regs_type := regs_init; variable idout : slv16 := (others=>'0'); variable ibreq : slbit := '0'; variable iback : slbit := '0'; variable ibrd : slbit := '0'; variable ibwr : slbit := '0'; variable ibw0 : slbit := '0'; variable ibw1 : slbit := '0'; variable ilam : slbit := '0'; variable irbufdi : slv12 := (others=>'0'); variable irbufce : slbit := '0'; variable irbufwe : slbit := '0'; variable irbufrst : slbit := '0'; variable irrlimsta : slbit := '0'; variable itbufdi : slv13 := (others=>'0'); variable itbufce : slbit := '0'; variable itbufwe : slbit := '0'; variable itbufrst : slbit := '0'; variable itrlimsta : slbit := '0'; variable ixbuffull : slbit := '0'; variable iscntclr : slbit := '0'; begin r := R_REGS; n := R_REGS; idout := (others=>'0'); ibreq := IB_MREQ.re or IB_MREQ.we; iback := r.ibsel and ibreq; ibrd := IB_MREQ.re; ibwr := IB_MREQ.we; ibw0 := IB_MREQ.we and IB_MREQ.be0; ibw1 := IB_MREQ.we and IB_MREQ.be1; ilam := '0'; irbufdi := (others=>'0'); irbufce := '0'; irbufwe := '0'; irbufrst := RESET and not r.mse; irrlimsta := '0'; itbufdi := (others=>'0'); itbufce := '0'; itbufwe := '0'; itbufrst := RESET; itrlimsta := '0'; iscntclr := not r.mse; -- setup for rbuf writes if r.maint = '0' then -- not in maint mode (rem fifo write) irbufdi(fbuf_ibf_ferr) := IB_MREQ.din(fdat_ibf_ferr); irbufdi(fbuf_ibf_line) := IB_MREQ.din(fdat_ibf_line); irbufdi(fbuf_ibf_data) := IB_MREQ.din(fdat_ibf_data); else -- in maint mode (loc tbuf write) irbufdi(fbuf_ibf_ferr) := '0'; -- brk ignored on maint mode irbufdi(fbuf_ibf_line) := r.tline; irbufdi(fbuf_ibf_data) := IB_MREQ.din(tdr_ibf_tbuf); end if; -- ibus address decoder n.ibsel := '0'; if IB_MREQ.aval='1' and IB_MREQ.addr(12 downto 3)=IB_ADDR(12 downto 3) then n.ibsel := '1'; end if; -- ibus transactions if r.ibsel = '1' then -- ibus selected --------------------- -- setup for tbuf writes generated from ibus accesses itbufdi(fbuf_ibf_ferr) := r.brk(toint(r.tline)); itbufdi(fbuf_ibf_line) := r.tline; itbufdi(fbuf_ibf_data) := IB_MREQ.din(tdr_ibf_tbuf); if IB_MREQ.racc = '1' then -- rri view: rem side access ------- case IB_MREQ.addr(2 downto 1) is when ibaddr_cntl => -- CNTL -- control -------------- idout(cntl_ibf_awdth) := slv(to_unsigned(AWIDTH,3)); idout(cntl_ibf_sam) := r.sam; idout(cntl_ibf_ssel) := r.ssel; idout(cntl_ibf_mse) := r.mse; idout(cntl_ibf_maint) := r.maint; if ibwr = '1' then if IB_MREQ.din(cntl_ibf_sam) = '1' then n.sam := '0'; end if; if IB_MREQ.din(cntl_ibf_rclr) = '1' then irbufrst := '1'; end if; if IB_MREQ.din(cntl_ibf_tclr) = '1' then itbufrst := '1'; end if; n.ssel := IB_MREQ.din(cntl_ibf_ssel); case IB_MREQ.din(cntl_ibf_func) is -- handle cntl.func ------ when func_sco => -- func: set CO ------ n.co := IB_MREQ.din(cntl_ibf_data); when func_sring => -- func: set RING ---- n.ring := IB_MREQ.din(cntl_ibf_data); when func_srlim => -- func: set RLIM ---- n.rrlim := IB_MREQ.din(cntl_ibf_rrlim); n.trlim := IB_MREQ.din(cntl_ibf_trlim); when others => null; end case; end if; when ibaddr_stat => -- STAT -- status --------------- case r.ssel is when ssel_dtle => -- ssel: get DTR and LENA idout(sdlle_ibf_dtr) := r.dtr; idout(sdlle_ibf_lena) := r.lena; when ssel_brrx => -- ssel: get BRK and RXON idout(sbrrx_ibf_brk) := r.brk; idout(sbrrx_ibf_rxon) := r.rxon; when ssel_cori => -- ssel: get CO and RING idout(scori_ibf_co) := r.co; idout(scori_ibf_ring) := r.ring; when ssel_rlcn => -- ssel: get CNTL and RLIM idout(srlcn_ibf_rrlim) := r.rrlim; idout(srlcn_ibf_trlim) := r.trlim; idout(srlcn_ibf_rir) := r.rintreq; idout(srlcn_ibf_tir) := r.tintreq; idout(srlcn_ibf_mse) := r.mse; idout(srlcn_ibf_maint) := r.maint; when others => null; end case; if ibrd = '1' then -- advance ssel on read n.ssel := slv(unsigned(r.ssel) + 1); end if; if ibwr = '1' then -- stat is read-only iback := '0'; end if; when ibaddr_fuse => -- FUSE -- fifo usage ----------- idout(fuse_ibf_rsize) := RBUF_FUSE; idout(fuse_ibf_tsize) := TBUF_FUSE; when ibaddr_fdat => -- FDAT -- fifo read/write ------ idout(fdat_ibf_val) := not TBUF_EMPTY; if TBUF_FUSE = c_fuse1 then idout(fdat_ibf_last) := '1'; end if; idout(fdat_ibf_ferr) := TBUF_DO(fbuf_ibf_ferr); idout(fdat_ibf_cal) := TBUF_DO(fbuf_ibf_cal); idout(fdat_ibf_line) := TBUF_DO(fbuf_ibf_line); idout(fdat_ibf_data) := TBUF_DO(fbuf_ibf_data); if ibrd = '1' then -- fifo read if TBUF_EMPTY = '0' then -- fifo not empty itbufce := '1'; -- read from fifo itbufwe := '0'; else -- read from empty fifo iback := '0'; -- signal nak end if; end if; if ibwr = '1' then -- fifo write if RBUF_FULL = '0' then -- fifo not full if r.mse='1' and r.maint='0' then -- running and not in maint if r.rxon(toint(IB_MREQ.din(fdat_ibf_line))) = '1' then irbufce := '1'; -- write to fifo irbufwe := '1'; -- with default irbufdi else -- usually the backend is woken up to send more data by an -- attn send when the last RBUF value is read. When all -- data is dropped that never happens. So send an attn -- when a value is dropped and the RBUF is empty. if RBUF_EMPTY = '0' then -- for drop on empty fifo ilam := '1'; -- ask for more data end if; end if; end if; else -- write to full fifo iback := '0'; -- signal nak end if; end if; when others => null; end case; -- IB_MREQ.addr else -- cpu view: loc side access ------- case IB_MREQ.addr(2 downto 1) is when ibaddr_csr => -- CSR -- control/status ------- idout(csr_ibf_trdy) := r.trdy; idout(csr_ibf_tie) := r.tie; idout(csr_ibf_sa) := r.sa; idout(csr_ibf_sae) := r.sae; idout(csr_ibf_tline) := r.tline; idout(csr_ibf_rdone) := r.rdone; idout(csr_ibf_rie) := r.rie; idout(csr_ibf_mse) := r.mse; idout(csr_ibf_clr) := r.clr; idout(csr_ibf_maint) := r.maint; if ibw1 = '1' then n.tie := IB_MREQ.din(csr_ibf_tie); if IB_MREQ.din(csr_ibf_tie) = '1' then if r.tie='0' and r.trdy='1' then -- tie 0->1 and trdy n.rintreq := '1'; -- request interrupt end if; else n.tintreq := '0'; end if; n.sae := IB_MREQ.din(csr_ibf_sae); if IB_MREQ.din(csr_ibf_sae) = '1' then n.sam := '1'; end if; end if; if ibw0 = '1' then n.rie := IB_MREQ.din(csr_ibf_rie); if IB_MREQ.din(csr_ibf_rie) = '1' then if r.rie='0' and -- rie 0->1 ((r.sae='0' and r.rdone='1') or -- and no silo and rdone (r.sae='1' and r.sa='1')) -- or silo and alarm then n.rintreq := '1'; end if; else n.rintreq := '0'; end if; n.mse := IB_MREQ.din(csr_ibf_mse); if IB_MREQ.din(csr_ibf_mse) = '0' then -- mse clear n.rdone := '0'; n.trdy := '0'; end if; if r.mse /= IB_MREQ.din(csr_ibf_mse) then -- mse change n.qcsr := '1'; end if; if IB_MREQ.din(csr_ibf_clr) = '1' then -- clr set ? n.clr := '1'; -- request clr n.qclr := '1'; -- queue clr cal end if; n.maint := IB_MREQ.din(csr_ibf_maint); if r.maint /= IB_MREQ.din(csr_ibf_maint) then -- maint change n.qcsr := '1'; end if; end if; when ibaddr_rbuf_lpr => -- RBUF/LPR --------------------- idout(rbuf_ibf_val) := r.rdone; idout(rbuf_ibf_ferr) := RBUF_DO(fbuf_ibf_ferr); idout(rbuf_ibf_line) := RBUF_DO(fbuf_ibf_line); idout(rbuf_ibf_data) := RBUF_DO(fbuf_ibf_data); if ibrd = '1' then -- RBUF read if r.rdone = '1' then irbufce := '1'; -- read next value from fifo irbufwe := '0'; if RBUF_FUSE=c_fuse1 and r.maint='0' then -- last val ? ilam := '1'; -- rri lam end if; n.rdone := '0'; -- clear rdone n.sa := '0'; -- clear silo alarm n.rintreq := '0'; -- clear interrupt iscntclr := '1'; -- clear silo count end if; end if; if ibwr = '1' then -- LPR write n.rxon(toint(IB_MREQ.din(lpr_ibf_line))) := IB_MREQ.din(lpr_ibf_rxon); if r.rxon(toint(IB_MREQ.din(lpr_ibf_line))) /= IB_MREQ.din(lpr_ibf_rxon) then -- if changed n.qrxon := '1'; -- queue rxon cal end if; end if; when ibaddr_tcr => -- TCR -- transmit control --- idout(tcr_ibf_dtr) := r.dtr; idout(tcr_ibf_lena) := r.lena; if ibw1 = '1' then -- DTR written n.dtr := IB_MREQ.din(tcr_ibf_dtr); if r.dtr /= IB_MREQ.din(tcr_ibf_dtr) then -- if changed n.qdtr := '1'; -- queue dtr cal end if; end if; if ibw0 = '1' then -- LENA written n.lena := IB_MREQ.din(tcr_ibf_lena); -- check if ready and active line is disabled if r.trdy = '1' and IB_MREQ.din(tcr_ibf_lena)(toint(r.tline)) = '0' then n.trdy := '0'; -- clear ready n.tintreq := '0'; -- clear interrupt end if; end if; when ibaddr_msr_tdr => -- MSR/TDR ---------------------- idout(msr_ibf_co) := r.co; idout(msr_ibf_ring) := r.ring; if ibw1 = '1' then -- BRK written n.brk := IB_MREQ.din(tdr_ibf_brk); if r.brk /= IB_MREQ.din(tdr_ibf_brk) then -- if changed n.qbrk := '1'; -- queue brk cal end if; end if; if ibw0 = '1' then -- TBUF written if r.trdy = '1' then -- ignore buf write when rdy=0 n.trdy := '0'; -- clear ready n.tintreq := '0'; -- clear interrupt if r.maint = '0' then -- not in maint mode if TBUF_FULL = '0' then -- fifo not full itbufce := '1'; -- write to fifo itbufwe := '1'; -- with default itbufdi end if; else -- in maint mode if RBUF_FULL = '0' then -- fifo not full if r.rxon(toint(r.tline)) = '1' then -- line enabled ? irbufce := '1'; -- write to fifo irbufwe := '1'; -- with default irbufdi end if; end if; end if; end if; end if; when others => null; end case; -- IB_MREQ.addr end if; -- IB_MREQ.racc -- silo counter logic if iscntclr = '1' then n.scnt := (others=>'0'); elsif irbufwe = '1' then if r.scnt(4) = '0' then n.scnt := slv(unsigned(r.scnt) + 1); end if; end if; else -- ibus not selected ----------------- -- handle rx done, timer and interrupt if r.sae = '0' then -- silo alarm disabled if RBUF_EMPTY='0' and RRLIM_BUSY='0' then -- not empty and not busy ? if r.rdone = '0' then -- rdone not set ? n.rdone := '1'; -- set rdone irrlimsta := '1'; -- start timer if r.rie = '1' then -- rx irupt enabled ? n.rintreq := '1'; -- request rx irupt end if; end if; end if; else -- silo alarm enabled if RBUF_EMPTY = '0' then -- not empty ? if r.rdone = '0' then -- rdone not set ? n.rdone := '1'; -- set rdone if r.scnt(4)='1' and RRLIM_BUSY='0' then -- silo16 and not busy ? if r.sa = '0' then -- sa not set ? n.sa := '1'; -- set sa irrlimsta := '1'; -- start timer if r.rie = '1' then -- rx irupt enabled ? n.rintreq := '1'; -- request rx irupt end if; end if; end if; end if; end if; end if; -- else r.sae='0' -- handle tx ready, tline, timer and interrupt if r.maint = '0' then ixbuffull := TBUF_FULL; else ixbuffull := RBUF_FULL; end if; if ixbuffull='0' and TRLIM_BUSY='0' then -- not full and not busy ? if (r.qdtr or r.qbrk or r.qrxon or r.qcsr) = '0' then -- no cal queued if r.mse = '1' and r.trdy = '0' then -- searching ? if r.lena(toint(r.lcnt)) = '1' then -- line found n.tline := r.lcnt; -- remember line n.trdy := '1'; -- set ready itrlimsta := '1'; -- start timer if r.tie='1' then n.tintreq := '1'; -- request interrupt end if; end if; -- incrementing lcnt here ensures that the start point for the next -- search (n.lcnt) is the line one past the current winner (r.lcnt). n.lcnt := slv(unsigned(r.lcnt) + 1); -- go for next line end if; end if; end if; -- handle queue change alerts if TBUF_FULL = '0' then -- fifo space available ? itbufdi(fbuf_ibf_cal) := '1'; if r.qdtr = '1' then -- cal DTR pending n.qdtr := '0'; itbufdi(fbuf_ibf_line) := cal_dtr; itbufdi(fbuf_ibf_data) := r.dtr; itbufce := '1'; itbufwe := '1'; elsif r.qbrk = '1' then -- cal BRK pending n.qbrk := '0'; itbufdi(fbuf_ibf_line) := cal_brk; itbufdi(fbuf_ibf_data) := r.brk; itbufce := '1'; itbufwe := '1'; elsif r.qrxon = '1' then -- cal RXON pending n.qrxon := '0'; itbufdi(fbuf_ibf_line) := cal_rxon; itbufdi(fbuf_ibf_data) := r.rxon; itbufce := '1'; itbufwe := '1'; elsif r.qcsr='1' or r.qclr='1' then -- cal CSR pending n.qcsr := '0'; n.qclr := '0'; itbufdi(fbuf_ibf_line) := cal_csr; itbufdi(fbuf_ibf_data) := (others=>'0'); itbufdi(csr_ibf_mse) := r.mse; itbufdi(csr_ibf_clr) := r.qclr; itbufdi(csr_ibf_maint) := r.maint; itbufce := '1'; itbufwe := '1'; end if; end if; end if; -- else r.ibsel='1' if itbufce='1' and itbufwe='1' then -- write to tx fifo if TBUF_EMPTY='1' then -- first write to empty tx fifo ilam := '1'; -- request attention end if; end if; -- other state changes if EI_ACK_RX = '1' then n.rintreq := '0'; end if; if EI_ACK_TX = '1' then n.tintreq := '0'; end if; N_REGS <= n; RBUF_RESET <= irbufrst; RBUF_CE <= irbufce; RBUF_WE <= irbufwe; RBUF_DI <= irbufdi; RRLIM_START <= irrlimsta; TBUF_RESET <= itbufrst; TBUF_CE <= itbufce; TBUF_WE <= itbufwe; TBUF_DI <= itbufdi; TRLIM_START <= itrlimsta; IB_SRES.dout <= idout; IB_SRES.ack <= iback; IB_SRES.busy <= '0'; RB_LAM <= ilam; EI_REQ_RX <= r.rintreq; EI_REQ_TX <= r.tintreq; end process proc_next; end syn;
------------------------------------------------------------------------------ -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; use work.debug.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; library grlib; use grlib.stdlib.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 20; -- ram address depth srambanks : integer := 2 -- number of ram banks ); port ( pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic := '1'; pci_66 : in std_logic := '0' ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(31 downto 0); signal ramsn : std_logic_vector(4 downto 0); signal ramoen : std_logic_vector(4 downto 0); signal rwen : std_logic_vector(3 downto 0); signal rwenx : std_logic_vector(3 downto 0); signal romsn : std_logic_vector(1 downto 0); signal iosn : std_logic; signal oen : std_logic; signal read : std_logic; signal writen : std_logic; signal brdyn : std_logic; signal bexcn : std_logic; signal wdogn : std_logic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_logic; signal dsurst : std_logic; signal test : std_logic; signal error : std_logic; signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); signal GND : std_logic := '0'; signal VCC : std_logic := '1'; signal NC : std_logic := 'Z'; signal clk2 : std_logic := '1'; signal sdcke : std_logic_vector ( 1 downto 0); -- clk en signal sdcsn : std_logic_vector ( 1 downto 0); -- chip sel signal sdwen : std_logic; -- write en signal sdrasn : std_logic; -- row addr stb signal sdcasn : std_logic; -- col addr stb signal sddqm : std_logic_vector ( 7 downto 0); -- data i/o mask signal sdclk : std_logic; signal plllock : std_logic; signal txd1, rxd1 : std_logic; signal txd2, rxd2 : std_logic; signal etx_clk, erx_clk, erx_dv, erx_er, erx_col : std_logic := '0'; signal eth_gtxclk, erx_crs, etx_en, etx_er : std_logic :='0'; signal eth_macclk : std_logic := '0'; signal erxd, etxd : std_logic_vector(7 downto 0) := (others => '0'); signal emdc, emdio : std_logic; --dummy signal for the mdc,mdio in the phy which is not used signal emdintn : std_logic; signal emddis : std_logic; signal epwrdwn : std_logic; signal ereset : std_logic; signal esleep : std_logic; signal epause : std_logic; constant lresp : boolean := false; signal sa : std_logic_vector(14 downto 0); signal sd : std_logic_vector(63 downto 0); signal pci_arb_req, pci_arb_gnt : std_logic_vector(0 to 3); signal can_txd : std_logic_vector(0 to CFG_CAN_NUM-1); signal can_rxd : std_logic_vector(0 to CFG_CAN_NUM-1); signal can_stb : std_logic; signal spw_clk : std_logic := '0'; signal spw_rxdp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxdn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_txdp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txdn : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsn : std_logic_vector(0 to CFG_SPW_NUM-1); signal usb_clkout : std_logic := '0'; signal usb_d : std_logic_vector(7 downto 0); signal usb_resetn : std_ulogic; signal usb_nxt : std_ulogic; signal usb_stp : std_ulogic; signal usb_dir : std_ulogic; -- GRUSB_DCL test signals signal ddelay : std_ulogic := '0'; signal dstart : std_ulogic := '0'; signal drw : std_ulogic; signal daddr : std_logic_vector(31 downto 0); signal dlen : std_logic_vector(14 downto 0); signal ddi : grusb_dcl_debug_data; signal ddone : std_ulogic; signal ddo : grusb_dcl_debug_data; begin -- clock and reset clk <= not clk after ct * 1 ns; spw_clk <= not spw_clk after 10 ns; rst <= dsurst; dsuen <= '1'; dsubre <= '0'; rxd1 <= '1'; can_rxd <= (others => 'H'); bexcn <= '1'; wdogn <= 'H'; gpio(2 downto 0) <= "LHL"; gpio(CFG_GRGPIO_WIDTH-1 downto 3) <= (others => 'H'); pci_arb_req <= "HHHH"; eth_macclk <= not eth_macclk after 4 ns; -- spacewire loop-back spw_rxdp <= spw_txdp; spw_rxdn <= spw_txdn; spw_rxsp <= spw_txsp; spw_rxsn <= spw_txsn; d3 : entity work.leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow ) port map (rst, clk, sdclk, error, wdogn, address(27 downto 0), data, sa, sd, sdclk, sdcke, sdcsn, sdwen, sdrasn, sdcasn, sddqm, dsutx, dsurx, dsuen, dsubre, dsuact, txd1, rxd1, txd2, rxd2, ramsn, ramoen, rwen, oen, writen, read, iosn, romsn, brdyn, bexcn, gpio, emdio, eth_macclk, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, emdintn, etxd, etx_en, etx_er, emdc, pci_rst, pci_clk, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, pci_par, pci_req, pci_serr, pci_host, pci_66, pci_arb_req, pci_arb_gnt, can_txd, can_rxd, spw_clk, spw_rxdp, spw_rxdn, spw_rxsp, spw_rxsn, spw_txdp, spw_txdn, spw_txsp, spw_txsn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, usb_resetn ); -- optional sdram sd0 : if (CFG_MCTRL_SDEN = 1) and (CFG_MCTRL_SEPBUS = 0) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); end generate; sd1 : if ((CFG_MCTRL_SDEN = 1) and (CFG_MCTRL_SEPBUS = 1)) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); sd64 : if (CFG_MCTRL_SD64 = 1) generate u4: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u5: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); u6: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u7: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); end generate; end generate; prom0 : for i in 0 to (romwidth/8)-1 generate sr0 : sram generic map (index => i, abits => romdepth, fname => promfile) port map (address(romdepth+1 downto 2), data(31-i*8 downto 24-i*8), romsn(0), rwen(i), oen); end generate; sram0 : for i in 0 to (sramwidth/8)-1 generate sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile) port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), ramsn(0), rwen(0), ramoen(0)); end generate; phy0 : if (CFG_GRETH = 1) generate emdio <= 'H'; p0: phy generic map(address => 1) port map(rst, emdio, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, etxd, etx_en, etx_er, emdc, eth_macclk); end generate; usbtr: if (CFG_GRUSBHC = 1) generate u0: ulpi port map (usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, usb_resetn); end generate usbtr; usbdevsim: if (CFG_GRUSBDC = 1) generate u0: grusbdcsim generic map (functm => 0, keepclk => 1) port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir); end generate usbdevsim; usb_dclsim: if (CFG_GRUSB_DCL = 1) generate u0: grusb_dclsim generic map (functm => 0, keepclk => 1) port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, ddelay, dstart, drw, daddr, dlen, ddi, ddone, ddo); usb_dcl_proc : process begin wait for 10 ns; Print("GRUSB_DCL test started"); wait until rising_edge(ddone); -- Write 128 bytes to memory daddr <= X"40000000"; dlen <= conv_std_logic_vector(32,15); for i in 0 to 127 loop ddi(i) <= conv_std_logic_vector(i+8,8); end loop; -- i grusb_dcl_write(usb_clkout, drw, dstart, ddone); -- Read back written data grusb_dcl_read(usb_clkout, drw, dstart, ddone); -- Compare data for i in 0 to 127 loop if ddo(i) /= ddi(i) then Print("ERROR: Data mismatch using GRUSB_DCL"); end if; end loop; Print("GRUSB_DCL test finished"); wait; end process; end generate usb_dclsim; error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 2500 ns; if to_x01(error) = '1' then wait on error; end if; assert (to_x01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); -- data <= buskeep(data), (others => 'H') after 250 ns; data <= buskeep(data) after 5 ns; -- sd <= buskeep(sd), (others => 'H') after 250 ns; sd <= buskeep(sd) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_logic; signal dsutx : out std_logic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp); txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end ;
--wrapper for DSP functionality with the 7 series dsp48e1 interface. --this particular impl is for 7 series parts used with Vivado (XST doesn't include the inversion ports), and therefore uses a native dsp48e1 library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity dsp48e1plus is generic ( -- Feature Control Attributes: Data Path Selection A_INPUT : string := "DIRECT"; -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT : string := "DIRECT"; -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT : boolean := FALSE; -- Select D port usage (TRUE or FALSE) USE_MULT : string := "MULTIPLY"; -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD : string := "ONE48"; -- SIMD selection ("ONE48", "TWO24", "FOUR12") IS_ALUMODE_INVERTED : std_logic_vector (3 downto 0) := "0000"; IS_CARRYIN_INVERTED : bit := '0'; IS_CLK_INVERTED : bit := '0'; IS_INMODE_INVERTED : std_logic_vector (4 downto 0) := "00000"; IS_OPMODE_INVERTED : std_logic_vector (6 downto 0) := "0000000"; AUTORESET_PATDET : string := "NO_RESET"; -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK : bit_vector := X"3fffffffffff"; -- 48-bit mask value for pattern detect (1=ignore) PATTERN : bit_vector := X"000000000000"; -- 48-bit pattern match for pattern detect SEL_MASK : string := "MASK"; -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN : string := "PATTERN"; -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT : string := "NO_PATDET"; -- Enable pattern detect ("PATDET" or "NO_PATDET") ACASCREG : integer := 1; -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG : integer := 1; -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG : integer := 1; -- Number of pipeline stages for ALUMODE (0 or 1) AREG : integer := 1; -- Number of pipeline stages for A (0, 1 or 2) BCASCREG : integer := 1; -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG : integer := 1; -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG : integer := 1; -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG : integer := 1; -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG : integer := 1; -- Number of pipeline stages for C (0 or 1) DREG : integer := 1; -- Number of pipeline stages for D (0 or 1) INMODEREG : integer := 1; -- Number of pipeline stages for INMODE (0 or 1) MREG : integer := 1; -- Number of multiplier pipeline stages (0 or 1) OPMODEREG : integer := 1; -- Number of pipeline stages for OPMODE (0 or 1) PREG : integer := 1 -- Number of pipeline stages for P (0 or 1) ); port ( ACOUT : out std_logic_vector(29 downto 0); -- 30-bit output: A port cascade output BCOUT : out std_logic_vector(17 downto 0); -- 18-bit output: B port cascade output CARRYCASCOUT : out std_ulogic; -- 1-bit output: Cascade carry output MULTSIGNOUT : out std_ulogic; -- 1-bit output: Multiplier sign cascade output PCOUT : out std_logic_vector(47 downto 0); -- 48-bit output: Cascade output OVERFLOW : out std_ulogic; -- 1-bit output: Overflow in add/acc output PATTERNBDETECT : out std_ulogic; -- 1-bit output: Pattern bar detect output PATTERNDETECT : out std_ulogic; -- 1-bit output: Pattern detect output UNDERFLOW : out std_ulogic; -- 1-bit output: Underflow in add/acc output CARRYOUT : out std_logic_vector(3 downto 0); -- 4-bit output: Carry output P : out std_logic_vector(47 downto 0); -- 48-bit output: Primary data output ACIN : in std_logic_vector(29 downto 0) := (others=>'0'); -- 30-bit input: A cascade data input BCIN : in std_logic_vector(17 downto 0) := (others=>'0'); -- 18-bit input: B cascade input CARRYCASCIN : in std_ulogic := '0'; -- 1-bit input: Cascade carry input MULTSIGNIN : in std_ulogic := '0'; -- 1-bit input: Multiplier sign input PCIN : in std_logic_vector(47 downto 0) := (others=>'0'); -- 48-bit input: P cascade input ALUMODE : in std_logic_vector(3 downto 0) := (others=>'0'); -- 4-bit input: ALU control input CARRYINSEL : in std_logic_vector(2 downto 0) := (others=>'0'); -- 3-bit input: Carry select input CLK : in std_ulogic := '0'; -- 1-bit input: Clock input INMODE : in std_logic_vector(4 downto 0) := (others=>'0'); -- 5-bit input: INMODE control input OPMODE : in std_logic_vector(6 downto 0) := (others=>'0'); -- 7-bit input: Operation mode input A : in std_logic_vector(29 downto 0) := (others=>'0'); -- 30-bit input: A data input B : in std_logic_vector(17 downto 0) := (others=>'0'); -- 18-bit input: B data input C : in std_logic_vector(47 downto 0) := (others=>'0'); -- 48-bit input: C data input CARRYIN : in std_ulogic := '0'; -- 1-bit input: Carry input signal D : in std_logic_vector(24 downto 0) := (others=>'0'); -- 25-bit input: D data input CEA1 : in std_ulogic := '1'; -- 1-bit input: Clock enable input for 1st stage AREG CEA2 : in std_ulogic := '1'; -- 1-bit input: Clock enable input for 2nd stage AREG CEAD : in std_ulogic := '1'; -- 1-bit input: Clock enable input for ADREG CEALUMODE : in std_ulogic := '1'; -- 1-bit input: Clock enable input for ALUMODE CEB1 : in std_ulogic := '1'; -- 1-bit input: Clock enable input for 1st stage BREG CEB2 : in std_ulogic := '1'; -- 1-bit input: Clock enable input for 2nd stage BREG CEC : in std_ulogic := '1'; -- 1-bit input: Clock enable input for CREG CECARRYIN : in std_ulogic := '1'; -- 1-bit input: Clock enable input for CARRYINREG CECTRL : in std_ulogic := '1'; -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED : in std_ulogic := '1'; -- 1-bit input: Clock enable input for DREG CEINMODE : in std_ulogic := '1'; -- 1-bit input: Clock enable input for INMODEREG CEM : in std_ulogic := '1'; -- 1-bit input: Clock enable input for MREG CEP : in std_ulogic := '1'; -- 1-bit input: Clock enable input for PREG RSTA : in std_ulogic := '0'; -- 1-bit input: Reset input for AREG RSTALLCARRYIN : in std_ulogic := '0'; -- 1-bit input: Reset input for CARRYINREG RSTALUMODE : in std_ulogic := '0'; -- 1-bit input: Reset input for ALUMODEREG RSTB : in std_ulogic := '0'; -- 1-bit input: Reset input for BREG RSTC : in std_ulogic := '0'; -- 1-bit input: Reset input for CREG RSTCTRL : in std_ulogic := '0'; -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD : in std_ulogic := '0'; -- 1-bit input: Reset input for DREG and ADREG RSTINMODE : in std_ulogic := '0'; -- 1-bit input: Reset input for INMODEREG RSTM : in std_ulogic := '0'; -- 1-bit input: Reset input for MREG RSTP : in std_ulogic := '0' -- 1-bit input: Reset input for PREG ); end dsp48e1plus; architecture wrap of dsp48e1plus is begin DSP48E1_inst : DSP48E1 generic map ( A_INPUT => A_INPUT, B_INPUT => B_INPUT, USE_DPORT => USE_DPORT, USE_MULT => USE_MULT, USE_SIMD => USE_SIMD, IS_ALUMODE_INVERTED => IS_ALUMODE_INVERTED, IS_CARRYIN_INVERTED => IS_CARRYIN_INVERTED, IS_CLK_INVERTED => IS_CLK_INVERTED, IS_INMODE_INVERTED => IS_INMODE_INVERTED, IS_OPMODE_INVERTED => IS_OPMODE_INVERTED, AUTORESET_PATDET => AUTORESET_PATDET, MASK => MASK, PATTERN => PATTERN, SEL_MASK => SEL_MASK, SEL_PATTERN => SEL_PATTERN, USE_PATTERN_DETECT => USE_PATTERN_DETECT, ACASCREG => ACASCREG, ADREG => ADREG, ALUMODEREG => ALUMODEREG, AREG => AREG, BCASCREG => BCASCREG, BREG => BREG, CARRYINREG => CARRYINREG, CARRYINSELREG => CARRYINSELREG, CREG => CREG, DREG => DREG, INMODEREG => INMODEREG, MREG => MREG, OPMODEREG => OPMODEREG, PREG => PREG ) port map ( ACOUT => ACOUT, BCOUT => BCOUT, CARRYCASCOUT => CARRYCASCOUT, MULTSIGNOUT => MULTSIGNOUT, PCOUT => PCOUT, OVERFLOW => OVERFLOW, PATTERNBDETECT => PATTERNBDETECT, PATTERNDETECT => PATTERNDETECT, UNDERFLOW => UNDERFLOW, CARRYOUT => CARRYOUT, P => P, ACIN => ACIN, BCIN => BCIN, CARRYCASCIN => CARRYCASCIN, MULTSIGNIN => MULTSIGNIN, PCIN => PCIN, ALUMODE => ALUMODE, CARRYINSEL => CARRYINSEL, CLK => CLK, INMODE => INMODE, OPMODE => OPMODE, A => A, B => B, C => C, CARRYIN => CARRYIN, D => D, CEA1 => CEA1, CEA2 => CEA2, CEAD => CEAD, CEALUMODE => CEALUMODE, CEB1 => CEB1, CEB2 => CEB2, CEC => CEC, CECARRYIN => CECARRYIN, CECTRL => CECTRL, CED => CED, CEINMODE => CEINMODE, CEM => CEM, CEP => CEP, RSTA => RSTA, RSTALLCARRYIN => RSTALLCARRYIN, RSTALUMODE => RSTALUMODE, RSTB => RSTB, RSTC => RSTC, RSTCTRL => RSTCTRL, RSTD => RSTD, RSTINMODE => RSTINMODE, RSTM => RSTM, RSTP => RSTP ); end wrap;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reset.vhd -- Description: This entity encompasses the reset logic (soft and hard) for -- distribution to the axi_vdma core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library proc_common_v4_0; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reset is generic( C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_AXI_PRMRY_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_AXI_SCNDRY_ACLK_FREQ_HZ : integer := 100000000 -- Secondary clock frequency in hertz ); port ( -- Clock Sources m_axi_sg_aclk : in std_logic ; -- axi_prmry_aclk : in std_logic ; -- -- -- Hard Reset -- axi_resetn : in std_logic ; -- -- -- Soft Reset -- soft_reset : in std_logic ; -- soft_reset_clr : out std_logic := '0' ; -- soft_reset_done : in std_logic ; -- -- -- all_idle : in std_logic ; -- stop : in std_logic ; -- halt : out std_logic := '0' ; -- halt_cmplt : in std_logic ; -- -- -- Secondary Reset -- scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- prmry_resetn : out std_logic := '0' ; -- -- AXI DataMover Primary Reset (Raw) -- dm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_scndry_resetn : out std_logic := '1' ; -- -- AXI Primary Stream Reset Outputs -- prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Alternat Stream Reset Outputs -- altrnt_reset_out_n : out std_logic := '1' -- ); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of scndry_resetn : signal is "TRUE"; Attribute KEEP of prmry_resetn : signal is "TRUE"; Attribute KEEP of dm_scndry_resetn : signal is "TRUE"; Attribute KEEP of dm_prmry_resetn : signal is "TRUE"; Attribute KEEP of prmry_reset_out_n : signal is "TRUE"; Attribute KEEP of altrnt_reset_out_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_reset_out_n : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of altrnt_reset_out_n: signal is "no"; end axi_dma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Soft Reset Support signal s_soft_reset_i : std_logic := '0'; signal s_soft_reset_i_d1 : std_logic := '0'; signal s_soft_reset_i_re : std_logic := '0'; signal assert_sftrst_d1 : std_logic := '0'; signal min_assert_sftrst : std_logic := '0'; signal min_assert_sftrst_d1_cdc_tig : std_logic := '0'; --ATTRIBUTE async_reg OF min_assert_sftrst_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF min_assert_sftrst : SIGNAL IS "true"; signal p_min_assert_sftrst : std_logic := '0'; signal sft_rst_dly1 : std_logic := '0'; signal sft_rst_dly2 : std_logic := '0'; signal sft_rst_dly3 : std_logic := '0'; signal sft_rst_dly4 : std_logic := '0'; signal sft_rst_dly5 : std_logic := '0'; signal sft_rst_dly6 : std_logic := '0'; signal sft_rst_dly7 : std_logic := '0'; signal sft_rst_dly8 : std_logic := '0'; signal sft_rst_dly9 : std_logic := '0'; signal sft_rst_dly10 : std_logic := '0'; signal sft_rst_dly11 : std_logic := '0'; signal sft_rst_dly12 : std_logic := '0'; signal sft_rst_dly13 : std_logic := '0'; signal sft_rst_dly14 : std_logic := '0'; signal sft_rst_dly15 : std_logic := '0'; signal sft_rst_dly16 : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; -- Soft Reset to Primary clock domain signals signal p_soft_reset : std_logic := '0'; signal p_soft_reset_d1_cdc_tig : std_logic := '0'; signal p_soft_reset_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_soft_reset_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_soft_reset_d2 : SIGNAL IS "true"; signal p_soft_reset_d3 : std_logic := '0'; signal p_soft_reset_re : std_logic := '0'; -- Qualified soft reset in primary clock domain for -- generating mimimum reset pulse for soft reset signal p_soft_reset_i : std_logic := '0'; signal p_soft_reset_i_d1 : std_logic := '0'; signal p_soft_reset_i_re : std_logic := '0'; -- Graceful halt control signal halt_cmplt_d1_cdc_tig : std_logic := '0'; signal s_halt_cmplt : std_logic := '0'; --ATTRIBUTE async_reg OF halt_cmplt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_halt_cmplt : SIGNAL IS "true"; signal p_halt_d1_cdc_tig : std_logic := '0'; signal p_halt : std_logic := '0'; --ATTRIBUTE async_reg OF p_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_halt : SIGNAL IS "true"; signal s_halt : std_logic := '0'; -- composite reset (hard and soft) signal resetn_i : std_logic := '1'; signal scndry_resetn_i : std_logic := '1'; signal axi_resetn_d1_cdc_tig : std_logic := '1'; signal axi_resetn_d2 : std_logic := '1'; --ATTRIBUTE async_reg OF axi_resetn_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF axi_resetn_d2 : SIGNAL IS "true"; signal halt_i : std_logic := '0'; signal p_all_idle : std_logic := '1'; signal p_all_idle_d1_cdc_tig : std_logic := '1'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Internal Hard Reset -- Generate reset on hardware reset or soft reset ------------------------------------------------------------------------------- resetn_i <= '0' when s_soft_reset_i = '1' or min_assert_sftrst = '1' or axi_resetn = '0' else '1'; ------------------------------------------------------------------------------- -- Minimum Reset Logic for Soft Reset ------------------------------------------------------------------------------- -- Register to generate rising edge on soft reset and falling edge -- on reset assertion. REG_SFTRST_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_soft_reset_i_d1 <= s_soft_reset_i; assert_sftrst_d1 <= min_assert_sftrst; -- Register soft reset from DMACR to create -- rising edge pulse soft_reset_d1 <= soft_reset; end if; end process REG_SFTRST_FOR_RE; -- rising edge pulse on internal soft reset s_soft_reset_i_re <= s_soft_reset_i and not s_soft_reset_i_d1; -- CR605883 -- rising edge pulse on DMACR soft reset REG_SOFT_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then soft_reset_re <= soft_reset and not soft_reset_d1; end if; end process REG_SOFT_RE; -- falling edge detection on min soft rst to clear soft reset -- bit in register module soft_reset_clr <= (not min_assert_sftrst and assert_sftrst_d1) or (not axi_resetn); ------------------------------------------------------------------------------- -- Generate Reset for synchronous configuration ------------------------------------------------------------------------------- GNE_SYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly7 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle -- On soft reset or error -- mm2s dma controller will idle immediatly -- sg fetch engine will complete current task and idle (desc's will flush) -- sg update engine will update all completed descriptors then idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(resetn_i = '0')then halt_i <= '0'; elsif(soft_reset_re = '1' or stop = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- AXI Stream reset output REG_STRM_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_STRM_RESET_OUT; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream reset output REG_ALT_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then altrnt_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_ALT_RESET_OUT; end generate GEN_ALT_RESET_OUT; -- If in Simple mode or status control stream excluded GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Registered primary and secondary resets out REG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_resetn <= resetn_i; scndry_resetn <= resetn_i; end if; end process REG_RESET_OUT; -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn <= resetn_i; -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn <= resetn_i; end generate GNE_SYNC_RESET; ------------------------------------------------------------------------------- -- Generate Reset for asynchronous configuration ------------------------------------------------------------------------------- GEN_ASYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Primary clock is slower or equal to secondary therefore... -- For Halt - can simply pass secondary clock version of soft reset -- rising edge into p_halt assertion -- For Min Rst Assertion - can simply use secondary logic version of min pulse genator GEN_PRMRY_GRTR_EQL_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ >= C_AXI_SCNDRY_ACLK_FREQ_HZ generate begin -- CR605883 - Register to provide pure register output for synchronizer REG_HALT_CONDITIONS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_halt <= soft_reset_re or stop; end if; end process REG_HALT_CONDITIONS; -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running HALT_PROCESS : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_halt, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --p_halt_d1_cdc_tig <= soft_reset_re or stop; -- CR605883 -- p_halt_d1_cdc_tig <= s_halt; -- CR605883 -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. -- Adding 5 more flops to make up for 5 stages of Sync flops MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; end generate GEN_PRMRY_GRTR_EQL_SCNDRY; -- Primary clock is running slower than secondary therefore need to use a primary clock -- based rising edge version of soft_reset for primary halt assertion GEN_PRMRY_LESS_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ < C_AXI_SCNDRY_ACLK_FREQ_HZ generate signal soft_halt_int : std_logic := '0'; begin -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running soft_halt_int <= p_soft_reset_re or stop; HALT_PROCESS : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_halt_int, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_halt_d1_cdc_tig <= p_soft_reset_re or stop; -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; REG_IDLE2PRMRY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => all_idle, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_all_idle, scndry_vect_out => open ); -- REG_IDLE2PRMRY : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_all_idle_d1_cdc_tig <= all_idle; -- p_all_idle <= p_all_idle_d1_cdc_tig; -- end if; -- end process REG_IDLE2PRMRY; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(p_all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 primary clocks. MIN_RESET_ASSERTION : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then p_min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then p_min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; -- register minimum reset pulse back to secondary domain REG_MINRST2SCNDRY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_min_assert_sftrst, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => min_assert_sftrst, scndry_vect_out => open ); -- REG_MINRST2SCNDRY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- min_assert_sftrst_d1_cdc_tig <= p_min_assert_sftrst; -- min_assert_sftrst <= min_assert_sftrst_d1_cdc_tig; -- end if; -- end process REG_MINRST2SCNDRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate reset on hardware reset or soft reset if system is idle REG_P_SOFT_RESET : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_soft_reset = '1' and p_all_idle = '1' and halt_cmplt = '1')then p_soft_reset_i <= '1'; else p_soft_reset_i <= '0'; end if; end if; end process REG_P_SOFT_RESET; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Register qualified soft reset flag for generating rising edge -- pulse for starting minimum reset pulse REG_SOFT2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then p_soft_reset_i_d1 <= p_soft_reset_i; end if; end process REG_SOFT2PRMRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate rising edge pulse on qualified soft reset for min pulse -- logic. p_soft_reset_i_re <= p_soft_reset_i and not p_soft_reset_i_d1; end generate GEN_PRMRY_LESS_SCNDRY; -- Double register halt complete flag from primary to secondary -- clock domain. -- Note: halt complete stays asserted until halt clears therefore -- only need to double register from fast to slow clock domain. REG_HALT_CMPLT_IN : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => halt_cmplt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_halt_cmplt, scndry_vect_out => open ); -- REG_HALT_CMPLT_IN : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- halt_cmplt_d1_cdc_tig <= halt_cmplt; -- s_halt_cmplt <= halt_cmplt_d1_cdc_tig; -- end if; -- end process REG_HALT_CMPLT_IN; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and s_halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Register soft reset flag into primary domain to correcly -- halt data mover REG_SOFT2PRMRY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_reset, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_soft_reset_d2, scndry_vect_out => open ); REG_SOFT2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_soft_reset_d1_cdc_tig <= soft_reset; -- p_soft_reset_d2 <= p_soft_reset_d1_cdc_tig; p_soft_reset_d3 <= p_soft_reset_d2; end if; end process REG_SOFT2PRMRY1; -- Generate rising edge pulse for use with p_halt creation p_soft_reset_re <= p_soft_reset_d2 and not p_soft_reset_d3; -- used to mask halt reset below p_soft_reset <= p_soft_reset_d2; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(axi_resetn_d2 = '0')then halt_i <= '0'; elsif(p_halt = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- CR605883 (CDC) Create pure register out for synchronizer REG_CMB_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn_i <= resetn_i; end if; end process REG_CMB_RESET; -- Sync to mm2s primary and register resets out REG_RESET_OUT : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => scndry_resetn_i, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => axi_resetn_d2, scndry_vect_out => open ); -- REG_RESET_OUT : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --axi_resetn_d1_cdc_tig <= resetn_i; -- CR605883 -- axi_resetn_d1_cdc_tig <= scndry_resetn_i; -- axi_resetn_d2 <= axi_resetn_d1_cdc_tig; -- end if; -- end process REG_RESET_OUT; -- Register resets out to AXI DMA Logic REG_SRESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn <= resetn_i; end if; end process REG_SRESET_OUT; -- AXI Stream reset output prmry_reset_out_n <= axi_resetn_d2; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream alternate reset output altrnt_reset_out_n <= axi_resetn_d2; end generate GEN_ALT_RESET_OUT; -- If in Simple Mode or status control stream excluded. GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Register primary reset prmry_resetn <= axi_resetn_d2; -- AXI DataMover Primary Reset dm_prmry_resetn <= axi_resetn_d2; -- AXI DataMover Secondary Reset dm_scndry_resetn <= resetn_i; end generate GEN_ASYNC_RESET; end implementation;
---------------------------------------------------------------------------------- -- Company: ITESM CQ -- Engineer: Miguel Gonzalez A01203712 -- -- Create Date: 10:29:20 11/10/2015 -- Design Name: -- Module Name: Top - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Top module for robot sumo -- -- Dependencies: -- -- Revision: -- Revision 0.0.1 - File Created -- Revision 1.0.0 - Motor Implementation -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Top is Port ( in_Clk100MHz : in STD_LOGIC; in_Rst : in STD_LOGIC; in_line_top : in STD_LOGIC; out_motor_1 : out STD_LOGIC); end Top; architecture Behavioral of Top is -- Declarar componentes -- Comp : U1 Motor module component Motor Port ( in_Rst : in STD_LOGIC; in_Clk : in STD_LOGIC; in_Action_m : in STD_LOGIC_VECTOR(2 downto 0); out_motor : out STD_LOGIC); end component; -- Comp : U2 Robot Module component Robot Port ( in_sonic_1 : in STD_LOGIC; in_color_1 : in STD_LOGIC; in_clk : in STD_LOGIC; in_rst : in STD_LOGIC; out_action : out STD_LOGIC_VECTOR(2 downto 0)); end component; --Comp: U3 Line Detector Component Detector_Linea Port( in_Rst_dl : in STD_LOGIC; in_Clk : in STD_LOGIC; in_line_dl : in STD_LOGIC; out_Line: out STD_LOGIC); end component; --Comp: U4 Ultrasonic Component Ultrasonic Port( in_Rst_U : in STD_LOGIC; in_Clk_U : in STD_LOGIC; in_Tin_U : in STD_LOGIC; out_Tin_U : out STD_LOGIC; out_Tx_U : out STD_LOGIC_VECTOR); end component; -- signals -- no use constant aux_sonic : STD_LOGIC := '0'; constant aux_color : STD_LOGIC := '0'; -- 1 bit signal out_Line : STD_LOGIC := '0'; signal out_Tin_U : STD_LOGIC := '0'; -- 2 or more bit -- integer signal motor_1_action : STD_LOGIC_VECTOR(2 downto 0); begin -- instanciar componentes U4: Ultrasonic port map( in_Rst, in_Clk100MHz, in_Tin_U, out_Tin_U, out_Tx_U); U3: Detector_Linea port map( in_Rst, in_Clk100MHz, in_line_top, out_Line); U2: Robot port map ( aux_sonic, out_Line, in_Clk100MHz, in_rst, motor_1_action); -- TODO U1 : Motor port map ( in_Rst, in_Clk100MHz, motor_1_action, out_motor_1); end Behavioral;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_9; USE proc_sys_reset_v5_0_9.proc_sys_reset; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_9; USE proc_sys_reset_v5_0_9.proc_sys_reset; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_9; USE proc_sys_reset_v5_0_9.proc_sys_reset; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_9; USE proc_sys_reset_v5_0_9.proc_sys_reset; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_9; USE proc_sys_reset_v5_0_9.proc_sys_reset; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_9; USE proc_sys_reset_v5_0_9.proc_sys_reset; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_9; USE proc_sys_reset_v5_0_9.proc_sys_reset; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_9; USE proc_sys_reset_v5_0_9.proc_sys_reset; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity repro4 is end ; architecture beh of repro4 is type str_acc is access string; type bv_acc is access bit_Vector; function f return str_acc is begin return new String'("abc"); end f; function f return bv_acc is begin return new bit_vector'("001"); end f; begin process variable foo, bar : std_logic; begin f.all := "010"; wait; end process; end architecture;
architecture RTL of FIFO is begin process is begin end process; -- Violations below process IS begin end process; end architecture RTL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; -- Main Wishbone Definitions use work.wishbone_pkg.all; -- Custom Wishbone Modules use work.dbe_wishbone_pkg.all; -- Wishbone Stream Interface use work.wb_stream_pkg.all; -- Register Bank use work.fmc150_wbgen2_pkg.all; library UNISIM; use UNISIM.Vcomponents.all; entity system_test_tb is end system_test_tb; architecture sim of system_test_tb is -- Constants -- 100.00 MHz clock constant c_100mhz_clk_period : time := 10.00 ns; -- 200.00 MHz clock constant c_200mhz_clk_period : time := 5.00 ns; -- 61.44 MHz clock constant c_sim_adc_clk_period : time := 16.00 ns; -- 128.88 MHz clock constant c_sim_adc_clk2x_period : time := 8.00 ns; constant c_sim_time : time := 10000.00 ns; signal g_end_simulation : boolean := false; -- Set to true to halt the simulation -- Clock signals signal clk_100mhz : std_logic := '0'; signal clk_200mhz : std_logic := '0'; signal clk_sys : std_logic := '0'; signal rst_n_i : std_logic := '0'; -- Wishbone signals signal wb_slv_in : t_wishbone_slave_in := cc_dummy_slave_in; signal wb_slv_out : t_wishbone_slave_out; signal wbs_src_in : t_wbs_source_in := cc_dummy_src_in; signal wbs_src_out : t_wbs_source_out; -- Dummy signals constant cc_zero_bit : std_logic := '0'; -- Simulation signals signal s_sim_adc_clk : std_logic := '0'; signal s_sim_adc_clk2x : std_logic := '0'; signal s_sim_adc_cha_data : std_logic_vector(6 downto 0); signal s_sim_adc_chb_data : std_logic_vector(6 downto 0); signal s_sim_adc_valid : std_logic; ----------------------------------------- -- Components ----------------------------------------- component dbe_bpm_simple_top port( ----------------------------------------- -- Clocking pins ----------------------------------------- --clk100_i : in std_logic; sys_clk_p_i : in std_logic; sys_clk_n_i : in std_logic; ----------------------------------------- -- Reset Button ----------------------------------------- sys_rst_button_i : in std_logic; ----------------------------------------- -- FMC150 pins ----------------------------------------- --Clock/Data connection to ADC on FMC150 (ADS62P49) adc_clk_ab_p_i : in std_logic; adc_clk_ab_n_i : in std_logic; adc_cha_p_i : in std_logic_vector(6 downto 0); adc_cha_n_i : in std_logic_vector(6 downto 0); adc_chb_p_i : in std_logic_vector(6 downto 0); adc_chb_n_i : in std_logic_vector(6 downto 0); --Clock/Data connection to DAC on FMC150 (DAC3283) dac_dclk_p_o : out std_logic; dac_dclk_n_o : out std_logic; dac_data_p_o : out std_logic_vector(7 downto 0); dac_data_n_o : out std_logic_vector(7 downto 0); dac_frame_p_o : out std_logic; dac_frame_n_o : out std_logic; txenable_o : out std_logic; --Clock/Trigger connection to FMC150 --clk_to_fpga_p_i : in std_logic; --clk_to_fpga_n_i : in std_logic; --ext_trigger_p_i : in std_logic; --ext_trigger_n_i : in std_logic; -- Control signals from/to FMC150 --Serial Peripheral Interface (SPI) spi_sclk_o : out std_logic; -- Shared SPI clock line spi_sdata_o : out std_logic; -- Shared SPI data line -- ADC specific signals adc_n_en_o : out std_logic; -- SPI chip select adc_sdo_i : in std_logic; -- SPI data out adc_reset_o : out std_logic; -- SPI reset -- CDCE specific signals cdce_n_en_o : out std_logic; -- SPI chip select cdce_sdo_i : in std_logic; -- SPI data out cdce_n_reset_o : out std_logic; cdce_n_pd_o : out std_logic; cdce_ref_en_o : out std_logic; cdce_pll_status_i : in std_logic; -- DAC specific signals dac_n_en_o : out std_logic; -- SPI chip select dac_sdo_i : in std_logic; -- SPI data out -- Monitoring specific signals mon_n_en_o : out std_logic; -- SPI chip select mon_sdo_i : in std_logic; -- SPI data out mon_n_reset_o : out std_logic; mon_n_int_i : in std_logic; --FMC Present status prsnt_m2c_l_i : in std_logic; ----------------------------------------- -- UART pins ----------------------------------------- uart_txd_o : out std_logic; uart_rxd_i : in std_logic; ----------------------------------------- -- Button pins ----------------------------------------- buttons_i : in std_logic_vector(7 downto 0); ----------------------------------------- -- User LEDs ----------------------------------------- leds_o : out std_logic_vector(7 downto 0) ); end component; -------------------------------- -- Functions and Procedures -------------------------------- -- Generate dummy (0) values function f_zeros(size : integer) return std_logic_vector is begin return std_logic_vector(to_unsigned(0, size)); end f_zeros; -- Generate bit with probability of '1' equals to 'prob' procedure gen_valid(prob : real; variable seed1, seed2 : inout positive; signal result : out std_logic) is variable rand: real; -- Random real-number value in range 0 to 1.0 begin uniform(seed1, seed2, rand); -- generate random number if (rand > prob) then result <= '1'; else result <= '0'; end if; end procedure; -- Generate random std_logic_vector procedure gen_data(size : positive; variable seed1, seed2 : inout positive; signal result : out std_logic_vector) is variable rand : real; -- Random real-number value in range 0 to 1.0 variable int_rand : integer; -- Random integer value in range 0..2^(c_wbs_data_width/2) variable stim : std_logic_vector(c_wbs_data_width-1 downto 0); -- Random c_wbs_data_width-1 bit stimulus begin uniform(seed1, seed2, rand); -- generate random number int_rand := integer(trunc(rand*real(2**(c_wbs_data_width/2)))); -- rescale to 0..2^(c_wbs_data_width/2), find integer part stim := std_logic_vector(to_unsigned(int_rand, stim'length)); -- convert to std_logic_vector result <= stim(size-1 downto 0); end procedure; begin -- sim p_100mhz_clk_gen : process begin while g_end_simulation = false loop wait for c_100mhz_clk_period/2; clk_100mhz <= not clk_100mhz; wait for c_100mhz_clk_period/2; clk_100mhz <= not clk_100mhz; end loop; wait; -- simulation stops here end process; p_200mhz_clk_gen : process begin while g_end_simulation = false loop wait for c_200mhz_clk_period/2; clk_200mhz <= not clk_200mhz; wait for c_200mhz_clk_period/2; clk_200mhz <= not clk_200mhz; end loop; wait; -- simulation stops here end process; -- Sim ADC clock gen p_sim_adc_clk_gen : process begin while g_end_simulation = false loop wait for c_sim_adc_clk_period/2; s_sim_adc_clk <= not s_sim_adc_clk; wait for c_sim_adc_clk_period/2; s_sim_adc_clk <= not s_sim_adc_clk; end loop; wait; -- simulation stops here end process; -- Sim ADC clock gen p_sim_adc_clk_2x_gen : process begin while g_end_simulation = false loop wait for c_sim_adc_clk2x_period/2; s_sim_adc_clk2x <= not s_sim_adc_clk2x; wait for c_sim_adc_clk2x_period/2; s_sim_adc_clk2x <= not s_sim_adc_clk2x; end loop; wait; -- simulation stops here end process; p_gen_adc_valid : process variable seed1, seed2: positive; -- Seed values for random generator begin seed1 := 67632; seed2 := 3234; s_sim_adc_valid <= '0'; -- Wait until reset completion (synch with adc clock domain) wait until rst_n_i = '1' and rising_edge(s_sim_adc_clk); l_generate_valid: loop gen_valid(0.5, seed1, seed2, s_sim_adc_valid); wait until rising_edge(s_sim_adc_clk); end loop; end process; p_gen_adc_data : process variable seed1, seed2: positive; -- Seed values for random generator begin seed1 := 432566; seed2 := 211; s_sim_adc_cha_data <= (others => '0'); s_sim_adc_chb_data <= (others => '0'); -- Wait until reset completion (synch with adc clock domain) wait until rst_n_i = '1' and rising_edge(s_sim_adc_clk); l_generate_data: loop gen_data(s_sim_adc_cha_data'length, seed1, seed2, s_sim_adc_cha_data); gen_data(s_sim_adc_chb_data'length, seed1, seed2, s_sim_adc_chb_data); wait until rising_edge(s_sim_adc_clk); end loop; end process; p_main_simulation : process begin -- Generate reset signal rst_n_i <= '0'; wait for 3*c_100mhz_clk_period; rst_n_i <= '1'; wait for c_sim_time; g_end_simulation <= true; wait; end process; cmp_dut : dbe_bpm_simple_top --generic map --( --g_interface_mode => PIPELINED, --g_address_granularity => WORD, --g_packet_size => 32 --g_sim => 1 --) port map ( sys_clk_p_i => clk_100mhz, sys_clk_n_i => clk_100mhz, sys_rst_button_i => '0', ----------------------------- -- External ports ----------------------------- --Clock/Data connection to ADC on FMC150 (ADS62P49) adc_clk_ab_p_i => s_sim_adc_clk,--s_adc_clk_ab_p, adc_clk_ab_n_i => s_sim_adc_clk,--s_adc_clk_ab_n, adc_cha_p_i => s_sim_adc_cha_data, adc_cha_n_i => s_sim_adc_cha_data, adc_chb_p_i => s_sim_adc_chb_data, adc_chb_n_i => s_sim_adc_chb_data, --Clock/Data connection to DAC on FMC150 (DAC3283) dac_dclk_p_o => open, dac_dclk_n_o => open, dac_data_p_o => open, dac_data_n_o => open, dac_frame_p_o => open, dac_frame_n_o => open, txenable_o => open, --Clock/Trigger connection to FMC150 --clk_to_fpga_p_i => cc_zero_bit, --clk_to_fpga_n_i => cc_zero_bit, --ext_trigger_p_i => cc_zero_bit, --ext_trigger_n_i => cc_zero_bit, -- Control signals from/to FMC150 --Serial Peripheral Interface (SPI) spi_sclk_o => open, -- Shared SPI clock line spi_sdata_o => open, -- Shared SPI data line -- ADC specific signals adc_n_en_o => open, -- SPI chip select adc_sdo_i => cc_zero_bit, -- SPI data out adc_reset_o => open, -- SPI reset -- CDCE specific signals cdce_n_en_o => open, -- SPI chip select cdce_sdo_i => cc_zero_bit, -- SPI data out cdce_n_reset_o => open, cdce_n_pd_o => open, cdce_ref_en_o => open, cdce_pll_status_i => cc_zero_bit, -- DAC specific signals dac_n_en_o => open, -- SPI chip select dac_sdo_i => cc_zero_bit, -- SPI data out -- Monitoring specific signals mon_n_en_o => open, -- SPI chip select mon_sdo_i => cc_zero_bit, -- SPI data out mon_n_reset_o => open, mon_n_int_i => cc_zero_bit, --FMC Present status prsnt_m2c_l_i => cc_zero_bit, ----------------------------------------- -- Wishbone Streaming Interface Source ----------------------------------------- uart_txd_o => open, uart_rxd_i => '0', ----------------------------------------- -- Button pins ----------------------------------------- buttons_i => f_zeros(8), ----------------------------------------- -- User LEDs ----------------------------------------- leds_o => open ); end sim;
package body fifo_pkg is end PACKAGE body fifo_pkg; package body fifo_pkg is end PACKAGE body fifo_pkg;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 21:36:09 09/10/2011 -- Design Name: -- Module Name: sumador - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity sumador is Port ( num : in STD_LOGIC_VECTOR (2 downto 0); suma : out STD_LOGIC_VECTOR (2 downto 0)); end sumador; architecture Behavioral of sumador is begin with num select suma <= "001" when "000", "010" when "001", "011" when "010", "100" when "011", "101" when "100", "110" when "101", "111" when "110", "000" when "111", "---" when others; end Behavioral;
------------------------------------------------------------------------------- -- Title : Signal Delay Left and Right -- Author : Michael Wurm <[email protected]> ------------------------------------------------------------------------------- -- Description : Unit delays left and right channel independent. Each channel's -- delay can be configured separately. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Template is generic ( gMaxDelay : natural := 100; -- [ms] maximum delay (until a value is shifted through) gNewDataFreq : natural := 48000; -- [Hz] frequency, with which new data is stored in the SR gDataWidth : natural := 24 -- bitwidth of a single register ); port ( csi_clk : in std_logic; rsi_reset_n : in std_logic; -- Avalon MM Slave Port s0 - used for config parameters avs_s0_write : in std_logic; avs_s0_address : in std_logic_vector( 2 downto 0); avs_s0_writedata : in std_logic_vector(31 downto 0); -- Avalon ST sink left and right channel asi_left_data : in std_logic_vector(gDataWidth-1 downto 0); asi_left_valid : in std_logic; asi_right_data : in std_logic_vector(gDataWidth-1 downto 0); asi_right_valid : in std_logic; -- Avalon ST source left and right channel aso_left_data : out std_logic_vector(gDataWidth-1 downto 0); aso_left_valid : out std_logic; aso_right_data : out std_logic_vector(gDataWidth-1 downto 0); aso_right_valid : out std_logic ); end entity Template;
-- opa: Open Processor Architecture -- Copyright (C) 2014-2016 Wesley W. Terpstra -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- To apply the GPL to my VHDL, please follow these definitions: -- Program - The entire collection of VHDL in this project and any -- netlist or floorplan derived from it. -- System Library - Any macro that translates directly to hardware -- e.g. registers, IO pins, or memory blocks -- -- My intent is that if you include OPA into your project, all of the HDL -- and other design files that go into the same physical chip must also -- be released under the GPL. If this does not cover your usage, then you -- must consult me directly to receive the code under a different license. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.opa_pkg.all; use work.opa_functions_pkg.all; use work.opa_components_pkg.all; entity opa_lcell is port( a_i : in std_logic; b_o : out std_logic); end opa_lcell; architecture rtl of opa_lcell is begin b_o <= a_i; end rtl;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc457.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00457ent IS END c03s02b01x01p19n01i00457ent; ARCHITECTURE c03s02b01x01p19n01i00457arch OF c03s02b01x01p19n01i00457ent IS type four_value is ('Z','0','1','X'); type four_value_vector is array (natural range <>) of four_value; function resolution14(i:in four_value_vector) return four_value is variable temp : four_value := 'Z'; begin return temp; end resolution14; subtype four_value_state is resolution14 four_value; type state_vector is array (natural range <>) of four_value_state; constant C63 : state_vector := ('Z','Z','Z','Z'); function complex_scalar(s : state_vector) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return state_vector is begin return C63; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : state_vector(0 to 3); signal S2 : state_vector(0 to 3); signal S3 : state_vector(0 to 3) := C63; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C63) and (S2 = C63)) report "***PASSED TEST: c03s02b01x01p19n01i00457" severity NOTE; assert ((S1 = C63) and (S2 = C63)) report "***FAILED TEST: c03s02b01x01p19n01i00457 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00457arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc457.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00457ent IS END c03s02b01x01p19n01i00457ent; ARCHITECTURE c03s02b01x01p19n01i00457arch OF c03s02b01x01p19n01i00457ent IS type four_value is ('Z','0','1','X'); type four_value_vector is array (natural range <>) of four_value; function resolution14(i:in four_value_vector) return four_value is variable temp : four_value := 'Z'; begin return temp; end resolution14; subtype four_value_state is resolution14 four_value; type state_vector is array (natural range <>) of four_value_state; constant C63 : state_vector := ('Z','Z','Z','Z'); function complex_scalar(s : state_vector) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return state_vector is begin return C63; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : state_vector(0 to 3); signal S2 : state_vector(0 to 3); signal S3 : state_vector(0 to 3) := C63; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C63) and (S2 = C63)) report "***PASSED TEST: c03s02b01x01p19n01i00457" severity NOTE; assert ((S1 = C63) and (S2 = C63)) report "***FAILED TEST: c03s02b01x01p19n01i00457 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00457arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc457.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00457ent IS END c03s02b01x01p19n01i00457ent; ARCHITECTURE c03s02b01x01p19n01i00457arch OF c03s02b01x01p19n01i00457ent IS type four_value is ('Z','0','1','X'); type four_value_vector is array (natural range <>) of four_value; function resolution14(i:in four_value_vector) return four_value is variable temp : four_value := 'Z'; begin return temp; end resolution14; subtype four_value_state is resolution14 four_value; type state_vector is array (natural range <>) of four_value_state; constant C63 : state_vector := ('Z','Z','Z','Z'); function complex_scalar(s : state_vector) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return state_vector is begin return C63; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : state_vector(0 to 3); signal S2 : state_vector(0 to 3); signal S3 : state_vector(0 to 3) := C63; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C63) and (S2 = C63)) report "***PASSED TEST: c03s02b01x01p19n01i00457" severity NOTE; assert ((S1 = C63) and (S2 = C63)) report "***FAILED TEST: c03s02b01x01p19n01i00457 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00457arch;
package pkg is generic ( type T ); end package; use work.pkg.all; entity test is end entity; architecture tb of test is package p is new package pkg generic map ( T => integer ); begin end architecture;
package pkg is generic ( type T ); end package; use work.pkg.all; entity test is end entity; architecture tb of test is package p is new package pkg generic map ( T => integer ); begin end architecture;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016 -- Date : Sat Jan 21 14:32:47 2017 -- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS -- Command : write_vhdl -force -mode funcsim -- /media/natu/data/proj/myproj/NPU/fpga_implement/npu8/npu8.srcs/sources_1/ip/mult16_16/mult16_16_sim_netlist.vhdl -- Design : mult16_16 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xcku035-fbva676-3-e -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA I7rHN/CieA== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5 Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo OP1PSFj5jpodG+LwXm4= `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF /kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3 251QPjQoZCw3A7W9PDc= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4 udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7 rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61 /ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2 hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg== `protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50 ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa" `protect encoding = (enctype="base64", line_length=76, bytes=256) `protect key_block FkAWc5Bj5ErLewd8GIuJGQZEvxCoYSTaxPkscA6zQSiXJfEYdXwqIlVL+BKV/z1Aoar9g4glOhj1 XtAj5vhBiaD0Miv2E/GaOuFJAaVqZWKXDPZXnzGw0yHvj7QKxHXYSDW9kweXpiJls9daYP83uKmD 21EaewFDaPumffYI07OqDXeaIKxHyUVTCXfdpciqSkbrSBVPdXzUgbBE3LnFJ2uHnWhtQ0DLYSOa urt5EjlitVU6m4eEBPnhowUuQ7lEIxEoJX7e9zZpHpvM58zRhsh1Glt/ql9BHqEsapPGhNCv4o5P 4Mx4eqlr5nBNGBaacYpHLJseEixMUXIZSD3vLQ== `protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa" `protect encoding = (enctype="base64", line_length=76, bytes=256) `protect key_block qh9f8JBIWYwG//9uEO+HsWeR4csH8AUiONOJl9qIRjC/WiZQ/HUk9UJFyNpvzN0EdlzzBcN3/CA2 pAtqsVWzxi/EXytuwzebkUo4MBLtEi7ZNkVxuwZwbc46HIiVbffOJ2W1FooQLhKP/xzUwpNfDdDM y40SpizGhPwdJO+j7GPAsaCbewLOpAFp0JrqS7nT5UfDBzJRVZF8qcuEAfwU80uG4SV7PLryR1oC iCkxbl3fzM9JmrcPPvO8Fk/xQIPniWdda2uzjeE3PDxo/MUgQI0j4QY3BOxYrQ8wEMmsnkw0z2cH G5Dzq/1vAkBXO3Dye0xKU5kHKDAXqoRx5C7XjQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 430448) `protect data_block QtvZ8va7e8ZlISHuBbTner9OrZfdjw0Md716339zSGx26rg5T1nVyUpWS36teZ/xcGYQmRbVafl1 zhM734s5X8x+ssOOq45YzNQILXGXmoF5vwAK1td1QV2HzJtk7iyY2eLKrIEuhPLBSAa+UHnpRF/o BoWsZMm+fhqQXja6Sg10WWIEH1bqXiMBd5EyHwdoxI5oO35ZGbdqXX5otkvEUgHconrQlVgxtDsU MTbbXEkK8mIUxLohOgRa3pT321tpNPGHtwPIY+OpDt9O5lFoUpf5ocR7psXtD3riddFvGa6vWSms 3+8M6tZ1l1Hb2TrnodzKviiVyOpaQcH3A8CRfBySUNRYatyO9vefs3HJtvdHcUm1Ft3URx7r4Crf JxVok06tUyHmUSedOku1pomeHSBfX+CbK1460J9iRd9kjA2f/qIivAXysE1LxVv5uFAThCZA1Gqt hvpx4HM4wmNUxyShPl3XsDp60GpG59FeVUG0tnyMGtMWWI57jV7iryPy/TAAF23XaT2Oy32ISVJi DclU1ETVjbS80W0HQJd7b/poW70bRUnL/vO3RbkP2e8fPd9jKm/P6205NWXiDD9jd0+3Tgwne0e2 nJbFGL/fB0IiVEq2t4r85fKT0X4z8mIQx5QCVgYO5D13UWTR3JTsErTNd9i2w+X4UKviFNIpNnSM SG3Ziah3rZVCzZh7Gh+rp9pthbZ9aN7WckRF/4C80St1AJhvYVzrwe71ojTXWMJvcwUOKoV7cKT4 4BugvGu9uKjDqMuulUWLMS3VaoB9TLGqhDQRv8+d+ewliur2GKKNDRKV516XL68/u/WX8RRU/UXa r9MGRn6NNKYf+SWHx73TuyuH6LCWmIqNAIzSNYfoHXiqZ0X8AWcXva4bzujXA9nUqfHlqi8DaT2j /nSDPrW7JABn5uypPHJK/REoocNfY+4VDdadRfAsSSj5PFjGSt6f59MoWozHO9U80PtiOx4s/81C DASn8rrpfGddFmdIQKFfshZE//DD7vLusFsZB6u6WAQxglAAQr/HWnQgVKQTaYp83ACB18+zX884 iUrPGezPEriB2eiI3+sCZjC7SRxlIfDEdXFjwRfdzdCT6h46kzqX0azTPrv2i5m0oolaKxp04UNV jvfDbOgbyAJsP0Etwppc/gHNdERrpcoM10PhO7PRklFoxBd/73H64sp6TjVecn4LoiAErg7VKyLY NV4VkrbXO8zhf6Lsd9vwtv6RN40dL0B/QNzmBiYyjYZ4dUtcR8W+XRIOqSvFtC6Tvyoi0Mo57++G NfqU+1c2AOX1m1WKj8T113xI2/u02VK2gQ5TtqbJgOdgylA0K17qE46DsOcuNWjjI+4PGp9NRO14 AJGXZkzaNLAnvGzyq97IqpRlueEUhotL7ZuZZyES/pXWeOfaR11TSFSdxaZlh2RMvl8q8PeJp9vV uvkgieV6+NdzaVrMfQ7KfhqqyyF6sUBkZT/ngMvJk+48+oFqGIAR+pgGLEHPwVr0QGKF0fA3giT/ +5BUr2E1U/d2qB4h1BnJu9u6rtcRFR5QLjExT76RUk1YBO0wU1MrGWKJDWDSKuDGXb2hWJ0V1h3a KB/Nwzj72PpqDcDZ0yg6deHek/CZwoWzpS34LrD3FtKxWHOUobomOFUi8q7as59kHewtI+IPgXb/ /z1gyJ+Ytk6I1w+kaeSeZeX0+fgQSDJeiQ2ns33djd/6rCwVvQM79f09GwgKR1wcs+YdcOa7eR0U iskKPIUfp5DoMxB8hkdOBQcVoh0bIxQX9lf/7WOLlB86vGDzDeLHSA2NR3ONEjf1dbcAiQ4Zz4WV g2G6/nl5oPPJKUQ7hGJt9o9ByYG8hJzPTWPOzuhtUh18DSf2O+Z2aCq4V47RqeqNjS7Ln1xYMUQa Ooa2Dp+c1FjHUZPvZT/DrxFltbilstAQy/0kq7CXfBZimLYXa5aeYd6zI5qrxyPdgoTShyhXJcuF B2zSLplmm9YpJsQAtlblNpLRtXPQl/npDJIpKTAMKl4PQFGoPmifVungGpxs1wqssg6j0P4+mkp0 tRPEpd0kE8VM9RywfhCWrgahSToEkTMp4cxMSApj28oIN7jHenaQKmjW5mtrQB2S9XqwAPsI/EG/ 0439g7PhpePUpLbSUutdMxwQXh2mGXL/Y5Ir28tcxKUrjoXnkq8iA+uBKEOfOFHH33hbV+JKN3/z F2czCgafdgXdQITCv2vKW4GrD4OvWbGqvafIlCMKer4grHJt9o5reTxi3XHs8tM2gAM0J+9MbthQ zz2dFFYnvyhgJUoPRGwZV8lk7iyunUd8eYK8GYYkNwaN+tOSEecagaG59AIU+ImxJE1kC0wO9Vw9 Z1d0s0S2SB46+0g9A8+L+naq6ggsbRIsea3kM6Fv3phUJrpKnEXiLI3rcB+TerjJKQl+AG77vTwu 2hmddW84P5qVqgBw7STN9OUbRXdxeR1Ux8M1JjdGhouee/oKW6lA350O5RL9bahx5NERdOQsV/nZ zjfBT+cue9osytjQT50o8oujFU3uvrM1G5ABKZWyCNtmO/WtZXTToYMJV91mEh2IIB0qcFu6UZyh KBFNjrrKe8+3XwkY8ZIdM1FFEdHpiyO5zyTBnMFdD51UXQz5B1gPRyHii1z9j8dieUf6jMUpGBfw l10ziVdxVTMBR2nC168sBlhxbFoQlSB8TywiY5CkT17ahKfPn2sFvtRUp6VQ3w3CKPgvVq0Tt0hv +XaA/TAblqloSA1jOumcRWigNPa8NjTduh3OsYFAwbT4+w74I8xPrlCIU1sdYG8oYLJYsvV5Cd1R /WVrtPX3Z9V0JKU+Y9nD7BGqoF6ebI7XKDM6YJnvPK7UedWAyOqEoUWXxccEhdBJFkQ9IfeRWdJ6 WfYf6IYncQwD8T1wfhODlyC2005sJv+jgNwYxVZzfvDXBSHXVFFFD5p7GWBXqJcZL/y/x28Pl6v/ Gch24X/yjhuaDOEdCY9M5IZ0uuQBsUw3gr8/1l76ixhCiRGq1DPaj/5HETz6Od2BMVXTw8v9qj4h pVVJCTsM/bOa73fufJU4W2xaMSonEk0h4SXxQpDsYPci9sUdwjjCPKH7Ntcd9i2dpl9jdKGwNUkv lKazlF1HtEubXwvE/P05PRGbHb3DOAoA2G9JlyHT/4WaC/yoDr1RFAny3oU1XkYHsBGXxAPE8oM5 Jnk1dHb6SXysId9SF10bgzArP6PTjPl9rzhFkHHpRuNskKelwstNLOuqfe5eQZhlMXFg6xLvVP7u jplhAonsliwyHdJF68O/nSCPejsGXroGBbHRWx0fZQANTyYhKmPSjRQlwQxbDloq3f8SL4rNVcyM 9InwlIT+SYALsvJQBLCcylHohLZhHqx0xVdtc+k7oHsoc6kk2zTZgG24yKruiNCZOiFtS4qYzV/6 EGFpLyCXzYR0tzB297jixsVMuQVp3LhdgbBBZh0JvMmlsZo2uwHn/plQIwnzsACLgELXSy3C0x4t LIaW33EG7pueLkWETBgy1InR41tzNgZy3vAq9/ziJlAGEu64xmW/TjY12Y102T4GEfzZtH/8zUtp rSeDg9uKmqBVgSCC1f1xoddZxs95nsIMo/fE1YuePVQFVZUPIIKg2cWPCOLGoc5xjzu8+kPLXIeW 5zoZ4D3TU52Apacyo7l79UqJQ9jou0GKmtSSFDtqktHoSNd3y9kSliH10WoD+Eu2wjqSGMzi81pf 8EhgF4itEtpL1IQ4ylfvsWnZ0v0by3saSgIBv6M/rRkuHpIWmDiznow9HJ6/EWIeQlsfzyyJolEc HdzavCyfiIIs/OvDDt88hAjeu+v5O7MsFpztcm4oeZvj4QamSI3tEqf4qoSlNVpHJsXNk/kqhY2Q AY+9wZDQz1k4lqYgTgwNBNiE/m1LhVhUr0yavcV4Q7I1jpVzonoYQLCD6kJuI8Pvw7hFRYWT7zIX Q4N7oNyZK810Da95MN5QdyLSUtGIA48cEOiiLeRTcAs6QBKdR6i/QMBanI+GFyaLGrxymuhSRcJ6 hPLDuIdcA+4HMKO6RoJkHVkFGF5SyKf9vaGi6Yj245rH1U6fAJHc4VdBnjFKU7dPcAnnKsxxVDha dILXaSOf7Lxp7+Lm6YtX1OpFCEtp4HOcL4haiNG9O025ColoDtA/wg0wo0OoseNrqbrG8R2lZXcy wtIHlswROw5Z5v9DUiGfzXSRM7QbGMDlk1rucA0BWEX/7dnVtHHcT4M4xcCw2sZpO9jpIlrvgSMn q9yFwyOGEoRWdoyrjjyKUdR7wz6tiP/p6W2XC11gd59sOtJMsE5/6ITbPGWwlocDsXMWTYnmFR21 pad0qgOndTtnbKeh7Qn1b66EQOdBtUli0fQ2B5ayF2Dmgmi7OaCUZ9nthfojqxaNYOJjOvkk5wlC eLjh93gtnvQp2mVEVMpLGyrA+BZLfMBJCXY+m+K0lO7G43+e/deAC4rO703U+WKg5cCBWpDOpHf9 Ra0HOi3mldnxD0v179wrHpHluXFk84vFSrcqceT36SGzx6CIKqFlJ3WXf2Q3AP0nhV+p+D3EFz19 0vekljBASAJXU63kH9ouOL2DoWU+4WvJARU6Iy9tZv0xUXt9xukcEKLOd5v4IuubipzflIzS5lkp 0F27eaVqj1egUtiNGfuPlYkr2+z//1tLoI03FcUOmPcVmNKO0ujSBJHP/ZgMgWNo7zfEpiscwywq 2nUpNgAlZxAYk3blkgVHou6+3KZD8x3pgsOxXx8YTaltwk5569V6AuzGeTwaOeAPBUaVGuQ55rVk B0jqOoYpPPEh2ZI0JVaVpThS6KkZvDlK7VkqZ1DY3JEnNpsFawMemzkVSRLVS2OzhpDVbcpez4K0 DtDjCSHldqcnfIoDlWz6gFVjJhLJ++sEMqACZV7xRXyUB7Jwbgp507wE9O49u5TbBQgc6dDa7a2k 2LETc4ADVKwvzohCBGCMh/6ds9j1vjV83fwk8AKmn1M0fxaBRymrr0TS8JvtFpgcfsCf8vTAEwdG y+z68h4BzzOmcsGflEHiZ6ZCjqlXe0Wbjq3IFspySUVxx4hW8erB9BHPxuL9jp1kxOTBwuhz5gii 3z0F3f8ho3tZJp9hTT3alVIPtOfQBkDunH1MDIwB1f0++Yb8WVhONHLfyowrbN5eUeXzIcT+XM+D vcA9XVym+xJLtQNCQTqmGyXnOamt4kOCKviQkY9qnr1kDDeUNHEggouWB2Ah0kjKctCMyetR0NcJ 5GniXDtEEryX6iHgVfiGZDFrr1bPN56duzSNpaiPONUlb2/PfgBKrsrIMdxmETc2gEAkZ/axdzBR XySWjPWmBydki2F5YnJX7aab+0p+Hy0g9tTglihLTsgy+2qpvD6E0M50u8w4BUHZqqAuWk6d+cIx Ye4gcP+7Hh1CVxE/emArXSxiEATIk1HNhYOpm3bbECz3DxxFjT00afhsCukkGL89hHrrfKcgBEbG LvRhx7xx85EATKmlS5Rs2OsM4AuppRDzmzYBDk1qc70GW4vuKFb+oy8Az3unYrKqd96NewG2WeUh EGduuWKcn7EOrZG6QoQRQBx1kKqCPzLBcWNMsmzO/+EHRXW+nrp9Te81k+egLJqBeWyIhNBdhRgx 0Hu9LYE4t/4gsOfWDlQvAR/xFWR185rXD9RT5Wwj7NSxy0l1MR3AIt0bqL6QdM6dTpDmioQIwMdP yZpmxiXdNUsXOGiJCFn56/aEmjRUTDZvE+bd3NBt2AWXyseuaX6uMlZEVmXgwcGUK2Ab6Kcysz9X VTqHWq34j4ig5AUvioQ2GDjd0K8HTKBuQTtaIQc+s7Sjxx9HrxneNdDavfXM69yuZ5RADGL8AP15 F92mZQOK3ke2usbmwUUI28zbYBwk0k1U4ae+QWnsmedrJ2R5fJMyE6gwllNCIWKr8ugQeXhKZkJV 6neo/WJ2c/m15iJX4Z4zdNww3FRH30Wd9G2t1lMBa4ywLEHNg1ivnAIDM/rEViUeNFBaAEXPx86z kweAxSqWHUobxNByPaMnOiUeGbhB1dDBZQqO8h7XGy1uRxrevflTC96Y3TcnDJ20sV46Lnj/m8Ha nkGwTN4I+CknpwSC0O/wAJv/5WkCe/YRnUJTdjFEVRlFg2s69sFx1+JjjkU0dj+DfU39aBLPwHqW jdyPNSYPae7L3ZZeVCmvpQpe1wLMGcf2ba8rK1S6qBfo++fpZhI+T9HFnGYvbKqav5ElkOZvIYmb +M60pokABiww3jMOPehw9zP8EWkIvTQozdvz4gjhVXILEmvNZatxtG9kuL/Zw6NuFHOo33qa0joa X06mVuDe+BvIjY6YM3giOWHOemO+BteKEFbiNcIxPZv1S6/gZZObnNcszcixaW4ATS7Clz4MosM4 TZ4sFCc6DzTomcvro5CW/XfULN3PeBS1v2OQjR+myPHUuX/g+VqV7ycHtb699IqjukNGJFM+99Xn gh4DXGfgixXDYvRDTWV+OvVJ4ZXkSdyttFCcue3aIQYRMoBsWMED0Rpk3MUkP4gcaXN/4rWAwd1n dt24MOxVz/cK1Wx50NKfnbaSmfmzclPupFqXAvMsAR2h+T4BBFLuXfZhvTXhXXlsA+oYCbQbGB9B lWfhc2QLd/o6AbwRyOGd8UQeBvrRn6SPEvh4GacmB987Vtay4FV5366WnVOsme1aLcaVC2yatPhd y2SkZR0h1apqjbiA/oql+5nlZovsfcXa9TetsTktkHVkAtRb5Er8uXTsGXmQEUWPAW7PiItk6/x8 tsie0metYszYj+TPqdngmtP1RovjDZTl8o/ZzdBeAYqVDZzyonsADeVaRis2fNh3lB36zY+aC1so Z2keqfYl+MHkJluvc2mQ+s6YPTEwHl9ZFgNfFEpCrqL4IHvQu7WOGpiCDFe08o02hypBtmzEM5x4 p26zAyA5VIoGOLDv8Xm4F1J+7R36OFVvQQmIWUZv+aVbQxqSesWzd0VkxWfd0QAfpyYblULqAECt goLIjmEVdEwlNmDyVWgDPwFsl6QloYHB6TB39eyOBsPHwje4ORHIzOb2O0594qnAgly6Rko4XCiA 6h2wwvF1KkHVolU5YRSGdo4gpTvC8QLCIRkPEEfmKAhaQNGxaXr2sJsMhP98ovu7fWxVxzIvml+x 5FpX+dEnRhGQ6FQC9LBXbS1AwK79poeBHSw6bhmwioMC/Obwuy4f5xWbdRbR9Y4OSIyhU56w1LuX AA2eVM1MB5WvFmUK6VG/GCcxrhPWmX3Yo5CjLef+PjEvi7NFN4yT/XdxJeAcoagCwU/VXYnT7LRW fxi5lI8YHhLVSLh9dCQI+Gd20oDG6Gp85wtFTG9Pehu/DNIOTTPR6Ol8rAHIDl5u+YH2LdSdSSB4 ihnKK3ybkU8csz9zF24nnN7CGADVYmDIgpgLjkJ/r6K68mgO7axvZXGtrW3r9rJd+JiaTY3rnT7w cgVPSCCHn6XC8AROgNyDzvZp6xm7Z642pRW7HlQet1Ne4o3V+K2bUdxvxgblRphsBrQqO5pnBHrW LUmxXK6G6MeNSdcJXW2d6lbxgxaDJpyK+4eTJmE2EysmSV4qJYgb3HyB9bUJhGNmWh/TdQFLNpFD ggZg/bAmwn3E+Sj98kKvdAfvLfbwGCKXmYsBA7HEG1IsLHZURkSzf/GYwmZCNAk+R6k7cLth2vsD NV5q4x11/X3om+CAvW2guLnPiy6xW/rxi9j7E7hdjsUAWhGQd2pLJj+YmPZ1ojHRpixbHVU32JXJ 6P49H+tWcsyXr+kyZuN608xKTUw5StWZhez4Bhlr7u3uYzrMPeOpMayKqVBnJ9Abv5B0Y+UEo/9j e2sRZSKQajoSaRxCv7c35zTQiFec8heq2tClMtkeCvGY4tRnUAamwte5krzOIBLwxI7Elm88ZbAW ele8JBgJ04CLpwRBatzVTOh5clQzNEXLgOD2DMURmdV5c/LP4jrO5+Xvl6B6W/RjWx3aY6wNhX54 OEQj0p+hkopWP0r2pWk1181SeOnLrUuTMUa576J3eNA2VhicemdBu/13zOW2Owc3DKCLaADs+sVM dYoI5jeX0+0Kd28iJ31/CMpPOJM8/uSDYYEDirIwAqBlb7+tmBejy+vLNldvoSk7n5RR6ZHD4qS3 DMtuTFFRpqALrAzK8kHInx2ZsoUv4FXNkxsCMOB4HddneeL+JdDr5Of440hBjI0jimPBYaklcZ34 rs0mZBfegyXu49Ue8DPsQqRsMgjEGwXAXbhvOH7yFNBNVWEzclggnqHATUPcvyWAiY/fzh7pWSdx f5IQoD4jrYL4p9LKk4gmbxAaieKAMYMV/wqtQHKoIaRYRX7rkeO5nN3Or8vrtl3U2cAQLDkYlRMX nl/NUNg5/ZlgUhZwMnuWP7jWtaBJwX0jmiqOxEO5wiCPdOto7Dn/rEAKYnhRPagamP4cCBBSoceW Hly3l19TM9uQohlyKB9X+NQLCuTj6fSTnwvUwQ4OQXixTKOc4jAWsAFAKwUYFgxVMON3I9B+D8yr x7RWCiNsaQLKp8HtJY3dLAMgr650wLXtzQG+janXdauk2HwmuuLo8mkQF4P3TFQxA1qMlnhIFh5P jKiZHtjP9lLDLS4MHhh38Ham2Sl3LqR7SQj/YDHQIGyx2SFbVfmq8WBy0LFGJ8NkfJfCKCoBV61b Gp4g6wOAh6duvLcFJF6I6cefVKrJIKDV+dnvXBfIBwTkT5RWOnIpOnjxVJtAjuZC6yt6ZclJ5mnZ U1yojEyArw9rsKMVWgw4Np1thjpNgaqKa82wDfuDKruyN/A3XiGhnKL1NmfeyRp2qa0TJkvSfHmu bqGkY59Sxn6A1q/g/NbL0VYeXXdI8ufNlffpC/hwnyCpsvKDw5hTmCj2+q3YioDpx9rCl8fmrXF4 ufFAaJu3V+4hFvHRycu+ePflXklUBrwHIxwRxpz2crjFZsB6ZLpEt9GInWtWr+2jlDU3j3lJboYy bbmGQ3ZJUR5d6cRKSI/FcbTUu/STinTjDeRiNS8VUf67RE5fnwiF9jFr0zgt9ipv/pW4wl6wj87Q Af1IcNYJ1lgULxTxb4ZgU8jOKrSiXGFGCRLcwFYpFakTAUCmtmlyHCy20tyMY8h5prSNA1KEqATu VUlFSt5FXJJfKH0FVPqoQmFwehBFUjwBVSkaL68wHW6p3I7LJLaFnEBpnU3oUwqF/D8cjtorNKIn dXrk+VNAm6zEIphi0oVFQ+qJ0pejiioM1rJ/kuDW6Og7WDR7RduZwSLPOJSJKQz2Dsm6REuDSiUl PrA6wSyPYAH3B0RA2rzWRDcsDaNq8ETf9u/0WxOskMbpJrxfroiF61XoEMrNuS+r0eLt0+69uwqS 95CwPM5CKtKfM4bItL/QmwrarR21wvqOwgeQYO0VM4peTshcKGPJigdFMMQIPSWW0a1qER4jb2yC /vUfGt7La4DvpYPjmUdmY/fBQ9uGrckU4vl1dpOpwHPWLUcKM3Uh574FiA+/iVQ7YzWNlxH+Vdpr o02QBxF+lDJo1ZuL7hZSBQJjTI1VS6QWT3OhWNW5TQUv0zYQGw3aI5bYs1jwb0X8cQYzIKWdKFyr zwv+xTrkBC0xqKiUlQhZnT+FKiZ0nMoSXABK26nJDERmTRkEt+C+axVvn2GGrOEi/n5qeuLFDSh4 FWpWNoPmMFh226bMWYTvFpyNb76xiC2lejXVL6lWIf1CVuV1TTrbAvFejbNN0GPBClqhXVgtG88S 0hklVEuG0acj5CuRADRpq/gXIexYcDx5zWg+X/TGMr3W98A5sylZHGSEIfO+wqmJeRZxzCUVg4no tGYq11dL/uSppot+cYGcwEHvo8bSOJ9NlVQOyY5Bvnwcbrnj+pIErtTZkK3xAq+2fq+9Xku4Fnf0 Nj70LjsTNVrw8u4mw/y7xCW4ofL2jsAzG5eU8U+bq86EJNwYpVHyW1eimjSOD9hNjWsZZF+Sa6Rp tX5AcQTW5Fvks7IeabfFdltcLrAEYkOmkJwtNqRLBqiqrH6lIpKViBVDPhSFcwzAJD7g8ZJNiOK/ GVq//L+yiKSwziHTNrDa9jHT+ZwbGXze/7h5CJM/AUjJEa2uzwuVWQRlpm9vhbeEh8HpydG3xrCC a7y+pAgN0jsW4TbfR5lbZAcLOh1DNUeO4k4hvxh4M0b4Uf4Zctv5KQBjJQ2bvgQWJtLqgBWuMJQW 0opziPo18fUE5xpEsPSw9q9m+R5xDVueNquopThcSDBXbKGHp0bw7T/ad2aGnBqSTXvltbgyUEky tCuSsrMsGBUHH1nfPzXIuL8f4L3UGYGjw1KyU4FaX0yNMNIsmrpf0r8KGTEr5SLjZgyQ/K+XpomN vIIY0k/6Zk2kkVg2F8pLUhlxbdsv5IirpdT4KYnQ098uw+Lq6X2hTBKkh+/FaYfYCRyCs4N7Hc8/ 7nIwG1f3h+HorjT6FPi/81NyDo9AV5wbpyC/bfm7dXGLec0VSgR46C65nAv/yUBF1b7QpeJDxFDd CpHKIggnPCq6zBxnuirXd66cyLwJptFY6xoD5b1bDSi1USVSgICobyr5ZZRsETbgeG+JbbT0Gm+r BjDgHrnYblLBysu+tFeank++3yqdJ8+fUIUZVR8EgT7NiilG3MQMZdZ4bgd5sRCTvftM1x93bo21 H/MPlto9QpXL9s+zs9uGeO1rFEqXQCjUIb3cld0JFfLeT630501TSnKerAqOOWmNNXbzpnrnuQL0 G2pWox36VVzQQcOBKyNQqG+MA24D1CF5dSVc74YJUjnAmEgiaX6j/7S+lN3MwlhcycNXKXh2N3fG eSjCN+j/BLHSGnfr9t35SeuEpwbOoHuXskkqeghFVBvjV28mfZiC3Q9jTW4j1xKQCTHP8Gko65W+ fH0UDFvRimFXLhOLHpYnZjh1S6HGG282pNSyA3nzd3ehey2BVHQzeKq1oTxQIjwvWzNHWbJM9ABL xQI8J4i8tKKY8ngAGU2DUeCx8dkthdOUqa9zEwtQPyoInQaVAMXBApDYhoLkaH0tkh0ODrRBP7Wi tAa2HzoeJppm8hkrYdlkskds5pX6XnmHdo7WtBOZvPZCqML70HUoBIdWa0g2AX8vO1q3/kOQCY09 NI/z5bIod3fobiMwU+r8fH5XKlzl1jmGEfQEQ0x91ccYu71p4V9oLzETkCDLkqGVGBRFcm3iH2Bp krmVGskJBK1nW1Dat52ib6ggCXXLrH2mifcs1lHFQ9gy5/IwSkHgdW1kgCKfjB84pisbuJi0iiV0 UoG8hX17OGDpRZANuoBQNzR9FjQAvZp2TVkBVqSRsxh/MDlE7yVmDUcelCOHpl6PzGameGiuYUn5 +gRAJFpf93LxeINaYxIvlRs+iXNwWI9Cgcd8Odnk5Ud78ngVeUJd/Se034w9IaIXunV8wzRod62j rYB4P262Ux7cdCcAlFenGHQPoQh1I9ATcAV1CWw2U1jZKDwY+lGkgTv/zNmctqtR1apkbhmZC4gO HjWNY82v5YgouoycOgDP8/P93gcXbjABJBSKkZLV/JjQQSyCkqZZ8XXDtwcokTZrpmHC08M59J3t Ejk0ZcXrrDvDkPdKzhZqefMBw07LOcnH/GwqFXAdTQjpSO4emetVO5WYtt3sJUYA1WYKEbd35Qre hfq/Xe1jUPz5oN1uZZ/C0OL4dbNgpvnDX49M+UwZFBvJ4YMKBbLWEioI6JqFkqA2ApbCunK4norX 69jC1ImDdKXvYdxpIv4smtIykGrvXzSzEH3snG7xxWtsOTH7e8qSkfw65srQiZ6IgeGQ85I6frlf JwhyfkIWSdWzzBN3du8y617yLvqpacCaQDsZW413GbcTWAUqIUpnpTbgFenyz2/EWJhNfEc9Hgqo kcZUNPOMzvrAfctz0pfvK4tZ9/kYW7zVmfgjPi6ikvEs9FKYZyzmyjN8wS/Mk+qLzrxj7eYFZnCz hmae2v2H0N24tbcji6X1exyjpPBJ48C/w1varTLKkTtSpsB+oUoMPNiexfyF8jX9mKJXocOorp3Y 6pHzaPJ3k4uHgXTRL3LPBkWA074IjEL8wzlrGicHFqWeWW1hjSHwg4KVzn1CaCRie6Vww0D5HJEN Cs5d6O0KP1GGL6LrKb+PLuRCyw/5k/UffaMbckkInwT7u/s5prJ8/TtxSfBzS9WCnI7fDhztYb9N N+pMOCdYpmZ1sreGl5l9GoI/BoSiHy6YYxl4BCcmjwkCGCns/6u2RM4hmQ4nThiYXMArTQ+oUc19 BGDZF2HY0D2T54zrklWHugjyicMCOJdmvXT2DG1WGxox4S2NacUrq2oB8Q0yZw6feUgqYjr8+5c8 HpTW0dELJPuNWJQpk65BYzUZgb3upPvBHEbb0eLibeplC+EMnJYbTMIz6JZSoSFw8GHuLGX+gI4E BzosHgwjw23yNW4keu864xcjBhWDQXccNy+3ng4OnXIB2z0mKlIk8k2y8Ej/ZqPUdKNs5yhSgpU6 Z/5pTF+THK9PLh275lMhSxdRHZxtWZeZ2XQRhFkxPAH5KjUN7NDQT/pFmvvJvj7PDo47rX0+8KDj ufsa/RzGrCAA97yahsV9O2ogk41m8Sh/PGw2WQQMKNLbH/iHQZyZOV6V8pehrHJJwk6ilyA6BnWn w7V4/NdLPHK2J7NZZshJM5W8Gek0zpXmOBXJPU8lum0jvjN/eEranypINl7hchOE92n53bnMOgfC kkqJxhoIkXiuP2Ym2zC+7Py8YiqCpi6oYaZ0otXwnRblIjW59UPnm+FEW9npWcbNDHQnVuICt5dN zekfhx2CvHhBJWFmH8dNtEMUcY9oVqOnHW+5xIu0DNGLElzjGWnue+XZpMeAWQUZwYysy1vNwuNh zIV5jDpsY8nI4vkpSNichPOfoLeJPbI4CcrQq7Bd/0X/m1R1/VcZtG8c342Ng3rHEar2e4PbBJiB VEt9b93vGrhh15xiLqh4uogE1tCpzaBf4eyOu5kQaMWvBI5uqjWPVgtzPb/R6LUGGqgb9N4tCCWp 5ecp0pFJm7N7HR5WinZ8zo+fr6gqpOEnXl/1dJq1pxyuOxW/Vq4KopeQQA0yDwp2niwZ1s/nxF7F /jT/Ovn7aIrFu86DiLB7bp08HfNK8pNfu2y1+MN7uaC70JENeBxLT2ZdDvr9Ui98NF0lzKM3aEYt bi6E54wXAHbSyOGv9pSSgrWd/gWI2RMIg7/tVj8t2P/uzkSuXLB+Xz/44yz9lV31xnrL2Nab7qnk fZxt3PzOQWBiEW2iLxo9Si9v4BoQSWi6+b4xQjX/pyl6Y6YEokU8ys8FPDPGWBGmBnqxPNvGdYuo xgzM8JkMlhHXdrws82SW1V7lkD5etANDCs7J5C+qyIO5riwbMX+1s0vjxhz8nGb9ncfMmqvfWOMu nvD73WkwSKqntGhER/wUM2iWJBfk5MKpIaLhfN9AdMtG1LJUIvZiMmYtAwLe1CbEM/3PSyIY57aI Su1RYECBTzyrv29PMuTvNlileZTVwwDgxHQSXsaXqLQKMpg7KKXprwnIn0FuK2RcSbRP0o7LJVoC e6GJMuDyrQA7UuUDQSJIVzhZZ+RcPEa1wxKrComvVMv7ujGAcs9cs3SzVDxRI5KeB+BfEZ063R0B wQNIYVcNgrsz2QBTYriBRLTTVQYVa6qF8yEG1RgYZ1s1Vtm4gJ1T/2hWE77pAXi0Joysj5cS16YW bL+AVsZN9q9U3onPiz8Z3A08hO6PD8QqFcfIRGR2V6E5BRqjmTOjYxXLLMMm8zxb4AiXr6gZFfF7 Cq2aQ+Rx5fYI8G/J77ouJ8G2cGWfS1XFn4OS0Nji8AX0AoKcuTOREOXk6ctsNXGFlpuNxyOGia4r ugozmv8v6gnzIMJ/+NKH1zRMPANpZND1Pl4wRs+40rOtvsAJHlxjn25ICbyD3R3mFdWHwrwl/um8 lbGY7d+6CCrf3mx3ncItyJxFcIcqiSvq2bQO9yVv3qvSimweUkIYH14DCgKgaaaqya1IfqHs4QVn ipeYWvwpY5awpzeIBuEBUEZPyt+7C9EWcey8tr9tHKqVncgJPZuO/BBhor2BEXm3zjofSlxNDuJv POMicH5QgLvFfRkSzs7lvV6UbcXQyBkmwnQa1eTP6A1cTnbJHcXU7Ao9ckIN0MiVK113hqi2E8Ji vr5LeHeIz3p/Z2VOuT0is8q37YJdASwisiFcId/iLEfCm8uESR6iE3DGMia1b5GxSTXhR6xY2Bbm nsVJ2AdB4svw+eTj/dHEQLxws+Uxrf15Zv5TqP2ud17Tin9qsPoRb/vrv3kOMXrBYqugdeg8J0Ah iUvloD0M9Y4b3I6zjE15P2Yigeij9TTyHFlUN30zPIsMZnE9EMenN71cvmjQthAGLHQ4Ze4lebGB ieSrzuLI4ewRQZkE+mC1+3GTUXRPcRk45qHHzWVeJrZjG6Q03Wx1mpCIfNFcbGt5V8RcqgJKzCAj etCPGmyhYpPcmAw6FH7edaiTVyAn9zH/FvY+BdvcCGZjkg6u2jfU8sr/Enar5nYP1zLgz4Hhlewd nCfDywRJ1VfGpu3MI0m/At3e6XlxrHvFCA8Gn+kCdeNM+vAWJHU/tTOi0zjNf5+A6gEp213klBjI uVJGE2JvQBxb7phiL2mPhvee7NtRlrW2EM7zfOsN0ShMalAAaeacfuL00m8EsmfKtfNuEJPgj/0L 43DE8Ichn4kXDFT4dvYgnLqJM1qevkEOCY4bBJkYyEWdWCR8YZ8fw8PReTxrm7JXi64eRT9hRSY6 N7VzLiY6uSSQCyFtbrIzLRNKyTX68X54edHfx1E6nH7/BjMn6MfeW5pBKLOIN/ddX5Tx7oXMaUpA jVgXmlGLMh8RhvJRo7kA9lDtHp8H6Y7IJUbJ7fgcznVwebcdKjvroIg6ih4zJz6a+GnWvHFH/Hbx 37UCwAEVDuEIhKZQdpyTCAINhUVncLsFWKoVIOwp740yOG2m5evmfDJSBijYUBYWH6XsThW1Ppck hTmVQayATUHZxUQU8mOl1z9IzVg0uXHyn003qjRW1QAvhdcGe1jO3zt2WQFqYYbxXf4hw4juLNF3 Q/ZW+j+vFhVoK+SVp+DhWXHnfQuMkJv/e//btzjsHDdULbegdHP3F9i2zx7goQUZyeEfVlSVt6F+ N3HlPsYccc7tWEaCQl7y3QatNPkVwAOiAuscr6KnygtpJdsyddCWAqFnGKJZpDnGCDnPVxNynmG5 8+gnBzqbZY12u2FsBjhV0TX3Z/UgtTFIpHu2oxvN1SxhIRlCwZnctVW+d83DtxA0LsGeNHSjrRcH XaqwBOw0cAGfiE6DzoyltNScOpOZ4vD7svKjZydJLdJgkss8XBCLbOTA1HxOwW70E3PVX0HGnePx QXnIooCOuoNmWNuZWmRTHlt1rkTkNrhvOfy5TnAAW1BFSFPsV3h7ZPyvn6Zga2IU2q7hcCi6kmK5 NseIqCsaOqG0KAksC49vkK35K69MJcYJuqoq5DoIwEbpr9BPBJYYfIYzihV4FajfVbAo/7zRN5I8 eDoLyYcNx1wxr0kuGIMjUFg51/7a5s1jInRyhlKMuE3NFshhXHrj6wBjDQupS7wtp6prJ4PkblZa HPsXkc5XhE3hE+8AarrNWG01KR2rUj83K6Z0YHRyqoYRYY0IUyEtQMi8TPE1vpp+Cx9BYRzfNskI KRx8vqTGsAx85B/r8nyWmq2HkUlEAEFwGs2O18hmejedf3g5j8qgCVH3LHG07bE0zjnAaZilLNyM 9Ods8+RqY1z0kSiDMKKkHaHPeetMC+S0RYB8SdKLaX0Og8OAYdDXPMDEtyBLTnDfSnuju+2HMP7P K7yd1T11uIHFvouladNWMp4TDtzG3hrKB7b+fF4O8A/DN0lXEReQ2ajJuCm7QnV2pUCb9SY4LU1x jjhDDwHNZoeFzmO6UnSzZE2mkBpMJvrdbT82bznXBc+1+ho+YSpxpeRre0QaMxL8GKzSlhQDRjAs OrxdXatNa5El7bzSOHi4DgVssvgnPrNES1sxR8Jp9+OSpZWNSVAJHxD68XZVOAvmPX4vVRgU7pYf etLsM79XJmVp0t3yalSZ2VUDgNHVS/Vw2wpWD5Yx35qCIgGcrvZ+MqI0PPiYcI+CBb8jHQagXeXv ZFW/eYMdU4kDzC876Z3zj0EJHBWU1HbyAdZXxWqPgmBcFhavbSmj7cHMUyBoQr4RGNqdBOSLGvzI AEbS6fMY18OmAK2Cfk/hVEx0+3GldsyiiZgIO8upfA/l52ZTDUlYmO2K/hpoLliCPmhB0WWOR7az x384JAuhV7qosxG14bQU63T+wbNZAz8vAEW2kltm+R6xf04vHa1xHlP4zYl/pxYnH5khPx9Fh1ib bqehAPB4NhO2E+d8kMKzdtDnysGWunkTZlTOZ29YoyE/trx6aityeub5rqynxtlylQtwl7V4pPv9 hZUDXUKhDnMTeEpbeOBhERWlbs9l6Pto2B2LA5I9rQk04OERwH+CBDVugXhyq0zzqv8ZpA5Kdtz/ WD76Xf6mAQl7SoEbWsBuzSAKSC0XJM1siW3/3BSPt+TpnkdatnYiHJq6cp11SLuuzpe06X9VMevv toPhpc1u8j0qemVKaMTFp2EESw2+VlYbaqdA6+CcEO5GyP4oV3NzUZ343NUwFY8qF7SiYfJHOJHh 07VlxZ9RT6+QViFi/wpcJkDjNAssP7iT2zzJ7j1/0NxpnmFGwLfb++1yqvJikdFgF0tPQH2KhKyI CRdF5I0vG6oviV4jeZM950Xh7gvwTpEPoqAEPwvXBJgqcOqzWf+NVXLmROw/V9zttvckZ/4iT0re pzm1+CWslCh8dfoxL49whxXoa2xzVk/JtVocts8+XvX0kdORABwYYpYL92n+04oKz66esiRrJ66I SJkE8Q4B2jwCQzCCRIfMk1Jky62OGiBd82Fwetr863XbP/AoN8bKp+wF+Ex7ROyjTVIBKf6vT8co MUdJj7U9f5xF+j6xFYNtNDO280q+usTwbv/YLnxC1BioSVuLeiaNiC50YuN8jXt8PX4tDFmwxg1N zxDEQo874Jm5cAMEEU5B0BWG/vw7j+JHQJ0yv7zyRVhJc+9+BVxnrQUANFCgQE7Dt7P+9i9V1Foo 5NydHWiZ56OCWorBIkZbo4be+HuNOFiHeGHSzmeaeC5xU00BQLAhMdP1rw56ygOeWXoAa4ixnPq+ c3hFONHAsrV3isGR7i5stoYNAwACYFYpV3gnuzwOdB8SLDxvdXY5V3am/3B32lOFZen4M3ksU3gq M1c2SxiEASEsXVbFfrgGds0VIM+xP29b+yiBripZ/WCTnqkmCLCZDwfYaZ7T6U1isX641cxTmRY4 YFICq7MwghYrxMUClLXozhngOwSvl2VxJ+B/LPBPptVtFTjia5YFojOixmWmmrNyP8qCn2+aRaug 97aduCDy5YO/JxSBxrn5THFHdyzIz8cgIHuIuDRbYJtjKOqZfhr39yALeN0AJSRCGAz+YgErN+Wi 9RWjs/45kp5U9jEnh09uTQ/dMGKoEObsBkA8LfKKnz6sGvYEo9gByBhrV2d/5sm99PUaund2agvK HeY26BOL9dsdcA8FIlaLg9gEVsG7a62yr8GT/V5f41RHscnLdMjyBvKsfxXWQkV8pMN/iB55WsWQ rTQ+v7q5TuOTA+RtpmMuBIBGVTlR695H8Q54JEqqlQ4RgsZM6qm4YfNVTKWb3B24eVa3p1vUHetp MpJziGIRgRA+Fw3IVVYHqlX3Uvc7BHqt3x2j2T9AD0T4IL61/TziDjhZ8pj8jsIYok2MDzzcYJ6Q ddWl9B5LBvgb2SmvqJnj/i+S9t9H3kvA72MC00SFqzp8QDPaGx5UIKMoVwtDnXDwB0LiNuJJmFeA LokaYkwQsJxL0SfeOgPus2voet4Pd1O3WK5alUKdh9EVLdqezwYxkyH0AZzA3NFKy3RrhV/G7PMX Rk1IfQsIzdJd4KDKs/yCS9CZa9b1CcvEzHkr8OM9Dnqlx+tVey4OHLcdw4jYJg8/5ZUADf58VzSf Z0ghkrBHeqi4L4sT4pEf+SDBevvu9ZHJTi+USp2QZr47anHmaZko65ZKCLiFh/+wK80QgxOrOPiv 6PdAopir8gyglosu4ebF3cY7jFfwAYKQ+BqI5Z16sCNaPitfFZojXAPqN3l71zSQj+5O7tt8OIjX HBWk30ip6ZZ9U/5Fgqlk6J5SFaVd253FlLvf0WD93A3sZS+2aO2+zr8l4T2yaeu9qN88lc15PsO6 NMPiZEoOXzlmvwog7CNgOAY/Ump5aXdAJqgW3dZn1s363y7XVUs65VlNzocDhrFOinBfhVUwUBIj BzzFAyufKkR88WKCuIHtYvDGJJUza9z9WP3nYK//fMpBQ9tsS6iOuA6ofBb9wsFg10Wk+CoiYa0W vrZtBaA6m2Go2hSREwKWueIrZ5JS8JTzZ3rcWRlrtTbcvuPM72B0bDyptyIB6SUcrv8GF9fau6FS MtRC/P4lXJtPwMGqqM71ZwHQihCJMlTHt10X45KZPaO6RfkJLVt6mzwpi4VWX7oExMx2S3r55CBw X+TjYrl2bOn0s0vWPg6O4AxDP09oZ9yEsueSpHcyAlFQJ7lzOKbT/rA7LsFM2VTwjszbg5K1PBq/ 7r47T5/IiM3yiwFZdQS4lR4eNg9QrA6Rwsq/JymGlFBK6yLTBPnSb6qzgysnwM9pdnFeC2eExZQp VDu00Tf5f7lVdDfuqdJqw8+l/i1NDaIdFUIK1ht9Z7yHQXQ7d81LZpXpMdRlT+IDXrMDvs6VxbP6 /NCARArvctTe+yzyQQ9VhvKXErKRCa4KTR5RKBdJbjfuNZyfadiEKQndUZUqSPDrCkH4wDLxOZw9 YDTaN8wTZMqLmHczNktIuixlipW2rWigq3sl7GbZWyCszmhQ+0H4qDbFBJ4JbBktvQVqFB1Z3D9q 6TDekQ5gTjpR2aWD5FeKWkJmwhV+PdR4E8dcZlKV+q3El8ZrzmVgT9PbQu/mCUhUnmaHyIHtP27M U/3GAQiemdtUYDjqlViNIjVbYawMDLYePozrz0mHow3U1PkUyy2cOJbAaTQlA1b3au2eCeF4BQM7 mUbfsw3MrbZ6V0R9CFTarjqRhFlGHf0SQ8zHoXjXqBXlKvUreSHWuqWVDAGmwlmxx9bVikLJ+XxM IGAptCCULV6h5fKwjErVE6zQasuISIsVmwXzPjzb22aQ+d+6mMHnr3rUYaI/DkOSWy1lfH/eX6MC JZiMYr3DaK06ir4s4v6nOWVnWfXEgdlBvk8cqIjtDKSOYZsSz82E/9dGZEU70dk9vQAZTFe5lBen PgpCRanhrn2zdy9X3gG2k/oVlCYYnAMFMCze0ThbsXbNUeTTkxN3FAQsqIpkjkSKr6gffrd722Hg NSPL1FtSxn2EuCsm7uXs+f4YkMyYJFtop0gVJPzIA89EqNnzKE1ErkWCSaims/UbAjIU/g8YRko1 nKClnBCBJ1LDXeSbaVgjnwodLfyp9UMUuu4i4TVTAIgN4Aj7JsoUcoPFVqqihRSccS3IZ2z17BE3 2bct/pWuCOp/KFvG6EloNi4d8Q1tbU+ohp90HNmYIqT5JpAKMvL+5bnqN7skue1gyPEavOJnAugQ SyjVECoH48Z09QweJZEUkWcVtjiHt9QAwnLCtIiru3pdIx+tcfl+NPVpML3leoWfioS8t2W3vEDz 1aRzcRSk4yXoDAcxytq3YMyqD+kPX6D3HujS715tpyPJN1mT5rezuLLh5+Tn59Q6iCUr3DfKv7Mq bxqYlAR5esybKMgSBkZisD6Y5TKN4ciPxRBsI5gtEIlfnAgZmrYFexNoVc4qZkP5AK+pIor6ENe0 BGK8hwMPJojHrhrWb7a2fjyyjpbeTxhl8/MNTu7GIzhxwGsaPzYahbBdDN/quArXZIyYif5LJigq yBDhpdnKcxyNUBan9NK4qeaWcc+eCnAqQnb4A8wJ6+c44IxokhAb0HbbOWqT4qcFF8ammkDXmZGE 4p3DceuW4u/Eq34IP5vGdkX52BXK0IY2QS7IeYoxV58I3UcoFiHqZDVwqZHESglTsD4ycpLw7EAV SPQxl+S9uxo6BHLWr2qFBykTUuXT6Pi04KnYb3MrCPPVUw21JSxSSMZlunWPexkLdQGYZ6buT6Ce xnakgX53h94RQdDfb/ZUKKtQ5OyrMoyjO+w/SpQOOtHGctP3EDzi5z2PSimuHv0pQ810u/B1vCfF ZO8FW+SaMNIACgWxD0J7hle+uDxEhGIu5xwBAVnSMsU/jOgmeg8JraEu8j4BSe1JEFcNjaCaKdTA 0PDZUXSKeSnDMN/ibP8eJu+TzNbnoXIhVPmJQiEGqWRtgZXsRnio1Otrigt5YBPdh5Wt61yjNCJN OB84+dsToP54D/ldWlQ6+pb8FQ4zM+tI0i9P/BFLcA4t2dBeSnvDSRIx9CxStrnXM6dAEnSicMXZ fe/WZVhBjQRKWFnIWk4wV9+31QATnThgYlPjrYWlwm8cTH6JUSoEL6CdEZ68nvtvg2vz8jijU8Ue jjdEZb2nFE869uE2ts0/Upn8OHRj/Dv3AaT60EFDYarqVCxsXZCd293Uajz6FrsiwmItd0M2P13c L8u6Rp1nePwFU93ya2Waio/V4n65a0V6lgSctw/Mq+pcEt9qPQd3rL5RGWBDhlEL3UELQOkbW/xd 4O4cQW9rWr5+N1LF6BzKg4SmMFRYWwBie8WDltCc5gjVMUppouLkWt8jwqH9lWYppyxa0Glm8e5I GlO7iNpk/C74lC61zxhOK8T8IXEoEowvL7S0AFEDyXoBPKyGK+cALVwzoJOCJZb7Jeyu5ngUd7bs 7ndl/4HCnqrMf/GaVbga5FRsV6MwniGMTiUCow1OKleKV3OUdPRQjOG28cYnSduo31NzMLkhwg7N zkMGRuocTBXjKZQD0n4eZdOVg9rYI2/Q531aUXguqf7NRnholPzU8a+IaXe/ic+AEnlek5zrZxvf hCQZr/kX05elpefdatB71dv8emDwPLRl8lXbXWSbInKexuBVc44JhjEVQia1noilOyFBkA0z/6e/ ifew3SsyGWl6fsxwwX5MYNJfkQnxGaS8w7FtgbutfD4wgxWu50kpu1RWbOzk7aqrRfw8nYx0WZKX 5+GPgA5TDk0gZ33n1ScxZbE5Wa3BV7VO8pMq7Eag6fQWLS714xoFdHKPIU1MhNUMNgGRt16UFz4B DXRSRhjw/eG7bnk6/yMANiKdfp6fzN6XUfjinW67Zf23UpMhnIl+HswhqWw8qgnHWxPG+zBswJd7 WRjieM4CECZ/ZzaCCbYZ0PGVDap6h18/WskVyMDVIA9mC8Bw/YbvAmaUOUFrmc2Loi9a+pPI2MlB z/z4VB2Iw8WM6rFWARb+rVujYH5JCwwQGhUzrn/yfaAUZk05u8tWySUBTKNUelF2ld8XycDoUQb9 T1cKfQq/rH2ZednPBToaBwpbk6uKPt0ncdVL3Zfja3RJqFlQ9OxxNyoGaa16Ief86gW4VL+FSuzg yHlhhdpuSRj5u/yH5VweMGegYF9OGqggXUH9ZInXBDkd8F2QlBfa84QK2LhI4P6WTCvQ8ddLeVdx 5aDsGy7L2+HS4wI0X1aJPE2Z6e64KNROJ5V7iTMracG6luXUfvrUar7ecUAxGuKUUPyYqpghK2b5 vP4t8c22Cv/Z1Jg1ZAo0jilbAfkRs3gyfz+ORBSH4pQ9ZF8v+PP+DI3rq22zsDLC69m9ZJCyIKmT 1o218PXhXqysusQAJe1Bjnt4tXBrJBIRbbz6ZHv/F+NsoEURW6P88TWDyviSawpdJxS5XKEiD9M8 87dTT2axRRGkgcUu60+mohi+QhmfAZWDjnukCPyW3euw5VDhvCgdhlHySdw/Xdm3FdJvxATy2UAT 11+F4CQoCgKuOPz4IGIkARKo4cC7zkVFWsS/k1pf3TpvvCyYi/YXvmvrmXX7iYik99q6xzGGbmWv zenb4Ekk+IL73ZPW72XlVSXyJ1J5G42Ypa488a0U+zT8J736/QSTRR/8c9PsL0wLM60c3YR7rvPF OR8HTlb+8WN33yQUa/Xet5UsIulz/B/IRtHifcfxRBIjY6lceBkV6Oz3qFHCOR5i7IXHVqQdXY1p 0Fs1OcguesN/0lkQeTNOwNf0bY0ivj26ntwTyAWf5p9X2hElO21LdjbbFg5LyNn8CDhhZeYYi6E3 U+C8Xd51TWJojDhDnF9gilJpjeFWIo5LENMXSt56kfYxIjnq5kQ4urkywsfxryyGZyNwIM6Pey7r OFCegAmSXE8y/MMyHOtnIupHb0hapXk1YSKx+qZQ9TQs5YfUX1uGdj69nEWAY9DV8Q4QNgGyHWg8 5jcPTAd7y65iynzobP4WtbVOA5aVD4LcRhsMYNkD+lHjh+Y+ox+FHBvnsl71JDN0P2E4AR5ABHnY xW2f8L3Lw87wUoWH5iPU6THtOOMtnK28uTYGX57iPi8eUG1y+17Y3VPlVD4TtIBW6Em/OleqarvE /H5SM6zVoHKv5VcDDnkEG5y5aW2HoavaWoef6fFwmnsfiZJc5+tgv5YzvlXNU9G2ynTapvart83e iiU67izjceXucRQdJ9Xrx4y8iY78wfViy8B4f+vl+ZZ4NOfaiQXFDpun8Nug6Cx6MQN/WPp4oowD uksmK+4HR/6OKFhIm4sDx+FqcwOYSDOD/BoLYMhDeKCTf3T0jtFkrKLHv99NfDNfpzOix5L/Fz79 zRGTMzHU/UJeOfTODCoV6jvnXWLFHj6qwRSW0W8JydX5JCwGyKL9WKzKemDCepaUJSSFVLnnerjj q8aNAqP54JLHL7kc6ic/VHoS4bu6iD3nrWPZkFbcXRf8LHmmls3kJ8OQFbq/6QEzhfPtJuiVSEfF O9mS6MjTT4NcIjzzj7j8gy3Z+8KWq+o1R3Qn2cEOX3wQaleIXJb4GYZFuBecZyzTbIY55F7gkZtf tSQqjlsrOS52XxkBjYQBzpDNwvDSq/EyYH3VshO/Es00x68WtYyq/Jn+KfxwJP3+S0+R5KsmU8tQ wdQQyqD1dfj/pPoZ3Dy/uu56VmkXMVuCy9+6yWHUMf3svts/PIYB01pIN4gph1llxwnNy3zs/wmW vrBlI5lV4L/lWncGm9Q2n6BQSy3isNOlj7CDPFTkra8AtNTkW/fyvaK6i+yrlsxmOt2eQ+3SzGNU YpTUUYIEOaRbS1tcrrCHqIXefe8eKE9jb+pijuQwy4FdUyKFpsLTjQoDh4U09txex9jCgB7t2PZf HWMCW/aFUWW7cbGSvWRAS9wtKph58LVT+qQCveeNctRvbTH2xrapmzD/aF7eq8nXC+rm1mSZ1jeo cGqt7OXIcdytoV230I/fE9ZSw+dyYlD3/8OQaElmRQ+z8Q/qR6WH5JL1jj6gVlxaWWA7J2FwqWvl CI1W2OOh1TWyLnbr1rviDx4pzD6q53mrFnjyoBEtLtsQ/rt+UWlH3fZD8DgTJPl5p7l6nih5E6+g SacuqTOc0+qXPWc+HHDwmNBU/lB9gaD518g5AcZLtxc6H/LqUAvGNLvpmrRmand0gP2q1cvUVOHa LMdWuRiqyH5tNknm/EROiQoK5yaxQkIBlBjUKposqN+fxLMU/zBsrOT16/bopA2OTOcyahZ4Y+gX yoLtv4uQge+g308yMa3SoYC5xzf9/ue24s7vey/SOv2RkgOtaZwwThYsdKKJNmzouS6Jpa8G72gd svAxgn1NeEtew7UGXaZkwTTqO0Qg9e+V86Mr240AVnpaRILsTIvMcA0qgWQUJC29i+ftCJUOVZtS 7GUPxFCaWBeIGZ/Kqg2tgJXzj7lpZX7H5zWbGO2+jMnWV/Sp5GleI3uwbhQBUSIhF9NrGMsv2oLv znxtQ0oThk2khmef982GcjQV6wNCfFzAb+sxZNscye7uDkp5btpeY7pNGHMXROjR1+3+0Es/bFp6 VDVsySd2HnzPslFU52693CDJoTYbt5seP/hhTFSSz63ssSSeDH0p/W/k1sFurb3tZN1Fu/RsUZtP 4wm2DGq90PZBf8RQQnDZarjexEqdFz4DPHkrhuUejbyICB65NrFwCoxo7SCoXXhEXj/P24aAXoL3 QkSG71gWaZhwdzgUnz5bLm7TGG9m0gK0G9n55IRaUONrLWN17jXmBepWlcH7RDz/EKP0ZIOD0YkR Pr3YC5DN9ar152rrJ+QOir3id5cH2mlrx0aTHDUj1Fv13yDIV7fo4toM7ZBtv51k08MKWX+xRUhk m6lZlPQe8WOC6sfK8yB2659u4Iifv4ATI+ylQ/kXdrTPXUFSdsKTwTjxp1b6lp5LJ3QMlQjh5n7S 4L2DaLtAvNwbR9lfVYQT4/xLeG8sqxlMc4JXXYojtQGMOCw/CEOyIE7xp73RNYm3PbmcuBdDogdc R1VW8DtlBAo1epxCEC7RTZzgcAb1BswpG5VqHtJ8cLgHaMtEhhgggOMlcEMb0JWnVAmH9OC25ll5 ShVXmEo1rF1Z8onWd327e+Kc/kfsQ8Mi+/uq94QZ/UAeONjmb9zQJpq1K0z7kA/Zk3c8nFaAouN/ EuKkj73aEu8cZ4VkP8mCh2eRL8TLgS86sDWXFBKCYvy+FF74PQeNX2dMmxllTTXSjybNiz1jLa/K 9PnwA3tx725ezLIU21Lj9gb4WxOjgCSsReLJ7qVLHHq59vos8j3uMoRv92gVAXgTZ3XMwqk2XtRG VTTXD7GVdI5hGq3tWIkoE+GdAzh5UVQ7tEULyc7Y+L8J2oIaVMACxN88D14GmHzA2LGMzy9cLhyP lt/EH0ICUA3dYx2fQrA5iWsbRlqFTL0a237/+1ivjMaCbQjJJojtswplDKXQYzZm5Tes6N0sx6MD kD3PWcBVoAS48+NVQ0/AwmHxNpa9FpXSGSfYoSOmNtoaWRF/5XJ7KySQbzIsQBWlEWZbXyJkG6ir KkKALRuIfxh6W/GHe1m5ttJkAFm8LAnLUrCAUXzDT5BC1/+BKlzzcrUh9gJ6tAvLszWBjoOvrXRN qOvkdHySeTcFKZ0A8VilZqbXtVNWyLgfTXPNoXaiDzvpADadk5IQ0nE2GSYmWJb1y+yKd/fLBSe2 QqmSdFqR75zwwK3Yq5tPZQLoPkMrajHaFZJ9uMVUUxO98o2lTp8T3p/cePslw8m/jBA44nW2mMp/ z6GP0H8NWQrMj4+j6EK3Lrwc/COdRYfEVBi3TTz1cz9sxIY1PGJ9tkQcEpCvLYGIURb6oYv/o0LA dVX2iRKbnFIc8Vf9scCZ845Chp+ghMqX4rHfW1lBvV74GsnmZRCA0mASUZue9/ujimGFs1NemVgl NmEmchnLSuy0R5+OLiPXcSvkWoGQYA40RCAPVFVVNnEey2hLOl06qGsqI4FLIbV3Br88vUdE5D1w wniGaFU9hsNPo7eTm28lMpAgxdV4YlmQ9QfNaq18VVcFtR8o7k4XqOgJcQ/xNhR/1sfYshnDJgaK TXtpV++7uykI98fIoI39DkJ4TD/Pw/RMF2MXK/bK+0Cxq7cD9z7Evz9swHVtnTYXgDKXBWsyxbXU Vmug/GcyawYuPkTNJpz2XABnEgfVaNdkyq+XIsMyOiYoUqxCCc+j8EFa9psCM15YcGcXxMpOaVcX OKN5WAGvuX/VnfRSYsmgzmNqZ/WAcsmMQE+AVf3jCKB4mjB3K2WveOTYxAYEUiJZC0sIYUdtl02W mv6iZvrV5Efc5E8lmxCkchlRUlVo5cTwd//CF9OI/OE+hu2irr8JXMaBPPpyB2ZHUoYq7knRt/TO 5PiHshXCDpMKi6kz+K6PI9jmiB45yixVuYdNLbL+d02mz6Nt8HDqrVmnufCU9w3r5xg01AMO1H6e lp8akspW+/1YNLgtLAUiMZ7Lr629rvttZz5Hmz2DC8d8bkvQ9mUgsBDVAWDCqNtivNt53wilGy9+ ttDtU+OoSVu6C6p+34YP8e2/Bga6rx2Xwl2q+XSmXCXGSu2P8WhzrOpsi42ZZ4OeZTqFugC0IZpK sNFQPCcGpkRzuxdndvwWMFyp5sHGnx/d3o5YZXNMI+UTwbZySCqfErVOsmlT9DrjiDXcLoFEKQtr eEh2nA4/ayCdlKJLEmKFuI+DJlxy2MB/Brew6EppvXc3KQljY79wc+MAglBQ0sjz64XY8nPaNOAl Dy9K+M7eh8Vl+eEHpB+IndhCOc9R/xlqonm4ENgH4H8RbiQzVr2M4/KpDInVB0Vib2vBPVCCThHb oSWi04ABO+B7QsXz+QtZROq6yINjKdqwpPdmv/7XWslkSrB2pcAR/p7UwssQ0oLAFgC07ICNlP2b jq9nVTeDGTZdu3RwGf5o1iHkHreFGa82V2A8Bwgr3zu0cBnNUjKCT/QkzqvjPaGoDR89gARoEsNW DfH5xLWS2YJhDZpw+Ov7p0mcMqe7Lisdkna2aUXAw7sZFGquHPTHYZAfUwuENHaJ4vFXt0OhtWcj hSv5fEVx1xCAna2RnRQExj185MHgg20409hoh4C1RprUcDKQJu//QBX6Fwl8Z5u0d5bzlkRhSgVz bkvagD5zTh/95vOwqdyRmMxfYpmdwdHcycSCdLlC/tJakTbtrEjq2sr5pAdFsQD2SzLa8URFgeHE d1/SVmupWlfE0JgfPjrXNAJg6908etEEP4VT70ddayYBujRdWOA4D7g5k3C7Mu36FaeP8O46Kppr Oa9vQiSCZyU3FbNowiWgLMHqyt6/vWhDeGStvqi0ZnJ+i18Fsyg3+p0fBx8O/viwbwkKPiVtaJpq 6JBlZhVqNZyK8B5xqpyU+2gue2RB0XrrzB2DFUrrl9C/U+/iqwdHojP6FSZVWp+jWlvuo/LjaO8A u6umAc48vqcfBWW4Ru9jZRFovlD07Os3bjEiDiJYEHAlN1B7d2XTT+RMexIaWL2OYURiGwbSNTvr 35VwTuzB9ROgFLTAV2Dai/RRlc2/wRs6C472zKW6ctwTtf1Io1EJ2MfJuZ6NDLFIwNZkEcjxgsqF TxKVFEjfEFTFoklhc2cfgawNoORHEAV+4WJkJGnC+azLik5NRrIXB+VfjQq5uAg0YI6irgMDAhlm tCAuo+K/KiECHFuvgkkjQ4ShnpTnfopHYgU6WmZ6xbvq5PKrngFUrhfy8tR5S37jWvh9FLWp3M/g reqxQUmjHLuhxdH60EHWeNcvCD+ggAJFMFziWtThU8wIfCjrv23w0Rg7UmQLde4zlOeVlnnYpdQI GvDMagpcnC7MBdiHB4zebofHCpJ24SKDb6mdh1Ro9C0eRwQ+dO6uvmSnVYMQnNo35rclcJkOgnDt Al4wlHqW723nRA8lb7HHfAIdgVKuCulNXWAELIEadpuBmVGJyUzPOKgag4tPU09eE1eSdqhmYodH GubEDlKV+kUpeYun/SajUprnHopkntRelT1Y998IPB83c5Am8JG/hhdVQ+idjGD78YuwdqE+y/k1 4yttpuxKLp1/qhzfHgyBpt6uGf/hFIVhmwytxWz/9ezUeqa29vRFLgt15GaFXOjvniGRnItcOmn0 AmsVMX/7x8thUdPE0IlzEI8dXJW1KmzFvC4f7Io50BMTEpL78F2sOcZo8iejLGr168rBvJtmQR6T iuTaElzqr6fiKDZmCJaPK5uu4QRYv3gvvY/Aw7q4pfmO8BkF3n6pfyzuQl2ko/b1Ufr1T2e68uvK rh7Z8fmeidl6x5pDi89kDe0mV7E1tUwskEhJ/++msbLflKBieobVdMZpoXZSfv8+XER3Dcf2Wkpy GsPs6s9qQ028zL+CHkrZiv8GuaRt1RA7XJr06mGaDZJZsk8ZU/Jz5GdzfAKPhQpHTjmljAU+B3Mv FCTOuyQzE1dPhosFQHeTfJiUYfke+SJawHbMY6OOYtAEkSqHBGnrFngyeU9MvFAmrVmamS6ZlTYB BnuQ0RrJApLiWihnAsi0XcqhenQOMK2vkIygqngeOeGH3iNAWKtHlV/ENxRlIrbHQhCEBZjpaE+g avGTCXcTTDlaegRUS0qz9xBNSRtHkf7ipcrxp31cwHA2Xl+FCx+A+mbPjutRRaFsDK+QGPNI6KLb kQU34KkeAYPYCbNDkoid+k+myT0WFBoZ/1S3yYxZITmsAfkuxscl3eRDHTB2+royLVxw7wgkdVJi bJgHy+h6qsAQrQiJVf10FJu8p1iMxF6EKrVaUfvqdh3DFH5ia/UXEG18d+tXtYjTD1snqgAy3ID5 AiJqPYAOBo5W7h7LnODj8xF2f975AjFT5xx9lhVWq87qlnxwkuLKCClfJzo3zhdzp3SemJwTCTdL 5FqL+LEAud/J5N3nWCwgjly89pcdxdtYw8OKZ1vD82/uRmQ/5t71SSC3m8g4mpX8rxHX781lAqA8 Ihj9RNFh6aiOZrWSq/2vcGX8OAtSySSU9cj0Gj9Ce5bRB+L8pHdgM4/oT4qqorIrnvzzfR8gKT3m gsNrv7bxStL3Upo+vQUV1v5ti9Kn8WzW6r26BMAnGykNRJ48Cd4SYGCeqZOjooFppOQKouey5uhd 36XcXZ1/o00Qlm6OYDasOGJ7i46/khRnsOhGPMCAhR5LL4pZKDYz7OZcLn/o4Cj92j+vr8Sj4k7S iklsjfVDOYqVYVVI+9pdkTS5sC39A0c+MjAQ9ju83CRSWveZm2CLQtY9OBLSxhaWMMzxuJb0X40a QGKwW5LUGP2BYC/heZfEOWcAy5DOx0gom57RXQtwuUJp+ZkzMXZBWDJz/Aehq0mVmuUnqfZWUo8H unLv13znex1czwKMEP3U8nGBPWw5WFcS2FWw84/JeDi747nRP8pKMP/KFdIUT7LrYTbWibLCQnKK 5BfmDR1GpeNvifXDRVce9TqiIShjMZ5GVZYTzj1oSOwNIF93swSogQ+TtcOtMcQEBTrpTvwY3jWx PgQ+/QWEJ5qEcTlwKp9dDZqQf5OhbnNkAbtVHKiFuJhYCfMYzVJwD+E0fL5rZgtPp4Fdqr/E7rIX Z3b2kQZ3cZehHPqaQFLnSBxs1RwkgTFNMjvveg8oZbQW+TOzPDTGt/up93zUvYsmcetIATHiBWX7 AZntzToW7McQ80GpP5wE/DIdpVLxXi/TJMDjrA/ODfSEk+p006Iqnrn4vurw2bj29oFi7FtJFJJH m4Bj+YymZuSPU/o7/kskwn/8nFfRubrSG7AQLGCElHL3yOC3pkwqFFVc+EaUbadswDKiAH6PTW63 rY0XqelbJRRP4Fj4NXWado2d3YY5axVbQoEiboSQ1IPGCkb19Jsb8T5005ri3GZ7L2h6o27nC9wI TqM2Eo/ZuGchr78zrNykS/WzO7eBvx/o5FgyADEpcaJGuF6vFWELV7sgjAKDwSSz8jkXZOwRvv7x NHqMbAMp0rD0I4GTXgHnwdmvzB8N2UUzXwNGhZ2Fo/tr4mUZs+6U9d+b8jJ4r9qggol0Vrt8TINp VssOl46i1584n/T1mGkELfsswpRQMB3ytUKyFTZkMw/Sr5mxZs7DKqflydgyUxjoqkcFFcyAPP9N 1kVAf0b9LPIdQfKIBOC9YicTO6e6KOMmPePOWQqn+Qq4OiwjiLCzgin9k8W9kY6NreFjNNLQjczD 0tYkNUWlfOJ4bFzu1eDnEQ06YywbC22FmyFlb5r/BQh+tiF7pwKeyxD9l6X5q05qpFoPiagVRiIc QdaRV1ssx+hm6kHyHKRKLA4VCXLSEr4awu/otaSFv6FiL5TEsIht7IzDfyjs3AOAXESsnLnxxUbp uzQAgOLJ0qr2J9x49Et9OI0Cap+EUAJIMqujjKf2979vpYoOG8qvqOZEeJA4hMk698CMvR1sZ7Z2 pZR9+y+PtV8Ob4Fk4LD9Vd0jOHgD5TvsjWTIsy3AxFHsdBNgoXgi5n+gyJyo+CxhtNZMiZcVCJsz u62TqrpoBjQRk8gs/ysZVz4z1x0uEZ+gp4gNY/Vyo9R4N6gRUV0/kKNEOUu9BBigdtP9e8Fa0I/V RVE8Ou6h6338WZG09YDEib9l+/rfFbFTHzYVWjbF56ft6M0R/GeE+qLGpOeBQv7xeAO+JpLGwCO3 w1X8eUR/g/cFOcoGwS9PDNBY/H1LYrgicxqI7bUbUY9TxmyoDJ+/zyZzlDAjf0cOA/0SthfF/AMd Zx/cnkWg30uAvQe6Cw/nwDew54wn3Gyz9pJc5Q1cXm621+xU6M9eOGKL3PUzjkAk/NhNXVacAmdA boYgC6QwWKgHASj1x8LwQfZw+JKOiT2DxW55mLBj5/qvxctx8nqlaYHOJMJyE9WXRQHpMLsdN2Y3 WfnAviVDLUtyzPNLJLZcUgfUVJzQpJY3UF6DaUZQiQK05UVmWpPQ64jzSSorAxs0CMMs3fo0sGaT 57SOQPXYW0OHYOClD28S8v1wffSukA1BVZo6kgsRRU+vn4mAZ7Ia+m3R81ylv0MucS/xzgiAS11G /Fck2Ny6qGqAg0e9PuimM3WQmTI5ZUW87fqhPGaD+CwZQhsZ/Q73NnBdDblid7ealUwoVQtQnbT/ qZfocMh/1ZHIsUYEyqTPoEen0pHyOqJTILMUOpQas168YN5SaQL8z/xXIa5WS66v85ga2kTVwgDz l53C2TPJb6UP5PF0aQxkOdjyEw9sQM4DmRzKJTk4fx9VJLdkU6r12O5Z63KHlfQm1P9ixu7WZ2Vz MZJmqVeM9ZEins5JVzV0gZz4UQQNkOAQ52cFWC+o45ysnVv4T2reSYTyB6JGx5A0eLGW3bydQ/VC j8v4xlDiyeqhfacoT2T/l07WibPDMmoU0+vAJEcmXdctnvhHpJ2t64rUY3IbR8eNebbczivGGfId iOoN/o2Y2hMf0gMHpx2vxGWrG33pQa8xxOuHtMFpAYst8YMc+r+W+OVNZG8WhLyVEC9s18ENAhjy Uak5BDGKbpKIyF4j0z+EML4vIGmzz/SOz59lecOKnmUsyt9r3ByLy8KH+hlNIOmixlM0x+7OpYtW hMOlYVurq98reJtw283F/y1OF/eIFvxhaB51UgBRjhzKDTd5up+Vhc+9En+Ct/1BNu21OkVkf8tP HGGVbOnqL4zQxFxp20o7/rQs39gZMzLekvDIvE8i02f84yCV9RDmf2C273iYMmrrv/spg71PDKCF YSyDX8t+Y8JPzvRUkAbg7hnRWDp58nIE/P6SfoUkD2dZkYEqWvNVPtS5glFkUQkvkO1UmqiaymQM 7Ue1976ieDSKpIpKx/o1TKbiLiaS0zbt0RNSuWead21BhLo0JVwy8W96N11J14Fn8DXjd3ONNq2L M9uaT90BCMMCsGWk3os1f0ihuvU/oopd43pQ5JY7QnpCxjrG7z6iF1lZ/998K2GOr2PA1yfumbLF hTvOY5InVcwjvBT1Tt25TGs9bmwvllGcuDPpCDAiwifQxVv3CJ2pzj9IYcTc6ZIlmj2NgVG/nZWp ebQXctpx6iVdkMBoinllhdGYRXMBXefd9WEZemSZ9eBjFX47h8pT6u8JTAVuDvBCcyAa7o3DXPeC leoyOWLewLBRGdlaJaACrGHzxKLzD1Cb8IpBVwG/mQMnCKmwMWn51+ymq5shWtRGHk3hKXKQWf+K nSFRNkY9DRtXJwBz+ax4MHOpLKFK/UDJRu0yX4QBF721+DvqWbYb/sfAIuk+KffIkORHGVpoEKTU TED9qrTRdauSD+6MdR8HN2w6YpebyimfRJnTGCg9xP2uz2FmKe37w6nXqtdiY54lnUHC1FdBwfwk 2hnp4bbm5q3MgE5MoASwSNf9jp+5RUdc497/zdEku41CaGsuNR6y9Ff19E0xUP2UvllzHkhmJMOG Wp/3B6TIZt3CVstP9IO2noMmCIYLn2nhfAC0qIENmsGlq0VUHF9KmAPk58Zf8hpYll624IIbYZyu hkEZhCY5jUXxQLgG7QwBzidUNeKqtt5iULfSPlvoNYINxqQbJNtB7XQjhklIsJLIPFEAZm+E5ZSD T2rNtAe8Gm7nKVfFNHkjXhlwsmfLG9WI2lOPMaFAQFPQOKH8PzlQECAUxKPMI9as2RwdiYqIBw+r 4h1Lj/AXv5zkabd+uW7h+OYUNwOXotFyJlRX1vvhxEEKmh/gimzHZ9M/25Wj4E3rHKj60wrLsQP8 2nMxNX/ZK2GjXSgEsXnYIfEnet01yZaqkdAfy08o1aTcne3EjzUFhbppB/mHIJeU9yFOAqnfQWnx 9Axve4b+cWEk4g01Rnn/ULfuD4qRU4h/6tcAzpo5dM1kEC0C+LIpFB9HQ9oT0e2JLobnudmm19Sj nIJ8OrKh9n6xJjwYKVBMwhMPscSN31nTM94wV8/01Vld+guwxjX9srla/OWsa2H2ckO3s7BcOo56 ta5Gm0tqOKVjmk+yR9iqElVLi0LTv09j+ibAPM62S7zf9Z0b1tfs/z3xuzlE5TMIXXQ8X89/RSQJ 3YM3867QeOjaXcZJPgiMJD+rGHuBaaqTVk7iqC96hMY+YMlqGA0lIuY2JD5CyxoWJ6uYXNi9/FhN +JMsWigq9ywLxv65FmJBtN8jc89DnJw5lTFF56nE3XIzoLqraBpuU0KzD5nCv+t0wKhR9nFJ3dHz Q5xJ9zblaSYx8ibvRj1BlbZgXqxo5/Q9UbzephDcMYHsYPcOrR7SvLNWkkksTDN9d3VdcEFP75Vg tpFrJsUH3HNCM8oUYttuBCQjnBG/A0darell1vCLudl8aejRq6IBAMTwlvPK63fbQLHh05ApYGrv eryxoEELAp52tka2PQVWGjGvjw9XBBH/mKVWcdYURw0qDCabo893uJPodTMbBwAP4OuAkg0lrays dbei1mnE0gklYld+tan59k9Mz5CKqRsgKBTpOqIppWV+7QqgJ0COa++nklgwnKkts8IErnUToFXc vy7OIP9vDnO61FdrELuYLRcLTIJKq8o5ibnDiGUG36Z9/YivepLvMdJ1ttiCEEF7bkeAnWhocpaN RQpCIVRpcCAx56hsmzrsvEoiXnv5TKj9u4PQKewQFlkq1eopgqlOWW8OT9OxsivCGgBmCwgmQTmP akP4/CRCqeMOvFy1FCXoKPTioo7skaq1MnNswXbCFim4Yu518FMgs+cz5MJnumgD1099LLBBCSM0 RfgP0M9eOg8SblcAmR5A0VJ4m+dG6+wyCmDUrIRE9mgLAfmrRUJBmxpxWr/ksRmclGPYVb3FVqs1 AQqTYP6R6GvIlNpDUsLAGIq6X9viNl54zOT/rbdSy3ocfJLfEsJFCEtJBHc2X8QNMRpx4kpW1zVG TFkYleOXpY9xwuVITDSee0W/WpPHKPLVAAaPD/K5eWmgiu2Ki3FzIC4YH/GHt1VROCcSPGnPF+No keNKZHlxCEd9GGbe3+lYEhfA6GGdOVNcsR/91bYqdXR817qblB5zPDYuPAXvs4Bu1npphUuKVDRN xWjIdZ41+2dVrXEpH8hRWEEByh84lpc4asgDDbsNlfPIp0464+nGmnaOXlUHe7RiPe/RbvDmLmdE UoF9UpcQMvGsCsmDY+zPtwkHgz7VOvllvQnYg1/tvY00psef//sfyaqREa78iAQ/H+BIOaHAA50g zFReC423BTcf21tfSUgu0strANH24MCOiucdk14y8sxabYE+gGdJzoE3pymi3O1k03t2fvyMCIgC i9qlQMUfbnIsDlAPX0jhdyBo/puwgVoOEpR+1LnL93ws6+vq/hBkjWxm0f3PFRJ6CEOdZPQvOLBs 8/apeMSZZJKpkJZUngE3W2dWpB9XqutKiOS/GB7fdE9Sz4XMF45W0DsP2GHf1U6Vv6BJjnllJdFN /cqGc9qGNms02l45OCSdlJs+4GGYfs+pUEv6I4qReEXurq8IOiBMMyFwV51Ez5lt2fqqN1w7ebzh 7tSRvcpjNfLgTH/Uqsgc3kgr6OPUHsjVhKWuYC/6f+moKOae4R/lkx6nHTVXOSFgKU/MkQsug2OA q+FS66T0i8SN7lReGgbkpnNCrIng3Vi3d4xcW0GDJly3AHQqFhZAMAMk1MHj8O1L+XYIddOxA2pW 9cez1I4QLne5J0RqO0SP9DFBC3SLlXzm6Q1esDMqPt1Z2LvjrzndOf90bZPgH2j06WSbq399LNZk riPAcLKI/732auw+LbFwg0udHPxBo5rNkuSMxNLkOXVqmTcbjEcJ4pP+GNv66jj9hVNhxNSHZeR2 Qa51KchymVZ8g5LIBHQAiUr6glgJD94aA9ZXckwUFWhBFGdZuqC8MEiWGtU3+a5WdnNwWgmZIoE0 ObGU8EVLhiEoPMSQnt3mC9++baXeyPgytWF2T+dL0oeT0WLIgzZnq4NWvNCxGTbp2l7mX/cwXaYd k0v0Ppvc7Tq7x9cOdM1FL4AKeaFMqQxpGOY3rvFUwDngyJAtbk5CDuNesew0U8iNdcTXWPehF8T4 RZrCerxFpdDX/X/WcPStHTTHuoRE0K9uerHaeZBIOAPy2Vf+1Ug0jpa3VYX7z57KbTaxvx51QLmB NtC+dgbFmLLrAq0wnVzNgevZmuTug9RUrw2m6eBqiPyf/pT0Mn+NO/o9KuTPPkPnNobeU3C7m/z8 5YHVfLuECwCa7Qt0KKblzEHFC2epMwiCTuL1kPFzRoTrCmhb5DH9h/oBhDqMyIZM3eTTeUe+x2Wb XwGVlcr+VrRZhTLF8QCmDOGsK++8OfKqh/HQqX6EO3wMUx02jyT8i/5CzZnu39Ye1fkSb19zgOgf lumDzX7WCdH2DFKpoSa5dEvY4e/VHwDAK5bvAZ4yse4vXZLVlRC+KvnT9i50vKYEjIJmHEi8a3w1 jfklm8vs1OK0GF70pmIgMUvvPsEuQlXc00XNIMo1c4bJJSZExPajx9nFnrii4WEJDOuIMRJNyeKQ Cl6Pob6bq7kgpxzm2Cus8JaPS2M9cXTDfKkF5PITlWAE2e5e+kVDU2gsJvGnYPUd4EqGcB2hhMia T322UdLK4q2YKaAhudTGfSjfOlRbjhzpqG6UKHbgVylgC/OKi8d+By6wn53lVl+L0OqX5m2CLHq1 USVs1mg8PUnVDGCgxgfVOhiJAicSkJfQjcDCb1tWDVaQaJcm7IO1fi32ig61/KJE2VcNO+gZrSZ4 60v02YbaTQYZxWtKFB+MtKshZ085r9crr3szfH78gPQ5hpiP7OzGi3qz/FGGx7jAhYOSDXnwb03o MBB5N76eC8f118KjywiWJ9OsTaF8rXYs8+yc9xlxWuvVHUysqK30PzndP+xDKH7Iui12n0Ksnq5E gWW7sr8b3aD5+h0CPrgx2amXMJxdy7K3M7z/OPApLZMw0DuyVphWWVV4oRVkyzLhqIWE5fqU+NUY Nw2F2Iw6fakEjDJGSflv0xqAeYDXoGn6als8bCYnEgBsvKsmELXqEkO98PDv1Gv03JEMt2dQj6dy DUlpoQc+9InBVKOg0g7BhfO4r7FSOaCZZOZzPLzoaF3MF+YgTrH7ISLSM1tOzjgYuSv3igr78aHN FSWQSn6J1MOdGcewNw9XjEun4rWL2QLDdA3Hhc+Zc8bQ+5cwEWHg7AUG43rIP3RcOJptISA5pfU+ ax3lUiHqYtWQpMQhxzHsHWsEUqz8yhTENMtjl7cfSBGvMYaw+SrzXryv5ezUoBWLmViiCq4V9cUL ZOVrEyUJBzw/JmYq7oTw18sPQT6hUbxcJPglFuzm0wFhTzM9BArSWD9hkYxbZMEhFwOMzXcnUYUJ TuPwMLZct6bkLT4YC3jjxq7jeulgEjzJjVoIPS+HrQjUsdtsiZjh+LfK8PcWkTXpQcGs6MwsjhEd BsuD/Ohi/cqNlRxDutvJ0ZP+x8eGHk+Ka2+60P39H1Uaz2PoRnXP03RQNFDtYwml38VJHoE1Zs+f G9i1Ea9q+7X/ffWekymmUTWkpP2Utc6Mjpo0HSGOTK/fJ91H2dYdN86/tDjorGOijBd9oZSxIkSm bCkuvkt2UP5no5dBcN5NdgaQ6fDL2gBUB2Kfzz4ONB9CdIY5YqYah6tVdykTua//XN3vJ27xlmvy DHncllE/ToRTvYPi6/of4fCLjdV7CNe9McNUBzMC/0jJdexHhUNRKJsorjgerI3SnNR6Iy1r3HZA bpRO9RXY0xmxitzSHdr/6WGf+oglktLBna+++m9kjzfL2SBG80ctphaeJYMkTf2oK1Eh92rpg5gX GL8S64QL0EZYbwusc8PsuftQ3a5Uoh03bvZJP4Tb4lyXVirJ9AxSw3LunsjTIekhxmo2mCQLqj2A DH/iViyocPIvkJvF/kA5kdwII8VAAN1295tF8tWnZ+ZF5rLF6bAb2Bqvq/B2TuKmrJoay21/NNSq YsE5BRXiBToaif9vYCUMP6PEI6encuCIzgIQkiJmtDDAFO+vRD9oeJz5OTHxKKZSl9zKrZ50OcHJ ni+wvdEVil5P+VH8FG4y4IM54OUACxXzchTXTftX3B34DyFhif7eXFO8hORleEYckm3yEcDN6KlI FkQ9UvzYdn9c6zEFmcrSsDeRx5vQ5MdF1NmTdehwXYrE3dxoMhSToU0KZ+oW8Wb8fCeL7yQ5uxkH 7O1zPpXQx7EEPrEz1fdWJy7QnUsLmhSqYLNDTYzHQpxQxnk2iim3cpLBXI+idqRDtWpKT2TQHD3X k5Ywn+++VnHCqmBWDDzTSfaDpes2lRoscSMhIvjk81DFiylERFzHC2Wzt/uOSRGTb27aHGAfXYfp pm3NM5+wdfR4UVPqPOze7iJTydNNKnssor/rjdtwSueLuPTGhIZtZ14RUuFOX1Sqfuc8hdsNLZEx xbvMdbNjdNSwLE1I5UYD+oj6y9IECe1wNJrSmcS7/Th07Nwt7yMsYaDQpo+7MAeB/GeJoi/+F9DP 1wAJWCb2qZdzt/Ssm6lDWSFIKwxaGVxdvG/gH4YAfEZE4dwZsPVV28vnuimMyRK7RHxS0aqXvrSR SpFWxaPftEGXs45i5brWS6wqyXpYFRZ7ocZ3vw2yLN7Lzn3S0/tWzHbHSVmLURWho8EDcrYtJmKd VQ8plesFHvqivq6BxBCN8jym0XJp26FfD7Yz6yMDj2yn02JLGF54aUPe/ORI8KUpotCJBPLJdLb9 JbaqI9CuobDNHz1+JgTS3YnctzJfe5hPe95whzxADXlL1FSma0JSbhL6Fy1MojGk1PiAZktgk0qD HzgHy73ONzzuyrDJLzQQaWxHL4bqpsAittJjVAiGkwlzskHpUpNGugA7IkbQ/XqVWithZw/7WDGK ENE2EEQ6QgmKvFlx8ve1nqFzTGqyY5gvDq8m0ZYPrGV2jFJZs/+bbFtZ6TG9C/jKiJmnBpV/GEx/ G+Vl8OUu0GufLzYY6fRRrg7mR3xm9xhxu7/5fnWHgqvgbfHuuMHPV/wWDn4gg8kKENtVNc6CSQ1p 9cBKy6PIzmPppukTRtRQsQyAz/AlmDSMBMN3XJvzHJ5irmVrDeHQVluKqFPun/AwiRh1s7DKVxXG AbbEL3hEDT+CuPIQh8WcIeFka5XXaHfmZ3/cm63rDy1dun4WBMFbU36HGte3N0/Gw60XUrXtGceJ 5l7aoedBix0kQxq+CDpkOMzlEix7xwNAE/AN/Yw8gUmlKwmQnRBmQm7mniIrr6TgfQz9dR9Blsdy cLc8iyn7gMWnNunErZekC8U2hrHjLtjowad/WfLLXso+PEOraaM9vQbevCM1AwsHZtXLtNR9Ihqp XEx7fiBmYCXpvXmpMMSiq4Aqbh7fZm5xz+RQLVeme5p/LA4JL5DwUi5iZfwFGMxHBozGYi0AN5R7 UUXmSMyG9fOYJ8V9CWXcgXo24s9z1/lowoUH3Km56j7qET47f88wT/quwYSaLNKMwKrr3I9TqsRl nAMLSRIokbrDbbzDlmT13Wf1xA5BAuvq6FZTKeiKfaFWGi29j+IEdCuvRO3iwft6owYpAIQ+R6J5 W7uyK7Mc0J8Jx+OjpHHMLn4qGvO9fD+HCYsfXt/uJ5EgfghXiqkNgXK7V5JhgJyftpAIbH+y/sxU GFoGU+vb+0jWyjDa0/ArdZPH+hK2S5+RxdwAeOPbG02pnO8liQtJgoX1gGD+74NvYNO3A/tu+/d8 8i8EJAQxBWrlhMiGNLEvF7gZXyvwhMiDXSbMPj6IvECr9wZKBeI9g03hQhqMJMEnfu9czNsm3nLr uKGZSm0yxOX2ZPq3KBqGpbXaXeuNQAsRcgcY7F4adPvxOwrw9OV6rXHbPwwvazB6D3tezlbkVY7A aFzcSyTBN4wNO5uLPdQM+/P6nvrmkIR/7Y9k5zQTkQwWnhrmSDE/JsBJgGpuBS+PjpVd2H/5Ay+L 8Wc+WHCxl4w9E1fOCD0KH3/0I90G4gaBoe8sNFeuw47d3Z8RS6RK2tIJhotC5XAbjDReKik5PveI KHqgqlXv6iUX2Wi1c4bF2a0S86k/zSY2S53R25Ug8kCNuka+z4icATkTQB/q5yyFwFQJZ03UzR9p Cs1P/2YpBC7GNfvawuvQzaf2IpK7Ot74yNUvyWThvn6hrnkyoVY8Jiiofb5s+NBcGhwomPjWYUt3 7mJUPJuOHDe9nnYkojYZBWOySQSyYkhdFY1zZVt+LCtx8YkwrnVawykImYjZ4+wI7VsiApvpMVCc OnFWClxKwz66y1hRmpxIa5XJlW5MxDV8RfnPVf7wkNCLbqG8m9gAKVc5gwvK1aW0cts8hQXsU6UB 3ofsSoJzMVB53RSnDogxFYx/nLQpnMK7pS2Tm07oQJx9sKWhlMeFukh519nnNp6g1PhOn7L0qx6R wDTL43N1OlFA8WsDntO9WEGQWq+odXWx7pp5VxnYFTcfjzMQBvF/N/RZn6vsHkex6ovN5I91elGC ChUxqiQs0k/dG1IMYsO5u1LAngy5LbKmu8VQiTe/uDfwajriitxR9d++qNuoqQRQzGeotiwV/JEU kydaFIVOt23QYTsHLXyZsmzQjHEz8jxEbPHHnr7hMBkb/0HGBwZLNVh8iA72jDQ0FlGqe/Anvhn4 P2c6SYwy/8A2x3eBtuSl6Hty8uE+4S1Dns8p1NdBRjeyEDSK+aXJmaG9HfQa8LtqTKupHL6tm7Mb jEvYpBPWQeDmz0VNQ7iQBcFx2dPfvwrsSkU3Snwc/bmhzhxlvpeiqu7rjyAO1uK66/iF8MKAdnY+ kdbO5tE6jX6Qz7IvfJw/VjXKzmdJIxL1Vdw0AbZ7ecnyx7FFAu45nnePKkdyRSgZjl31piLIdRob b2yq30kgzzCEC3UNuHHjdTg7ByJlCs1GXt4CPbJ8WuoJQy8odXiwwwwG84Ip2QwsZ/iJ+Qh3LWpe JeaCtF/qqqy/zVZtHwHZiLa2YJFHn0MK/G05gkIsK6sJUtjgY81RL1hFlqkltJioD9se5jK4/Msi 1QL2NpHWv05P+3tzerdAfqzhOkrR1xuGmGJIUc3SAo0wDTobsVoC447xitL3tGgwgFCUTAFAj8eE CGIwvcHmuN/24GJIwOMNYZiNeKQlVTgqGPIEMioEHhjaY8lwesVbRI8/IaugJf/y16VYfNkcNKhm jmNrbRLZckZHOv8KBNxm+elauL5nR1iU4Zs+NPJ7pGA6aOHyIuml7p8h37EjCsTrYMDMUItKSfno nT5QyGwZ2Uwh6h8TtJ8f+hlMN00Zg/V9aHDJ7NhnIBTN0U0PcKg/uHXieRcHmZWb7NgFuxPNGYMC zP+Jwty04FVkO75dCLpCzdW3WHiS2nuCREhfXqsvEhDOFzzY/tTUBN7FxeBCeUt749Sz9R8ewEWf 7AQLBAmmNeZ0Sq1M0+IAXykt3GeVYco5iJVE7GmlM8Bob+xCWuA5wG1JTOuXX5J8ItHhBJ2S7uGr sGx60+2/NOJLkcdPINOY2CDBr1/5Mqpr4wOQBQ5LqDIuX1rYRiHl7d5GNUiUD1HFSCQ8a6GUNKI3 Uu3CFuTmQEugS1Mhe+mFUyyLVmON027/Ecvw+OECKLI424c6x3AUpA1Fe7s9lHhNRJFiDdGFO97D 3R4nL0aG6QGV1qsBqwnIo87ZhK/ubX3h41zXXnASeCbQoWPeegWd38A89TPbiNk/2nZHFSIqJJaT ICOwRBYm5VOUOzQ1IxRt+kdc4OGf12riprHnP66x82IMwk3Fb4jtIqlKQNlxWk7jZR923vs23o98 SHd3N7/B5fWzFm9mtVlo0EYPBOBCPGzYDfJ1BUR/Iaej/LBfyY0WJtJuPYUumHv5OIIYhKfCMq7H nSfkdxNy/NuONFPXZV0SGVJnLpxUPaJVHRAHyjEYnFJs6hiHSCOc/ckCkJfCvM148hl1bbM6mVCV 1MlahD+y6SOS8Q0cAH1s/b2QI4Xha3mF4JuTJpG7G+9KFaY8ZTqN5nlvNthD0YtUp/nFnMLJDlEt oOLM9VfMOThK3+OL2RtmR2HgWJgAPwkDP6j9BlsErvZ2SeKgz5UN0AgVKiL1AzBaMaojgbiV4j5S R3c5WxZDz/MvHDeGewF/X6hRy2cjS0aoAkJxxEhQC89nC/46lQi+woaHfk+FhffjiYde2mCeOHSQ Gs5w5kzJK1db9Rw9g1jmPi5bh/5ebN3UCe3QoVr7JX78EvKZA5aZXeamqgqrT5YWTRDt62fEi9aM FiXxR/skK1Bs+vU0wlkpAwl8NLJ0jivwHmbm2Y14EBlh+HZIS/xlCb2fQrQG4NfwAyPT12l4pOwd pzXRDXY9OnJWLSVcgNfHEVjzS+adLWh27JifnKH96JcbyFqqHVoDkaad2PjR7CWsQ60pHmiJlGvN 1jovjEpPI2oHhuFvds026zqitPZ7MI3xv17zHyTHssRuKg1Mz3kwUsVn8v9+Gfwx3yRI0oByFvam MXBNiYx3fQpjZroQ9pr0sVeWmsPQxC7Skk/76lL0i3mwJYRGLwf3SVyEQB3RixWwpCHVTn0Mq86u AeJbwrXUGHG6uI+dxj6qPYb4rAw0vzKNIN+tVbwCm/vi5oyvussk48xDu6C/gyYG6V7xJqlZgLiL KdTyLfQ5I1+mzZrYv28QEHB2ym6wTLubJtQq7krazC1YF1jg2slWj5UVxc6MBJHch/uxeYEeSx5c nMRZv2Lm9ri3lMg7t+kmnd20+H9V68p7NWuNm13yLqeWkTb0JPOOKaewchnbf/sghZK1Cw+iiV9E kf5mVe6I1lelnj9P6ESL8lZIiW0YBj2X0XRm8REwvXT4wuc0geDkTje49Y0plwNu+11xyuaWHxV+ mVBCiRZjBd16yQ0A8y+RhpsAzoeVGeOwdkEGY9t6xRk3VtBIBO2eZaXoPcTw+SpJKesy64FkKdcL NwcqTW5zV4/8wWvXVe2LngMrbt33adZWxIikZuac1fvITHNt0XcRRLX06bvTyZFw6ITXGqW2AUww JmnWBZ8r7bIgEAr285kTIbtlCh3bQXfDIIHzxP7KjwJhq7e38Axd51srsylLSLqFgdYV105/eoDv KK4z+x2VhCimDEbij51lxKx3P5ptOBoy8bzGnUqpGLfwQPr56/gxyK4VWED7hRfPbhLN4+SXlkJi 0MVrNFvORuwP3gopS0dxPWNUvJ6w81chgIshpAe6VTqY1J82d2f4UZJ3ygHvtsSGmY+dYgIlzPwV JcyOAC1owRI6bsGrEFNCxpbB8P/RP9UpMAUGPlrj8RlJqQ5v3oIeFaIJhBFspahHi5f92vCt2MhB voD/hg2530vfbRom6QxLTpumWVd4peUPG92UwPSVnRnAvZwe2SxrM/x3sOVW/PjGp725rRXztL/s mk5Aw6/QQZqpNM5SRWGT0/U2zBSA2ScLzSnRjBVdat53CcnwIqFHbyhR6+ltCAQPufsa4ZGkoy8r sMPA8xj0jLyBD13HB06hLd38NU25LWyQovgDin4iIe5/GZdgmhcO+zeVaojvectxxNf4ocna1e96 ru0qUNEQGJchzzwbAQsVwI7VPzqDTSZIVGzKqxPWu6awdjk4EQ2fhd0ioiy+CguIiLc07+7kNHAT 1arVcit4SE3gRPvmdTMsz79z4j76eeGh+H/FFxtiOSjqt+aDf7Qhg7Sf7POPqsNs4qTYVpz4zQXi HQZxv+SWiDDnhapdyTI41KuodZZND1Y2Kqr5OhNoM+N1cw8TLX8NJbb1k8Y64xuo0/KIteo2OJU4 prY54f3517tBSxaZKN22Vwk5SU1AeqbpE3/O1RHUvPv3vYHpuQxBgTgkRPAx7fMgByUjDp1/kgKo TdUzcMt6z7E5FWxrKUZji4MTTtmmL7ahCcMJcjXyO54iPoeEjnaylsJdoeGGbjFD8x2jnqorzUjk uK7c9hdpZ9AkPL7s+tpWRHjn0+h7ICWq3suY1v8U1puIgIyWsUBJeZ1vov5+JSn/xeKV7dZxNTAq h++VrZZrGW/QzDoBNA8hkLBNs6SRzAblYHhYEr5Hj6ZEiOdq4DTWZfpuqbXARPImXbCPZu9oW2d6 OqvyyhhHASrCcLOCTbIxbOMhyyQ9ZAaaJUS/uPvfu+dIbtbXq6e+EvbW6PskX2lLjxKh6mv/h1n+ MhV124MgMqtCvTPzISuduOCCRIyfTzqWePqxrsphvadzjIQd1u0xTJ7ngbDqZ5CRc+dwASVztfDk picn0n0HejfwLxB2JpRM7kNdhhAsdKAP3ZYXKwxjB7/Q5krXX0ruOzsNylU0QJXDBduuOweT1n8D aDSo0n+7b4IrspwVrTXr121p8GPcWtE98s8/E9MeY72GkTDVJhuUeyTr545Sd/xnTxXeaUloXisV E7kAL5C73xH8v1bJsCt7TIqaI/V2QEcCZV0uXIKWOzYoWm04DxNYitQYMvkYxh2vI2a8zhtrHzHr fgtFxHxttGiFFjDcz3A5BG0akdHbpltqSV/gp2H2JGKBNBzQV1WWsT6WqVFfxXI6s2jbjAuoqXoK DyIzjzYyhKZQ5W5geft/5H9jT5DNUYAoMYbRhRryfty8irsA1OHV2P4y5eBhKr1pWEixGUlM3JkW StLLt49MzHuC61QHSjwG7ndVWHBUx/yVuo6RG+JfS9ubbvqnBYzyXHQ1LK0iFZC8T9Z8MSPKNXDX SO3VbsNIrgw8TAS7WcDDaql1UUptrCyZ5uYtqUN35bsC4qiHmDGmmZor2skuYMYvxXthGQu1Mxvz r6FauTHjk3AKdrXnSNEzXA5r+JkRojLAHty+U6aDjZ+xEO6z02RvImk9tgn9VvWPQv6rTyohaoGB Z96fFNPXM6I/rADAEr7TgBDC0aG43XfLDyqPjMZsfBliDuSZALwhMMAtNdHwB6UMU9D1IMY+hBhA DpUdSIcopTj3LRhfAFyT5CnhGTUr0p5P4H2dI7OfkrqaQjZS6M0+SDm2U203KerQWLIvQpjbghDm 94QchyVfOtmrrxb7h0WtKjmcv9HPmQxylOchDTS2djDBg6iXs4Irw9WCzhKLCxDankIYX+Dybdfo BuwwEjzL3bXFy3I3Awu1wGGDbMxYv0XX9Zv0NOk4BFTDFw4uytFerLXe96O+G4Ifyu5RBOcD7s3U kFd08xQm/5j+dQ3G8RbX3HIQVKAtmJHSl4s49/tGBzCJ57qepwy9wsaG/U5tOH1rRzOK1Ma88F2C zpq0MLEbiLgCucNLF8cxYuARPlpZEFsKoIz0fZZjS7f4a3WJmjUPwUCrQrLMbTaHZThdbwQm5sn2 siz2MOf7+OahI7eRkyxV68kmFg1mf/Wv6vGgcYnQGSbbNa640gB53d2YIvSBCuRhOClR05FYFn4G Zs6kjJCVP3V+ksqIZZEeaexstnMzkiy6ieywPzgQRPNFBcXJfobf9eO3oMX018NfCMju7ixRGBGR HEDJMO4ug6rWsHTMw5EaZFygxQt3q2Y/aWJrNSLmjyzNuZNt2T3Evk4kFFbLWkGysEzZQg/vizFZ +os3OBztk5KCBl8nZqekHQpIYbk1ReUfFNauMZw/a5sGtbyNBaWGHUgFHiFknIt9ZBHPmV547CqS IKp/bSgRJRvsN443MWyI5J68fCHPpytoK5GUTAQ9VSctT5CMqTLhii6GQiqEMAJosrSbh7wsH+MS lR4bzGVT1yw4HZkkbvG3R6bMnVeGHy1S5Six/+NmxW1CScvF8PbZ0WmjS86o7HFgB2NvD1DpHNeQ 28qJOb/jT1NRJePKVc6Vz9siLcqLaMRmHt4MoVxwUF1gywmaGXzw2xR9HrM7z31Bd97a19PvhfZh OhZfBc2tpjM6QygJnskt4QVrbsrLoNccty7NlkVuVYFIV1DwTsVL1l8o+EF0Mj+2nP2hzrGZiSDm yHoSTubol+pSKiLhXJ3oOVfKqsBxidRkhJqDGk5DPZP3vms1GT7MTs2BKnYLNETupoL8+0t/kmq4 HyZnXtXECUeNtmbEdStG1W6mOiMu0dZ9qQHtdQJIvnp13BjIDXwdUFpZHB3nNICm0tpblZ2XGpRH kMgEZrnwJ9vCXoHII/35pdWG7xXlxeCy6Rl0H4zLVOH7kJ26VKOd7yoeNT2WIVuPGwqGflA73ZtG ggUir5zRi/V2SC5sBOn5Jye5Xu1A2jdarWXO8nL0S/+vEYNUuYa+wYDhjzZgQLN8dYKFglVVVChs RYMNEE9CuGe6Zlf3GRaN2MM3hfzm1yGGIa2BLTjWIDtfeB7Zu/X0UVKIvWtvI9fjy4P0/FJO9LJy X3Je/TMJcADj1CtrzREauI7HuE1n4El6icku9pU1bW5TB/oXGsXKHSddCBg1ZPIFxNuYsSX09hXU 8k4beTJqIZceLI8KxX4OsD5qRlM8SPsjhMQPFZxESjq4xI7DiFZElcriLGpCbjfcZCl74Km5gB9x wLJ8PB5ivcThIE1UzKJD7mTi+tYahm/uwNeu3HiVLm0AfBlqSmQqPgiE3D4+TLIdsOcxJwugSjOm B3eDp0IizNsOgNOjNMSpRv2J4MH/GUzoKR8kJG4xQ96Ec+emyYR817vvxRc45/vsgiIVvq0MmRGf EVR0A7kueKUIC17+MjqDpLqQb945vt6cRIYgsl2iDgmJYdqAzypVHzPgEDTC45ZAE3S4pNXBNQLo 580CBG8dSgtN2dctSmhuoTH+7K6n+DxBAnntWaFQaU5Dl3xgZSQuG7ShTEtTYtNCcWh3KqAYw66Q 2eVapiiWALOIP0GnMkxTugCuQh6TLBbOIp8jfrxoJCz9eFI2iKP8j40JSJHZ8iw03ol+U/5112p3 MIpbtU4k5rRMdDt0xXckNFJVb9jDKFcOQwpmIVloZmNwMq5k5kYjF5jVWCVMPxwbOjwhzJVBO1kW cwXhm9G4PZ9kMJHmKH63gcuzVoCPQtfZEawcfRDEMzcf6wrCarpZoSM5b1rRaCjoaLP7ck8n0mlK BvG7aCqpnrHAxv7KOpr2Qr1k1eu2PyR0Hw3FrkvvmHguK15ktLXa+AbGJ3YrBuwlrFLCNSOQVFAy cM9AzK3h+jvUyAPWsUenIJNj/oE5acITXig5W2Qbmt4KEx0SAYS+98zg2doe1PqLrxEb1FA6vTgn E3EbKdbMP4A+Ms+RE/yFQeGCQPaZeMVZ/Jw3gr0T+iTegTVNDGgtXCmxITIXc9vTYvE6iNnEP+ww p1iOd+UV1fuvh+/J3BsIV/G4lmHpAIOW5t3rQInNBtQ9nQ3K0+1OSIUy8ffLIjCs7W3+V+58Kqx4 fBhl2wUMyF1c7T6UCZlwA5kzps31jA3OuvG3xCJls+aa4uYlUdKYGghoLHbeHjoA9duh1MW0SEQY XhJUn752hao+IAe6jNZOe/c4HK4g9SRrSpyvSddHbL2D0/xTA8mBLMdkIpaOhd1RY4fig857bn5r iFhnxaX0MnYijflwQyemiY7GC3KIanL9iFcz6nBvi2BR0WfJM4ExvzgpX1YbnuIdE1oNUgcO8OF1 MO5XqjeKgUfE3pZQZ7Wls4bZEaZc61U+lnzgPAsBbImRzd/ufePl1A1W0By+XvX1jZpHh7QyG/bs oOIUPQYx51O9E5t9x5aaBV712UMFf6SDbc/cO2nyx1iOtgVLwZv2Ez9NEyg4H1YbkDR+HIZcdW2H z7JVZCRu2kxoCyPgP+gaWYlZR9U1LYWYKGM9m/7qrZTKb/92p6uY1Boag6yk2R5+hSUzn2L1wMvC outxOOpS/FZsw99U+Ys6UuYbpoTi1B8Q/dfADGKZF1JH2/oBG6h7igmp1HpKp6ZpfTprpsSGIy/W 2UuufcP4Z6Sl2CcCule6qLhAz0A7Ij/5dY6hLzJq4AEbC2NLbjGuxxfSKo25S4QKySzaIxboCVVK MK/0r7Ciqyc3FYbSKhE806mdJQP6nt/Edsh4VHsjfwa93kqf/vOeF+X0dsW/GPqG6dnV51WaF5hg 6GuM7ySZmJi1P3ms3nQgL5I6SlcqTsTUdsvcTWTihcN/TEkrCut0Gfe2Ot5SURyaPtmSk58rm5ID MNXgyThVfpOlvnW+/HRkU2dlp9ECzkS7k0gXTRtO0xuWEKViTwmfT/JJ0wByLpOLvh8ErdAJlqP2 HEWrM0GOQws+z3dB3bXJFJNVv4PWGyvHHH1zVGGoGi4/m8xpU4rtHExy4qg4R+ZAsTUg8A47kpm6 QtwsyuCNALUfQUQ0Un1gkIK3APZBv0gf73Q+3N8NuYxlkYEVxEEkolRFr6SwA60/Z1Ji6mh6gTx5 0q9ZMH3hzPkiofnmMZQFRn+oFvifGVynW5Hktd7iBLW3N0+jvh1NHGxmJKjpIYY3Eg+VPvigBgDc j5UBw1upwXE6zwqIOKK0fevAAqL28jVAiGr07JVfB+3cmN+WDY1rUe6PH0edJ9NuVahOHHOmiWFT so4WeONB9VJONdYe6s5JWC4VVSmoofc6iAduYbgkXl8tslrc0OQ/4jEckek+XAitRrzN69eIGILj fUqD/GqxFKAzUMjeif/B6eIOK1kzYvrwL4K2wJMMGMiifDzFm3janGGS+ogCkV2+aIWL2HY8GqNo qClkx5xSfhzUZWE2Ckm/2weUMwgqn8IFjfrmSw4Io4jn0+vxPMSjva7S4E1XdfaujCgUaN+QliER dmXcdtzE1B7NxhUJvx7DQCzZxz8u8+uu5vWoTWAXY8lZ9YIMKJndYkgsTd5Sat/gF2Ke0TQiGSZ1 iTLU/9c9UwvlwoW7IxUqCOmQwk+sRE3AAMzwRi8eeqwfhU3tPyc0MZ+8oAAXRyNvHuoGUp5ca4l6 Luxbowg75JtUxNCOsSKDU8gmGcOFWljiNZwr1o0oz/mCqaKKGB8sUUZTsBh4asUQ8RT+kc7R3yDq XCKqajsz6TGUJrZYCG9xRZBigkws81KhdaPbp/CcAO1h9b3GOMPW0C/phInesgX2nHMrMl4wqq2Z eWGnXLW90zG2d+3A0DbPbvR1GtjpOKmYd0HgTJMNK18VCusujr0YRv6VgxCHMwKDnHg6ApIn2ojn OED+y5yyVeMAXx5PuD7YQbalslLOxBGPFDXIoDN8sINHMG75zid3ujlR/szGh625h+b2xOnhRfMa RblmqqnOD1Zkcb1FE5byA/5IYQEXrGvaDYQSNbb+xY9QnXJqNNXhV+V9VeX+GHUGAnGvhfBCrB0a xya8e/45fnDO0ZEmB4LOEbhLSkKQFVujqQZlEENDW82tuEAAoG/B2Tv/ftuck/2S8YTutUydtcZh zfzXKXCqCY53JP0mev948lFC5y56WZYcr0GaYPKyS6CKOc5uo7CkVl1pslMqmGueaUAtPFzF3Suk RyrjIJCCAyk8cBvjU89Mvuue+GyXwaSvgODG6HNpOxaa4aViEHQ939lReo5tkvQlTvI2mhLj4Hxh gms0/7sY88kU2aZp8K7dmj13JQpTujxBPdVW55uaeCiBw/QEVwCXA3rYkUs6bzO6P1Hke7ZkqPMN QSm+mQa4TNTAVYfqshdpq3MMzTmy4zJ4BkabRhFMTPGAQKOCtAf8PzzAgLukXp2xN92jNjhu3rLx 9OcfqwPWia9Q+MiTY5ExZGiWyrKVhupJ8ewgoiWOOINzxf6mYPJEiUzE/0ypaICfJ9fFXPH0BwlZ TPGxNlE/fFz4ptoSDn5jL2eBceSFow/SeKuQmB7UaHxcdn4hgNyzkPuVZwdojiplFdHDggmdS9wN S8TjGPzrAvG3qUy5W65Q2fwMDgbQ7+Pv/Uqiv4znSaj5U51ZpEBZedn3zOP/sXnv4qR+UzFY9XLL QS4yyAje0h2xDXVbcKJTwtp4c1O13WrYbgLlYXI5/QJGtkPcyqdgdPhQ4IsJYgue/6xiq4GNXmE9 4r67tr16y7wTu3Jmeu0qc9vTRwyMiweL9y+IeQlFyEGQ75acGem35OSZGOMUENoZgSDqwWMBLtrC XB0YfgnFbwVNOGOlb+WAiE6/Rg/+U72GEwxIP/jIkshQgrdTGaud3us+OnNpR56+EiU5iM8EEZvG b5GjBeDXwVKCgzY0nqrnY+2urN69buKw/M/1yzOn1WbYOWeloCXr80QsU7vhcu5YWntYSSkC+5p7 8BjqLznm1bR8OnQrxuQkNVdPCOqrBZ5AS1xa/1XjjHiO+0mD0CWzK0SjDULz6coQ2d3cF5b3tgTp pN/v1TC2qL/nL3RXfWgl28pv1W//c9HHucbo2Z8H5CRKV+dM/i/KEh/Yv9FWJKmEQnmB4JfxeTOQ rx++2la0+gfA+39F82I1mGwOfsTT88mUIH1bK4sBgrsAX1geLzVotkZdPLvUtXPrIaI+fRHXTnmi fHaOfNwcTtxDd7UPenr92x4HpFwySHXWswegPzEpM+gGa/wsloYebuIs898A79wl2+wtDWtjcn/T N2pD3iUWB143akdI1R/aJ6oDGE5uFMgPPLIswA6NoUEVO/7JYHE4oGBYw+mM2TRtmXW0UMyZ5W91 gSfWr63b0FwD1i8v5suGsYeSVAvpVcYHF+BuAD2S9Xn+AJKC9NE7+kg2bSTl6wh5X3ViIlqI+ZuV porcMrY5qdOl3+9xpIWzPp7IW4t0288PzlWVHb2O1tB+YZyhZfNmQjds/+JPNdhRihDsKqMN8tfv TS5ax5pjMhCGOPqIO7zOS9Yi9siqtXx/iVJ2fjZ7+ra1Uy2lzgAWNoYT9iC+PZAOCxazGGxxpGw4 DKvyiZAUoZRw9vWTCUnWyr+4XJ8E0DqC0cYpA09lDJQwXlpFgUHpwvfGsmQmQbnxDi2Uj1jXMTMA Bp9SlgGesTQy+BJtXWtpMRgBqMb5ptIU3m/eB9DIyJu0xeWjkTUAdGODiML0PveP8+aCOJ/JoOZ9 yAvOl6J6Jin/1RxnQ+bLzSshAKmsLuEhkjBUSkWbg3B+dtXgBHtO45Gu3whDcsWAqMPb7vqlAlGS 4cTc5LaOKnG67sYDP5VtWOWhz7QMnDvA2Iuf1uH5cFyGmdboLMJXPsRLkNtEDPxkyQr7PKnvPuhH IH5XQ3EcJfTB+FwrhsjV0ImdQi8FouWT+S8ywD0iTHxoryNj3F6gI2w9g0XAXvQZIR0lwml3JjE+ jgFkh7GrY8+onPAJu6E+53pA581ZKU5ONbp4Cojplfz+bpe+VQSlpsxNLw33+caCagomYwrb6n6F VYJr7s6KlK7mCrkHe109jh2gnQhTLzrQYd7kCpkvUXxChQlDSrYI40JrWQXT8gJXCrs7mq8O528H 6IlImMCJkul8L/1J+Hi8YLiJBI3YP555xTfPQLYmOzjW7WprfQsIiCl3Q5MNC5GV2pJSjf/X9IFf Vh8/5C1cCoDj12dhY274FVGiXPSjNw2p+buYJb0Rb3eAyxloIeQA81ybcHqMhbpiQWEfTDOjP87d vIm47kaZrh1qnrZNEt67QuCk3u/YJ+oOq9eG2/s6OVCdAT5hsBFZJxVZjaI0NxvdISfT0fZV2JLg V5qIR/zVQisW7ggQCKHqgU2cN+4jVtxK35ulAD0QxQkMjDXCbx9ZiqxJ9v0Qe8jMBMC/1rIq6/jR 6nz3vRV8Cm2udJl54wIZAMPMXBu0h1WRmXDYnS5RDFGOgIUBGo3MiBZA5f1WaV2m7fTv0iScUxgc lNkxcxCN0nEeMMRf3vzqGF7xjSkISh8KFjjRoT79sg45RGe/OXk+2lo1DMymdU9TVWTuMd3roGdB YZs7sTm1HcWOpl6RePeSI5FTzpzr0wd0Eev0Az2XIHeYthA0rDpDCjpBfjUuRLhaJjWAMt5IpTcY r3/mwoxOYgeSKhNa+Htoi5TcoY/JqHCdewRR/ak4FPxTDnh27ad5jj/jXhh9fQqJZswcBUh6jsDn 9fh+Y/PhXDCCdNx6v7XJ5QMsWHK7X7G3Pr62JyRGVnebFWN3LdjmA3UiHENhdAnDh5zmceKMLdEU GLZBGwuYXlocsocL+J7Wo9Pp5QFVNDvltRokbdo4pM9yKcJm5IKJ4hs3r8qJLSJHUcw/8tt1WHxP H3gi7N4NVeDhxTr+oT0cfyp6UQDHrnvMt1MdacU58z2O561NnjNYTpP7r5xJjXeQ7/ok4BdbJP+L 6AZaYujMu2RD8rDY1pqhwi+1cLo0ZDEgzv1nPbNqJZce5AaAVifJgG2RZ9sNGdicCKRv3ProvWRi jdfGkkPmBhjCS/DHtSzDDlA+FcDnbRqYTPf0TaNv6RXhT6wMFTFCpJKgi1tHa5SFbOIm+bsgamRz 4oM2hHVSk00VbDtsRd1Q/usj5bRQyl01Xuwvrqm5S36q/zWS4g40yZtwy8i6LXvrFM8EDF/ly/Ta DuVpSQeQI0C7ok4r46obmhRWE+9k8qUKFBxUWLNthgIY9sb/D+YNHSGwRTKEIb+Q34qifSuuWGjD mynCXPsaSeXGckU+89v4KxVXpu6u+izw3KCjHmSNYKnycgTmV5jKpjFs57O9WN6VWGB6KVA3mZJF jhzZ9MQPKvaBol8/0kYduntHCa00h2wsMC4tvdp0Zc/Ew/1CoZ0u289sX7g+DScqhk3I4L5vkhqM YZjubST8IIhfx/AwsvmhBAghOwJLGoU7QMQGoBqQuEPtwj8g/YO4/dW0e/DOsvU9zH58kuygT9FT ifske4V9vso2S5nsB4otRVvqs4HXqc+zKtP3nnVJyUrHMQPM9kjEWBLLJASsE0TDh+3SBeJxdpzB uvXuRuAL41W0BFyfS9kV6ukxj/Zl149MDIpOUEB2dr4IAS5O4ZaboP6yrHrQlSBMuhL3MWDfx7nR d+jSWLs/hMoZUdB6jHkc0wljJ9c8AEIMRfBI69drMw4Irax6/xLmlM5ftXWPe5kQv+fP0OnTbiKx yIXrfgKnr826yRm4ZL/4AKU/VCkHugjvOgyIcQGELXm9du/Qmt8jqwQGBDjaNCXFY5R8ib6JD6ur 4LjUQQsvbGJOwzjvANmKpYc0ca6huMgHiNqB4t41iMApfbnEfQibc0htrsJ75FrtSTo2zEXlqOGO jA4Ioa9x8nR2sIH2Zcji0ZLNWnq/u2wkENhYgFq7dOWO4EK3owhIHqpUkwIaRmiGho9xLReN7V+P mFGmQTCyJcSTrrspJ/UXXEjuqNNZhDVCikKpGCCTwMO417sZzWgzM6TNRmg6xY8uObl1l/rIO4Ww Vt+ACI71IBzO5OyPfDzPJNgTqsi18O54nHaMk/+yKa7K3mrUp9HoUD1PhdbMJHU6qQy9cMMJ2ac6 cn4qVTjSPMWwQLTfSb91FcwobX3qYytUrrwrEDtwLEaTn4tUCyDncmd6xJ5TvXpzhLxhN6S1ySqQ Hl1ZFPQBQjydU7svjVRDad0nslKrZP6K8kF16/P5LYOjRCqqTgb5dfghlKFcsD2AgrXZDw9y7UkM jeMtNdo9U2RppBR42doAWK0p1uKOx9MLOdCflg1xkPwmsTRouXq1U8aY/j3UPxJZkmD958mfym+T 78ETKr9IY7kIeG0KL/RJiIx7WtUO9J7PnqwWBLIGQjMrbjIvf/W765iVpSL8X+nR81NNtxQOrait EvaOsIvgsFeghVzhkx8fMKBU91hUMJr8s/rtWcfepeynLrJbg2ohZWxypGGe6pnRr+jh2wpaCc2A DSZvvYPV9UQ2eebWSUAfpsCgmqeDKHJN3zpWtE0i+1rwfCI1mmLCDv/1ADNw8jEg1RxnX4ykM2SZ /Oa1hMBPymH58bG2B2HTJ9tCYO82NB3fHINglSRQG92x7ibbeSokomKHD/g0H1DRMTjRzTKC3sQ7 fMGFkopH5TGZdCx6r3/9EVm8ql719Xz69pszyTdbddq+czgi+QI3uovO5fQVctonfU9xQ2CRhNff VWqc9x0/tRIp/rFgznjxi0KjYVmyfYohAtXXoFcxfJhi7JdLTJ9oTpSIfQZC9Eq9KtUMqM+aXz2A CIc7sEBPMLrS0Kg311RyKp143neofiVIxj8KaZmHGMRZpU35vwI6EbFvFQ5SS1FlJTp7f+Pnu8sn 62RSSQXqlUD8cPaGh/6hxc2619f6JxdM+qn7poQTC3PhqmJhCpmmOG0eIQyBpxX3A42T8PYsZY+i xyzp103UPRPuBxAxXOURO65kZZ1CZ2I3HSS2RDcWIb4Yh6eh+99jqALSO4899CGDIpuVAAbbZXrW +r7L77i5f0lqMUmYtFhxef4lC8rlWEDS40YbYT+o9TSZXZSE+0brBBlqfX2YSy5fmMhbj062gb/W 8VkXEkDlNgBlKpqlc9qkq0Zl1gLEtbxgY+f3I6v5FR5/H0U6WPs5uZrnyop3T2g3xELDQaWjkrON 4Z/QQlf8KsXVz/G2eD9qlVgpXRhLLqB9od9O7aCc2GgbUbJIA18hZBbIArG9xFixp/iCtIZ22wzW LoK827PKtuUbFzZ+fseTsePo+hOUK8zSkwSxk87bnxIVnK/hzvl51sXrhFkcOSBW46WzPA3L0FXp 69TxITUga5XxC6AxnmHtXGw4YBzbkKmYS1KpOZWllaqsFKffKZ7AMYBMU4PZbeatYqHnEfWi2KXo HN9cyOevVi44gcQ+nAbhcA8dO+pIA6Sk5zDZlku2iGhN0CG+WDYbxR6+GpJfg2pd3anaNgwNn6Av P3qOd4cZVoAThKP12IwXdKvDWphI7HxwYuE2pkSQ833iRsDnMFNSOvpqnl2L50s78OHwIAE9TjqU RJZ1n/hq8e6pqXTXqTkIOiKULD1ZC6wdx1Kdi9bq8sylTHJryNiGEzNn5HwQ9SIZF4F0emBgQTpc 0JCeioXBRywvVIxPvZonxfFBjKxYuoCcyhOi+/O6F8Bw15Sc18ijW28NeW7lw9QI0PN0t69ZZcAy VaOg/fCA4r7r7rHnX85UROPxkAMGSshaYWd51Fkxz20CkRynau+V5o6dK9Pv8Z/lgJZaqi3XqXvU +rA2midCyvFy6RsJxZ9jfRU/5uxeJ/9EXExHEDSdB57XGHVIkaTpjGenC2SDUDm/AWZ5745orzKh TXUpQcgkkT5dCsfvsOBaxUp6Zld5X4kwXj908Ws/5Imxo9nF7oseEm6APqAj6HqCYIqxDWZhQLrN A5Whbn+o2spP1/z0hHVdA8xGgbEVZyPWQBijTIIgQBTZxc/HR2JuDICiNuuzWwphByDDVPmYVH9N J+LDTsb3ahWiAsxYt68wHMdiBnccbMuJmBw+UUCe8fZoV74Mi024ydIetib/sj4s7mhr32U4zuOv hmlluMbYOW0SMyvtTbcqGlVpIUFPQYUPDTQUm6AK3k9s6hj/UM+TcfEaMlteehsC9T5EarKE2epN 9rMU3kvrHShHQKhnJc3zupRkW8ih3xbYwY4A6notsgykOsDdyJl5YAgWNOVubl681nhup0sMIup4 smW4uEiySuB+oZteKV3z1z63VkUqc3sedULgnUVz9BgA2YazgVVwBCW2LC5l9ri8o7nuRdNYUQZB vgIDkja7t3Sf1bRh8Tr7HYi+nPtRpuV1rzLei2Fe1Yp3F+O4UhJ2Pu/Ws6T1rpWd4420ZGWMTkp5 qxPcZzWjlnL/QCkrXFitPtE6KoBEUbIJb8cNhE+DebRKhmBiUJztaw3oEcL/Cc6qCLvRjo5H3mw7 WKunfUfbmR0PHTlOnsyKf7UnPSi9/9fvNXxUFW81XfxQu9Oe0EHrU4r4eBz39q5vKYgLiB2jR7FV POtcaCKn5qzv/gST5t0iDARWc/R7fwGhzxIjC0C+qKPqzMPrvvbE/c7qQkeg1gUPIX/0kQD7dzOw Zhw/jfYakVbdjqdx5gb2d1WvbxFAXSrUQqL62ym2yWXSbVV9imZ85IK/KMD9ZOduRyqo57Od9UFu ZB9cWmw38EK00AXQvgor3wgema7+TlEDcPbZNmfhiP8SUG1AnJ+uIphoN7dTjKZgn2HecOCD9y2n /cg/KTPFYYkc1LkIrdUINGFR22NhvuH8OiHXFhTSe57qIZhrcMikaQrEjiSK7pt5fOB2w3yO3jq/ fns7Vt0CljcW9N2dVVFaeNkyifX+9QxYtr4bcbw3uDJMaCQ09ETpHWQesqY7g4R53rUrtf0yLFtG azTzsEk4FFk9BlEhWlhlJWGizBs4AhwT6Jpn6lQrOmXrhP0Mh8E9Q0jps43QxsG/vFZJTvYw2+8I yhmq6LC++hyMZB4RyPmaAwHV1UNdWCLoigvzgSINu0SmuIniO5KfS9s93nFeG6+2zfjbjIogUMKf bvBKYXWtGSiB4iC9bsSeOF7CqWzckZNownA9hZcCIgmJZLU6G+1UuLUgq3d23NJhRbVAbLnYDyVX He17u93mvuUvwGrpDsaAH5WqK3SBfQ7BTxqpz7QL5FKqiZwTW2c+KtvjhGGMZAeXN0fjs09FJ0Ly pu3SEzdYUVV0JBUIAfipNyUsShqzKPF0yTWFZjUJEvc0ftA3QQ2s7MgLvBkz7meVQcXnB+7cASDd VDfspMksDeFE9Xmeh0nY0ONKFTTN7SbvvK2XCf9mq3rNn1mfjb/wrrsbOjbEdXQMAKziiXfIsO8G nR8ar5kQg5oO7CBe4XPI2eJEDRPzCQfXtr3/GhVwv6auH0ANCHka3o71rcg5SzKhVHskZ/GKrAgY 3lAATY50DUBLAGVLcR4DdsEIZAliR1jrCnBxdBCuMXffMnOYsrNiaQhXQqJSVsD0Q4mf1ai+Y3DR u4UItUDXSActwpwYteNrMpdlLubcVbKuzbgX+W5EBR5rF8siBHQmsoo062o9HK74tJ5uHG4A5tIU gtHpzoWWLkPZ46VvMBosbVlHGj/QskcIESY02Z0L+SLlUdGfme4OpilbfTq31STyQgnXaugyqI6U rhvgJqBF5EJXa/FjS14A8Z6EJ64+rcX299P4IoiGwt+Oz6lNo6feX/+YTCDQa9nT0fhJsdi+VBmh U1M/FkTI7+y8nAkR0keoEuGA2f3EZgFkd0GPF2J7G6vn0Z4w1vh4qb0fRFMTg15X9FMTZMcJtuw4 EAM9w90gom9lAhrWufpbcnyyii9s2PL4VqgDlFSm0myvGCzkNucJqjFAQyJa3HSGY5EgMqWJrJVE WiFBEcyenfMUBHIFVl7fpOzChxjXEjYOoLEbq3Qhk4pjZ9H2P9fUdsbWJe/RDY2WDEZyUBulsagB uoWBiSALg/1IYcrb0CFq0FZQBf9psiVSAl4R52rABZKyDNdvuEGy0NmYZhOmeqawkLPGP9uNtyFH 4UzOY05a0HcvsGP2N5CZNOcpwZL7w7eRvvLZ454oLr0fq4ElYiUKknkk/DasCu55E1EA3fehO2tD YmFQwxTudid2zvpKsgtLltzulp8LZtQs7Uwx+JfC+glKUkOs/9veCy2kJWihginiG3NH/INjvWC0 CY4kofDhZLyzqR7jdLxnhLuin2C008iIHQS8PDuZ4xmNjZ4mZoiswYMFYYjUEqKygHJbOJwsQKYG 0MFYVfCZH25LXFz8qLkBe8b2Gf78KYvXGLbBXukPnFxNf2eIUR0XqUsANsupG1hnnD+coq+b6ind EvvVRpKFrFzyz7KgQYez9KAApCw21g3z9XN7QcrZCTRtJHzP3x/OXrAPvmOI7AL8jqPmpBqcQNCa 51X/Ly03EFqRDCdU6w7lLs8NijcL0+exmG0NUpAFIEg5BH1QfF4yuEqGmFpaJQIlgoqvRVeZm6Nn R/86uIbfpdExWjpOO88aawxb8vqI7+qKt7RiCKhAe+Y/envgN4+q7TWFFU5hpAAzR+VNs4gHo0zj Yo8/addyXS9y+1pwpMowcE/2Io/OEZNxrnDgHgB51NPZL3SpoNwT6BgcRnk7bPN/gTlhnt3Y5+uq ywc5rhiBOqD+B6maWMgcZxSVg8ws/PD3ZMtH1oKBpc7AU9/8XnGtvkvoahMu7rby2wcxtXoDMU0j xhKG0zjtYVWegKRkBNYrepnxgbRKV+MHFCKoLcVKvqAzfnYcdYJuhoQT51Yvoj19pkH13DHLQ8IA pTI/+9OKXItVufOOC5uTCFsUlnlpmGSHe9WVrJNiMsD7X9Qsappz7gZF4jAIM8Xst6FKIt54PfbQ 2ve3aHCrWU5/iXUO1PhMnrVok3DZ0AtYl7usdBHVIJe8+QPZ27xzwsObm+6HrDKkSNnd9TVVTHcy Gxd8/OXWJHjDiFbiXFSTZ7eE0YiT286ftUfmavFdNfJ2OoMOKcvuJm2KO7y8DrqY36hlNQxg9pqs Vre4CLZ71DsF9OAhxKGeqP2uXWAtrEO9btla9hhBIIvcwWi/AHZiwQRt846FMHHyxEQ8OyUD3RsP uQfyEYA3+sVjMZ4RcK/8LVkUILLvvy0LihPfrgpD5zMeAx/rVH0Qh7d946wkPteuql4GR21DtHnu xDPzf5Nfn59dbda4oxnaeGH6GhHRx/03oxaZJHuGtgil/9651TuZL1RynK7YTo+D7ktIlDtVXv+v FkhyfpJW/+O9d7y4Bsx7B+mil9PpKfF7BarYW56T3Ysh8rVD79Q1sW1xxiW55RF/W6PVTDLB4Gwj cKOlbXpw22sGQrwu78+3MCsD4X0EgOuMli+V48cQ9etIgcErcNgx1dwDyrKPFZbLOf0LhwM6l1u/ fQE/E9wDdF1B7vrn/Z4klSqlJlaTIzw78Xr9JcvDGKrlyXIWC7iA5B8aecqHTXughFvpjF2kFS+0 dEXrkKPhtu6THjAhlUXiLrb6ssXShdrMKNusXGWCo5ReYyduy9PPiPXyL/fUMdnXLliKzwu27p8e e4xliWzcXvC/XNCYIP8UM00fy7H2H5R4ns4uI8I/LR/lIvqZpvERss8G1vbqlrIPXJeHdZ02Qpt6 RZG20AdeWjgbP9J+a+PNy9eSFk9bLDdZ7FU5+5teUI9cHaacUQwQGdWEmL/5IyTC9kn3I4Mof5k5 QMfewh954MfKcNdZRtpE7XFBkR7KwHLHYlJNaIe+9IbgAS+WG/+aVTcpv/NcLO3R8afdgCtRr4Sf qdT4iWUGUPrbBASZEJRvgsGi/OttTsIltjK6qt2SN0xF8N5loSAZ9XxPe2PyZ/9RHNGyNORUJ7jK K5++rJy5CtsfB+pVIJn0p10jJslWMcKbQtgyKYSsz5FH4tkuXeYMNRz+WWXu8V/Smdh0xjYQ6O7X ujO5UzfVZu8abIBQqSELFR6zSY6SrIFF8ntRsqObnFDUMRGLVdAKSc0eeZKX7tMKoxm66vwh00Dk S6ZrCK/D5LL7/vtBkh8GPPqNlbtCimcXIt6qvkelN8WlECoGwvGrOHqhBK+j00wrci3vWkQaY9t5 NBTxtwaumxGbuUsPFc9jYZxrSN/1r3R6+BVrrNFTqo5Nmte1NDAF3ZXXd8ONNLZrhxMMmqDc30mQ XQ4DbW9tGTgRxFHuVFsswtv1sykqcYuOmaFQ1ayNvI5zrctgFtvtiIxMIgMc2o1r9UJrLr1bcdmC E0FJOd611o1pOpsNDucDIB/Z90eQ9R/2LZiFEHHJ5V+9OFfsMS6plLbIQdrdIyESm8bhkNQIBzIu mej5FseVwDbnaGPs3Y9ENJEkLBDeO57xRkiQs7hM3KWz7Yz34ozTyGKiR16jHQse0gjVTmlVLwrJ XdXAugdzi/ujs7pSqzwN2LH8VcVhWD92f2X1V0sRDBvdFeekWKrwZfF3aJCg4/f07rjadyAzjgyI TcMYRlHBLJa+qP0uaeku9/vBHfVLizjRwd216xIzIDtSduPK7w/vIH7zpwVgi5B0LADdFlTmrTj+ 51xjZ8r98ETtFjZyTamEQDw6BjMef+wRBq/9roxFuxCHrYjZ660ysXlMsLg3VH6TiYy2LTGcqdtq BCidW7Up4yJ3wHChNbfmua4Ac2wV8VXznazdNEAtgpscUzT5Qzq2T/ccnEzZnOWEVE/dOQ/kshnn 30czy1mkDlL3pj3Jfj75obK3ziYTP/xdjLR78wxSCFD5cva2tEFPYsdbYvL3JLL4x1IGtw39IlCg P9Ld8N6pyzKXOtzqGp2ndSvQqQhJwu2G8pvemLEn5O4vUR+hw5t+mOj3znGIlhEX01IFflihpkwg RkBaWBBA2iDS/Kwvu3hWQ16ZfvDMSK9sMddmgDhZpBiOnHs3X98H9n6pBVjfqQttPVhwqvBpvhHR E8wnIwBPPuj5dE78eoo70Ycofds8dLlEhx3oT8IOIVrvf4XC5OA4BDIMLRhTMIYwh4HhbS8OP0rd adGoyjfRcBQX9DTMBHZoiV6RHeF9FB9+/r31jUYgrW8XHlcxidt02qOx5VD2Ks34n5yFd4lT8kdn yXxKOHxKIbf8IlHUPMqhESSIvOXblPRYNnYdY7F2qHmd1XzFRNzqJkwFZwNHJEPt3bjAi/XQ7xM4 xiPYkW+lGzxxNG4KSsiQfvo99TIu4ezlykT03jqEEVjaLg8I1s/5U3X1RarKNKczneOF/ZIEIsjq skWRqEOldsvP8VfuhAmTS7S3ipc8JbHyUxn1T2rd+53KelkUEFz+11mZWT/a/wWaCX9efkeQe0e1 JH3bOEAUEsH78p9vlSGHUY9Fu8VayKleo7GtE4VqHvgpTbGEhKTOWkHl63G1f+uHWP4NWD/R+w+O /M9wItPLuXexP3SdSKDO1qbSdwZffjhMhe7rl2hzkM4oce+ePNTbXQbn4IWD9qM17jdE8bP3H9xb Kf5fevVJnmOG7yX55bxDJI71WDZg4vvo+OawpfV2wNTpDiEUZBaD7YvEeJtHs87I0qc9JVqjwhFj LcuZXSiUsK7ruLrIX/t361ebKt3aOjTjIvvktNmcWh/vVD+GlzZPp4MCQVL/X76uVOu/JgCLwfIP cn6eKhCoC8ze/3x8z+VfHldqeX+s/NLDUakDPvdFT1MnPHk6b5eTMh8dFepxilN2a9jDD+n8qlCl rJW8oArfN1n7N0OzHRTbawmWtuQoaLIQD5AYhMDvOmNgIuoTsiWrQuRHKeoBnAfHNQmaCrePI0lv VmlfL5MY8Q5BPVhGm87JVhe/K5OOgTYt/PaTntt5nnnKVTrA0AjJr6el+uq85aAC/NdSBhPfS/CM EQu9iRySfasRAKeKGkUux9XKTRmMCsHXVgzmyVIYClgv3iFvdleIgZj5k3i2TO0OZ1+XbD/uuwdQ UBFze66UTIlLpspiypfHGHHoJ32B0Kdg7Gxco3ZiUb7fB9XRi8RCjCd75MKGp+pWKbANznZnMojv Ez0fdo06w2NW2JHZHYAtYVa/dB+9Y4XBLjXeMnNjzI1hkcW0QfcFBCvg4fyPMnsof4Od6c1x/WY0 2xvbRkLi2ItUpwM9G1MPt/cXlr0eEulGAmjZew3N4sUzt1lqnWAttIsGWwHHqTb2Y6vVoAeWzcVA rKS8K4qprV9GPEBlkm/jgHChYtnFNK+uaLo226OXptMX8o56pu/L77Xxj9yWPIciDgnYz9wM1zQp 5tkvmcFytD+X3oTlS0yL02rj4QZpvBhAg4iB+CgjfUi7BM5uZ+XRDe6hhIhWtyWERAN63jAhoGn/ DElRsjcN0XZLY/fZERDBzihzDNxCodkR0643LbvLvKGadME6WKkLks53lXdXMoPmhGvMUGaEu1Cs MbFLFWG9GDN8lwzJDsCCHZppvL39CxQhoVnXZz8lAFeFGTeJ/muuUbgnKaCcQbSWhgMH29rmY4SV BmvA2f+INTtdjb0XZEMjOleRMKaZus9hIOnc6S1SZ0iNnbyWXP1ANyNdNthbSvoseeXiSGW9qYK6 PHZnOcWlFh4Vptr5YzUepc4TMERHrxsIAEWLtK7ZnRI8IpNhqmcZxsreIul7ZmaWtaT8EM9l83br uwm5llD8IB01E9fgUHAY31EiqB8qVkCe6/K5SUbJdmbtZjGZafY7LY4n+LWhDNRQRjibN4l26jkk Ut3i/aOdptUlyVERiNPLL5BVT1UVLcIe+GcvThjCVrr0HB5rYAc4wpJIeRTz4jqq11vsSnjKKo1r HIusIfwcnfRQBloZclRGGvWXHF6S3xgIMnBvYT2MXtmraMaXVEsvZ0UY5ionrwS6lMNC13FhOeUN xaFbLuEHk3/I72srbHE5EQooqyfZyh1EcbeHEfe0MFuT+7AwwMpI0oa83qz3ID6093TbAySvdUAl hM6yzX4v/PjKCntI+/e/WL1hb4P0HZsRCrv57Rvwa7j3tl+ddNpxkSvxUdGjHahhF9HQZtOc4ZkO wzSNhwSKumjma6f+oMohyNIR2GI+CUAb/s/tptG0M8/va8ChGH84C7gnDpQrAluque7+21UaGjIR XxUkrAg9A1YccWNorIdWQ+SC+R+RsjAjw2x0lnQUBV8dO3vP/iq+TyzOR7gBxjXZWwJV5q+e90qZ YTXBTFT3Qsj/FmIEqgeRVZ476pqVmwwZhVC9GfcRtfAgqWactMBcSVczldqRtHP+cbZ2quGxP+av MUbiz+Hf908zDBba+CZYfckDlPKAbzeV6jezeZRVGyfva9M3zjiGGMribckp4vEMRHpi8iFwXtR8 +MVwd3xUnig3x0Z1oTIq+UD+oStXhYIN/U0OLS3OSv8sqBkq5aVRY1UKCD2XmbE8PLcH2kZQtCYF jUilJoqw0JsSckiVxp3RSvpkegAAO2gL9iLpi27fUcskdSAJkH2uUVyEuAZbax6ahcUtvDAsVywq Aix7Skdta2Z/hU+OdY6ebsaR1KthPWHV4IExBIfF6g70FAs06pDjk6+85v8+9Z7lUeqTTDxg1Fto MQxBfR7RCwXAHqRZ1p0R3DdDgR6ozamtEzCFtNea17wqgaIcaBsAfsoIJAwALYTeFOLP+nOOt/es 1d7nueFQFUd2PddHH8+ccCuzJXgvR2u6JQJ6l7GNBYOgHtaaEyBxnV23vGk+5PD499lYNjE4j26n 34zHi25lTTXvGVLIOUCLV1UFnpIyIJ/GQdEoAhuWVUb0MzVXgEdpAyz80JuEmZWJua9Zxe7ye25n 743W3SsDrGniTjvnYV7lvynZGsedjYEq/shUvSqUGKJjeriHBSGiZ8aTvLEhi8PEztHsOD6TGDPi 9doc9CrqP2Qmxd4FycyK9mnkNftI6vPT91toXaiRkDIl7Oe/xSRXdrH6jHvJCsSx6Xrrpoj958r0 ROnwcrQIVtT/wFfn1Lk+knRxNJSFH5L2tU50BDUJSXL9T9wwoFSuwXahCvKUxRLwa7syaw+E3XS9 ZRD1yhg4vhrETL7O1QHygHFhQGT1ylLOLyw5Dqrkf6U1PjrDStLnkGpGhgyCDBir/y2aLYhjQJFH eII9Bu8o7Tg3PBNR3wIpXouAGlDGkrmTLfsLpAQbg+my6eC4oVIwwwkWecuDrdu6tn5TAemYejqy f9zBCyXRPhYn1I+NCx/sGQBkVztDRNQbDqEi6ib9SrDFH/fcLr8pfJuwhZE5AbqPDaplyH/5mzON 3ebr3VGhb1/81XciSpZpaEEUThvNkSdPulNMNuQez9ENf+VZ+0qDQi9eRMToQoMJx+PFmZNBAwda BM4SIO7Kjyu7KPBy5aU5IDxHd+dfVZpp5BAkd1rDInT/DRuYR/Yqs4RNuMHVQ0tGCBnuzDdEvR76 KoltTLns4IHUXutmxA2SHZ40xhLSdpICIbfyELvqtk5MvS+KB1Nl2AHx7XM0KZgj5jOP6nympTQE 366W9aCAloRAjjNG8V+OQXGk5HmV5s49bG04fbKM5mAtxVd364vLMA+/62Hy3NvTyK7Omw7kfhwE L82Rch+Fg+ifezbrinwsnsUQe8lNWmsIdw0XGGxu2bnIat51i0s4us87XWidu6EV7mo4h7qs+r8N QgbujTIVyFkxD/zdJW3mFuUdKr+00xyrya3BTLXZEYVxX2Z/av4inX8kaN8Vwq15kgCbMM4prt24 ubbkJy1V62MFCDK5+9aK+Q271Np5fBqK2TE8YyMsf1U0+qIUwTC4oRbjhMoY/2LveJhnrFjcSiDv VgvyMjsUCV+O1cFZ0ucTunKOpKbllJMU0UNK+nOcY/ZYFfqp1Ftm+e6lgOT2Je6h72wdLeO7CjF+ tKoThZ/CkCXtXKNThDYFIBQfVm56VCSkJZyn5DM13sD+tfBmn4D0eV0pUQRdqMxkUXwxuuajgqIT SLTlO7QjICnMCpoFGpF3qu1xukqTuiGXUj1dXoV3RZX/xZ5U0VYXl+/aAXXXuZCg79cKJLpO97jA DeWR61rJ/G9nvu82u8EeniUxqPo697tg51gNgHTfG4xXk28si2ENhd64cE3qifwbTVgy7XwGfhFI wzFhUFJn7/B5bqCrMgX62WLYUXqVDJj4+jsgjFXcm3YXiyzUMiGxkpHx6LECxrOzgCzYUN+Jiq1O JYxdNH/hAvTUbVHbSdQ33g8+uaRYJctoyzlJZxQrvWB6Sl227z699iOHuRs1mCX8un2rlyfzVCj/ 8yvQDn/oMXks+PvIL5kRnyEfKrXXozu55mDorEZRx1fUpMq9DVWHAff/qADT+yloawmEmihgakzu VxjrtaBjgGlbPmWdR0OVxNKyu+tCLSOIbPYcv1/iRVi6iyJ9FzT3NLk4N1bcO2AOw4FgMp9D7YMj 8gVnO4NjHZn4n9Y9799jf3QH2LeAv53mhn6ZQ8aHLHyjImfY3UEmI5w1JzY9KanQHcR5hJgUDI6l AY5lk6ITZbKSyQaMan53afYssSjtyjr191uVsQ2DqBgNJNuA0WWTlvWW4wFpMefPwxiGInHWqp3/ H81I36CZsDnOIEIHUZ6i5hsxVqP1JQbdGyicSTzD72SdT8LK4IN1rpD0enrRYmbArzc8lyNavl0x RzjCZGk4/QFUC/13peFVs3kmKWGuPS81NAZL8Kb5Qr0lf4s19jk3KIFGCuKb7N3vwySJk8mTqer4 BaLwSS2Q6DbES8p46v0DU4/H/iLslvaiYkI7RYYZZ/I8qgJInisxrDwzB5+/zqujkOEMdB49GjMJ 5TNuedgJWoex6Pifw7i1Dmr4l63qjJmJYgSCOFOFwJXGVsj4Cz0LY7IJN1zF1WoJRfWZCDJszQRr /XBDkhpJd4lX2yBWqKa+qsFm7Lz43Ym2kGqFh0SrmNNR/d6BgYPC1Q7OpFS3mfyMcBcPDR1PBV8V YxOD2/Euu/9ufOgjCRIu3ZSuOa+Uwshc1BaN6L0LQWwzQepkaOaS23InZLXV/+JNXEbfbcRbBAza X0BgDcPS9FQle463j4wvEN5WUcZRCFD/Fa4kWinlLGl9hL5+k6klYNl58Vzz5HFwbAOz8ebQqDnx ulzPmDL+hGUC5WWbEwHfqgqs0g6Yceay2h5B6BSJAIdRKvLJ1JbYdofi+8NTlMCBJD5ic654kdWp xBYijnHUjhnoGKXE3lM9+agV2u4YhzfyKr2sos7ezifUGxSZTA8ggUBEWiFjt687jTHu/QKknZxq 92muLYb1qzV8x4G372EIgsaKC8MhMu/Z2J5Y+Qj17+PknFvghk2CFYSQhTWnDWQ0/EJg53/t2l7T IeJSXCBs6sWS7sQKQb7rQEqkLm2rfGInHLn6N5AtrLhGSHQrY+6ulSiTDNQNE4IpjGjdfsaWi/OQ NsMfrza8DdO+JFjIQsw+ggsCVAPZ3U8+SFP/d0l6UcTr6SgUzNv/NVTXD18/o5jHi6aBKTJiWRhh YV4cfJ+gkliFq9fZ3449YyT7Sdjf+Z2cKnWhHdcuqK5vcmj4gsM5eeqdqwMiI3JE3k7P8hSyPQ6x x0nevY6lUn9BnrjqlI1BTParIGut7RUHiYSCM3svGxFVq+PTaL18bevu9vnJvs3g72wFa+rSBT+7 zBrZZWbeI4GryJzuGDd5Q1kWKhTxH6wQpDTrHrwer62vGxmtGNnXws4PZ5SRDcyRV8ccE8FzR/6l t1dmkmiVZ7OzIDFBzimzUH9z0tDW/GEkmtIg2M1+d9o2eBdZUubVBsfVGKZfQzyEicm1eB+LTu1x LGMAiq1aDB6iZTFWI7eyjY470DYt4ll7nr7oe6cuBiduPqXkGjeHsEOF+ZvBExeL8411cONk2FFj UBuDaX91mZcH7nnmHgndsXNmZdu7Q5RbGDRVsRGAypHESUnJeL201Z9LQb84+qmoS7n2HC4JvfH5 IxQor29EhT1Qdy8cUi7rsNUf/aDELX2x/tDy6Pvn++EXd4q9Il7r64TqEqm7kADbLxmmQTb1LZg5 7LW+v0aYVJqgx4XD2fpq2B921+Mvf2F3vkSgIs8VtNC014zyLKYMmb1+pi6Ls0yU3G5bGSoJVvM5 29pz+giYsHHOnQPznXK4p/nkOUdW9TJgjAXXkJfFIOcZbXiVIS9CuS7gkO7ikiFBDm/MX77vC1ag or2xzqWzYzbwzD5kybT5FDTMfrP9NQ2hZMl9ULvZG56hSxDTlwJoQpH6ZWkB19n+YLCLx4xHX+dC 2NV0njg78CLQngSUJ3O55dsyCfChGoUUXshZtN8w9iO0fJdiboxDRwxA3wkf+UMvqlVOpbmpwwSd 0ewriNox7766GGOcwL2aGq4Op7P3iQiqCEqEoEv1/yaRIaHyU+Ee5d5d1C3PqP6IoMMfgrq9Tnz4 Sf63EMT13yFJC0CmeU4OFzBOrfr/E301kVc3WH8O8AUpv4dctPyJpjC9jKG2Di+8oTxamzO5HSdU fsKi6wOryPC3EDOJj5nb3qd11WGUJ8pdCL3T0OLDNa4YzCam8EToxBNEm8TBDvI74DPNIi/fIGHx Vp7RwapTowZeMSvSzyoVfhXf7AXiftlIQ1KnoCDTGd4Mx7SDt7Hcmeb1XpuZ73AVHz+lETUNr7jw wtnkyUlGV4dX/2OKbewJ1d6RRr6HMrfEySFMAqL7gjwXx9FYcLqYlBPSPKSCzEZYykjKK+FxlScr v4COy1hN87XvBLUVSUvR/t4DNw1IU/Ci3PHKuc/3Wx6GwJPFtD1nGCuLrJaJwvZ6cMp1iU32P5tt krD9M2se8KuYYb92bx7nDM/jNhQ99gZv1W/haE1LChcWSiuE6RL7Vw3vaNR2b/FQDsl/f5c0Pigd dXnwjlFSR5KV/QtKyUz6eGzZ63LA2CPM/qxdsqLSt5Oy8rjLMq82ThNjlZZPO1NOSsyVwOYy3ei8 NVG1jITUugHQh+8tFbr/9dJO99c9c+bLbMd5VZJtMfYBjuRqy0Q9tjW/AO57ZDtH6nAae+gK7bJ3 Vdm7W9NCri3GExE7aY14x880WYeYaezBj+fQ48qWeRQ51QFUwLGjtU2A0Jya+p8VU7QwNm+lbm5f H5caXO/7K+LsgoxPVg6KLGOSHKENvg05LKlscW6Q1pXn3+leZV5tLXDHh/MBVmhKVFrsDbcrhvzi 4EOLdwjQXkX5YPQ5dTAqzQj1BBGtewwaFcZVwlEl03vB3cBLpfBclrGGMEUr8uJSR6LjMyUNL6C4 en+f7tMbt6rbSw6du656SASqDXvYFkvj1Lp3oM+eBw767DYlmB5hPytncPhjOyRd3Cn2KeaWaFNm zVt2YRUoeh3+XiWWN8KeCwcujwv4zYWyHlK//bifTKDYzaV/mnPV725wS2I7ctZ7Yl2912Ow0JNW 3qXxYID75ckfm88nBWtYT2RUkPwIc3cbBWTmjMJxUoCm+AJvytb5+vmc5Bq1n4apIyVgcahCKlj3 7BdylmD5NErDSU4JvQXmKrFsEL3a7D3QtNtJWT7K5cwjhwlS8/lecj75aO4ThLOXAWc5yfCSMlOk isKRRsxGUU1ohnVY35XNL/0a3Owfxn9Tx+hCPH3VEEawPesew1/GhFnWXWEUwG4cMQTwhmwNoTom VPZJbe6BYHauXjLoABRmeZnKgwgS+0NZW0QYvplhRs581ndectuYZl8l9oI3ntkuL8vYSzUKYyKR rAMg41ahp/KJbSZlmxlPjggJf2fLhtQsUYH42QO7KbwgzeXTvs3n5X+lFM0xL496umFWmd6sw+Wd V2ysxyOYiwwp3ge5MenXyeKtCo307tTMmiTHNXJi27gFECNnwXOCM54QNfNxhpRfwnBZH5tVBcO/ TqovbyfEbohIU60sVG0Xz2rw5qn+oSWoWyBfDjHvcbLqQTFIFJgbkopoDm98vRKd6vZK/oHR5nZW ZsCNuwVqUauofvZxnwCu9E6Mr+Aqn0XlJIx2a/d57g4lHh/itRyxwzlIYAKKQPK26HG83QV/gi/J +ebZ+CLnyMi8uRh67AwgHjGHmYdJ8iMN3fXaQDRvXo/wiZlpW+Aapdtn8zk4LduPu3r423Qe4hIt KYgIX3su4B8Q6BYHvexJAGBlBy2o2AX/EBYkfFl/Exy7645IQ7cD+Jh4pdnHGoGXcQ7kzeKUusS9 xPRQhdudv9Y7NNau0ekfee88aHJaWiaWTL3DzuQgTzBDsI45SKQcfdmH2M2jCtLl6VIaku7v+CxT FCsYBvRqXOdr9W6Dqreujmyu573C/YdV/NCyEqoItPl0lE7dFYE35nCQTZ1sT6BWebQQwSa5tMU0 RCCZsC25lEUbDdXFguNADTn4DqPXnEdKP/0TrChCzeaj5x9Qb3xreu4aNte9daH2eHCbx0aB6rS+ SimoG/12k276rMv3UqVnQaadOkaT3wStynV3X7E9a2g0Bx00vkcUAiI8tVfkB1wI8yZ1pPWNaiH0 GlUKxDaikNjp6avCAXpUv4i+2gjFr1PrNJroZfewReFazwlrxcqjn+9IUlwLmIjDzbif8Hk5lqJ6 VlUVEbISUSStPepzKw5L2g/UTyxPbbDFyzkVHUPK77XLHH+RnuEKV87NrnIm6hyxpYv+95AsZ5N7 UQe/X73oAtqcu01XeYXPW5DUv/lHgdgpCI6CBzuAP5qTPEbAJznO0hsyFRYaURobByW4jmErDUEc D2KwkmB86oX3Rt4aPXjL9aQlW5CCt8l9ymi3ZurGxciT/3gmMrGja9uWaFRn7j9MxHpDppjLeAMb ocDERiFeKj2rKc7WHUutx6ZncOeRZDgV9mLXCJcWjrz1oqNkeFBtG9m/HGGz/lT2nOCfGu6NNvlB Ta+tXIr3pQt8Nx+5VOxcCJ6H4FEQXViABCyNCto2yCSQSOnCMoAkYYfWQVxOoKNTXtEi6Rrsfdys 9jR0V+PofEwZyeLbfHv/4iTDExzUvxlzUfY2dXOfsmLuLYNtx+wgW+VGE03bN/MYyJUcGRSeNGS/ S2y4QV09otwkmtiIcpyyUKmr5pFnHgO/ES45ij1AXmlBVkMXGut+fhtN1WRUpDhNG12Ln7TVDFub Ffn4Kflo37ZrOofSMOJSE7qfQ5jp6JIAqQAIJj9yLsPI+XDDd9rkZXkZuCQstVCRTtRQH2ky3SrW SgUxHlyv2gub8QiKyCMERJCep9zvjmxZzCDp9iK+mLix8xU98Xf+WUqAnuZujBHbhYEFtANJBZ8O DjoYPK9PqK3H0Xe20+TQxS87QtFZKEL59dnB+1U1CEpD6i31bU9aMUnHLLOM0jzNqZPmclOQGD+z 0V3ErbBLwPaHeYRsjlP71BjSmHRyNZmIpHSbyYS/6wq/E6/idP3yFCyD343ctBKBnsaLXtm/yCCJ fFeubEke4vnxfjuRNhc+68ijJ5DWLiJAd6fcWJx4AtZiyRd9XcDio09h+RHsjs3NXtY6xu6KnLSG KtHgWgUTz6arnaE3X4yHsStphN9oJRWDrupnbzO5R2y4ujrEcBkpwi9x3VwW9vPi43Ed5igaKWqY DVBqd5ulSy4TTGPwTI63r6jAr53LK8KlmvGTv4kr5do1kKo5t90BTEBBVrnik0bzna6OtqBuYRHd GoJzv7w1Q6aQEYrgyKlShbnv0/6i/f2TEjbyJVLXZEqvKCutlsJUP3Vp5rnymKyCMvEgCE9iLhb2 RujJ34A5LPkJHu8DGX77uyo+6n5HIQtt/K+8OmSJX2HJiVI3at31CWu1FBtJx1I7EFy6FRkk6bSm YMGM4JmiEgam8RENnUIWMeoN5JV2oc87R6b91uxSy3UkzQj3IEVmE7puy1ElKJEjvUEPu6NG+RGy M8iavqJhr1cFrPts1E9dHyuWYkfIZkmn7mJRdaR9HJCFdXhsrX7QI43LDdf+/bAIKNUQRFZ1nccO cX6l6PZpdS65G/YHT6giTY6oQu46Zynb17zSuOsZTa8f/U8ujkhDnsadtrN/S4kVO8seEWNS1s4L W8BJ+JS0LipbhfpoWnwtAZOc37mQIF8kMFJ+096SGRY7moSOd1Y1VpzqYhioAovy65qCwXsC0A/E EqzbZY4fgEC8/D+/FLpgY4QovjlJA986O+PYY+Jt0O93OCVO0NDYcIzI+JX0NVC90E4NXMuCFZZk QjEg1HJaBvUjGYTN9LOfVOxbxjTHCXOUoLuGfSAic1uwqa0UvWSGoBcqtht019K3RfSF6N781MmD r6hdL0fA3HxcosUh3E0qRSB8aueT6tEPB3yse1ShXXIIQNMgaE2KFebr3ndThQLYH3emj6ktMG0a 6xA0YkZMxA1Di/x0Vi1HOP65R57w9F0KoLm7IUZjL3pPCKX3bmIXdvoH3bGZbQe/0JoCE1svyrIC F6aRWDR00fAmgW42tHZaW/4BqVDlU7eMb6IkvPdZ7EtwsOdeDm2qFvfzG5gKqfbT5f0UYXboGmZI G3khQ3I5FlW5X/h1/t+J9nN+otmdAbIK6VvuQc3Y7P7sCpcNJzs9aZjH9XKQg4TD6SwDkPG07qGl B9ubt3vjE+NRV1Gz6rKygt+NVznA5BIEeMs6+KB1U46HyHJj0uoZWzuldxwFZNz+J/y984scYyv/ d6LIqhhNRDkN/FwR5TBTcmJaXVD5kGmU0Lz0JdUfCwfSuTZ0Etb4Flk4dLDHkuAvHXbk9wTNOW++ rH+GQDff4sL8UV7M4dW/p8Xql1y9mxP+0HxIXY1dwIxxFneJsYFfyI8uXuKXakni3489exvVlg+N 7zDZS0hQr2BqPQK/c+px7zY12tOvZSZxXJDNkl9NrhN9hLpMYI9jNUxvfRy2bluyOyoiPcOA3Ofi +bapK383tJtxCgA9U4/DSiVbP6BVj/XF20tqKoYRrrR6XRa0CDm/FHOLVACdbYD4yN8/wurXiEKD Zd4UTprAHmjwJEpUMvKpghkvbj3Mzr9utBpp+xB6wVPJlXDL3V+jP95ei3QT2KuYxiZEkPp3xzyb LOm/sVywe3FWGqY8qgVkY5d+ttWs1dGcVr0Uz1eqD10/lDqDjwjlLZk1slOWjfrM9U41imQX8nnR XYqJZia+Bg0/pkhSBUKIWGW9tqxAvLNGZm9pVGuzJ4EkTLlUiwKJaGlogwRqbv3HhF3UzlNaAnGZ 0Y8cT82YugvzpZtKLaBydr0S7H5Z6wfa2B2es/3TCSbvLQzf4M32CMk6zysUIJTsEVXKfz6jgXyT YKqAwobd0kSUSwuE4o/LupjWLPI7Tx3uvKIkDkuq5MJEFUaV8JcOFTybNDYdv+0bxsScjhuUJNzM 56+X4g/Uj1fUy4Rc4SClL+gwCR52hZbhUS/BqAuv1ssTqr7R40Dj+7GwWQlFZDyTNFYGjol3sDeu Z6BAAqgyoiqn7UCFtmYJaxLxbSjsDoZlF8sa7H7L9fLCyXiCz5HY8ofTDngUpUp8/JQHgyixmwvD JJHBB/MoPgfEDjmnw4pURZqyMAU07kTkU9XHKMOjPl44Tz5atwMK1bWfseum8IODPChCLZRLZyQ3 oD8UIDO+mpEmq+sRuEd8enPgvSs8OqBuchXljBLe8JYLvdSzKF1txOhQWEHbcl3lw4PyIiv0dYlO jaz0NYa6ItADND0vJLNyiIumGsmA2e1dYp0zrOZoMu+Lnq5WoUZzTEe7u/TeO6FQetVNhSsh8ygT JPtEhIJXmRcMnH4JrJznQWuXcMl/OxDssfm0dc6DnEkUOF8ISTjC5Wg4aPFICe3OlUvZxy6WG9Fr JveZ3rpPhc8Jnay2YP32zloiwX6WCP1Xh+aicz9nEwDGRk62K8bwwNFeRVfdzZtVy5vYhA34diqI dOR/r993wvfX/PzRtRIttODE7dnZtEOdYfbPcXl0Xc64gryS8q855B0OkP/uxhDmpYcKVOPhIx1Y U1wY42/8cgp7gdESyr0hfKrXHk8+LE1SsXkRjaEX8s49f/Mc6JDP95GZg8vOFu85sFZ8oI7moYxC P22wjoqSwG2xKRwpEllQ5ib16fh4yuGgsoUA1c9DKLA/FQAQoUhbDvUGsel+yM9/rxvR8Gcq3iWU mxAjp4iq0fGmluxV4rNnP+S20JXVm1wJvDphUtQBGAQFHWk9cpkdCn/jwKxEkgZ7B0DCzY5ZGPeU vtf7mFt5Ru8etHFi56RG5Kg4PnqBtoIMXHbZlTnSTLpbe8Ltq+Bxi+HgGNIb+H+Yyu5hZO5NiGoF 2O04WO3gBShOKQvxqddnUNC/U5c1YI1Rafloj3EknnE/JqTWHI+CjCMFYV5OflH+kTRr80U3gd31 xnNWno8lQb+PceNS+QaWspRz4KWZSQj75D/LGzYa7LotwgjnxqMJXDDdW398HV+H1IikDUKrNNrX joqu0OS5BMEzKpDPtXQ/6mZ706W6z+mckGOhaCMmtNBVY0hL9gT5R+m2axlsyr0OExDa0iWxCMCh f6SMtQ+RCEnDdO5Guw5gpvvEsbtuUeXd9UW4ZVqCTQ68TRQPtfg6bLm75RbPJt2APZMahb0mgaRj UWSmk7/s1ZfJyxMZc6rrMzgCLakO0fvIcCOh0bxGD0XDqdbQssSdVf+yv3LI8UC/Tje50fNOlwF+ k0a/p4iVHoj9B8vX479mYzppTf7l7JIrIlZwZpayz5vlcFcSZIUJfe9grTOLbj0/7qhIRxw9hlsV uE4Jwtum+ZKAFLR29pn+nHQt5jg25RfoyfDFvYAInJ4sSqS1jOuf16WQqK50TIaPyfJIJQ/1DNBp JO4vepkAxPkcbt+vccanePjIccnKFH9f3NX7VQPqCED+9uzyXbHE5p8HwLkOo7UxGYGXwU4EIztJ cdAJljkV2PbLzyT8ej2jiam820+QwZoukHi8HoqzIihOkh1ZnZXGDrd9j3jhpoXLzLxsmAyRiBjI plKf70yOM6J08qvlwRp177g5ao5PN+AJZh0vZThnRCp8h5sWs6Z4TTnrnkrMwIg1LUyV1J3Yi444 xMDr6PSpsjARRQBQC7YNxxtJ2VW16g0Eij1Q/xLS4WOfV7CgIr9X3CHEOaMe15NSRsPBXsXWddxM Xb52bQ5ZcpzdmUYCHTTKUpOt+p78md5jZA3dgIXu4tr5gfmcRn6+ZnfNyYKAWNH+mkLBmxHmubhW 8dT+BpadhjM24A4XhGgm0E/KfVOUpxaRPsWnbqEopudXvgbIJ/vQ3sEBLlzGiN8iQv/RTmOtPhxk 78rySJAfVeMkqCef3VGYN5LxYKIb3zhbWChlKLwWWZKreMBWKZoPdOCxoNPsrcX/Cb9KY42fYgYc fzsm4LQoFn9jgRPp40cEOtEktDc5eW/koUT3INdOH5ZcIKIRoWczLBLmrotMWHkn5gD5RixwcfFv f/PXJiqh+5OC31VvJsPJdohXxvR6nUBq70xCFZJ4GC5AIxc6IGFgbExxgfn7Zq/tznPZ79+hnwca 39C6sliWC1Jsjhfs4uaRVvhaVSI5ZeGKdGyaoFnYfbmdHxp5sN7/OqIUJTTrxJkV6TIS0dvaetCn WlnNkvaCEkEdglXWA2s0xd8Bbbuo8/iCNYWK/Ia3BdxretPhWFkhBSXJPxUyQwRRxLeemox5lWtH Xk3DHuEFgKmVBoFWZWLWpU4nwRWStZmX8WzAtj8aBy/triZ43V3+HEJ5BbPX8yuTWRlq3jdREVbw LS74rBuyb4Q1/6S9GTzt9Y746ts96UaZlDPoVD4K6Inj8m8AjCJMFZeOeePJ4UKPnh9J5BakLjoL ay3l8IMMlFPsKduLLcG3Xyh39ET+ZWNiXSWs6wyG19WtKmn+oMSQas/giU4Q70RFwcwPicKy2rxg QZTUZhrcp/IhB35UcE+J5AIcAVAraHaRa/xcpOkFePy6Hn1Cbc2r/CNYCB0Dzmtlfe+dPkkPdyE9 GMdH4jDbaJk6gyI0YU/J5B+BHLfdcKFRADp5jMKcSSg3000BlKprYGB9NQVTUl00T8WSOpIe8fsN MdEGXFXC5ujix36g73aVWopPK3wqhqSreXcL4fJsREzbJvEybZm9qAaEQ5Cy56VLj8RgTFACcqNP tPLRu1K0rNAbAz6Ee6vR4JOYJSEE1bMUnCr77oSZN7PDgkb/ScvZSi8tcfrGCY+94jKDaAsjWday +5vrHCWyeu/9kkFhjuGF3gSc7EGK7DfV42FQgz8xru7pLR/vuUJbACvBnywpqzBMS5IfgUzm4xDY YC25rk3OS8C13YKvye9oYj1Ktgtfmx7zNJmnQ5fTG3oNwv/0enMR5g7k+Y+PrccSUUdFAQO9U1y4 EmtUiSAlKakj83l7tjPY0D2m+QPHMuWbFLFKXpaqOw7KdLBcYNZ2x4BO3FclP/K0aLUc9DrN5g1Z f7wVngrUa4WInIbLgUO1cacHO7F7GdMfRFD4W1Wbh/8zkLtY7XNmz3FSQqan7alUR0SEIpkXd3Ha Oqa6p5TqEOVm3O7LsEM95jM5sTMCXRV7Wqd0sjlqhi+D/OZrxRbxaeyaA4pPq61smo2/oHb2AA9I 3G/dGUzni1C/Cb+Qnr+ZDJYYMwB0vSg6yspBVSlHhFuywLJVtLraA3PxrW/aW2hCORpw0vo9o7FT flUXaA+p5o7KWruK3SKFMB3R1eZtq+1tW5bmgErnTAPoIXejrF8jIFUtNKXnLeG5Ul25rl/kq0Gu 9FX7OFxHjcdNB31YnDb7tpU7zPOGmLBdwAjzB6ri8hkdNZiyP3N3T+9zxEyC3Ctqmjlb7ryx5So1 O7l5l76fyrkmM2DGTt/eBVG1NrWQJ4lMx0MKr898lDMBN+NAPzYDtQ+h3X/ZKarjICBkYegYYZbD WJQg4iusIFKy3iKW68m65nQ3qIhRJPDk2mWl87mP5ksy67bCS6LCbwseF0ak7XcLDDx+YVD3XXsj XllR/S2G8tCCpJuJt5hqyrHANCyo5W1tJo58zpJ5jobk6uqUiENPDpGb/vrViAXGnOftwrFUOqya KRD/mtobZ9YD0thpltjCn0k/0dy+knHvOgOyPa1kibTP+zYwwo48hm52eupgA+/lszq5ExF02fpy 5iJqpnd4mcfJcQFm8hX44HeJwalz30nCZL4NOsT5pwJ6lSdOnS5oW4Z1Q6VVUwIlUy6s5E4erwn9 OHuJru4Fh3eL8TUlwhG2s1aTh8WV4Ef2C+B/0b26sskQAzVZ1WyYz6h8S1rlY6aRi/49FWmogGlF ZIq+AK80WKQ8pyrvu88vPg4rXOPouAJc6VmjGoHSI+C8Xt1R5SqBZnkWFh7l8BybDyr/38Bd5Uaf UsbPMrqSdekJAYJC7uQunVVseIMhvrkt9Hq1L1nb6535sgau5XYkiUaDgFdCN24/QxyNRj/QwEIl P8TlUd/R1Kr4R1nnaX+lR7uWGrBX+jAejFEM2LzhtcIrVwhz1rruuuE6nwlrdRWaTMYIQ93hB4Xt mIyS67pyhcJUpY1SumWeJV9FCm+SM1vA8IUM5xNVzLDca/Hgo8PgoJnfCYGyJ08CWKg6u00LMjBi afRZoIPyCBxe1Y+WMq3EmBm5gqGRIzO3ZWmaNlBuaLIAf/cfxgkh1snDnUxDoo5zmRSr70aB5PyP VUfsn3mATczxrscDkdRIJiYrAco2HZeIrPp+DcbCFH0dCZ3HQ75GLAnpTIRhUlJMpxzUT9s08PMU vIX65pu5XN9N+9qC04U3o8WWLti8u+EuMhK7zxGjuU57lCMdmmqCmR5Vti2y1/88zW3CjINp8t0Q vwyyMJWlbXT8GQ8qMNRACzN5W1wPF82D5lnx5sUdM2zCnD3VzF+ywxUs5RUV15nvSsQqCs6xlj3l fjhdhciuVgJ8Y8MsvGNCbJ+rOErsgeduEhlATvzw9Rg843IgO1klx0sdHlBLvjhGEtARvU5ZnMDj DuiK2aAzLLH3tIEKa7S2o6GDq4zEdQfKteA4dwD5yZqdiu2/Xd2w6/espJXCBod6wc74Z5jDJIP1 Qpa37LfrcJXzhMPE5ZhohlN0GOdEGnKv3EnDxUKN/Ac4/AFfCC8i/qTcr5S2gIBFGcqmcQuTsm+k wJVOwcsDOxXzKQQ7pn/puTDqbVfsx464RxujiJ1vDkMExu/GjMcIeuHd000ULJcyK+N8wOD5fgxV PYPLdHB5lu2Sd1VsVaT66Tyjh2oIcJdYfrZf7FdS9VX599yZtDy78HP9BORV8X7I4bCW0f8gdz7i MVy0fH3Re7PwloXepa5WO2KuAtaVIirsyqd/bSVFirGnoHjPzeNxKnUPt10asQ3sAvizNhL415xF lSGrP33N/mBFTs2noPwhkl3a89j4H688LpspmzaYZQyFUeBkVVpxhydWwyCTychWSPM4FJvLNLjM odHngpV+VskNAaC1HXjYAUZHCll0hun0IbsBZOx56fGnePfGioRpISqmCivyUflJrOwSZmO2Z3xO PXSW8Tzl5cojLIcUl92UoLGe9ggke4vIghwlo64KcKO2TRvDWuZTWAS0h7QolieDEaCA5fJhdtJr tkc6PHaqhx7MswPOnJBf63e1XybtkSvPrproB3ZmxMHPRBr7/AmVh8InfKrUl9pm0z4371Ikl0et 0Zj0MWaVcD3G3sBNRCaLEEb7NnKa/WEvkMqWRSh2afQF3IE+NoXkhQC1mE0HZnm7be/RTlki6AUj +nlo6l5hFBnE669KIOjHovcTakDnHj0GJqfVBB43l5hYqFKh0ouJKcmyYnyXL7KYgNYj+GsWsEjM UNJJaXNAdhXchEZP1OMea7RYiWR/jgm2lZPffS2ywtkXIz3AP4effeDyxzXemfvk0SPZlrrPPnDf W7YXJh2v8ZqiDZ6UhFV8wqOeVFpRCNu52SljuO2AW4NH9+dMHiNcrP+BeH45jcCzs10DJKwHU/N8 D7OY1EZPU20/1peKGNy+ixhwVqtfWdCL6wsIAZopaD3W7r+0mx4lcEHO+dNJI140YIlIfcbS5d62 YLIfWtmfy5/2eBpe+snflUrpFgFK3NY8aAvmhFZ9stcITB9nLoe0LxBwq6shWWdwgibPQwNdJwSM 56gyNWsUt0R4CEgoX/OCZfyOmO3w8kPrZNZoMLoTQzWrN6UBIt0tvrAiQElyOh/05qU7kf1R92sr f3MbUnw030KIK95wDH75NtDb3VN/mk3Ud+2frrwDx4r/rT7deHnS31iTVrvYY2vS4mZsrWjyoQcY GwpzBBBo5QrqJudKZIt9dZu3zw+dbtQrCMRrtVgqret039N681iXydEzQTQgX5+0VzOJ0mYEO/SD mTuvwXnt4PQzzVoFjes9+at+syg8x5nHcPsZaLw5PGdqtlFjIDVCCbwJahNFtZ2zxikHMwbC6Dxf WyJez9LvhXK9pOSCrSm3aE1AsIG0g6GVNbmvIg7tggwXrH07ahqppJ9sIs6L6+kh/pgcb+HLnowY Ijx4t9qTy9f2hCRytyeu7f4IPGSy/o26mzxadoEh0/6ruT85wIEFa4+9m4sjn2lqUC1AncSQdZnE WaPRCYahvuHhVHSXG259GrATIL+C/Bc5mMZripwgFulTdlwl8y5qqor/lu5UHfqhw0lVV7MLfV8j v0cIl+Z0KqqgHaRfFQM0FLaU4IC6uZwP+Z4MPPYbGOY2Wj2HfkAcqNBtj2IAw7vU+ACOggiUgtBV 73obLGtPQhMnZU4mnELqA4U45Gsu2TDKM0/+HvMAGctTjyZme35UMB+CEDubnVhZTl834x0J9pWm 6lh9Ret7SBLZEL9lzP9wXSDWd81vFxlx9ayjBBMcNO+dO7n+kSfdM+e61MScHXLRshgNQBmKNq8O b4Bw9SI50NZterU+1pytR1eK9FRogQGNkfeebHELPHyRhlw8WK7j8Py+sOQxVi3dgO61wStoScZt 9310zjE3REG6nS5ttoVIR59fHaUlddJEUzTcqcsDAonWAHdb9DLQWMUDyeuH5IaEVcmsjYi4wd9n u6HDiKSrEFyjMVOqei3ALuBDkq7Q4UnHsXrNdXOkSPVc3SlMmI8klnQOZRT8Jm+0G/jmrXsVmVdu aZYTmrAi8ZJFcvYH8KaXnOb6g00xaOGy+m8kuX8ehW1oKO0csqEgO+juZ0kbm6jdTr5nrpNO99NM CB0jGhkkH3C7KO3w01LLEhJJcyvQEU6rx+U3dwfE+alXVGdN/n0l1XHMlAEVcLAvgQ5GIRrpRtPo rB84SSFkWhnS6gpc4iY8wOmTajdigSq3Dw/lAsfURv3MYfxL1G+STPaN+nDjM3BwYKbbD6ox5BCb P3U+BLKlfj7v9MapoxPPLgB6GUSF+X2cENRF5r48i3S+nSfWs98jmjDJTqjv7hlHr/Eg3L3Jb4V8 oDOeppMp/3R0tkBw1JQzJww0EBcC+zT+RSaSrJCCoX2B+pVU1Kjy9b+VMyrvwG+ih4MUWM2JbUOP XyL7gTdmATAFONaCvI1oQhTLqbxS6tYmPbz/IiDjyQBRCA2px3TvojaTD1Nxs/B3K7BLSNtvoUSH zHE4LxlMIM6aCvPCQqgkF1ai2xVx+8HrlQoyecD/fwkJCpaKArhI5l/l6pbFSv+qRiyv1X/pjgDQ eWI1fYFjTC/arrXv/+RBXaHeluAIiXwbsmbQj7Mk6XGrHXUYZPuIJQ+4YCnrXmzvCBkM0i8gTjdc aE/9HJE4Vq43t/xmKqSszKZY2qJ0Yr6IywFNZbfgLRJyLb2s7V3AK63JmgRA+k/4h7DyTjSKCU3+ hdYOrEcBQY2s9ZEz7E5qkcXuU66iuVOZ25R9/MaWr/D0mZMaP3hterzQrtE/oQ2Ebs93Crdy2+Ol dYXaNrFzYLqH5ymubXfGUbB2wV8pfoHZJzT+fhs8ZKxTPx1wa2POCOS9CoiRJXJM5faj+0j25g43 w+s+s4pG+TxYGE2FQYwLr6IVqA7DEX0gXCsTj/LK28kL8xLJfqvDznpAyGyaj77R2WHpTgQjzvgh d2rCDrg1VIv3EafacpdTnwOTbohLPBvNe+xhc5rKqgw+Ro9GO9XdztG66c3quhYJHjR2J7QpTpyB RSY1nm14Ps8uXAL033GYfalIedU12bbGkYh7a+nF2Agd5PC9HXNAKaaDyPwT3oubR9GYU2mb91II aaeFAvQT85y36jeywxxdVvJOfCPJI9d1m6ycT1jFFIAx0+w/eDq8bcc3zzgFl4JKbBkd3tU/HZiG nmuP6ZsdrnfexDGjAkRrNHMZjmsHijl+0NeYrvOvfshb0liF+DkG0nC+CilShq3R9bsu4K+8PfJC ym/VZIRyd3PILLsXfMW5y7NJCMUq07ZPBY7ZT+q964YPq5dN+XQOMSWFp/Z5q7u5LKU2ekBggsu8 q5V6R6kyBz5Shi7+2KJYvnyJMeolCTrYTvuhqXYjj2TluH5q8F3E5uZMGti72LjS0IMxc70jGyZA O3KpxNtfL5Zm2MgC8sEKlXDyfTV4M9YnbM9Qr+dydV8sO+LpFIiOvquM+/AYQnTGGiSnGqprPwyl 0j+l5cPTn5ES+EOMBRzC60h3mChcj6uIer/DGHk1/+vjUXZi/99TjDuEBdzttWTRlRKvLPyK5761 C5CkbfrRLKKdNYwfAGfcca0xZ2L6DPZ8uCtxlV9JFU4cPULH+WwISA7JtvG116WMiXpDyUH5WB43 re4tZJPcDtk6xHUuoDYwPlJcSE4CDYJa4VrUODUgFpgdkRjOj+wV/FBk3JV4hGHLBNVSY+JGayBm S991xrr8ecnde52yqfaCzfFyN8I6oDH8NBCA1PQoBfApqFqNZL0V5IJ/vgaCOaO4rDgztt756fR/ CAR6yMnrepLEoKQ7cl+vePfHzvXRjWRo2sIzzJiWJJEerQJx0TvAa0HkV5YnAplsWWunvWd+rdSj dyVop4PzVs2SjUH5gmAupt0NUouv0e708zXsATHB3r1jKGQuB5r+zFev63ktEGVFV0h5zWxtFM5C i64k9YivPPZgMSchgUoylL6Yx61jzIJzT6K5C5YkEgZ4evat5iGDHishqJVz2bgEgPCqDfFk8dfl LJHnu31ESXi790StZsku1x4TXadYdl1lM/5e7gSl3gne9rpxvjvGPOnHwO4MwqKsAoED6xTj1FOX pNHZ6IKb7wnVyAcfzlKW5Mqj8czXglZ+bqo69ETYbxKDWzLbfuv2WD+/YNepZfEB/FSL0EqX4DR+ rIUoH/2wRlU+JJ+ryQvS7pxXz76rtpfaXn1bpp+Z4MCGxymDO+ePzCIwlXe4TIvguOfFUJLZ5hRR NwXUNBDO1DeTTXE67HizKDSzEYZ1S255tho6rdyqml/IaGJtaGz1Bsgbi8rDHVmO3WZTy07kh9nh 81biGArteSRzp/d9goZCnxxpvOj3Pr0YaHyVl3UyK7W9+Zt5fL7miJnRwxrAOK7wt7VuAJpZd1re cJLu2dJXoQos31UzJmQ8q29AWTfexu3ccKxO4/Qz6Zcd0RUVBeaPyudGiKlGK1MB0RSDZppOU1xk qDp+g8gXUpxeDQSe57M2xmpkfybgjZxhWUTkyCzSbsk/89WaA4w+7/96KcL6Qo4nKmJWWd2srhz7 QnW/jTFknzxl5ukavvzBrPhBwGlOQ+H94K7K/PwxjPmw6sIV7lwDY1dq6hBZ1C9tElVD9FP5C9gC 7Tlgbo3BCWOCGoHkNWe/MDJj3ce9xB9T3gEpizp8X/H93Mp0e0PAcgAnWaIG+j65Ap8tSpz2g3Rw FaVPYzj/j4mpFoXilBzP++gSwhQA1/ZPMz20UU7iCKmo9kx19WYF5jZ2W2Ee8hoR2eSTU9XP+194 oO84NolzJtXpzVzr3GPcbbbvDWUp0PorZzrZQs9OhGejBCbRQbq+I5HgnnSf3UKnxIkO17FTndOg c1fPOwSEj1sLxlS+P3hjtfLbFiQWWWTYbMQdxh+Z1vbc46N9Tvm8c+qqF3jVqBvXzOc1c9+b9sAu 0DlmGyfiIvwgJaRC3n0Hg0s8F0mSschH5rbV9tQrSKxTDHR2Zw7+wy5vF1fZmz+Rn4FBpLoNxL32 0rVl3cOf8jHt1j/wFnnDWoCE9lPL3oJWORVGlMUsWyeXxF6jKIElr0QUhhqzsp3/oQ6IJjtwWnYr ArGEiHu1aJUJXbmMZYpv7jZ/4PFMvDLrycHZ7q428qlLVNaeBWS2DswQkBlWgrIXzBAlDnpmfq3X zKBzeKI+l3spe9tIIUojaIXshk+8+RQcRvkWDy43EVsEkSlGf4M1d2mCYmUvpf/of2jjUbaZ9I7Y 7p+bPOIY/fr38qi0+NmmmBkzEtNdmvJBo41xyeZykzKPuRttuC3BwxPct5inkzxviSeLngeG6H/T njAtL1hkyEgUW+/Dla6BtgjJHGstyDGmkpOPJJYTWIrn/o0oxGt+ViL+jSQyWqE9QjPENl/giOz7 RAj7adDPHU7O8l1xNarGf3ejhG5bRRRpliN9hMMRxfQcnC/MmmP6fvw9OELcMaDniIEDJ087QW5M +uoyJtO2SKlk4mJwPIhN4G/gXla4Ps4tochf0FyYF2JO0cFWIJyoaOMrKYYwRuED72ieN2lcyA0/ LLAkyK4UIH2nqbL7EpowGi8QeqpkSx05+KoDb+bPoWfR3bB4GkKnJPxBkTFHe09rgsGZKxbZCDnk 43RemSY0VhKJrMqLWlsUZ4JEVsDwt+i6Tp+Gx2iCUpP4RNFQeM75m2pKh5QDenptgu4Tnm8Wq+z7 iUlEJbjghJaWcC1PLdFZbyVdjI7Hl2oBxwp2Wv/fOLPmwZb3GLbcBNPnH9+GAjqUVY9PpqFUZfe7 Czszomgpplgq61m0+I1ptByk0SHV8VlHal2tV+G44TY71tgb+mHhlFIBIfwcK9BhoAVrnctx6WlW ZwAt+HPHF1TBm/nx3k9eOepELB6U1Bhu1EcnzQuDzJo6NTpbzrlos36bKvUj+dhfl9wqSg/Lk6W+ Qqvxjac6HWFYu8pxkMR5yGuYhnSpitvniL6GLPfzJbINqFK6gpx1ofEY+HU6r3DFVdB0KzDd/40L ESqvW3DHK/bAZI01OlvnaWCJE0Txiksww4m5wmYsiGliN1bQqEwKh4951dANFJe8WLQASotiwQjC 61ry0vu/e5aSULbBfG2O8R9QNjQn8Zpw6kpK30S9XwkMh/Ue3LCkZup0ZkpbhbnRSJeIsHKbRbql 3vf1UdLGSfEcL+t/mK5sgcxKDexxuFcOPze9q5DM9IWuflD/Ybne7clDAYdMyynK0KLx2qtfsc11 j3haUnFxHEfmvDCQyPI2xAZ6uCR+lDGc8oLvq0HSnSozHNnfet8ulZJN1VYxD/uy1f+M8nbmxLGA uEGDXnfWXo+M+mggvKSezzqYBdzGwTPtl8Hz6gdF0aMef8SoY+DBUDRcoj1M7Isn5NBmBqUUG/lV Id3JW4wFnQUtcNJVXXQuiyZgYGJReT+tOTwd8Vs7nbPwY7Tv57ux38nBpR2DfeV1kBoK+gwnFf8r wLbTho4RpoXOjv7XRXDBrTsYsogOKRMxk8bGBNtspI8QiBBIcJrXig1M4jwXcPA1n+7uQJUUAp5r wr/QDxRiqTiV9lkKWYCI5gvf8+EASOgbWpJX2gutHceVVhowIQLNIy7Uo1/I1h4U9zTDY4Tgo37g xdMAv1gojA6e90aJpSFtY1CXgZ1TUsVuvwbm0cMMPyysuZuRjTsraECGkgAuO9jqcb4m8HPBTS/o 94NCBahk60XFbshuydemiIPNlB5v8qEPV4fE9Tb9ZUB+N3Ru4Lumd4YTG+8oBnmVeR4idVO5KWm1 gfeg5XIb5CqyPt2ScyPKQx0w49dqRMEjUUDXclg5mYg6d2QRte8uO41mxXJTdVQdJhQ9tDvhfjET OVeCvBxw4Dg8C7r3HFCEX+bb7Q5+5WPsQLHO8JgiA/gVoRp3fyvmql3W+9m/POMsMQ9ZIEaP0xOR JVAm5YSbXZBRcMkA9fjvtJFYmxruPcDn3oEJtr+s8IY98RTwP3q4I0MYpR8ewXdskNLbfipQ6fCR i7BpUrBjnbO/h2gWCnnHBZ1esg1w72d1uNc4Wb/9twiaMjOLj38pnpJoAZi3GxMwrCFRDwf8dKeI Zc5e0mY8Aq9BNBAbz2kTW7+Tq980c6kIPbgj+38wpZgTGBIASMm+knoiWdwcrjsm3pPHyQ8Tvcj/ lH/YjZ4/GP4RaUXNOTlXVr4oA55xnuTAsAxobvBe3qn+uYQugrR9mBT99EtVk/c3En7ep79sTgMU 1/DArv5krq2lzjQrrJz65sNPwKTBNcdcIXKDCs2IL9rOGJFlO+t/6cfTOSHyi/OOD3xc/aX2Fgqw /hBZrRUSIENR8WuecJIPMscjNSgjFAMg2hxM2AWzwp+c/4BVg4cJDsg2lIc7bVBYA7NJiK3rMGud qiehHA6kLdwR/qCVGWGlTt4QCN2Qjr/lKGuGSO6mmiScIjoGQsq7jejQz7ZaDdtulInYnN3ZbSdc nCV1fwY3nOCihP0wLIMLPwNlWOvjscetf7YCqXlhEtYPWhqtZ9gUAEBXQx0b5dD3C1VkQxYs7VT+ dvlGyeiUI5hngsdWtLkf4fLOTj1R292iRsKph6WgJorhso9ihF3hNmS6m49PVXbHouToZEGN305T Hcmp8hvH8WgDA2Ruocur7rvUSxHtsY4FG0l8z+5aEN4HgD/VsTt7aAeRV1k6AGvMeSKB19nQHGUr stiVNNdGpIAAdayJ9AdXT99pD3N82EJtr57PK7AhZvaKOtX9lJx+IcU28WVJV9DWlYaq4MfDcpc9 wudPMLvW8oplUgwmDbSuu54Sszi/65oeMrGHl5vIH5RTZ0koxtxVOY5D97Fsg7ntv0CBTtLTjCaw fWhE4isDSZPvUW7dnzcrTlTzP/5s6/9i8yj54uZIjns/G8Fz6JDaiV1x1V6hbISX6y92N9eOEVaA llLnh29k5BABeKfWmr4koMy5tKTX9Jh5bs54zzTcux13yRe00v8aB00CtIrJsNUhdxuMt/Am1628 HYpmYWEVgYwN7lvfmtV2IqXqB2qTfepMo7jbGy6G2zzEwdaQAtsZ1mtXjb6h4T57eN2k2WZ+Fmq5 jY6T1RTP4lXV2w6TvY4j/kKkye+COAHMp/7f6KT/s/bPiKMLcKmvf5AO2PfKCIiswzjmGPt4Kx0G QvjxCq4ZXaaT3qDXOMOdQ/bNZ9dVuz90D2+7NUUIi9+sRkir3OjiEr3Yd20Cbzf2hy0bxRY44uJv UJStsvHriR4yKJ3yP26UnwMaDEEPIEdo+UygM9q1mZz3ytxgBBq8KLVAcXx35ls4bCg2t59LHZmO ZC3ae22/ds7iI/x2AiUH97veYhflbZSOhlBnVnCdm4qrpdiJvAXksbMskTr9WMZQZ7i/LQWJS5mP PvUltjqcCLhNVX5wpVH5VDj7X3SAYLu2ALh+wGrNyNs/llsmXf0jEMsa1u89lyTp5HgBjjMMAq8/ O64RQpUXeHrkljwlFbwEJseyIB1mjbEgBG1fQD7tYUY8l7vul0mWky+GWVyKMo8eDn/YeHpWXciI vjlZI1xJEReBnXsD2v3n/k8xVlfmEg4r9W15WnepwOIqQ1Janb/AQiRgqyTuphO2ufIf5kKagc/t gkuEgCs4VTqcHDPa5OvFHksyeoWpC9VkwgHYpL9mg49fuHn3Rp2sGG+JQ4sVCAr7id2rt5sSuZ9H 6RELeRHO2TrZVQR9asJn4H394lDd8U0hRUaVeu97sV+NQf78NSnr7ArhdTfOS1Q/3shqQd2h9I5Y mOQLLKbs8daCWqFIIob11k4aKCMuGWKm3isJLGxZIRotuAh7bg995N4dwkMKmxC23rVJ4M10zfdu kr6sHD4SnPee4PWqzyRaAIu5IJydmc9mDJV4zUDfhqaKZYduinpnTyzeH0xaC4Uc7Mkix6oUCjRJ dNU/9Xlt0Xy336+2UtsmyXBSMUhPuhVP2ciestDilO5clyCbKzM9PNK4PgEEIZ3kQ1RewjaJg0bC 70jWIfmIRituKnNT+RoI0QBfGc7wf33vuyCWYDTeOFDiEvTnooZczLyUNiRQgYHZ94INqehRyH5M 02J51jLvYUPd/3gngkLNiVcuB0FYtcObqiFzffs/BwvSS6nMHjsYwK/ktrUvmqJ3uqx+59Go0mHD WqgmIFXjKcyD8H9t2Dpm1Zu/5EjNHlmrtlZfdwH0X7X6CkOB5f1zzWo/zyel40SfUbq0PyQ3H4qF Uujbg0q2hAgzW1VPlmSVGrKxvtKpDk5n1JFoBtynXUHBM3SydoX2YOdVFrGNxM1zQkLFP1f0E1QC KuJGIg6QhQ3OiUual7suZ2mhZSvLBJPIa0hkFABgRRphqna4oRJB+PMeCjeNh319IiOv284Mtk6Z /NOle2/7nQMdH+RCE39yYt52uUBH/nGwf8L+ErrUepbCOqgILPsx0SeGz2xn64/Knd/oMOgzUYI2 L7oIMiQ2hmoUMd4SwqcVp7p8I087a/U6pksUO0t42N35N9bXZGZUzMpC3RhRXuRFpOPW6opwiC8Z fAB5zPA6ChfBwcCFnBweSXngfFY5e4Ji065Z7fbF6q3hLWcQ30z0R9368EUPkCfL6pzvgWt/yqpw pk3l6Bfq3FVa1yJ68aqZ6+3rQHA28tVbzZvSJgnibolDPf7e+mOpZSIWXbw+RmH2oWues4TEhvRF hRo0t/+wVX/z8PoX7m/I3h837iSud6UDr7947eaI4a4vZFyPw6/WBeyN6FqkdiOTOd4ZmweoSFcl TSZe8lyL0Q6tF7byvR1r9m2joSLocn9s317c1uDnQ6QAGG/EWzzBDkIb6sO85pqGXvVn1sekW02V dDn/BPNi/EmQ7L5ANF87FaDGPf82ODHgcY0C7tAaBtL43rynNGWO9fHpTJNFbYtxnZos9J07Z9wB g5HRVgNC1DRkJ/LX35Ur3jf0bAdCZeJwPlibsa9oTQnylsZo93XyVefQAUp3ixpT6deD4IviaUxd FAZ8AZCgHxJD+14oLO47AKw4Uq0bWv2PeVvqXW/u5e+wojKrNN2SUN/Mld9isElYK/XcWVIu2GY1 22HYg9VAd9Dzm77zYnHddUJ1uiNjVg76/2sCEEheDAAFgnD2/w7R1inRIiLXfjc64uokWBp8RHSx uMwMvsDIvJjJPS3qKnvN0d20D/tDd5u1NDWhoFt1cKKoE2/hkESVTs20ndkjpXuTxdBjmmTP7Wg7 pV094KUmDbMj0RfO1WvO67D/aoa8e9c2Wtjsd7Xba0qxTKumiJfxvcDclIEl+Ji/at9z25wj29uA UGPX7U2kDjfG/YA3fPiiIXdhg/ej2+W5gQ+0zgC9ok0n9mXpKfevNnVitU44GPVbZXwL+vX8DjdT 6Y5zAtY33p6vyFngt0PnPn1hVnM2nxO3skEGztKSG6ewNvmnS4xVqWnjKeLDhgy/ZDF07Wv8tmv/ ylZtxusFQYm98TgNIWr6zrx9tMXe1QWVKGo6IssinFxs9uuKCiXE3wRTVkGvDznVqPIbc+KBE8sC cpxSrAbzbiuH06r8MVD4SnDAFOxz51tM7t0+VN/OfrltZDdDYiDDJCsI73m2sGk147+dYHMa5uaB ewPogxZ6d4h8H2Xvv7m7/yhwifuavFZ0YnSIW5cbWyVzEpj0Byd3NJz+wAL4kdY90nJxXGMvpcL3 Omc67OfiFMOALP5biQXYICnxXIr9d3r9rdkULMtIbljJHh4H9wi81SnaAMUXKf/81oRFJQYv5uce RzzQ7fD1W+t4zwjmElhdztlzzBIK4MHB4VdbvxqUJvFtGfmnKL4ZtqHCKXCRMWjgWXPfDJFukfw9 vVvUR7eGNOVi20tXrNpl25/VX06ooQbmYWE5b8Gbh2Yrnw7xdaDvnNAZYbVjoMPgF9upzvKNkmsU okF5pEajE61dIdv37BTKjmP2JCqAFwqGktrgPD7GI7dxTuWgVkmetDG7KCVA+0cnpIZWveOzPQsu +53L0kVCbjlHszJWqPWUzugD39CpRTLAaNX5zf2uI9FmA4dMLmjgjqJSpLJQGAsmg0uCAhYcfbd4 5qw8EPw5VNYhut6D5DS0Zk/eoCfZ3CMExzYDbDvDylus97hmXH07GRnRgqD8epK2IFSlLINo+ORd 2pgmBVqwsHUssdPRrNzj/asPZV3MfaAyaK2WxrBeRhttttz2xllDreGovVxPrAKe5KcNda4H1l/q 44UxtMQzcvbwdnQs9ZLUuRkN2D6ZWnXm0j1v0DTwEutvNhZb3JF+4CcotW6WAk/Sdh56pOj0ghE2 ssPqZ5wFNxPrr8N7fYLxpoxV2oMxAf5cZnLzZ4JH3GcT8cRn4qsWOiG6LAkw6SxQ8+D23J40MD37 xuzdZtXOR3dHjv9zgNdh3I/Y7T/0bNV9Ui938iLzJ0OgaObhkm4Julo9B41JjzGvpu7ZzwW3WUbT v2qv8YkpRbNRM6H/A1PrWfj0QDYXzqIb62o/21YOW5IUqXhywkFP4rTxM8VzZIQBrlbkp47XxNGI uL261dQuzZVWWyRfF5I0WT9nbm2C9b/yDQTaH/T1qwZKOhptcR461QuFrD7qEwAizuOVvYNd7ria 2DXyZNuZbF+ImV5w6dyYcol4KIi78PstWv/7x5uBoI+E67/b15j2RgdiWa3C2z3+slSTTfk1Wpmf WubTwVeJXMUALK+EjUzvbFEFRp2Bf9J9C2jUn9FBAYXE7mvVdH3/ZFKhGDiNWS8T22MrNVzZ8DfF 9XJgX8zE+E/Rnz05UsPbjuEZv6UIy0Y37p6iqPkkUn8UYJlk5+daGmQdgLINkc+KR0RANyfJAi1N 40XBnjz3unUOGyigezXDz2jw4grw98blGFlNg5/IpNkqsf0FSLIbwFwq97esFSqRoYEikyCxfxLS ee8ENYS/wEiKDdaW6+rhLFJRjEFyTm1QPKiEoQmJcSzXETHAbQjBorQU0SjGrlK92pW/c8jamVeO IDRfeKRPIkvzFapNY+1sShgV//coLjER6hSYaS3YsAv0qNmW+ynFsNd9O92jDBm4KVjmyv1/o7ya ky6OJ57Z/tCHLYpW99VbJp4lqh8w8YDJwgFzQe5HTCmeOTHDlYToelX/NFjuIfSPxEW9GPELubAb bmvQubRIVoMGazLJAxAY8H76WIB8LpI8ACFjSOvDAjjSsBMa7u0ZyvdQnoH/RQv2hTRBLrfrRppM tUp2Q3/wNCyHsAwoulcQjrcAG1vuP721K285vWEmTQ2VERIILIZ/EcbAy3hyk1AE9EoipD9Jmc2R ED/pDd/87jJvegYeoObC+dQJCNdFZuxXL+I5cznlV4wJC7gZCqJhaof7Sn3llZh4mULDHXr00Q+d ITa4CXPXZ62nm51iWwMVuSWRh17YXcWajrZaCVnR13sX5HWzUa1FzV9zb72LDD7L+qFRVlpKYBb7 mpyMsbvOLDPlJoWs4DxvoDr1aks3oEpGoxBBQ7GgWSrcK4zw1oCHUso8ip8NnXxhA0B6eRbdWiSS V+dylNsrBJ1EDPLf6EHhArP4q94kKzXFF6XRfDmLsxuI89bRL4hZbtoNyOWx1K6IBHM/UZsT6AKu 14KktO6IJJpab9otJakG7LTvDY3LjfHQ+Bycs08eIVn50ReZQ5DqtdfKONvTZelf/4vdpv8hCzoX D5Gs3gqlbIw7pUuKFDl3FjcHe+bC7IyiGUgxN1923cc9+mpW4CVwIvoReR/LlRxaYaR3I+EAbvVW TmXIkiRq9jKirRxE+mREb/k2Jt9llgb0rejhnAG2RrlYHIhUMqxcJKzQ1ITgx/FdnMCSCOauBO+l qr223FQFvmgaID9+yzQI1wBWU8+zA2RQKeo6A1DxToReTtDp/krBi0Man0W49c5OiiyxAOxJQzi2 0NmBEL8xsPML7HTg+Ib8gDvILxPsG9EE2lva/ai9/aYNtWEWG/jjFRNOIGRv9BcPEikameP14BYO XM97yxS2Yb92wVoROwBUcS/6K+B8Cd65WSst98W086Ky0PAYUwxZqYG5fKIoW6GfAwAuCNUPmHNE H3d+Byh3AHn9AHkQ+Zf3vHTTyoMQl9QcNp42AG79IserqKq7gSJDCwF4zokrhro54szxIbFxdJ2q Aud9pIBgKUyyWmsX5YXiOi5+uEGFdHWTwiEPx8gl52d+mgsw2aTkeK6SJ7v9zAX3jno4sIdPw/JY jkVzjs3TvLjBJJsp+Nwzgg+MC09MVYtR3OLc/xYRyshCkn2CqvRxQuMQZNPkSeTSVzWOz9hL1yYF 6avuEask23H12JAW62oxg+2M5SCSnNYmSJp6BU12tPkA2Nk8Xwe9OnK7rwrVwmOzOIPBA8p9ooXd NmptK58DFB87QWjBgqS0SGuU1BPrdWQu/GwNnFnkZMPfVuHupThmhICLesUI7mQdJNIGjzsPkVKx XUjIOaLaOP1mmNuy5XiphpnljMmM1+tDwxPUD5BBSUhPtXAPwDKARqD3ZFo3pFg8pK2dAvTcRpAP v220bpS2w6aS9pVkD96NjH38V66RmUmNFodGquWSwHfMt7iktRBUnIGwaHL9PnPkbNCbt9oyRU4Z z69hLVvT98Kp1tlb/ZwC/Yz3AXWBViW2O/3NkhYZW/9TX2v516XTGSUfJ/xkxoe5GQmZi59moWiW LwfGPvOppXNNPkKqfX+wRicc3xueaxBHMXdPk86UFmqFyk2ooZ4zz51IG3IMlibtmVOuGZFpf6M2 5cLRFkCQRe0IKcRooMkv5Jrc70F8bnygc/E4yt4xH6qTJdRuzC1ogYHKED0NDvUE29us8MjmUZIa PQHTAvhIwvCAd7nulCOTGb1MvxDVlVe0ZALYgNHe28otIQrZdkvBCvj2BDYTxzhl5wen2sXGld9+ K0ffuw1taGCp5lQSxbpJ46GbPs5i+QEcFH2DD8F0Ib7VxwnYCftZthCAm6sPMWa6w+cmYJ5+bIx0 yTd4B1iCiq+mlAbKg1GuNb09fWIOyYiuiJ9bqlZ4pAAE2UFD+NwA+R+JiupRSuF3Zy1PrSvXs6qx FMnraeQ5YmIIBCFlBFEKIFL8LDv1EHgbdIoMcXrDf2g6gi8Zc2rAjZHLq5lyeM6mN94GclcC4WKE KvWZg2MjXcljv1x8j3WGH0Y4W+gYXeSui3Q0JZIlOqmAyry6VsyQweyqVT5yzttdlvZwIwd3el7N jRHutECI8V0uG7csXBbiWEX8lusbwqwFZ9W9hUpy5dRPDvG6PkLR3vrCtcC0JhfaGhDQ4HMAqL9f 91YdyGeZxw+xTkO1/FTHyJ0EcxHSHOfDn9C4jgY6UbwZU+yKApOzAGJTdGuqwq18ra1Fv0l5EtcN Omg44TbJ5gGhiFBtdfwqrBsh++8FuI0TeKUX9bit2NyBUBSqCocHiVuJkfronxId76crCPaeRVvh Bf2ctzb+8nfEl1GEUbwewOr3OHY/+l44iGtCS1TmoQOWY8uHHdv0htwm8mF5OK3lRXfV971+FVuE qvUlpHdNgF0VKrprE+aGOE3IwgGXpY9deCKu7eHdZWyerlZx0VBiloabFn/xNznvGib3+4xlg+8t 3BbkdYtlqD+v+9HYjW120icXqNJ/Nf/ysrmZg2smEqiD7VGjLkgeieRI/rg7s55MEOnIej2dOGEv eYruHF702AiVseH04z8iTOA1lBOEcCRrdvjDt2dmza2wxth7MSW3Omn6sjOnLnO6DKw6ZU/kJTtW e+h44GRSWGgRXp2avLKFxO5p6lBDGJs394kLYtlRppSsbJ5cDRk4teF5HZa8MsEHM0kbAJeTalsL R070WHnmEFjARPh0D62yRT2xYL6EWTBYbXL7rK7cTXkrm+2h4Kn7CAIG880R5n/Pt91AUhdWc0Ua kUiamwziya/NeBOk2fpztWkyrnGpqt8CeHlj0YIs2rJ6RIUQzYkwhe9QXtTZkWxC7vm9AMg/nAE+ Gf3PoHbK4a6Bb290orjPQT093Zpe8c0YMbWZjpW1dMscpKn77HzwB70Zu4cxBiHpWcLobYm1+qQY eX/dgld+l3ba+WOEqs1xk5CeU0sDslPNsvBMDwCCxs5jcUE83OMmYAtoo5B0uLPfbn3JzTFkan8r wFRJQR82jOcQthIU4ptaIqQ3rv1x8R1r62kWJQsd99Z8x/4oQwCHaUPVdYiEsJFHL7ZJzmHxb5jq hpDNJQj6C4CO3PsuYuL7PUQ6p5WjX4QHcmyUDy83wVZ5SrJsViU+uAqLZ15L0hHEGTYaUaGkeHFP bsP1f9z3p5p/R6ZhgR/h1GSKDCBJYQeMT6478FveUMxYNvLFv8VSqS039VwZR8ZnEiHgb2DDDyuv QPVBp27QfdO/JGETE/+L01Sf0rgXCaI8mw92rSenvGmcy1K1FoaubQ1WIl4WTuO0NbdJO0wDvpyS DWt5iHAmUx2A4zNiLkWt5Xc+MlLgh3OqOZcVqS9vsTYe/Dotq/0wS90iTsNdwNzyaC2I2Hs8Yl0a PMWuhpPnwoC0jD8REHeWIq0Y1J2OihXWzQfHFW3Z3oKUB03jaaTWMms9UApukuqZ6R6HbJ+rojev V2vVc067LFSpDtOdMhBPx8WpWuk5uAq7JWMIvMMnAuLMvZWuQG7cDIJjE0ux4n1KTSJ3iG6O98Mh afjHe3t01CcrwLs4CQK2i+PQYao7NguXIH8dzxhyrJZQkm4qfzKIAmXkUcEXZfbgl8afwZA4faJw EoRKp5m8tu1ayqySkglz3pFtmKJvGQycZweXr/gnC1+YxrpYM2xD+iYTEMYza1uPrQ64yMNuo9XA MjA025mpfQ+YB1acR6BARbe3Pjk1nZf7I5nKSPH3imxyksQMQnkQl0llStzumjHrpVWgDCBKQLhq cUHBBC9xxvTRFmR8rBryHaKk+NL2dgsvATR1CZXEI/nc+/JV+jrPhBw+Gv1UW9ktGrXkf0AjONoC fxif7i6IZ72gcfk0pjbM01RTLcwos4GaKkxXonYsFome/TjWPrP/pQTYPy0kMa9Ki2rGqU/790du xzfrCFPn25rOeCuBVT8Vl5luXs6OoxLH08oltEKIfEjMAF1xsuidO/uBYaqHZ24VGqqOudqoZcjn 7f0LHAOwsMPX+LRMAGIMvNtHelZh50zLCwKMkuak5JsWkXyAecXfY1GWjiq2v1cmtWob4xH5F89d NUGOG7u159TEYOfd/mCtrLIwtWg0dQjzzBosBreO9oL6zMoFUu6Dnbuj4LJOluRlgkx6rnajLlkL vDeXwuQu19mH8/+5PaXnp4rf4CV7YfcBjGo9g30PBCMEUZlI1a+YUiTHkb9fxm6kqHRaBiQcuIh4 PIO2fNr1YKTOBjx5EEACKrMEcP+zl9juVaUaKahWoxjZvzrEW4x01TdA6dLG00oS8fLz8mjxRpYy gADMe20gDcwC6bewD63MHqkt7y665S+GUBbPNkmBt+T9usP0QiEy6lo6hYJ6/Z7YrBWS1ZYPNd// eR8y/qh6CtuDFGs4BQPnf3MJ4WcBxxJKnVIA5COXrWunVhLCNZtBrX43JKqpwVEUEaCj4Pw5flDW j4aMUhmCl1Gsxbz6tDe6ZOsbB9+9etBQo/0vjWgjhPkTzMQ6b52vG4Gnzl5ePR35Aq03H9v6Z5AV OxQhtMkj+3ymrzZR9O3IaHfTqsyQLpR2bgT0CAgSfZtyH/SOB3ayDNqRWVB4kNkEBiYUEPiQYr2Q AGso0/TIVDLJn9LJ+2fVR6+cPkLY1jUZLpeNFUuear8xBkiVR9sdJqQ2ZbIj3okTeGWHLvfKzr7i GOS/9Lxxd+8DJ5BSqTJcTWbaM3OOfDSfimUwci6MOerEqJDPRd9EB8PiaD8JJ/UCwdQuANBev6qL eMPflDbOi+GKprg7rbOY+Sgy4/NykbcpmxH0SMkTEZ38/rwTj5q1AspjKzdD6HnbW9Z2ktUGAsYr nAbWmZFrwmZVh0ML7Akx4jGlXRgreOSjkJr1AUoY/+UGzwXLUUxikUI7fp4SmhQ2rcStPUtw+pLZ 5yMRs7K4UgsojBk1OV8y5bkfUytvxP7e1+LZGWCOUhvTJ7x4vcu+B4VPN5f03bFk99AIp5KrKVrX 2/CTAickbEj7VNn6evZ76NbDfTc2jZzwaygxOMPeQS9AduPdNR1gWqKxpEjgXIUw2gmJsCjGAAWZ lG3eRvQdWrPutkNymv6EZ/KsHg4f0wXGyWAwjP5hDBnfdwNQLsFI3aRF/xXOpBVVmJ4t0uPPsZBW XKt9AylJ9JvkvvjLuZyMyWnKX3xYjI/U/QuER6GMKotUBUHj84n0YtLrw2eOsw1lCGDkApSpxqfB XfOBvw5NM5L+E8276II3QsCGSBza6EFWcueMcepF74l4i6+q04v9lY2oERSs2oAANbpvsRvbR8bw 8SxKQkgmgJZdSD6fHn1HO+mYUgcyZMyAIVkTcwcQmab/BAxoojGF+N6SKB14NebPSZeuNAm8lyBs Jvp0KfUdAwG3BrhmBWlQGRnTjU1DUdlMxSxlbl6xz3pSGA9mdkgCnJXj8kVWMWlGJ+8xOuabUxWZ AUonlDrvZj2jqGD03mMX8X2RMA6oxUNFHVYAVALBUG5RsVHt3UkJpuf0fvIFgc1CjD4o2AaeZ+8K NjIJWD6FBBGFaQSMwFYigLsoWhKVRDZBDbfblOmt+T1H9utS0Iv0SWurJOyHzRAORmrgiBXVnP0/ dJOoSGPL4/PsDmh4kCLJ9J85wlnXCET2TK9xaHOlmUMGBC4NsSbhmwXgq6LA8e6j07ULih1vp6/1 bxtnj1fTl6uHJ9MC3Ead/rYIADH8B9U5Sdx+dojNdjAW18L63YKwFpzh66aHNhvXuE2nnNjYlPtE po5KxMcxFRbMnFr4a9RbtUOtb7bXZWOaYFKJzGzECoC9QK9ospmjWUG+jlLabX70Mwzsk5mbJ4LD Zg9YGIytvYT+0y9eGsfcqAFsoRPlyBQdmgt05MkoMIu9wW1V3euRIh7Z5sNPKmOaMsZs/Vw3CwlK zy3wwQRhEz8ktwxEz1e6QcTlHajYb/tmjUEky/YbbPmqYJQsc1q09dScioLlMQGnDUFbv/CB6Z02 ylUaUM8ZfotwDFgxGhYHzNWfLy2gjwa4SeNWi98lJnRD+9xt0OhtHCgw4/1QkGmZaiYuVjTc9a7g O7yQ1OiLh+aq6AczH11kVnl4NgpOIZDF0TAGiUUzNmJGI+vgldZyhMV/QX4xw4QzqwFy+XHFGaQL IUoAHDGoLU2pf1Zo22ReW7egLaWk/UZBMCldv4EEcuyw2g77bRkg9O2vK4yLpNNGuz4OB7Xg0EXw NrezWlMSlY/++YoeoImZokveo6/MHgq4VITu3BfnXtgKCtK83lltWiPOgJTVeyOaAkBJ9PKsLVsG MWCOxJtvtLPJgANlSf45IL5Fads0Rua2hQskPA4SZ+y2uqU0Ghep6h1N6s3eS56sa6TKs9YtVCjm JT5HLU9w7Xf95AtE0u/z2q+023RxyD1Es5FNQhEbh60G4AlX/iaT1V0Oj2o2twMeItMS5GCdPBx6 2+dNdogRyEeQRTu0/XKuVdcIcvV8uaXwemeyZBi5GRboNb2cAwUNN6v/O0DJ0BMx+azDO+KnIplq zjUEP9mc7VttE5wEDPTyAe/eybDUp1KLMHIykGSeI09diinuiD+6j1+BpOnbkARlP1FdYac8ByPL l9AI7en1aTSZKscKoYXcL+vGmNN6/7PoV60MHGS8AUZyFq+8vBLNDr+93nY/TwI9ZwtwQXrsXDn/ Cel8Vq7Kg3FQXiUMHRsmMPFkQfl6QKzA1qeob5J8Vr7EbFkK4+iy6KkG7mEobp8LeF6yF3QBAseZ hgTekJQENUMmmYrvYvAeUxNH4T1pKErUl+w6jyqsI5zzMAHGpBkAiKCa7RCvUy3WQKHZbW+X7GjU 0jpr4cU1UMrPy6Ijmp2U2BdShrPGUAr6aGxDerwkDlMMrZtFctawgdlK4iIC7aaMdfyb5SCkO6Xz nRF98zWLM4tS9Q2/FitDWLJWJeloBpFipP1wAFXhwgAhuVKoyQh2TAOtMtdBLPPTOIn59jegTBZP s9zDhYgVgqUu3IiAa/MagmlUqcU016IuXPret83fgykVRHx7+oyvABR1I86ZurseFe2DOBrgjkeI xykYQmIBKXrXaVlMgVTtwFadVu0zmgXtlYb9lv1hDDefAmyWDmPrfpscN33DTO3CIc2CYO7VQ4Vl EqnK8esaIOTin5QTuVHTfV9xu97BpFfezk/cjsD52e2DRu/KAew2M+nTGkSgn+b4CVsAPbgs9obs 6I2gGtK2cciT1dXgYBT+BhKosSo31Av+zGO/n30wGPad73r5TryvJn0LmrWS1Nh1Lq3NID9x7Rul jpyDob3DbvAtPxxpZLfZseuxNjjvrC2YoNrGK0Gr0oohUhZJ/mAoYUEtN9D9eJ/8aqsqAIwaN0ze tp5xqZN5Kp3IHW8pcd1uzt7N4D4B6jNkW58fF5fa0wdrrkJ64mLqAkGeKxXPj/HQWkIwctA/+bcZ H4YE3W4btLAeN788YLjbOPB2upYElrJd4eBRiWwUqHBut5eJm4mnbRblvjYvMPMVfz+uDfo8JnMx SwzwGGLRpsqfnYQAfgbigS1SxFDaOVyTgq3PHtCnk2m0dpYmwFH/9lmUyr/8vUVVu+6D1WuVT/0U xXbdpPY6YEkf4yNGhhxxVqo5pD6LK3S8l24sYIZ3R2FZrCvMJexClVb1NvT/Th46Pk4qOotMU1M4 WInSZe4douN7Eao/uliM2cH8joeY9Ulm5TT9htzh/Q7S7SRAmtV+UgCutELmVb21E1vUqzifvAVb Glch8VjPtucWQedAP/W4qosVYLTxxvph8zHONEBhET7uC3hyj6XH+2uLeAJWH+Raj90zj3vjQXib 6jLokd3/E/7h9X0+M4pYwei/pL1cewGSRTL9gkXhwb6Ql3QVXXlKRqgEyAIA2B6B7ExVgaQdYssa JWRJmCg99F+cJ6TVz5ZAbJg9R8YdRkvRefi5Z6CF4cbb//qLX2Vp5C19ZbAxUrqMkSUfdo2F9jK2 wNWfRcN0uLcSPdjJoNJwDMWeBp4f/zL8kN3K4HjIj1LjtAX91CFbJqSfQ25AMg0mKGi6zuZhNw54 ZEv1o8L7xY0eaN64V1BoOh7uyAqVM5sNsLpE9jPBXQlgovNBujQrnyNx6Sw3HWHgzmGLz448oi4h 4ISOpnD56xuHCyixhVdB6Rzvw+6ANR6r0u3j+HsMufQHtm064WZpO48omAGGHh8qqhSDx6bRfMLa QRu6B/dftbV7VBUpr0W/ZyI60PUydtz96myB+KqrX7OGoGS0zXRWh32WCdfVQGknOjGJWq5exlgS ZCFFwe/TLDEme+G/Yr9OLrrAbCFyULbsLwIoLzCnGmB5j1l2z/i4NcM8/KH3iuhySpyaQF//LbIz y/m6HJ67LHXLe4RC6Hx+Gtu30PmBIfyLpAIV5+J12ARNHSX/Ve1P6q/m16KLJ0LstdmSCDNWjqaN WEpS8KhDp/jzTjgbxgJRL5rXG6OPMHvxvlMwUvcdAU3ibckHYwXYdtsifUzOcmfBW+tLRk6vZZi5 EpvdiQ3jb21ZMgDLcUIW3GYCxezGXpmua1Dv1G7Ldwng2FHsdmQQFbDd5AWOyLD8nZQUqJBIxmAo ZT7rho/LKsInbs7wR/he9YKiDu8Ts/0GwOIZrEoULIFZe7oLFxp2tQDIcxEu/HyRucuyO6hM72lM 8Ztdszj7eQ/hdLqdwYFHzj6KbYgjrD4B1u7BQpyfbX80KRCEObGqhmE6QiPZcNMJXirf6sYsBBdu iZWC1ihznhUmIAGZR0PtobEaT5QMyH67gZhLroIJuRmh/ON9CijucP+NKR5IO4hk/QTtvq0ETHEd LR+q1ScQavk2O4S7H2q3yjkPg+tjr1QSssgpz43H4BsN2yO/CLGffW/KMXBqCaOwfu2n2voka3II hRIt5qOL7FjUDx5TcOB5a9sS0wLeT7UCUTf27AP2T3W0m3ieMTh3XgF+/yxsr29c8I+egFHtv7yP 9jVrecuTwP7FXzfEogHDspchx57kizaDQ9ZklpAb/HSTom/CKWX5D9EwGC64Xbp+mCHnJwQlwEdI 0LabEmBcev0F+k0nMAM4fHPwh/xcjJp6qaWQtirMH17oSAr9VUXb4kjFp6R/P7uwVYOG1LxYmCK2 8x/uqIBta0HNivevuoASSMStjp9Tk7LARMLsv5TgJ/ErbX8WoSxiy5HhpBRK+N8Xv2OZeLen9kJf xW9/LWsPGZNyPlqpTWDMlFgQDQbpV+PnnJrKNd0ui8hpTZJCRVxwnCzhfVFEc008HQH485M/oUlv ef/jmag/GYcxUnh//JDM6fc4ByNqM/EQgdHHjs9FEFRjE5tN4Pe86IXHJ/0pxF7riC0y1PWIJa1x hl1Ncaknd5sdHW30eIslPQhoNvh2a0Hqy77LgCYUABZinNxZTvQtSRHjQDDUfxJqjUkwssOP4h3H cEEnRigM8BSxQOPP0WR0xuobv9YtL3foO0FOmbAAG9yvea/+37FT+yQ8imklG+w3j9sUKkqdJkY7 w8lGIFhH9QCNh0nGV2hsmCQbAnANv90uP/XCP8Mezifo5VL8OD9VnopfGjm8GBqo5MI9OaJ8iJTS qp+Z5ClVi4QVrEHPxPiFhTDZ65o3bPh3QG/HRs1mbUE5gQihv0CMM7HyywsPt3Rg9clM7A0oBneN c1MHsOFiFhxslhPMNwTR5rprFMxPLPXUhM9COKLXzCa87qF3IgM2sGnfbSjgt/dECnw6hF2jvncL fbABNdhTiqZjyPeFyBPtyRR59Gye0lz3v1jYoMSi/d0jfFc8u/43VZEJzzhXoEdY5mwtcNqaXHkq i1SdNN++1XyXBW4pSCcKiPVc+RARLnFYfDhNkQI6QrK+KTGkvrZAHTqhLlGQrYqVtL3WxMriyhMT A+pbC3l48esyiJgkkpPt8yMkob3/4BsNFScW4VOqSYK12GaW/XVzJ5oBjwSCKo/Y1hmBzX+8aLai jxmR69iORPvwecl+nnp3WkK1l1V3XEmTd0KAd3ZcakE0zGK0D7x6L37ip+tj075cR3biiIFAkFMi mUkGBKG88KMN7+xMmK3MvZrrlFaWz/hjOm2XIcmwJLI0b0FK3N5YZ88xDZyCh+LDZ3eGhrGbvHiA GQaP/XPt2XQZyzyh0WOqX/V6IYwNgke22zSQcybWRovCKGLcXYWLGm/6vL5+MNaPDvxR2OLS91wG t3RqDNBsD490cnVklcbYcZzSjSHvSEJxFiaTA033t/qxaVmcQRt7MQQP4G7NjoWO1kYmptpkC6lR 8pbLT8pnG5KSW8m4we2IWr3BtJyHNYD39dUsVkjYv5U1hRqO/sKr4onEB1rIujLt02MFcqP3zg4C XfA003MiRe7IY7gul3GUz56ljr3XLnNF89K9OZCttmtUC8HURvnYd98cPPaDaEJvhoG0bKkElMbj yZLvdqX53dMdWZufOb8KbXQy2OTd/yuLzr9Wh22kmgYvdLbcfXRlCtsQ+ovy8ZnF9nr1tx5eXAxz RGju5suQtBmFblmezMJoi2Q8xCPucgqKzy0QZXIrpPL3pemeyF0w2Ljz4GNpwE5I2QZjf9aK67b9 24GpqaIVysgunybxus69ny8pqnbU5/QL3YrGyKfKGEeLMECCWmoR5SSsnQtsCIggt4ENpy8KR8da djDEXV0iTE7wFZdLIgJDqgYQOVd6fZ9DGiQZfF4PlA92SrrokypGfVbNi2SnP8JwTPlbvXBnsZqz ju+njhRXxnnyy+SkRfUunHxaGS9Q+7yGmC76FcsFL9+W8bmF5pwPV90oVUWY2aQ/0JEgTgA5ZwGX CBK233XMJ/Rv8GsaicGbIaefHyUznbe5KizCExCNLqZmRqB2NQcheGFclYk0FSrH7PR299vBDtUK irVEKtsPa/B0TRjWQXWyfTaSrSerWM+YpIrKEA+Dfj/mxYX0izAQqoPPIG5P8GNfQvFf69GY3XOo Rq/vtsfojFk9lu3Ny5sIGnhDy4MWBh6w3cJBCFXbEN+YVv5KC50N7aLe88GFx/aq0+ecpmXZCBdr wB986BrveDc+uAh9Ms2YDf21IvrDzT8BGliV0sylxOqFSFS1bKfGG/DyTfxlJnQGCRjwe+C5Y14B KuJOydmx5p0tTrZt5+/sbteq8uu/1IwJFKB+HTCBjeaIIolxPfJrSR82h6B7kV2odmQH8SgYtWzo gRTdxl9kLpPwQnXdAe5kyHxa1myio4vIpAoowjBgfnZA+ep+zDQP/cFFCws0MFujJknv7oAPNBDg 5D/CMHQ3AWCSHqlGfOcRJdx+mp8X3BdtQ0RGPnVyNytwZ0H0noEMFP3A3FOPa/iFQ+/0S4AXXp6n cZ95ZLFi6JYDkI4vQCc5TFnZj5n6tWvvRoh8FfBI4wyiS+TcbG/Wws6c4PdB10grCOQLd06Eo1uW c4b0ui5YI3M2YhcGMu34iiTpJGTQKvAzBjt8iewQQ1Fd0A0SSaCqaKz33QJ/LPqRbxWGEX8NkGPf lEEvPMYZH/qQE6aWolJQH/xN1zZXVhHfz7AeqUs2a+Vb8iHg6tz3hbpUuu+hJx+qf5b6cWftd4LF DekQhMYAU7qCOH1ffO6ZWWoXZwz05l7Fy5/vqrPKwz+8ubJWSjU0BWML94zbKIFLzznMxozp6g1x 1DSJoRjLokCzCnog1RGHE1xpUtYKesPqxZnTtWXbI+OO14tsrMDByob2i0oRSk4DZwCRNQQYsl10 vrJRwxmNLxLZczaD7QF46b/5Z8XNSlnw51TTZhBYb/eH2ACObS8jcHf/YXdcSmXLqKRTojYXtXZO NiDgZpaQTuXVLcW1Ij1Yu4RhUp2YV9GjsBGdK5O46PLOkNyWX9MPVj9UxyyMCzW7s1Sx8JAhnEA1 Rn1DrADpx78nJpT9vND7vn4PG2UiLsageEfVZiTmQZavWe0mUPfRDsP51vCJ/3FkJzQWE1UQK8Zt ZW9teG8uba2GwpmMf18li/TcQsuM15F/NdetH6JZyApp8mpWeHngzIp1OVohmeEO+yB2yMdgKS5R Q6KGBICoq0TGeTeLjhxpM94gOjp0Ck5ibMMOzm7nO2DLGEetLyu2IBLkGzuuS14KKDZBsy10JjH7 gyVUFXVbk1tWxUxd/7qjaGXu+n751g66PGKWTWGyHsT14SzsjVIQeaBrMEgVhGO7zlaQeg8+f2Cp RSXDZrXCLSDBHIpt4W4PPy7Ey3AtLy9QC5Jdk1xMP+LkQsOR/KvpLRQ2/xQ3NiKv0X5qCQIsJXon lSV86H4Vkh+3opFGYGYtCR/VwcvfRda8/TpCGU+m76CBeNyNpV5PtSqqvWwrbjZycdKsHCw7NI+w tv8YRnvEZjEZfyu9I+t0NGO+h4NZT1DKSKkofVSPys7hXf7SObMpHuqhFKb59GpuYrxAeRZOE8XC lXPwA3uyKLjjR4OXgR0zzgvAXCKNkl+ZVLjVKCVKNlg9U1+EH8UMB9Prn3XForhpvGAc/G7xIJt+ eQfdUyPUEvfZGXUNLbgaG25Ja88+2PL2kgHj8ZdyWbmm2UhQAAdf2chnyW/YyyuMy7ViO3degaSM tCjvC9VjW0AUKtBREASxi/LwN1sgOrlq3KgCe9AX/v3H/7E6T83N/Zm+P3HGdT3O5RAyzc0A2D8a oYwObPBLZQ9ubQTmdddyagoD8sC7fHrw73TijbzTWWM0h2l4TLQref6mWOB6ekGFGiyDLbKzsng1 Qt2DTFtLA3h3C27XWrvFQDJfhxB4/RY3+vxAvs+SQrufIG4QVD5kChxeTy0GUehzdoTf69LIzICX /hy+8iLrV9dni9orL5Xnlt4QbmoQueyz8IpdnCAP1MGh4JUIVCSvSN3IhG3lLlqzE7cqyAL/rqc4 0gGZ3C947LgQ6aE63v/ncXkyncavwuqzbyXUCFpkvPCAGXQD4Jds3LLRGtKoI9IXdw6i18lUpri1 JLZIOq7XvYDE2e3wjhxtqkmydFbdBuOFKBS4ugJLo5YLIYyuY+gzcxSeq2lVc+GAOPwqFTAQB1pK 7p/UFfCGkQqJDTZxvdq+J4mq4ejluytI1ojfrYH0sAWtyFKMjIw6s1b/nFE96HKl/4nRIZ0pJcaz ZQWJ0SPwxlpL8zaO62ANHGRy0tppTc8pkR773fP3PeEN/j368yntQ8a72Zwc0kEOC6llpEFSrzMU IDLi5WEKAzZ4ONY20vZxs5vRRk2QYGG//GmbqgXGWm98NDGQURvVhmwsRA5CjkDvNde7vKPBRZ4A 6hvxrojL13THlm2hgIo+9rsQ8cxmh3a6a9o7oUCNx8oBQ7F34n/ijg/GExJrPj1nV7JQTztQuK8/ kd7T/xViGPkShoFi3IpZgIMqBHGABmS5FAYA/w1xEOFfxtu/aC/e2CCbq26U/Qhjab/aXRXoWFqt aha/BYcuLXthgdmNR/ia1SNL3MXqJyElmFIV0ovEynttU91MoQCPyRfSUgNNrmjU5clYGucQzxZy xEHRgKX1SAc6cUF48JQOk2ol8HNgXGxvB3bk5cvocP9Ak2r+1avm6R+H8KhR+Iucf/VwP2iRpFmA e9Pq76JJFzA9pN29/odGU4U6aq9nG9HGrtJjw8/u0pnBpRftbzREBMoYut/fO53s72gOEHAiBpSA /R4JJw3B6b8jgB8cLbrAu2r8U/hKN1+Zy+Hn01mjeJkuoCKzc4/g/pe127MZTSkiQyVxh3G8fodv IbADZSF5cn2HI6bQRLP7o2pp3CiU8q4FeMySRaTRmcUUB8jpNpbC0bYiUKlItq2Z42zoZ6j6Ugqf Qi2g5hMi59MtFRs3hAYrxoYnwo9LtwwIXnulGkAqFzgQrEtZymh50FjgYYlE4Io17XnjIYXez8uS JIhBUKZRkg/IP+rXt8+0N8jMOhj+4b+Q5X/Z3ZFIRdyQ1yCuPUSm0LV/bIRJTidH3Gb7FnMcKGZ+ ni2uMpIo19O2cRMwgOblt+LITCJHsCDKezw3no4FjMU77B4Quywh/95wPfvDgaWZOjKOAzUAkol7 NpacUYZ6bao/QCMGyEEpwxRhfBDCxc27Mleh0Byr2Y4S9wL8e6A+f9nyhOFgRkjfMpoJlfDz+W26 MdrwEW+IDeaXYHMGaZjhxCZiw6wwcKb4McKD/GomMDFIuTPb1zza2Y5CIT1eZb3QRilop/n4LZvM g++ebk/pakY+AbtRKld3puHDVDb4ZOlxADZn5aFSfZK7EpMFhl7AGuKvYDvhIoOBlNyNCg4yHXdl 5TfmwD7UVyVHoM7eHcc87ckCjtWOAyC6mhLnVFi2EpsVkI1VwplxdaFGJsD5VVb8s6hPV1FAa1sQ Hx7UWK8j78w0UIY70pl0mkqt//7G4qQ15t5XnHMeaEYey1OjrTRIDT7EQb/CIWhXP9GRS54rqDjf 42kP8EPEaai3FmvQWnoVCTTaLTugbq2KvWBsySSRbJmlSShX+vvrfg8JQtu2WgB7MgIiAeAbaa/Z g8IJKnZaswd+Cy5WSD7UARDw74qhw1y2lOaNwU+2smzbEgcD9RjJJD4jhyoL09nlNVZlL1mLXPRH G6P4E9i/8ynEJS+4h9yQDGlpdY6YmMdLIUFsMtjoyTkXTBAEL8Io6G+lKdV5jpQQTyazWT5jWCyt 2UiG+yPol0j7q/mtzhRS7sFe15PgftyS8ePMLVte5YPo+iP/HAGshDxe0phWA1SR6sYzQUw2iBN8 AQXR4MnR8GgLMlPYhxDauiwEtXKb58H7TcMM5V4XinsleMJkOATqBMLYbpNreNE5PvTFVbfNVIoL 8dfxqbeAv1hgxgSRPKOy0Ov/gVu4KRjZHXF2koUaMYmTpru4Lo1mve6Cg0VVvGu6jRz7mKtMO8KE mHmnnjWnHQuuDvv9RnYEXC9zFbYaL+ATrSU30TZxRjRJNZH6ZfQXTa07sdW7XlDvD8LjQhwPkapu R6FY/9A9MuwOHCGXesYxvk/gU+cCXwmWXoRIX4QpFoXkFTrjgu1eEuEEpwh8EeidQkWVMgu2zWDg d5QIv+setslrMnPxVhbRbOg95N1Cg8ai3Bx8frnwX8BhuBGB+Kvm7LhtTuK4hhDHpMckK6NXp+/s bw+zBOnlSluBPsI8aEepefqmvZDdW8/FpbcXGX4jEvqV5GdtQOdVZgHBEiJaWwcVzvRGB1z2GAeV MHAhSUsAT2TMN+t3BljxfYq1jmg/rdCcOJmKsHfaTCD10SyRfBsCn46KYrCE0Cx6obQ6pOXNwwxe iSaBri6XZYmZx4MKAfMQSc2zDbtFfDlYIQtd7cQE0ESjRVThoHefTufG9KQoJHV+OAhvxxaHo0bQ QLbik2AZUyup/unKW4VqTgUplTjgkITV4yC2E/N18RuSjFDUG5sflPqmVapqlDrFgbwP+9zjjzI2 0fONRhbrEEjubC3DnMdDrdOVrKdIQpAqjishqtWWecatZMJL5+5khZqfmjhVrXYcq8zCdiP8zpRg SwW5ABLd8bJh9u5UCcKRMWPsruvV087w17ost8j0yEVQM4QoPrEwfD+dvcwv66FA43CX7dP8sSMd U+6LFaCOCUVdGpW3KlVD1h0y2R3ojqySkJtppCsNL5mgdgy9OpyNBc1XlyNUMCcr6q1RYHIGWaEN t+Vkdd3WB5bh4RY8zPEl+5anK5IXxQWqpvRVcp3UslZVLX7U9rfhve29VTxKMYfcvOzSjMGF/Uzi 7DOLFL7WNoHk3PFA70AHeZLDJF7Qksy0mGO68a3JAIv9ZSQsihAPxCdbijpuHQ1Na1cDX+A/vaa8 /AlB9nQwH2PSm1LW0QhVJmiRRWcGOX68sVJPP8DoNjEa/eol/PifQYlEVvYJ5qhrSUx5lR5EklCA 8gi3HzbTQOXA5EIdxnFKj6Jk02x0GnsojMv5Xtg49FkDuk5wiClRIYsGB48Me8i16SAOY6wiLLYh Q9JDU+nuo9hA9k+PxkBRe0edJC6oY6TakcqaRuh5IO81kzNOGVw8JFWg7d4EM/AalrJ420K3kkde ucSmuKnRTyGXMRbWu698CYYpuoucCvcknWRLSP2GiqaD7h7XgRx4KxkZOI2VeQSSquM3QOjXgb7C tHh6YM31GENBxvGJcxjWhkv1LtwoF2JtfuA/BwgZlsfC+vftC/PS6zIDtrxcXR/9m2vVBxd/nVaC +jB9r1YiQG13Z+65Lb0xDozxoSYWFgGTBk7jiSRqB/PG8LMscGzGxzD2Fa9YLuBnDeV0xU/LnmmZ pRm4BtPkAl1rx5sSyvzmNvcWq2D++A7rnmJYNYjdXDv6IAyrOOvTHmji0neRlGWyp0vaMh2a2tiu hmT5AtfewN9aOPW6HLtOZOg950biizoI/+p1dAwd74K7GH9b12JzU2UmjMpqA+uiwD7oFAkXDC05 i+30GIabzj+1nqYlt8JCZHtWd894OMDuU9bR1SRqnEUdNIv9XxwzuTJEJV7mQ3JRfVPXEMd6F32N dqr+6k4eUOiDddaC0mdegSE/HPvKSXPdb+pSUQbVbuUFDHdwJFC4P9Bhn80Yt9lXXYvXGuQFyhGb +Tw7/NJBd1inhUXGKRCPGX4zMSBmlL1Rfd/Xet93jVZDrUMFJvlAvTCwA9NUZifmK4KiihXPydt6 UEOHKH0RyQZyNSVbiHw/WmQnPUsl4FOr6jgzJpjXNRzEbGJMkzTM3n0RpVZzMpLh69flEkI2BXUo fJ+45FrI21npH3UWdd1Le5SkZp4jxl6jSH2W/sK3xxl//0CfxEaobn6piN8ihAiZwDN8lgZQCMBE vVvZZv20DhE2xhQYOpucrTquOAti/mU7ILqAKGDAuQWJOXo+LcrsGmTvYFcXj2LWmfqdHyab+9yK EEfvTTcIsc97xdMIZhLSFXw5jV9jHU/+sQ2w+oZ/2iJNL1ByQneOTmUJPUFShbdJYyJgnOePVYZF GQaMZuiyi01R5fD03uqzKnezVP8craQYn4KyTYwGofagMULEnDY5WVAXV+IqoTvzg3McQbQzzfst wasBv0fGWIvEycRbI9pfGBsVpSEs8vzV+4l2XFRu2VrICYucABaYhYYoHi28ZGloBhC4g9EpoK4X vlPnYtvHYYjooKbedDnk+it3It4n+g+x3xImF9bbzYV4MSjlranu+hPnrcMt5zJzZrb1FZFBAUcW VTIVWICa3xn0ESBSvH1MVJqpQwkQZv4lQhOX+WlnaLHZPZ3D70sT4l/DSBvf1WZT3cW3uez4iKRk FpJor0MQwHpjC1yDRppqHvkgum2qxPhdWqFlInfFiGPE2f1oAI2lE50SfR9IHkWBjHeBpMs+OJVF XUO/1vavc+oaXaQ9buDtXlM5LdFrnKaFwVGeFsYIvQKQsVzPvymVwtRe231vuleRagGQApaFhOfG Jtdzzea37lRty4wRJ/j3BK42EsWxg6HHMcruKQLbGsySyK+FYiRN1T4f9KgoYkhdPD+aOAoRCSyg F7V+iKeB43cTbdEI4Nd89DBqu9m6K3hW6RXBXEn9AT2ySHaJ5qVR71pk0p+szdZ40xiAMI0+dzbM WZq/VSl7dhper+sKUrg9f18+fWXQwPlglY6B2Kz6RMra0919Ai/3RAcONoTCGVdHJIzCaLhKnJYU UbwdqYftMpQ9ltdqbgvrOFsctCNOJL0tBK4d3+cTZrq7RcZnztgT8pJN88Bz7i1D1m6aEjGF0MRy /RK0E+M5CVSintRGTF3PDeVBDndexzn3qzrsf8gYrmcvL/Hah3vddcCzAjHfv1Fpqs1yRSHsNJ2O rnqxNTSC5LtnkbaVKMkMPday6g9ir4f4GOgKEyJlvY+OPWPi7pm6tN/IKrLaSXtAx6BwAF+FFZYQ qvVIayrKMt7h0E2GZJS2EOtC+BScbRRSENZqA1ltegAGoU8jqjvI7brxVX7/LbXL0qMpe1u3xgKg n6H/+St2RTDb+OS9uUkHR5kDhQGR0Oc/4I5GnpD9XjL5TE8p98OM0VNxFo9PyKWgiT85hys8Re7U 9N4i5vjlWnje5V4M3OsHyEwTsP5Ag+tannMat2hHRzt5WCu6+4VMok8K6qEG7vUDzignOJ1qjEWy LNBmWszWjqezV2qpe3qssEUghVo5/qCg4YtWn/+uPUuUINjXYJX2bM2jYfuO9nzEesAiCjaSbQnR LtpNbnb4fZUfwuz2PeMxi+ICTrK24Wk+BpqiH7Pdyu9Q0icxdU9rgBLvvwTDu3JyxZnc2WU/j+W+ G2hYFzeNZfte/L1nWe3UphZqxAlmKvnIHlkXahZQu2qo4BfjqE1n1blM9KwVwdFgXeptu2Uf3+oG +OB3/mkMehVBOKS0bLu1lml5e6kGbvwTJ84/6pxDlbq6W9F0ClZBiwf6PKStA6xw/Wf9B/l+LZmD //aluPFmWEJs3m6q7EwBydM4+tD8Ciz9d2FZFhV9y0VMjSRNTFnCbZFtriJhkhOttMioCHBFHtEk Fm+BmaAu82xtuMqwbR18MVxrB42x3NEKciT+MQCsjSioOgFFu4hFAXprnSbFQtZXHVRKgoUIDYOX UUE6kNlOuvvDzASpavFjPHBnCmURDAxruL050vt9onOtJpVFR3hPPjy+QWCtAWW0SB8Oc9RuBIp4 pW1rAYXP6uiOwkuBaPRMJzGWu3ZCykq83Slg+xGT1sT2hhLoF3XveII2Hm/u6wwLvf/39aqdsRPx 5HAkscmTg1slQbGMS05R/a1H11uQcYFxMxUBEvyAMD3oRqLBzC0q5H2VPydkXuQ3uuWcqQk61VnY L41lXr2dp+3LXsgRIH7GDj737XgzPrj9vYEoR2UtsPMPUCvv31W7GOvSfzPX5ouiWTyWlfs0eUZA p0IiiHaQLCdXhKBq3r9oDXiiD0a71dAUiQbymgXrMoGCJ+1ECmfZm3DrOqsO8B/32aVZPQ20+f1F jJBhbKEoQOXlXZUg6CiV8yeA0EWRTyBu1MyYWQxh5F77bwcWnlQ28FgLjcLWUyA75K+7c4ubGsp/ I4lguR/a7yJX50+0lqw/qq1JQ8IPERapGmaUSBlhmRFktgjLTUkxpaZdvW6SynV5WdgL1Vj6sAJH vayX4lDaQEegQZ9Mc674qJsoV5V2IZhf1N5KtpU3XdWDFR2BJavRSCpcHVYDLlc77aJbxnH9759q 5ejqLTQoRwZ2TqgPwArBl3bQg8BJe3aH5qT6HVYfuZRyg1IX+Ff+yW4I9v5vm7LU9D3ZqCdE48ZQ sQxTaKO8ylZm35gfHZsELiLQSMSxXmNYprObpWemVUf9LIfUuES2A0oqR0TdkTbQDzPdoNvDzOkD 5ZVegPT7qF5tgp6pWhWWe4GJvAJaS+Y2IDOhSVu9oU748zwdSr9cAVkuCr9RmQt7UPAgXWL2hRL0 drXJtHmURhgtixArHlPkTlSgrNv66Kp8EJmXF/+8gMYmdtx9lVZpvxfWbNBQdSIN06oReD/p9CDP FUnyWGW2vuyp/Ysch0yn1beUsRVySEUKEhTAWhrfGnObK3A0HuxXefEvsKuAqP310NMtxjg/Qz1h tnmZAHY2EXtCZVblKKu/jOY9xxY6sAk7jjR83vwDtgbgONVgpKnnGqN99p0UR5aN+vp83ceRb5Y0 oSlsIw/RI1xQHmc3cxzOXlmVCdAm9se6d4jn/sh6FdhsqvfHbfuE6l6j0mSR1CX957Fpysw52hmj PZuQTMHeDEWQmBsJxXsrELCCLC2Ydi0rXjKu6pKZbt+99AvCMyld07O5WuX2B3y/onYFzI1v3kb+ xDVuLLmveea2KI8ZE+azsIsiXyR3LS+zk6VGtqJfjIFei5Fx6sFivAUX/OQHngP454qTP7sOfaj5 LYEoEXagVsH3GNR4046f8+Ui8oqEeKpcBHq+vA6hFav3e/0yZloOeGlCVpldhI907VHQrBQ2bZ+q B7jT2wHmfGK2LJJWtbt2Fop8lmQZcUWWjWN+zYY+97mk86xNGa9BNZZ3EsD2V6EeoJ7He6bPC1iK QaPYp/etJ3LGwCFhqjythPhAE5JbpgCTtPYmcRE+JHruZ/0aDirnYccsr+sXtk9q9e5bQsKixiI7 +y9dPUyn0COZkEj5Ffzub7tQYhVSc/eby1BrgLO8Fy3HpGYpV2moS1e2qFhedn6vCUbhxD4ODhMC Gj1620P4GMcfj3PxV7u178NN8lkvLYPiTtexz6Uh9PTOlfoH0fG9XZ2IcPCa74aoUDV+4abAhAN7 3NGMPLR8xuDZcy6pXlrPBsYFz6ZatfqXh+JcCIy+pH1O2yZMYvcdgbnXlR72MPm+ns2nsAoEsTds TRqbMYpja0YRPfSxaSrxq23dOpPvN+mY3wnTDSeL24NLMRx6KTODLZtlx5XfG4Yeeyq83nCG/rRW bNuucHZ1R/4JPKpbcBlT6fcmeOghQCh5bkKmdfTFcrj96IWuZJ35p2y04rqJqVH0Nb6H+Yky1FSY xKiPufms35b+AxbdCZpA0rfS34V36CeLzgoMUbFcHCM0z/gEh/rn73JtmX0kvkaKVUIMSTgvOpN6 HOvFT7Mdqg4jLMVSrd91rexhPUGh6ISQsq52TafmL/frlrL9Q8Sjcmcy+g0YTXMeJPuphmpkCHrr d4yUHTZUY0lcjl+bX1AaxOUMQmaQZXYDDk0YpPr66WtwiyeNnt9W0QD7ZC4smseRMQzesDPLThkp X7ex8yNlN8+uQOYawN0fzfQa94kTOjPD4aFONcCQ20xpACRaZJm8/LbAJlFMdQWseK03KBREjSlH 5QNSSvRayi6UpEG1zPCobf03e72OKKnA7qntcQAy3mGBQUZaiHsEChwjhLx2OJYh3e1M+gILPYVe 7xa6qA0yoxvYiN6SCC+PjkYjBGE5EMoh/RmFpkRm/1v52y/lPso82vAxFTQyeA7ydQONgOqhsEf0 RjwdGp81VFHVD2iyts9BbGZSS0k/RHjBiRk8NAg+wRvcU3pqueSzVL+A5SIpLWIWNke77ji4QAoC vdIK1yDZ0Osl70YnzmFNEfs8pq4CNok58+CxhnAZm0C+QEiL9PVOsmcyEC7oc57u8rd781UVQZvI eg7XI6TgQKGKjGjsPiP3G2MQdypfyHgXP5cgFH1l2etrUv3GsENVe41q4wW76ykV6h3TxMCPgsLY sFtmtF+Yba/PSF3Hy+ylmQs+6E+SM5sbLW9h8qZhOJ7nCiSRTWHt0BMFZ0uAKDpLKdRDlP74nAwV Gozdijw/KYsuFSiDOJXB/hALj5f2yDDu3mrpEJrbntnavboZtjiDuazRCouqyAdHA8zI08udNJsc Pn6gz2Tplj+EHkd+/y1oAidvw1d7TnWEOMNYb/OJ3oeOqFqZS9dIabQlWYPjQGVdf22rOvzJuiRX otDcRtQeiJscaVbr/eVKVz+supUxQZDfa4gdI8N3H7+Jp8ehXIiwXyMcJSmOah+EcNQZhqtlNh/Q Hp3z3uonjdRZDx7xevstAGoroH+mpzUUae9Gv2em7Jc8xdLdQthqNuVts8v0u3ro1ONLsbOrDIXq qBUUhhLT2+yHGZlFJtEfoo9zTH4LEqJX5iJDAdUTflSTvlkSqOkl0f7UvJrWrTKICgeJRO6rHZTS m36dPYkHGeG4Q0D8QmpfEsPMxqZ1rllqxR7v5qrcJ05GUma0bXBav1CmQgG3aVBkCCbGXSLOAoau YLUPRk8Yhg8csAo62WX6gfW7JrlM26snhluxgy2u4bgaHx4zmMRG9qqYnQJfAMU8EyUMnH+JUMkz /swScaOWmhDXfG6ef2C4Jyx0+Pqb/y5M4IvvNh20XRITcD5KOSXo1dHPjZLyQC94inR5rn0xeSWI GmtIR3mlYC5qnS44lp53R0aEUHyGpLgDPE+T8RghbuKaFsRQTIngZeZDYtS5qKxZCMYAHWqij87Z AILMX5/isy6mEHWkXyqKtIwmtFS+aYX4J1m+3tZkGqEy43kqC5oWsqovU7J4ULQqZpW+9Z0xf0Ve sKItyb+EyyufCrH9eh5XMtPL13rNb2fd1mEbKzfBr6mmUdqwmHaFvPrkX+Rs8KmEgTfU9AdzYQ73 f8uhIxI86X+u4R+nInCYVjO3QJkQEnaBgTiRP781EIPqlYHB7Hf2uf55XTJfXFyKgK8gNOjIRmkP /tAk9C2vQ9cj2PH2TbR4z/2cCQEckSUkvhoD1s5GpHXJ3V37REACXZOwH/+VpIouyrEKgly0Z2L8 yqVP96i5dKOAsVEKU5VZI6/lS3NagUeXA4XMOMVwEVqUiTZb3nctbC4zXsIGWFhbFATpQXG/n8wm 01xH0cS6N07hFMOPn5B0+OYQvRvtsWz4eSZF7Ko7OuoYVvfHcH+iWk5oNf4PosAq4qV85/WYy6Ia dyi9qNhxCnpkxg4GIItgv7mcmOSAOwqChpUZRRtR5gKoXCeA1crJh3F5u2lXB4XMKaS2Z5qFbGR+ 3AdjoiA5c2zQB+9nXjRYpPK2GEPqRP4+G3m+/5KZy2yjVtzJY6BuhCsbhnc/UmkEAUzRH/4xxPgv MXps9fq9bYk9oqsZ8wiTMlocWO0fTj6egzjZhx1DDMk5JukwEno8ATDKhtirUF5fFBSJvPejEAjg 5tM8+2cbJnxJcJX1relbZtOl9wZmtHxXMlPTZGVHlv5nzvzFW2WPH5FDXvA9/DjTNdM/1kAdZBch SnsbESZnpECTCm70587qqbmUSw/z/u/Wl/PYoNAOKWucDyXpkzZGX6Xp8Az5Emn753AJmeFh39TS qOd1GHtsbadC7sIXYhpMqzpvmMTmDlrmgiKXwMt8P/ict2e44hPOW6guBXiqG+LEf1FjHjudRk9P dDFSY/07zlH2X/iUUBezZS+i/ajSYp/6VyQ8jmevA7sGlILhGdN7NWU0fl5XEM8sKSorGMrtN/D6 jsT2b2zCUEEOd2SKARIg0y1GmPQKZSadKuUM+rGOl+qqORQe5bn9FOW8AHbTMn335pcxd0O8/xjI gRSlHE3JBuGf493Nwg1yiRmVp/GFI2d6j4zNt6dkML+vyLeCIKeOrjQ4E1ZJsbACkF3571gl6oDt ige6ZTMOl8iwyp4kVWdEWFke1vVpX7ABfCE6JDe84TcAtdwLNz3eAyDtUQp4e6av6EDADlNs5s99 YhAo4npO2uNJ49jOuzOMvwJlE/Sz0td8ZtL8LFrOyMp0bnbcO7GsQSdFChIAxootagVrLqSkcPuy /+gAL819shM7XhCW+Ix1r6XHbGYps5euZIenLYV1FfyS5VIexlogven9I6GT6bTmBJdVxtXsKEVo WZs8cxE4agQOkL8a+d5fUWs+rOdc0xD2t2uB93MPBq+0tnTk4IToN4CpndClQ53wLu/pRv8jSxW4 4x8Up1JfY2j73PKtyC7P3OWn1nWKdJbEx3+V9ogBs5+rbiJpSL5ekPiab7ayy0QsMx4jZ4Yb3KMh HCh9xSwQ5BXKe5vnAa/+iDy7FAJsgDkt6a4YyI2BvVAp7gscPm4wp9g/6ilSkkkgDd0a+vs1QrPZ CARbx1fD0YVMWK48F/65grGL5Wo+691kQG+rZan1rcLer+LJeWaqB6L+eB1KDRzVn+92ySGhDFdQ /0N1e8dAQztdV3RxzlBGyWUeaR9ALcQCu8tK8JHACCkJ3gBCzlFVpFdUwznp/UsNS4C5tw3haJjZ JKSa/0ZnY75JWarP+wC0wBJ2xUvaGFRCB1PeiFJiSkSvLkd5lmMno3D6dN+YZrIcu4nD2t7u5BGE QaeFa0Jigzpw7yELzPJPQ/sf9UPGe/F5mnVC0VWnim4wv3EAS6azWNi2NV3UHewZdWMm+ssN/9ze nexA2TneNywT37Xs0/iCb/IjsTWbPb/+uW1KgkyxvOaLNmU0iYaa0MJts+ka0cZCnoykPi2Sl4Er yons1lGtLk6yPljJAX/B1Y3e5ANBnadsnoCuw7nVgvgks/dJdhTvUxVlanf+tQemn/bh4wvdEU08 rvgXOTJTR+fTbeE6f5BtqRRZgMDcNfeF4OSavpOf4C/JOCE9Q4wZnH7Q2z11p6hJb0UTAdka5YD8 27jmTQWXD8GT8kDHgBrOG9s3Ne9CH6mEC+OygXS142AWXjZqmdm1gQ/YNpEaUwNY6UGXzJpBOmeF pWFAA7uW01OIUe37XHI4pfIJZ0gq5/uGGth5aAr7ByxK1p57KNuEiGxPum2ACK+azlY8D+2nF+vJ Wc+wuQGvKBu7+hUnydzfnpKkK/zw2K3diSsB31mzqmYGA1JR5cuoGp4CSB3lvk7MQlHDrIMJpC6F QRcbu1h3G88dAl2HRZDada1TFgl3zFV8iCmkB06jNoH2yArudhYT5yNicE2FCElA+rtMsTW7Qm3/ BEkmPWd4Ed7chVHOmhFy12VIFSfUbYrwtXQzPpugq2J0AZkrmqmPPQ6+J/mqh5y+AHCzO2/4+KDI lRsfCAo+9DX4FZaCCcJ+qMWQGO+USOF2VcIz5qVjQ7eznYMdihtpl8lc7z9QFkQJeOPO7/iC1Cny aL8nYjqSIq2GiLh59nyfyE1zV5yX/PFKuGQ/32ZXCP9FtMHn4Bcz/T70EYPgYwS+t0UcBJc2vXsr wR7vKrc3J6wlh9jMZy5XNMcPrbsMo7Dn0V2KJAjWS3Z2+OjeUy8aCmKgocfOXKBqJeUpzm8tXUQ8 wtkNov33WHjZgRQ7tNPINlI71R0FZMYNF5kp5wP8w8JyE0UWUC94fW8YqtrN8RqeDdZQFSr0NKPN JvQ8WYtu5Q93S8UWVpwsBvY/TTZyoI3Bnr3fLax68m5GVS+UY2tgNTbd9k2mDj0fl+l/Fm3J2Ew8 yO+NwY813b5iWnMbgNshasiYmPhl5kYiZp6NS3KEoNmBknRlw4EJFAZqCwz+1i7V2XHjGZ4Ia5sl ISxSWgQV79AAzeoPg967AY4S5gNSjLfV0OvazB0h9UCJSsl9h1X/M5uyKwmQdWuSAahgwcU2pHhn XhOVtAFQYcCmTVEc2AmlCdyEiEjw6pjap/Gol9lB08m5nQJyx1+y0aKrsCu43fwfcHnaEa5LhC8J ea7kqZcRCx0S3njd7XM0/s8oCATJuPX7uHgvuoMxV5VdkLH2YfOoIBxzf4l1EgkkrGWP4ogEThdm grP4gZxa3H30wT1m4XjMa7FWH9AsiGi03E/fvCj70bvh07bFygWgZxLulHxBhtMnOWBz58Bvp6dT nUy7Fb3HobG+SHpbj/brsWnoazcVX9y4kMwt7Cpm9Z5X4lXjXi0+djnKLm3WJbUeaNtK94XDF3Lo i0iygWsJzXH55SquRhe3GG+Oe+ZfJYzEnYRs4dtjL0Td79oMTStA8n/Nyoh9wYvNla7U2njpDy+X sBU0gOE2dBhxqlL5ZX0rvmeNvtZ9o4YBFfluyMu9GdxM4pXfGejLVDtHp9AYN/PkCE5NTQ5xE5VA CchmTeXL57CUMsykgvyoLoNT2aWa7e1bnD2coHYrdtJK2q4gkA16DCvNgzK54WuQ0fMgDrHgbSLO hgPuyV5NvFhN+59vk9JcRSGquB5+OE5/iKFrBKqCO0XDhBBbR8MaiSvCepD1CzYftuHeg0kMt7SV xrbFqz/XBZ0kZeatnn4EGW2teRMdmYQpD+4H7QFS/KidLUpRNkxsmNcB9Ftch9Zuemj+1c4nDc57 syZCc4/JFYqX/us0JgPWSVbnrY6ZDS5NknjSEQ7TSvim9BXKvfZMxxhDQY98N4pmQZJOlIcaOQQW 6KYYw+MKDCq705P4NQLT0nfK4ZoDs5ujD0bbjkU4i8rMkJNzWXy8beyKQWcIkGGB0HxlhHJ3eqdl ANaJmfH+LLYWy6/BmQPdD8+7hpXw4SXsh6T/KTs+soHUR0U7DDCwcT6s1N25+VPCt4rKjxO5/DTE 6N2uJvT7B9nzBpGdtjdAK2+xU73pldjr1nzEwhiOqHXyXl+HMdlrvZRj4dJ6E5LwXcDKUPTq14xC Mw7qkLdhZVeW2RhBYnpCYOenThONu+VVCYDodgildT5I4pyFLxPVhKj0ppcofmO54Ic2Xa1uJOZk HWVH0tzkFESX+4WUignMnQoRFaZ1TEHOjnhLHwnQNAyQ7NCxIPcmnougjEt79e7RwO2e/C/+mftq Mod8rtTn6R456nhRLQ8AqTdpXB2vnF4V6EWklbTGLG+oSDLPxml8mvP5Nv8G9nG5+VjeabcdagIF 30hBf1fwYiDJEhg39G2eRVs/2MLkLHZrQeoaM49yQipJJPCHM2igBGSXRsWHxRqRh2GLJj5DfkSa XqFEble5x/hn5c7qotP8CSSnItLtEcuNgj/eu6HQmTh6SX0KGnRk8G0sdS9tSHcrbT03AHE6Ts0O 7oIqeauDwbtpcES+doiY9S++UBGmQ65WdBdURtsAtQcg7F20ZXuUWFlPOBg8ugtBmPm5idPlfVSe T+tfrcYBgoTqXECl4sI2D31zRQlpu/T7PIfdS9DdAlGOpPwm/I4m3j/cXt+ensbAZlDFRC/CtS6Z WUSMLPEqWoG50li1/hVMNAwPbw2RA0DlCVQC9mIKH3tziXGJeWSDPMh3icr0a490bEX/GBc9syHN HFRqH+HYJ+2DGKUiQyNf1lfvRGwZcwN5EHgQlmNstA42ew2Fz2H8/6NJQhNkCDLxtuU8/saXzjb6 7movykSqqTHalnHxjAROqwcL/K/s0iPmgGXCwir5K/AXLaqeG9/N87vbXUdmhzjQojKoaYgjuEqk nrzaVoV/l9Cke1gv1QGeeUTWTFR2pBjBAS2SI5yLrLXJbcX71m6Kk3fttG/jTtLU1vMekFQnNeuF Aegap7NFCTz1Kd/G5iJ/z8/HowCSCrFHUpZbLBWxo2fv/pXApB+XxjZLVJh+LrkmHsb3CdGRMflL hKKijlzCS1jlPn4OrYD0f3Vk++QpNc1HS3kObwOGGRBXo5a2YBeFxot8OUwCP9OumxQJNMx3mE+h JX/lY6oAwAaSUI6NnkADi5CALauVn7hBqc/SkG53MEl/8JUq7mFHWHlxvlBftn3NdhRBwWM5tw8H vNpxl9VbxFZBd6/ts6AqdS0uBmjeTXnh0YPouYwV5lqr8xD3xY8IO0QHBMrgN02I5v0UtM4Dcgby +F6ApdNQ06DATgj/sNseRA0+5ggam1Skf9U5GYlEEqhH71h+DWMVmC/1A9IyyUhUp5SquGb1j8lD siupR1SVfrOtUIl4/qsp57oIOchmYxTpkdLX3XRmtlTwokgQU3ICawqrXYqTz9PxvnXSFP8K/zHi T/Df5vGkTCVxiRv3ONNCdxV/p/Bfb1OH8cEHRgc88X07BpPYUx3MbD6TiCRiIsEDXvvilwQsth+e etFBKs+8d6MQngOlpZTcpkbHktLYfuK5SPqwgxZFRajUB/usz39UuyjN2ETVC0XQ1EFAbTeTnHjz gqkA2sPZjckR/thF2MwyRhd+fvnkOLQ5ECOFJIueyANm9+rki0O/K14CV+74oLWFq2JiEoHnusqV chdtwi3Hu+0/vYkj+lskdEaKDqTZZyrbrNKbTm0Zo1B0lIyXPsK98WS36+U4IB+pocIR5rzWwsz8 k5OzvU5Ps6vD7VNvId7iw+AxuK8Oxi7MsmQlS6pdmLV9YB20SLtJBI3hlKBv/Se/Q5TGBppIu4ax u/Ay3vByJfPpJ+FyluREjE6/xwWu2nH8t4JSjuqfFDlrBaoyLwSy+Z167ks7INKTfIn20N4P2X4d 4qnZySws/a0Bm1CkuT8fGpjBcsBxLvCFAFu2sPwThHU4MnRlP3PubW5qYaQljlxU4wUXRHx2mSbX 8G35eX9GFsRkd+K9cLM1GG9Vj8rxxblfGOGGWX2xWpgZ+4NR4IxPGlh2uuk1qzpefdRpcr4gbooz +WcHvbC31w1QjMwgsju5qbEZwiP9kVX9NUkjzEwHI48MO124qv1CbzcEtUx0GE3LWzt3upAW//wB zoy5El4JlzC1tRBFxAiiYQY+ScILkvAXTmPllh3NGF+pjxt7LdaS6OPBkD2hj/kaMzLbfMWTjW6y RxVKQ1ybOy6WhtKMuUbPISfCDIqQlZubFlsaLjkleOgRX9nKOm3jadDtL5qKat+paaxQiuVdoO4z 3+exRjJEwiVvS4fd8XbNa/SRWSePqdth+5tkltEioGfd+g40a52oV7elUPlhmiqjwPdHC0ljw5Wf Y+bZiyM4Kmu9b06SmyhAwAFMFdBMe2VdCtn3m2QS++Nlml2YMfM8B9xSqeIePs4sX9VZhFg7yKrt ejpAGNUBZLRT3v1UlnCzV/XocuCFyOBtBCRYHAFBcwg4rhUomeHDVyGyn8Iz2qoH3lv9xcUMfWNk ZiwyWNv0HPSvmbboJ5+umGTjKJMaQ2xInLSpHiwxaLzqs5OV5r0ODk86v/yxC4bud6Z6+jc7YCdq Mgocqe5apOWyRVcHO6jHh+d2V/sxRRI9xfuLBeGw++kAwSr1Z27/XdMpR4bkvZFFqPr6mgiO+wj6 0LWmY0W1hNBUPRIubwE3m6sUDX7LbLXMO+ISG/MC5jr60MNx5ZS6KUbOb8C/R4q0ZJmCfhMCvgPn S3QFUIjAqIg3J/H3utntWmIDilOfLmrt6DHC2i81NdDySRxP83xIPxSUHkKcHWhHvVCtyB4cj0Fb TebwVoVZPT1gvG1EOWlfPi4uwgZFefiA5hnwqDo++Gvwndv1MprHfr4+8HGyJPWUBU1JqSdAY5MU 2D5KjdBeFg+FtBIFt7qe1hQkkvGqB6vJJZ8+h1RJctPdDBvyglghZBjKwCL8w+CgypUZT2C77eKM JT7o31Hrq3AG5DfRFcmXtZyWGjHljkYJqw3T3xTLT8fbI9v5Sct3Kioz+wa1yGe1Lj9hUo4eUkrj Hz7j0gAB8CZZhKu3XYhxbkyARZrpEAblJAuYx1jFNhd47WJEEKM8HYV+z5OQ/YBZ4EVNllkiC8ed hHuePXY634A/h/wpChVGZFARGJdHjWntwPuhJ/+us0TK5/2pMOwgADeCpPaYbs/oIUJfIv3H5t0x sj/xR4ay8Lck89OXprxyS61MlW0J69bIThAOYotOe8RElVZOzF7poEL6xzDgFM6e82rLuhy+9dah 8h82UCUwmDInVoLC7T+vV+RbrUh9vqQ+Ho0EKke1jFftXhO9RFAqc0p7M8FVqnyH6bdp1YweHAb7 N8FB6STCLXwzHTrBQNpaL/qQS2pMMCKXB1pr1cLo3s/LVaW1yJoz6IU+ZYpBUMZfVoBpcmDhD+7I jOyr3YGOOThDvzjusqyigebmhmfczsXBHOqkdA0s+VrqRnYRgB7CPg03bUFhnn8uKHcCjZLExsGy GPEZjywFmIeox7sMRpxCPFpZVTJPJjgO8O/CXgDIwMZn0Vd+Lc3tdS2F4QTLYSpAq9g3A2FxrZA+ rAG2yBN16AbQ290jI1GNimVp3Byl6VcZMpvcIy++mQ7H3WWrXNj30mpnvsmL4R2awCyjXQOL1I4R h5zU0BYOmgI2DHF+JPsgXfzHSrIzlnXf8dSLvPmkFlUfGIm+s+kahfNM5hIaN4JNeetgaj800ENW p7wO+iF/oPbf7pWjQSZDvF2vC4xT/7ND3uu/DGRN490sHq+wv2PaxxhH9s5mH3nq7uQazAcz5asN d9DcHdUDZhkhkM4wADZiluVBmsRbxVWGXPENgnMSiqq1/DTcjypKRi0xibuQcG+NPkoqNe6e64h/ wOv8iDI25Askg1hEqlO4lvvGVy+7HbEP4vNEpodO27tIsAT001ypguGwAzi69dIGbzZNsKMVAYY5 cRFt8d2SWWoNBjSlSmfigxTHFWEKyhusJoFrMZGlTh1FkOoB0z/SGCQuB//AR2+nAYSAEDu4Rj4+ XEWX0UznkSr3w63aZM7ubjj+4oghdXyix4maPlc3/wGO8CIE/rFoFfShwA7RqxFxQbiCE9EIQz5v qVeB8SJJBRHZyZrTvhHQQFCASBCbXj6g/WTKi/IFTcMjHPgO1V1NhEExkxZQExQ++a0JnPNPl7Qs ZnN6epxvM7vkbO1R84bfQlJ4/6pl0U8UhJiNkoamSf5e01BCUtsW1gtVXOim3JOVr+ewtXv60Z9O 2abYtkD/RuIU0aCiKP79UuxLSMIZveviuRFXrEuo8d96/sKZI6SdrCSGHWtM/2s7E07OQ6Q6LXbZ rAhiBAUVedY1RZWACyNwcL/uz6rTAa7z3UAAsN47VaZd/RMfnCd4QP59BrbS7xxpMz4943yfZJnE NW/DKm9euZ5fZOmTCmjIFrafZFceFMk/DUe1FyQ565NjqZALrr69MLGuswijMEccP20EpuPbBEXC 4DSYYEfuEFYtkSnXBQl2Nu8cxcDxK72mJvNeE4wx/CQd9O+uAGOqhnooq9/Oeofwqh/4tG+cc/Jo OF/hoK+czFk7CAVHx/aplAH0/DKNNYbI5v3r8NuRTP6uknR4N1q5DoD+Wgq7ezSdEkTlpssQUW32 9EBoXw2jJZl+mEpAcJKZ7vWWM+RKNnvt+5HaajUZ7lc8g8KXF978HkowlSGJnMK+cM+IZl2sJ4sY IIaNug7YDY2FoGAAyqFI2Mz4IKdupUgvmmKeMUZUr8bkbn9cFrXMK8gmiljW0CNmXFXvU7vGqDIe ZHnq194s+34xyYIC69MXYhLOkuMv3/J7vK19wrwCeZCp76cRHm2mTbuCHm/So9//sG9iKpr3foMI PFob6Rshl+E/eemjfzgKHLxnBMdhDXKH3Gtczv65tACZy5rulo4WSPDbhV6V3oIKcVelnlhh7taT yU1JkK5jecs/MqvxoslS1CsNXKBT6EW0uddThsijCXJX68GIq9nTRHQXDntSNr3jCNZYcXx9/2/U glAFGcDsEvOQrkMaMnz05oifIS+U8OJZqYjyfa6vEZSIevzXN7HtopzYl74zNHrZIh1AgRyf5LoY Qrx/yYXMyogtaj7IkjEswc5AMmlLHiVFnRyXu4NqXCuYO+mxLU6S7K6lM273VskVHDQESNWDqb8b uUk3ZDRI/5sgEAGU9hr6LF/C6my06zlT/xILgqaGK/b2HFLXSPsCv7+YC125BsDr77sgdHm4UBnp LemO4AdzUPgTonxYcLKhurUD1rTazhPc6192EtthI3CUHReq7oDnhA9iNT0ziB28vSeOkaBDFLs3 jyUcvaWpUwiPiQ4KCLmz605NJg43DRPi1jDqAlOadUZdLdcF8vOWmyVoza1EToPQ4G3KhVp2FNW3 arvhYByaVnldUCd1UbWUuA7Dind5X3krQrabfx1Lcqqrhb5MZJxhQRJCyK1cVnvg/K9mnnC1xAv/ dghNx3PR6YBkU12R4ff6rh6pdQ1kBCoEH5e0ZEUVQIwuNG8rWa4rrGazvlTa1B7QMNIWzmZb+zEb ED+0myrPKY+Eol6hgAyUUeYvms46FOjGyld3iuRwEwCl5B90UlUawf1gmtuqSd/Q0ZHHcQKw0kag c2XQFyf5SNbgleKy/qdJOKs+YnclGY8ohUyEVq6jbvAWa4V9eKPaiGlMvLAtG5Rg9MPCkutG5HOL 02OCeHSsq8tL+1pkRzkNeVDWb29lkYWMDYpcZdHOiL9ij7DZoB8shp1z7S75yrmWLVDYChLCm9Xx qq/sHkuQ0Xn4Ef9SYEbO3krp0F/tT5uSoELKwoFPx6Eymx3PG4h9HGIL+Og2JUyqFAj9ic553cV7 P4R8y74aXDgvLGa6XcpxV+1A1GSwIw2fh2qEpRWhS2UUnejdVvC4ofwJpbtC0nyhlKMu0YpH330O LTWN9XNdDJUb3T0Y9hOIK625tN8+14kG4I/FHyeH03SQrxewk6qDhuBBXq0IvfAdhrgHOfzteedR RbhR4Sh9wmP59DJ3hpsZGicWEmCIlOxuK1DkM8mBULU6vHgI/C4pXJIx7gWOjYiLCa/aL4K9OvqI Rc1ZmHl8dnIK4wLACXo4Vy1VirVyZkNkrqfKbrjkeiEk2nbD3XIVNFGD9te4XHghLF/isjW8OlQB kd7V7wyUltJWmckxD2qucJUmIFyPfV4qo7Liag1oiT8xX36+Ac9jEi7cS8eDzCm8m99371LJTKEH H4aAYnZbNwHLqg8oZ3DvCVstZRTpwCifqttB0wdqVzNZ6BMPg57wcKpcTsbGZINxgaTIviHe16r+ GDRFJMPXSW6kRxKoPmvN0ps0rv+3rT/abmoiL4wsH9NOla6vkBsXcQNEiA6LixvR410nFEQn2WQM mLdwovFtgmBDAH45mXsLYJKHoJx+CG00aihO2HjrpVHLU0Sotjq4A6rFF+moSOraB5KFgyI6g0Ew ITxj0XN5Al+uKNj7PbJXJkISfMTl6CL6Mhx6OwS/lLNrmJdkHwTaRs7ZgHHl0OosJQlq4e9HdyX6 gqB1mRKb6N3C8E6HuGGzrI4BFcV1YNNm/AuJQagbY25mbvQUA8ayEotUN7qfaDnOVSftq6Q+f2bd odoAjA3riVXXZWunk9vtsaN+EEapmudfrzybEynH9HRsesQIqLvaq21j9rsU5PYFtErxo+7kCZw9 wJMHKk5aUj3KBZjguUh3jFc/qHSOePqdoOgP8okJedtt1nlCsU5sVAFFKW3jhAbPFfxPracbKepE R1lsL6W+SkHXmidRSTLywT/jHEQEue342ARZICOcqzL17Rs3D9l2Y5B7th0z0MjbtmKibh1pymDw Bx86oX4lxDrptEuTlm7bpT2XeE0Tw3/rMOAxfWejWCekUGIP7g85h0+jtBFhci047a2IHGLymmZg Qs5/C5trPavLiXfsQFzbAbe4wcYwKdwhhl7xB8A2khnRqiAu4jbHZSiWB8JYS/q3fNrK5aEebmNH BYegpOqHPmOqSLW2ULHw2ctXyqWqsjARSzoknxnvvv0SghPpJu+bm+vXKnoRB7vwkBHbJPuJJMOT GswhhCg7OKekAgOGzoCG5RZ2EeE/g1kWv7hdpC6r7apmzHBweL6rBCrcfnTEFxxNmpCwYXuehdVz 92TnhU3bvP/9gkli+gvbyjGkDwUOVRP/niqs0+erwy9rlmKFq2Ubbsa0nf3k6CzcQknVS5ih5b6G uI3FVQpStn0IEertEq2aqQ1YvzLrQ2c7IEjE9V8A8+sljjMq29XGopj1uYwJUj3kcyt0ULbKM3My vEdYK3f5SOosynolPRgLyxrYqj7RZIel0a6EF2/BzRIplbG9JGn6JQ3rSgAh5xBFp6RVwbJvEwCv 5CKo03yCpUrbA5Z7YRZUj59bQ1ZZFybAEMtIJOhm+63q/oRUw6J3RVw8q6Ugyav2xD25sTAANjML 6ArliXWMPN6g/YlkwpIZUAqVAl4oeklzfwXSAWW07HilGAIUoxUX6p5HuTD1H26eSQPJWy0v17zK 7hwiGp1TZniSgAYpq0mNbkIwbvf3GIBkviHwvQTxRmd1p1p4IHaYaqM53E1XZiShne8LIN+05PmS N4nIquuDf5X88sSqF52ggPCtfAp1vHOfIratZtlsLL8IqkG5bNk3MSz1PslHkNioq/3o4ml+aBmH h+Etml1JR1j6N7p20zAjR6tJ+/3I4amIEluQrFS0NZn5m+4Ycz60TteSFu6QspW/uVRA5s1s9USK M5uFRx0Sfxvccntz1OoSCib1yeqrUlzB/MPSDaCR4ISk73Suvcd1/jlXbVNErWnUDAU1s4raVHNe 89CO89zoU+K0+Q79oMgN2zFnnsuTlhiV1UUKrYQqZUPmTvPgC0UgtPuN7U0xNMPUEehpaJJgwfFk /gJ1fmNyJwjD3HH/66fZl4QTnu6VTtMims9nlvPEOg4zvQJeDW3KKPRpZxporp5kBjSR+1xVrLMJ JqDCNQUjmVRgP7IAMTdOxod5IUIToLsMMXZ0xTMt1MjTDyT6FHk1Dmp/dpo7KlSgbUv1MtHRZ3Pk fbTLI4Azi8rRvx8eoYiUvlejpq15vCZ8C4iL+c6+Ih/SCFI3RZKOk0kxv+av88P7g11vTtl/J5CH 2wc1unbb3CKNcVV4YMWZM0GQNlTyMRLIyCOPf9fQcizgE8RPEdBHj2hEsSIQuvbsAORuDuV3BeLH V7H3Qh3PH28mpiAnj4pFgK5Uo1RyahKNmZfAAkqg7DX77UQKfUbXQ3WM5mXyo0i9bdFdBdU9sWvU 3NCKc9tz7ckkUZtemRm3OUgP/Zd/a4xuqVMT41Zr3vsDnlwMR+lgmp+18BSaoEsnzbx8XiJR5yKC kdMFFi/8JmnezUjOZGGqevPC0cR98J93xL93aPZ8N56/Kt5WPwVj8U5nuCrR2tnjvC+Z7WyrloG8 QUvHRuK4IHGd0evShX4mMgFuBVypDbTZ7ZIRtPDYUpqIiagVdllIfqUOw+3txFoL+rMRf9De2eF5 7Xgg6AQcMwqtSBChqWMVAx4V9T04giBqiSGDZOp43WdDvl4qBeQK5tXwK8Jr2lOIUKsUTyIRaaH/ qrOrmg2nHHEDU8T+E6rE8WaX+4MnCjXwhlMYVr7Elf95Gvx4SXvlXIGLbbEIovW0+pHfnlYZ57UC EH8pdAtKKMNira5ppbyZwVkP+rpIxIDEmCEe19KnyqEAHZyk7IB0v1jufHlUxLtcyx6M8W80B4z0 Pln30Zb2pDnQ2+Yt2pLK1LRy2KNXAKyh/LXU1BTviI/WU1CtGYrLtPypqURPPt1TDZUZF3ZDtNWR rin8I822YfWQKz+ep2W1ztQu4iu37GKneNN40GEmswHRwZlwD8waQB34CchuZRGl3ZFNavw2S1jk ghdtbKDPFC69JBSRV9aci6reS11oznIzETgAEKqqysmjzVrqiPrtMvwTsDCkzvh2uLF6ZajVLmAc kvV80WGO/uyKnA5VZS47NCPcsfbNQlQeEQ2uP7f9+MIT/4WP0YN2krRtbiJiXc5TYVLCJtCl1eUx N6Uj9dEpncuLJjTciSsmKQeyvfia/d5rnv94CRf7yp3lNTLfBOjmvPhELVmNwFz4GXgqhQJJ/dBh MWL9W+AqpdcLEgIGmnowVAxZf2K4IIcDtF/ASKcN8kzeOrNk6Niv3XF/4B0aiP/auibkCeXtIAKX BoFaToFB8P7XVpsYmMCvOsDNNea9YpvbWuEYr+CFePhDm+W9GommIdB5f22fSgS5m7svJZ4fX6k1 IN9YbKDYuyihYlDkGC656+m0LfS8QOKqxVFayxdZst3kqP3dMkHPDar3cbkCLoqOS2qG8YTIrnry lSJGv9HcXol79IFpd3zij8Ndxmrn2uHL+faru5hWld+g7Si4sxC3YuYq76dfTvmD3rA76TbUkQCI ERmtb+Fw3SJr2wiHcFqVykZBNOLE8EXTozV2wUsbSXgVj5J8HFPc4nlf1f2TGEGypdGKvYnPS5OO gIH9XnB0hDLOtBQL7YDy/M/JNnS/4MvtFFBGTeDSbm8M4ayZhCzw18kLWqzbUlK/btNtJ2jkP7+t 2aYG15xksTFQVZssSn/0S++S15ZDfi4mb8gaQtoRIhXJQWK7d1Z+HPH1uIpBaQ2CuAFxx4hWOQgc o8/i/bJHFvzbaZW5XCw1E0GDZiXOpq+F+paGpBt85CrcrXMB+zWnlIBbFJS0JCUVrAjOu+ZOUZI4 dybgUvF3hqyCOUCXd4ZZcMUIk6VBYRqdFdTkaPyq3hhp0i+1BYVAtyGLAk9iAmusV6LJRshJoEmm lp/3dXBqugwzM3NeedHtezYWK0Uofj1KdnIrhenpzROh/8jWjCoB4bGity6eR7a+dvWneh50SPZD ijJ520Iz2FRwVLC1k+IniEFvGwHWdU9+kRqXWKlH49/PizbT2EKkASH3YoCMnfks+U1VB0XryflL AdI/FxqyAPXCQUrx+H7rJ4zqaCPYZgZwl2VDC7xYfDqGfBxcQTRGIzzV1ba6nJgUv7ZXTA6JWTrH 3ZqWWuf3JWnBtDbmsZwxhsMSYOwBb2Q40FEpTJ7M/vRJ6UnH97iP//sbYAMnUbujrAJNwPFnUarh HT6K7+zLweUsFBERj40ItJy31ODxZzo5pyId97GTkJ4Kfum77D8iiSbG+twV9WWUfqBwoVsE33Qw 6tgJmD7ZskEQHeNgKnfVfFDX+E7XuoPzdnxgdIBJ1ckG+/yWp5uFv04rJsP7pObkK8VDqkF/GYqC j3PdQAru6/ep4sRiTxnfLigXRBMEuCqg1lE1meV8sk1LJzdDAtP+BiLA+XWzzZVWYqk06qrZcUEn tUWS0MKb9inpj1vyLx//Ul5Og5ZEdYzsu8ClfbvIHaLg+Dn3VNTlh+F4LEwf81Kp/6mJWJKiDFcz WgPJpeOqx/8VFP9J8EAIgcTGCqZvDZzZ8WHo90tl4LZs9G8vULRSnHPMhQvvT+RxnqGOaMke9CT9 IXYF+tOL2fhZnatKfRZtbx9e/rSyr5mHID9ScO/AbiKaYZGtWawSgFrawidERa8dEqY7UiSvs/yF 46RomqrNW7HwYBDxPk7FgYsvKgFuC8yu33lQhqd6uIQfVSkyhkA+lrVBcKY91gJHpwkgN8k9TcI3 nvD307dY7W7DjejL7OAunmDFmgh735Lz4j3pNINzcNfEAY5cIQRmpWpb2pVi+F5YHEVxeOQn37Ln iaQuvsCTVjlWRXc0wk6MWcxbo/KYXO1NFOt1OG9vET4gfHUFZ1suoYZ48y8zSBzR6QCbx2CYMrMw AYylGcuGwKln2imjWZYlPVrx5jU4x/V/xfwnE4Oi42sRQKoP+PTYSdl06VDu58Dr0VQCCEjVtFEl cXAr0Qm1kBG7jzJ1czk17FfxRAkd0A3yhwXHXD3xyE4L2PTmHA+WqoHSVnHfQ9pqVVUOYBbCq9Q0 2azr7SoAEjCR6PeJYLnJauOETSAOlawzb/0Df8gBdXdIh5LkTYnCPFZg6k9h8XsBR8wqtO4dUw5v xR3w+qqZ6DLuwgI9V9pSchJ8tyr/bMY3s4Q29VHdCIsXct0fj5t4be//LOXLQ2m68BZRCQlghOM+ tEYDDKgokT4K2KkR63aA1QpOT3yYzA+V+g1z3QR2k/N0kqKzkhSCtRHgo4swa62Y8gyrLV3WYAc3 AvDBwW0ylgm5eZtgyOKTV0gyKQQNPbms9fRFPQQ8vXcEpA6RJkDhMYHHNHEi50BUmZ4wvWjBz7CE 0SSCN7ooS9auDD0q6SL8HPZcsb+t8r/Igjx/yEAdTbA46IivWch2DenP6BiptUsdolkj8u/poxYm bjvIJegqaXX6/byjEfZq/SYejRY9XWlXoc2D2YNA6GgZxkJ61KFP0hA0qKS27i9rZ3jJq7vkhpDo lgrGzLVScfRBkphCjbvmjXmc+ZCfZW1rHsObvfhOf7MqYGcDxOHKQxhbWbwLIX1J50RZ/i+IQBpi yQ5jusJSuurVzqr5FYfNFW3b65i6NwPAftwzhCpDAjuJMZ6UQl6xOu/Op09m1YmR/LVWkNBrwZmF 80DTLc6FXuL0mrgamZzkTl7p330XvSqb9S+rCt4MqYW7fHmS8MUU6zHHLLGF5A/Q1OgWmct/gnIx bmev24x6e37J70wzc0Hud628EIhoSuAUp8GNCNBXJYAfGfI6URTTgkJeMF7XBmtoBQ5i4/2zay+S A2DDusnO9hd27+s990IttOLIaJMnNX4pcCaPn9UGqky7rhMiCaUJLaMAj9P5D7ewtOnsM/LVN+Mc Eki9r+em+zSZtsLoNha/lXzldREK9XYkinpX4T1IbAg3TvEkr4e5A52ZbqkPQq4YFSNb5r5yD3Gn /BoyzmxDSU95G03DnB85w+Cb+TwkgiiM0VzDWovSbFquxoXtCafiYOPIA8aMir4WGMQI7Yd8ZNOS AgOYuXzJiIKZlz5dHdYqKoWfCRlT8iUc5DaFIwWJL5BLb93EEWlaYmVPG2TfUSupzBr31dGY9QBZ pTWfqfmL5AtO6KQLmwuoRQQzkFlDDusu+tdxeoRklFc6HyVFCTGMeb4dYNdfO1P7AyF5CAQyukZK k59lciLc7qOOgAZuPDMga/2uwbG6GxdcjQ5Dy6qoEBuxG7IdbRouJWiHP4QvTamqrSV00OR4WqWL BRHxk30juNaoTeuI6mTW5A5EcqX1psDRnDJdmwIkuHW4ZkPzBDeaDGOeNaWTW5WrkCfjndiCrkDG rHInFni9oQisml2bGq8WZuuERddgDFw7pp74n75UHVCaCMUrmLgHxiib2gm8wB+eWSF5El7B8UEX GNMHkQVgBJkzdqJ/X4Alt1v77afgH6SK1ezdXuqFyk+/Li0QOLlH6Wuad2nwS56jF8TqEiERvcuo JxVelH4+YFh+Q22hcGevggI1/2ouR3tWP0zuF0higInAymQvn+z6hnspcB3C0p1VrL7CVei1g7H7 r8oO4kKxKaKtFHKwYjhT2SpsdwJT+mv4wyD03UW3z+mDMD6wG9xI7binIlXkMwN7bd9p2iT+2n0X MCjj98rHUTf1uEx0pkISV2NBr1D4+Y8ugNAsn9zjQry+k4WxxL2aH92r/LZply4k8ZLeDa8ysPbd SvobZi8fAhe/T9lqsciqHXibdZJ/ijVFnQ1edEgwCmmwItbNOB+DITPSGkXW1TZxDu7+2NJh7WgN PA/nB2dpfNn/G+FBxq/esU7xEgzJYQsHJjpiIOTNStL/Rx4gJkj7tf1ObZTEJSpI3venDnjJiMFU BPMbiFjYnXUbEv4aMee3GBFYWTH6A/aig7HCM0CSkBKbvhIBYkYpnYVJ25djjzDCtQg759SvAVhw gUFxgyidWHN8eX+h40iUrhm0P8Nx41R0gf+ASRDI93aD900Gcz2idzNs8Jp9dOpunTh2zWeGRXFP X7z/0KAfxBkmuw17/vTmAp7MqybjTKuya1zkGtdYDX7nO218RJyMbXzlLngSt7Y8mQyWm+JnXxbM 5rKNSBpBYcHdjGjJmJoj4V/MtFLOpFzV81WcqYPHsAgud7Ydk1O5m5/N7O634eqLG51Y14lEs1Ak ScQCQRyilYAJZ6//nw+M/1JNCZngqZlVFibC7HOwB2ygAtr8oKPYZn9ZyQ4tP9jU1evwqUZhkQ4U KgIw+dd4nRNNKAVUsL4USmSbrX7NtxH++u+0IcmFT8dvoLCUEYs4PbAdfIAnDnZI1w3rwvwqSLPr I9F1fZVStt3Ryq4QEtBfzDAA/6kX+xi41MA+WsLjS7EdOelP5wR4pg3S3J6Z1uOXxZRcnutNTzeN If75S8GXxstm/QZCfE/gYtZkqgXdAyEsYq5HXrGC42RQJh5SU1hcHPYRUvRqO8V/HfHZwEZhwRxm OccpmgHKXOq5npbqfx6um/C4hBugHfB5LYTZSpfFM5x53ecjweunagf8KlIvLXoPNfYMSF90R9Fh MVsky4TojPPmcSZL7M9HW8QLjQbGY0dkytDGoA+cSomLQodhp3Fyp+TSQHw779sWQsDK9dKwyRug W1tYyM+Kp1TOcKTx3pMzCPauy6oYPFHb4qBlgHWILWExS1T7Y7136oSWWMNMX3BLUnhN+oOu5jsv lwZlWYe7Nylxch2ubXGFSOHvCvmBmnRy4tMt7yQ9tCtTphwguX7Cfj9RDfYIvG9l0Merz5se6Q3P Sdfxw8xP1osCGUm0XZplh965ND8c8YyiZzE6/StxPGphr7WlWVaKQzvfHW1JL9BDeD4kk0L8s2lW X5OEHfTkcOzs5X/JMnk78eq9LGWVRPX93Idk9U2JfY4xLmK6UmM/Fa7tK3i8drMWclC35I3u9Uyg o343nqJNucPXf/8JmSU9uq0/SLHj1Qx+/NuxVoP+3TMPxQkPrMJxfNTLgS/Vd8s8MVEu7HzOMDLI PJPvtdYvXTFrtcpNW2eiHnWaHwRxwiB4JPzCHUQY9R7XKzXmuY/XsBhizvgwhY8gxOgZsrjiNdph BJgY5+uGDXCWOiXPakFHpbAiVo3R4HE8SXs1WUhHMO49AiQfFgwom+4KWAwjRc0v+TV6SYTAwazD j4nXaKb5GgXdVh4QtiFVjKCawAfukGqpwcq+4hoxlckcK9o3tCD1CTGLMcNnYbY83GRbpkLcSX5r P25Lprv/g2qLKOjy1jXmHctu21ev3qpX8u6hvj5Odu5c8yAOP7f1BQ5+nx5e11/m7XT5ROBemCih IbNgVZPd+FHZbPxv9omOfd4qbmziPjhXaqoT51EQEoY055IXFWVsYkTiuTvKPkNgZG9rHTH4jp/N HrhlrI9yubZCDXOQLkYHTDB7sHVNT9c8URFeIlTd5+4s7CyDdMCABbSauEda/H25DlqSe4TPvfhC E+BHRYERepk15A40Sl/YqCDwwbhkMbPfbSLF8LwS+cRATpmX5VKaFYffUmDNvNaeJM9Tpz86SGMm i7Ky39EhUStbH4gAk0U9m3rWEtExOMEUjOv9pYMIbS++JR3CsSNB7GCoOStZCvDuNv43cjPzS4vW Bn6FQhDzQ6Dx7+LJWiozCRhAZnPviVYDVc1I2S0U1G4fppeoRUzUG8dBkRuc9Ib3eMZGzl9o31yR sI2PfIxmoek+mGf3OMt8qpiyNemoBq7SJuWD+bXsxZarR0yd3qc48FDkFPcxCb/myee0XCq7XpJF m9kNijr08zA8ANzTABRFHl9zD5Z9qPrhO+cC7NTzsx61yFn6B7AQrnpxQvYS9XsolPOheSTXRVHz B2opp35fN7Yp1XhA9D+2sLZMEzxBAP383SLJb5GuoBUmuM6L3p/nK2eJTM2ge9mqhPwDbutOEufm 94gG3GgKJqdc39X/ABOY99qVbav80uhIoMhcERveEcEo77vnQbgkXgPyDaqCtzJ0sXxDbixDsWYQ YyupOugQ1pcC/Z6ixBuO7sCu8Tjj2RkrzUKkPc/9dm17SdYsXiXrogplNnRDSc+rZ4t5DM4kiK98 pJMZR1pZAMhKjEZpn6ugK6267zqgk3rnOSa7vpS0lllN7uUq1QFlXX//EnWtbVklv4KRXmS8jdV4 RVISYZ2Tuv4x9vYMZ7f60TDvW/+e2OGlT1ZNIWCMbVh6jVTfuCmE5DKUTZijii7JGKo5nwhyWehH 36hcxPxb3Eoy9MCpA4Bm/Ae/65zGGa3lfQNdSjRU8f0NGNxApH/iwldb92h3SpwK4yODZFvsI71z FwrnWqvXxhIikuDlnrUWmhl9OjW/YffgYChDS3vCVC6ZgySdZEm3NIUhuaQ/gSFvI93Oehm53YdX M9+8AuqYuxAHgIdBCG77TA2tD+9r4cL1GEmFpIFEUzlh05dMSvQtNAScvhuRhQFGE/T2taQ+y4Ji vrh3XUyNyawz5r1S+xDdYA16CY+wdFwCu1OduOR0eCsGOWptnvwrcIkTP3Rp9ZHno+i82i8OT3q3 3qAMlPW9yyTLty8jTTREdbfkXX7fl36g3XzMFbjzM+bNbLBQu8xfDNQTYwGEnlL3cGj43bS0DkkI L3CK9VtgH2d/BTC8j83kAo6HDptI8xNi8rHqC1ELPmXe0tP5k3pEUdvPO/WFffyLNaHLHlAyb7jT Itt5/EKaGMX5NCNuVAtHCzPA+MM93AAJekOy32H9Wl3NkdW2FHot/E86plrwqDslvNxCGfLsi4SD HGMS+QPbn9W5r1bvuEUyMcuRTulIK0FQN3fo3zc/I60M9TIT5fsN6KQIC9Qnp6p4Idfe+jzO3lU/ 9GmyT6bWYsnIQQhUWZUZR4LP146oWsZd39piCFNoPg5yPla1VS7wJBP5OcIxe8qx1WUeAGck0ciI e6YdXkRN4tGgF21NnFmd5CpKAGe3kdndPk6BNkhI+Pg0pdzrI8Gdmgza565TdApyzvKIrxEKRTMo PPeeUKRUqfsHGHfxV1Gjkwo0XG9Rxn2HiVrvCPA/RdxICnubY+VNkMtbB1Ij/8MPVacIgMUcRhfU wpgvJvWl02JDK8kjGtmsK/SAGG6lyADDZWKVw+6jEvK/pCxP3rSr+UOALKcS06GgC/UVSXBfUBOl +F3iGFe8b9VoH3MOiohV6ZfCEb4fibLwY/qSUnhTJC+qoOT6V8DYSHxVOiUTC9h/Fmlv5T91NrRK sjdtNoNrQ69pQhvS2I0hNIb6VCtMGFrzED2eXoIe6ss04SVXj3eMnLXEs3HicdNcSTTGqHxZ+XXK ff6mnPVktPhpbZr02WcJrnAoStCHZ++wNDQ5epI9dUR/BRLV71z3bc+Ni3ItUGgX62e13lzfqwX6 tbvg2W3bmGU+8FkdPYDLshrGkca+5c2BqOh3jibT3YmX2oiYXNTSO7tOOFlTBDDKnpxMTOOx7L9E CraqrNsQH8M36wf6SSi+4RCAkAWzP0bN4mHSQPHOGoUygFM28r0iZcK0k33pZ2K+acIAUXwfmmYD eCFpmnmlspOxDi6InnZxuehial4UCdK6tyoOb3SoEb6+RbeztSFMNjhuLC2r6uPPiQTT+qewbkQZ F/pVWavt5eqRlbWMPO52g3xTbnQdi3NAAngZckq7h1QeI9E4mG8V8BQDpI7al/J44Uz40lCNWnDe fXCc5DNCCAPbhFfZ8WyxJreypmuhA0OmjxVgur+w8tlQAi67aOy9vrCMCNFsLhA7xYh7SiAxEhsl IDICg3HWgUk2Xkx6JU0Fnq37K9hzGcVy1pnNeldJCKbHvzU7v6WUTG7AWYk2orxMT/spxN3ZpoJz XBTV0czogv1RvepJ8sTW0p0aHN1j6Em+Vr0DTJ8hPOoW603Fg0RcZuSBUaysl69xdvWLXB1+yZZG utSYP8R7qkWfUpF12dL5zWN6K4TK7zbPmM7Ax+TeSHe6tqx4nZ6Rs2M9W4z3K+qnyDfcJBQcc44Z eSIP8v6JU1dqFKTKQLEmVKpuxXKJNutQN1UpAs7InQgjdSNQbJ0mYpxW/onVyEDOozhyxtp3gtdX AGgLRjr6i3q4zB5/fEdyKjYw1UnqWxp5dfJ651lL8ddNRq7OasLjaYUl4MXdJeLfoTF5nKK9FDGD hQfEF/eeDq/wbFgCixgxb5dzwmxI068IEwtE+eRrzThFxmTXa6dlR4gZKW7m+ZwisfT44e+/MF7J BI5nEkITWnA1uqiyuZPKjZQDXAgh1UjnbDGALj5o58QsreHyKJx2JzVh/GhPr4jv2WRte0SWDFsJ +igXY0TuSdusd+X7mCgPzvfATe7spZC8w+x+gq/DiW5rI01KzOR/DDE9dX+5WRv7Y3+dqkeptGG3 kPxzO/7T4ulhJ5oN3XeAnNvDQSW5BLVeU52L2PBsWRljL2Jdf2P5Kt2E0HpGEKQYCYHtNhJE5kC1 DWmBK5w+yF7d3zjFsfjh1Ufe9e05/kKM9cBP/SY64BSrh3voVae7r+VP/x7GJeTycLLVVdPiaOJg acuK4RfZzAPCx0BlBguAaip6/gVOyPBVqQN2ZpqnPYsnQO4vBjycCG0bAja8aMCPp2TkHKEtUh6X K9pj8vUJJNDnknQ4QkcKzQiaIFv8mRVMNefWDV+Msd+Q9YO8XV317nKPgBlwF2MweGpWD6b5FsI0 5kCPzetHFp0NOxZMqIm/KixhfsG3QtxGSMkWbH0QxAsxlG5F+VQDbRwpca+RKNTvcfGWNUTm1FZG 7UzrPZ1p/7JqxgwOeoajOP5foHVoj5I7txosJjaBwcgQgrpHTbZWBFJlKoNad47TidMzLLhtvAyG qDg4yZaZczfC5M8+9Fl8iaWUazTWntEUSmyMCfzuhn8lMDBlu1XAVdaPvYnUCWDIIdAS0mduRNA6 4vCEDkw0+5PmmFqFs/BocamiMuH7d7xpefJ9zE7xGBhIQYciskxEvHOqsjOD9lvMUaqS9z1lTGfP 99zpLchklwDB51hV6RIgQy+O2zXirDXXHxQHsYJpT6kDANiUdrI0h7DYk2XtEtBQUcZd6rw8BZQL g1a437iTOWM0EoPjKjA40rfigH0wgKxwddhlkGj37OnrvUe3V5CyIFrsKDB+hbuEO0dwNVj9PAqL 29HmXAqeided/Q8BhDDdq3NTk80HwrccYQFTSf/04sz9nLEoWSOIxIcDmQO4Nhw8kBDlJuR/MOOM TzMZTqYcCrOYNned0VBJNRVVf3XNFggfBwZZP4HO+kKjMp58AytdIuMWR0hnk+XyqDBJLLdpjAcq LZnYhLC2cxkHE7vtf2/mN16iGLuTirdgO2dqw3k1lWqh0feAbN/XWv9giI5r6dBFtoMeaSI9Nznq N+VqDbc82VTYRzp4efZ09/fCJizfbjOxYuD4F3EcLfbbGCnL3ZAfWjpJV9FLbmMmpnKU2c1mxLsS 3S7H1LIqcvv7bw7wfRU0zrPny8lw4r7OqlnG7t6SNxg5qLcr3eKlxXSUjb4dARlelJM25dBVmJ8O qo1dERm14O3WgE1rByBMcps3hX5fzNvwZqn7EDbG5cT1gOBvETJRW5PQkFsB4ZLius2Y0cYRPptp Pf/+SsiaUZAQlyFSGODW+nHvt2EslKhlJJvM6TXA8YITgc09U/aVBkl/7KeKKT1BTD81DbUH5TFb 56tSR3xar5U/nTcmzhxknHtzgju39QyoAEfiSeDYiIMWmK6Y+6vAUpCxOrSDyX2uEzWQ2QHcaJqt 0z5fQ3SlK8NnEPU3+uUY4IMkXg74NZcqBU3VXgUwXy0YJ+oJS8Kxh3T+7Xi2dCoI24vSDrrcIlFH MQMclGjNhGQxaoJMjaYBGdvrQ9IKOtlWNgzz+0PuNB43OhfVdjTGUEOIwni3ERV70tN+WM8nVQft yF6ZLKUjfbvBz8XMzHX14gUPbPxOSk7F9UEJi7pq782WqhE4szG7Iknj6NlN8NJatGBywZYgqBdR cR13lZGFGZLk2DiLhVmUMxNT5NEraJKryFkOK57PbWIiUnHeo8OftqhIWShjARM4cs2MOJaOKxU8 nVhuQS60cF6SzQxLvLHDSTM94n4s1T4nnlDuovxRorCo4/hSkQ3ZRlaut60FSmNEaJhGDGR8wv+T sIrPAX3n2JeVzbH6lC7n+0Yp7273PtSqJ0z8shH7GYr/LgBdmDn+Ae4AsrDnkMBLo5VjLSVxSEBX TVAg2zeB9+uayKBK579kWZgxrpjc6WpQ8tKv68jP7JMBJ5jLIW1aA7/1NZ3HEsIbztnZZxDE0Mmb bHonhM8MImrQm5iCCRg4w/roXLuwMimo0wvTcOiCAwYqK39tARqopMNn3J8tj3ISTSgrnLr4TzGn qDh7Myen+EOppy7R1iZO93dMcGfxjcpAnBAPw8qUc2e6wORIEyDfKwW/WhDTYY+wrevyQSoWPHdS S9cgyUQ1UCpgALkZIoaNbKdG+r69KJnOzIexT1NPdNJEUqMuS49Andkk0/IjZvN/MtN9VWaRdZer T1EP5AmW+uhAe5wRmNI4Gd5B11C/40tv4wQzpZmDZbdyatQdnANlzjSdHcL1qJWxJmMJenl8I7Cx 9xRwtNPGW14SNgsBEnoYFoEJwqvoGSe2o3iOFhYoOnr2XdV0OIQA2lOcoVEJ2Uxipwx08NoxkLg7 qsIMW/MMOslLvLKzMLXSxvGP347ei6OEspHS+65gfjvteN0n4wc/c9tTbJ0kDGwW8Hdx1B4GqtsG tl/mATm0Sau7sUaTNIWaPcB/zURGCHZitWWsyrNvdn9v4UDxG/n7J0qMSvj5vyEACjMs0pMieKd5 tbVNKVvwxWkR1d0zuGR6ExHy1xVJ0u7sNAk3xIFAm0YwTYRjQLeD0sIwlyJU/JDCGVtfhTpcHadL A9paFxNFoFvodn6T3iPt4A7wjTGunS8yvy08wu7x+W3hmNywS9Fl15X4O+vjDzDFwYlzOcfG+z/q rtV9Qwkx183SlmAN4q8jUKRqekm2FFgybCoG7xB+C7cYSqX2L0w71U/MS4DeypXuB3bBJhTUE8Z6 y8XNmbO14v7Q5okPFevG9QVr3ncRqXNX2lv2ALQlNybYlMrAjEGd5EKv8RZLu/2Q0p4CQxjak1dK ezVgVkvnDFK8miuxdMRfm/Vgett6dnhr6i/LcRkl2KE//2M6jtV3tuqnYSCbJEM/6aMrv/SApJOe xJ/4DCYwx4j7MuRgW1YZMJ/torM3jT3+DMAo7Ad/jJr+D/YGzb7BGDtpLUof5a4I96rxCAtvztVu US9nCMkoFFGy+OSq2EY/2BVHb+1lANc6FatWFlel7XIMnsbz8Yt73oHme0pQtL8ydDHYnUwJ7UEz s5llnxR/R2uKFSqVAuc0g50L049b+BcoZz2wSkYBQ5EauXKnjvdB/4LiMiY74BC1k7GSIKBUfBT5 RztkXJyiY4FzMI4meK+Sf21kpqxHWzHjGVMGCA3AkmiAMr8snRsJpG+QHdDMHaiC1BVZBB090HeH xo+FM8XsMw/QChQQJFHDXf6V6r26tAV/imPJTHGSBxisNhgSjzjDkrBDY80W0cr51i/KB4xZekFe NOoCGUy6f9FqwYp0HNLDN+7xhhezSmL418IoXvWocbxynSj+oHuMGubNVJU1RzvLLVl8BjEJUK+K r3yWwbdccipSoNfqOIxA1iaTIiwpIVr7TVbxA8tXXnWjAu1IoqgVeaqMcCcALSxYzykSSDNf+G/i t8XHdtHEm8rA+gPyKObq7s6JRfaHWkN/j/8EqKfng8jzJ8oJaJDKXrb0E33VVovBYFAmsc4yB1en wCTmBYaIS8LuacbHDEOePwBHe+i1gOQKCGX/CmqNCQpM4ArtczL9woJBE9uGhxxlKQy4DUZq/Prr 1bNMkXsKfTckWHhdtyUryJtDWWSZUSrjtj/cZvXx4CNfyL+etW4mY/jAykTrVSUSeP0qL7+O8AL8 WLcOgi58vAqzLR29WgadSiC63WUsXSlaJchy12jHHnpfqGQHwqRFMq8mB9WiAynZZCQPA2ck3cG4 Zh2uPIsbhvQLB8JzEatvR5W6RhPhdBbB7BrFZlLjLfsB4SFBXcFR99jjc9MO38Wz/YXnoiyMNYnt cOsCXY0WpjZ4c6ug2IUEVyxmqcQV+gdqyhj6xJivxNlW6QxrLOweRbuINCwpy1V3xujUaWpdebS/ sqv8Lku0P2EKU2DWq3xeXz4g9bPfYW4Oi4T3IhBhHLFsHAAKXwTD2kHylUE2lWSIZawE82VW5GIs lJRFTByILP3FvNL73Niz2FQsTenVWusyVUKiTNs4PVKbPP36+wCW5BHrqrFCGZVdy26VD6jgThxn UnljFuQPLdkeWFoKlAmTTnx+zxBo0rorvLCxT5IBisskDvbtY6COVBin8R/T847GCyr1VCiDce1l tZv7dfGWSVkC+O7/MeLr4SAc6JzD9g/DMTAGoF6sJddYzwmdB92VTWtW+2io7ElwkHUbXu9E+m+V 0NJaQZ8QD9HswQa/pJG79qowBxgBiyR68ue1B75RySl5mT/IWPNc8gj9MHz8xWxc4Ef4raEToul1 9dH9jWLghNf8jylgWjatC4dMosWbPbzKswubK3xMVXDWmpDhOiFbBMyXKWF+eI5tQ0IKXIRkIZvu u276Wd1fldmwQ/XblokkeSSXkF9PCRVkPi75GScj3XYZGmZYI3Tdt2WhMOC4w76b1eryHHb0asaS IRb3l89UympUqvqs1qCnDCD7aVjvZyJp+4SVephplfGe4eO/Ii92Rc+sieMKEeTpQBBplBMsoQoZ EYrDmXUQhdZCgNbq8xF8KPht6iRnWRURfe0JCtlFlL7NvSZwqrpjxggB8yFnoQcigPkQYhdalssh OA2FU8NFIeIg0UEuAzRVeGs7TY3WTI1O8KhOmFowazA7uwEWvatCWV42dIBAeq6z4MSxlG6SxEp6 Gbfy6Rs0BBisDpDRKGqpH9oMOzS3wSlEraunWyncI9wPMKc2F25KFnkRgdppk27EpjbqgRlVv3x+ bNfLoLtbhX1gY9y033JqX1Uf7f4m2tmQB/jclpfET/aBUJTN3jdYyQrk2+3LU9FmdDiS0e/bMBMe 0rxn6D9Ja2jizb5v0eOrqC1DjPb0dulc1j48Vgp2nkluLl6Lv08/sJ6y9pXJygRGQQE+KB2k0sRn AqCT8GHYZ3h/zAodRqbw87eHYE7iq2h3POeAMk/oW7tlVv47RuMEW9jHcwEkgtZCPTaeIOwfaIZw Tr230xQ7KZA5ZQFGXbCPH1NGJZhKfEyzU7K5+Hn4+N9yQ3MJZJTn1o0un4LvBxCzCPy6h/xBHS9o nHbuA85SoksIGzWhpcOPp7bkyWl9PGt5psQFJuRq+/b98t4bLA+/wCU1GOM/Hfhx2VAVJkKfmU72 3hPzwaLqZRG6N9pOFkeXP0ATm2K6oO9K0DUnBAYAV4DKvlC5eEVA1U/Z6xqPxvQvE8AWlLRQM6Qu ZBOBOcogHxoWGTmSLCqAyuFdwDQgA3rXsfiB8SQWl9fnvcHPulYZj2yL6g5efhlMenPM3E9h/YZY C39kGXjoCVw3zzH0YMeEEE94e1oknfb/+jrDv7vB2CLeLEalpoLaMaqIX9JVW8SmYUIcRu1+Fr/8 YkcvSCVPwafo0GOuEDtvJdfcikSqDzc9LPJOWlbB5J8R2TymLS9qk1D2cboQ5F5ZnEDiddVWeNve Gr0b4onwL0Gyi9oWYa0djGcpGzjsz+wzXVp6HUluKCB2FDJ0eZCK/uzeT11F8qdylDfxm0MvzKfd 7S7WV5nWzPJysfC9uycLWThiJrPU2kDJA+8V7O4d9FSteOXxOWjO6Fpp2w0na8Tqx6i3o5ZkxT1L HqkH0i+QXFVxXDpjaVdDkVFmo5Va5pdPs+iMQKgC3jGtI4LPqmmeYNxxVkuW9XuAXFS3kIfg+v1p 14LyAWmEYweur4+ccoBlWz4XkyJ83NSoN4eRsFXuaeokgYpQJjEU4akQzyYATDgZ7HnhAKFJvxPn Ejl+7aC+83i7/UTjymbIKvEiMlotnkf0dpLxJ1OVRJuz8/DkLl0K5lUmOL205c+JHoYNdbUyvI4+ lPvBWfMfLXkMiaVzGlz9Gt+onbMTXKwfSn5yd/LebW2u3jBMACzUJnC547JLMQ0Ng+6WCHoeQxJq Fu+KAbakYJlvQwr6yn18NUuppwB9OSSjh5psUS2NXE2eYJ37HJxdWDKVmm4rldz5KXcsZu9Fk4D2 4pSg6htqnPOlDj069oHYWk9YVaqw4ySJlfdBYZwDRPospzUtx/+GY3HyBn3MpGIbgNOFhYVWQzND 5pRU9JgaY/A7eAGI7P2bcrYPQEOBKbDgguJlUVfciR88GF3zdLSLWGL/sgbmjbWeVSTXuoETOCbH 4stHppkU443Fv0kmnHF9H8Io3EIqwWeeQUZ75X4KOpO33gzUDOr0lp4eKktjPdB6Dxjp2Vlome0s JxQ44F9LeVSFCjsVQgS0SxLcHaG/Ae7BxC75BNYnBmJsVZHaiLOkUhIkr7xohrzMKpRhc1EnNsX3 4Bb/FzIaPgTYi9FNgItfOHHYrW2ZWdCwR8CmHreas4Ih2iMqYIz99Ji4v9l1fzK1Y0HP3vlG9gQA DSeX5QYvmrdBBq3KSu2q1rQXnwFu7E82ElTcQ+169i8XdrZ8X+dt+hxYBm1lQOvmrUt+i/oRNXq5 656TAgx5pbNufrNWSESUhs0BR0eCAl91zhnZiKL5D/baVC8FhTwiaGN6Z5XTxnufa85mMnMvl9la 7YsBEbbcNIH2q8hF+YLIwCU49LX+qNzDFeCRAOsLKa/PUWTGkWMqjvNekvzvoo9HetEsQyziN3A3 gHnf6aOJSVEIG8gc5lxOT9HGVSnC+DTJCMhnqnWnUI+noGutRK4o4NEhh5LNB9Qnv1VZTyAYmc4m 6EG430q9kBro/Q3ZX365aQ1TQh506zqU8k6k73soQ5t8PrP2b/V0hP4NJInXWhQh3GQCh8CE6PZ9 3qnIm5FSUhZF6UZPz6PxvmgUVYZus9gCxSRFaxAgno+L9WxQ9bPG4ezH20YdHeENxgoikGGGVu/q A2vPVAAu8QXG7f2BNGIqCBBvTn0mdDT/sr7aLjHKoAE2tu80BOYYPdgisJ1kTb+kPteDTwR4RIdk 3mldDLMbB9LzQgtwbQH4gFycFEkniYr5933VlmzguuqJEzmx+9G5T5ej/FM1WwKD+oGzpghT0NeA qqm11sLdtLSkjDUVexBHlZcfXYGdb+FQef3izube3XY4COqJjPEaEcFiyA48agHmX5m6oKagWydW vwV6RZQeokPsgmi16unA68sqbD4uxMDN4XPJ56z5ufGaUYJ1UicBuE7wej7L18VQ6LEmAw4Cj6t8 YUMs4RYWIdsUKRrz7KQEjUncjeVVYaMdk6z4R0TTjZlcBogdo8aw90wIR8dZIrDWu13ZYxPOPAMY cbZTg1YhJeBjy+R5Xws45cc8XYr8ivD+EsyeLJj2Q1sPdx6hYx0BtdTjXWTRj0h9mbPBs6gZBXbe tdMw285KiMggVxWsEr4isyYcyfaxW0Oe4ZVohe5ywXe3tUHAr06cVQ8u3augsP0rlDtHi5DPjusO jkEOFYZ6FL89LN5yhfu+nMrmUakTDdSOHkBElRoYsGDU6PfETWGJ8puZbDD91twm4hgIMOPGRKun b3/+SGrURFf+kK3eNhWxnt2H2PdNNjVbnUs2sCuimxtl15dbxTeZ3u7d+mXb+fv8TkLp35SahEmU 1qehr/4I1m98dxj5JAciXK2W6GPTiZOSwLQpnGfBf4k7R6ueZR4f+vgJw+dMFW9REX5GsWqYbP8P cywLNWc6p04cw1WQLjzHNvcxONfjWGHSf6eiewiG95khn7aIGdqXQKKrfqbODSZ/9M00hQZcGm2p /Bev97fNglTqqFxrxp3c6MBC2+LBUOGKuwfIDw85kqCVIep+tMQGsOTEEC5OEsK9ZkNxbArR3E4a eka3ajynPhkY+Cm0Cw5rOdStVsPD942o6iFU4+TpXJcWJOyoP9St6Cmi3e8e4RdHjP1gkOrBDRHR dEfbNOt/FVcO/adoxCGgWk7NrzEEp3c/Wy/MiuvHSG3CuqtADkXHmP2eO2vPmvRfPOXzjeDeY06x RMefof2ckMgMhElchFvwzaCI6nxgTrQq4IkEase6s6dH3zefUMWfRW/V1Rjyt2cAg4VubKgWS184 UqjvNlVzTAYAfBMv+NzpsENITiPv3zh7/pm+9cyX/61JofJuBpbnGk/ACcsz9fCfw2wM6Hu2t+zG irvy4Y/uAc3MePXrMBvAmRZPujwU+qXlp954KknxNYmHhdJ8dFytu3dGmfBADkrb6701PgZCC4Mn 3y7govQjQ8rod2u7Fy53wO71wobYw3Qd6KAa7KWTeNbYMYrNwasET1/TG8a/kHPrEm3jFXh2gN4V nWpfimoREBoWcH8DmjoaVdoM9TjfVWQB6zBS+igb8oYJLpDwe7iORE2ixbN/mnne1tXNcFV5O6lx JHIjPdwITJbscDO3hXpkpU1gVb14NUgGdHWRds0wKvv3bmh1cl2YOsp4aWM3FNGD0luvgv0DEOIm /XWhifO0Zo15fplMP/LDqTQ74t4kN8HpH1pEIhHGG3wsdg/Z6GWEwIpyjojCFk1zvqrT2moOfmml yyBJRNwNapn15ep4UJj5W+l6gN/b76N8xLnDvMYPnJ2ruZXbhkk8KWqBzrSuhdiNVyTbhNHTmU2j 3ADQkJvu11gJrrW7IEMWIYOnbbYiS5hFOOeXAOkSgkFNjkQqh1CP59LGKxGKxNy6K8QMjHWWOzoD IfKk3ExMLHnMo++InHGQh5mri8fYM9ZMToKWgA5dzL1BoKc9EnS6YyVGSPTcLAHHLSRIUlzGTZi3 TrNdY5CtHUSc+r4d+W4cplXErHvvlqOzTZPpkZ2WriDbetUnKQgts2rHqleGyswBsk5H2ZkGmZSm 8vUJ+9ukZF9EaUjqxTI0DFLtA8Rnp+GZAbeTdk3H91Q+8sYHLcWK1wRbYr7rpPAx40F+k1dM2lSg tK1LVvJ8L0vSl3LO5DEtkvvxj0P8jSvfC7VItMfMxXc6jbP9KjvVzYGrNVJGbsx1ieW7Oi7TAQnG idaPLpNL7lZ5OoVtdicZO/0J3saHjEBa9WwxL6QswYvBqthRc2TQFqV1uMEEcgZ2oMCPtwAZOwcf J7h+pgX3nzWGIrETPgsQDYzScAvGM7P+9PZIXaH4dGg6iHL+jfwwM6i3O5oTUOdB9bCDTn4MyRp2 Aibc1StFdBEiQoTpBgLuhbxJECYOfKUQ6YOryxYRuarvZDtOY91PcVmx7zrcDqemJUCguH0GGlyK Wo9WI91cYPivPjrQrs8No1cB9d+PvbHCrA4Befm+mBYAUUGwtaAeBp+Tcs9sNPvCONmKMYg0xYH2 ETZUSFic9lJa/MMi27uRSla/PNTzSjLVY0OEEiZSfCGWUjT8ofjux5PN/I8AMifuwFtdyeQyCPTe D9HMcqGLBQoO+BCf3+qFAg2Z/KJM29pi+vDd1BqUSMjJLwA9HS7PAl4HjiwJYlFk6k9sGFuqr8S2 7lhi1peJ2Ckht9ejWEkAzzGIDzAOkuZyQYtvzTjec3wscIPwuy2VMyZOSisZJM7/bEl9Pplhum6H D/khxJ4WcsLgERPBcmMRkNXD/aFoo492CUd5xAFnESIWaBlR4jm4gAtQqOwfgQZDrJ7AJmTDn9Hk dugHzIAVK+3OMbdc/M9ZHP5tptyn983xaj5fAA14Q3tv/gbe0diMTXKjXrFYfnjBvodoH9JEg6Mw cW+i9SBwX/I5ZvW+UQZCCIvNAfwPaFcoHkI6T040mAVIv6CyafIkNq6iN0oiWdK/fPg1yAKTHWam 4ht+u2nT5Bb909oCnoGJKZO3SzE5NjJ1TpcKVHysUrgjfAAFp8X8pTfmEfIW9shQKWC7SB5GbpWP Ss9yPqw42lpxg32rqpnfJbfVQaiUbW7cv/HfEKVYC99s+LvtreuU3Pf/uuZlkE+OuGuDY2fRgh3H dr0aK0wtceTSr6vswwJOsy4Cdxjymv91D9TAUvLvNd7EAquFKKs0kDn6+DsY487ENFzz9Ipwz155 sJftXwuMvMIYsBK23pZuTJJyCv8SNTjzyBrvDSHXGztw0YtwUe6jLJUkI/7DoKNFakR6UqG4+SCx 6zBKB0UvdVmZh1fPYib+hVxAf1DaZIRhrd5EiSBkC9AtausgKl9VzjQOlZ/7FN0FD3ILWXIBRBdd pZ0RjphkEwUpTAjL8KuBduHjRetrEVsgi+/r8SYRSeY55qUHuaOtNKNW87Zezg9X+qAh6WwLXneX SDvyHzY+ShXCbFlYnF3Z1W7pnJqenlgm7EpaLJwgLwMGCORzdjEsgZ8veD7u6oV5Lf0lUO4Vrc1m OGqugdE6efdhqGl9Bvh1vvVsC38htHh26K+02a1GZeq8ZIAr4N5K+yTySq6s/Apkv1cseGHLOWOR y2Rmvod2GyYorm49wRIHkadr8+Ud3vOrlKahxgQ3qOhzUi8PVOzhgRRaoX0A6ZdwnvQgjZ0ukwHm po9sKXtWfluNqQSLYy0KrffPSXVcgXOIStEQ4e73KV/elUtICMD91gWq7kJZYour1Aq1EUdL1Ae+ uNP8U+ADkoweAyzos0JRa6fOAwPRKtR5yIYOTLt908WO7+IZGYrx3Ij2HGzD8bdUjklH2c5ziJau nX1D2zBXtdYM7ztGL0JJeViWQ7GKTJGZ2vrMxNltYqU9xCOqlN/3QZtCdnJ2QfRWl/Zoa3cHcWMP UfAFrwpe3IhsEW7UF+peF1WHnU6ATX301lK5XTzMJndDxwxfo/4w7Nhr6iI0TkASaDjHWfN2dlSw u+2PkMydvBYk7hbNZLb1iwOa/8Wnq1lc9gEjy3bXtYncyaeEPGaYGPB178f/fmLNh5/bXAwRZZtf 66pIrIsZEZ7CO0Cv/qyoSEtLwMLd08StGgGzuVaeayuLxmKvYV/laZdXYcSjTw3nBWtiz4AzQJXl 273ZiomTpkPxzGgM3/f4H2kTNHpN1XgjzJOVspbY5tcTFtIS9TKG3nTfNeZt0w1KybqZe1eAOFzm +t0HnyOUFS8GDhgNpZ4YCZrYZngCulbB/iY0dBgnwVRgF0FFgCk8oKw2xG63gQYHwy4WRkp78OzN l1yZmdOSE53LKXSszzV6hBgl4JqAzZJlYcffY2CkeRvT5QZm0QMw0a2Bashzx6Bfi7KJma/JJHRR 9Nb3xrvXDSxghDTjFD0NuinntFmLp+Ym0v5Y/vQbdoeD7bwsOUV6x3z93o30urxyK7B1u4+uwSJp Pojc7hFAcOxZazHeK2M1jIredNYA1g4rXZqId/llWwsihZQpY+hqm4dSS02tWQtAv85pOayIMlYP i31YnKP+q5cER/ev1Hz1VD+9Bm67LzCtrj3QLdFEcDMFgndAz99Yn0bjvRZV3RcnHoieMBjzx8qc xw/uNorze36orN8jYbGOsioj1ieKjW0HlWZ40CLby15yo/V3H1AwREmED+p3x1c3CM78QOJS8pu2 Ck3m6dGhpnr6ao9bh4MOaqkJOU2HdCDMiT5HBkgbtoMiWHEwoSUvo8sCobFNby5gGETbK0S9M5pH R8erOUbxSiob0F59Gyz4GPBClZsCxua1wQTjvsKMxGxWzUh1UYSFDpPiT80KIR7hh5c9ldigHDjD tbWcFi39tGcnPg25GF+JetFXLe6kL0Y9HUC4R0rl59hHlKET1punGM5/qYMf7LOQgxXj0MC9VNIV 0h5LMHi5XPbKOcNVj+IPIAwfOG05gqNvnPL/fefMAIKvD6oOXNPiLFiptMS3oDLD0Nv5i7w/sRur voScyNEWOusupCHowRpavoenEHc9Msl5lQjul322TkYTLInDJqoL7ArMLcMtMvu6hAU5zqjTFvG5 WB20t9hFOgzGixtbmaoRUDuZvdJN5UuEmATIU5/naDJDZbOhWStxexxeMrFFBV/yO0LWbf6gcOPU txoIsrTLR35cy/t8VS9EYwcK8M4emBd5kyn3BmfbQN96jAjlflOM6lue6YNdMm7Hdk+Zy20h6mLp kYoxljAGsTFnQ4kf1G6lQ0m+DCT+qMCxg6MJJnV8crDtdAg7vufXvk9x4WXq+r3cn6ffulWFjcX3 U5vezHqBOzGODPKFLzBs0d4n4mZDbZn91LTgzQCZnNs3XFgOagVj80jRAqjjnlveh4/y6YJLC6Bd SHR3n5OfqTsbULhd/QJxYkqztxz+zCLA9L9ODDDlHaJ9fF9buFnbihVxLcUFg+UNyNNgZzx1FZTj 0iTyqjQdCojyELghYK0CEeFssOh9NsgI9cw7BvzSjorPwXddp4WewOPeQ/LAsEEHr76+fM3sqYNj crrm6Y2+B/QNApHEg5RjgTImlq+114OT+yMv9bdal3mJsvFJ2xaAd2fqRDiFAk0mBpq8yvu+iuIZ UCaUn4FT+v7T0i8UV78u295O/pgxTgmhwHioYz1TgBlRrGZXv5Y9alLd9wZEr5zjYu1eTBfwZgZg lU8ub5WF/OlgElRVQcCaKcAPg+dMTvK/cIWDt5eyqOPVvWYqHQGwuG4VbrBHB24k0D69RYWAFpbm VXy3msIBKu0B7c2NsGA2x6XvFEyQwYe4SJ2dgPzg+k8g4Z0Xcn7xNgcpcy/MpvKD/7mW1RFruWU+ 4NZqrcgH/EUnDwae9HgDEcFqVEY2nLJbv2qzJMXpj0Be6XBaQJ9uB5JewVj/FpE32v202cWYdcYp eLmn/7RtehDdr/Pgs9Xu5wmvE93gEnMkH0NvPzl8iZkUoeEHNbg9Yo8qTOdY+uSleXnrTZknX5mR udq+qrfDj8sgr0y4FTk4QtEKvqaygEgH2VhO1P1qr5wR2ZKuLfpzoDqYLt9Xs/Sm9obyATG8UDxj OsLR/Kad0Bbm6CIBOxX3QuQekOgu2jgVDESkpA88oUrSaXZ7LnMwTcVhpVUD11/BNHusK2MxTXBw wj07CHAYmMyueOs2ipWzKht19blaFwDqu3Jt9ln0eQnCstKUyRci3u5b9loLjD/X5fLkPx5A0k+y ehsyXq2OS4ynlHIfq5WBHglMfafM2G7zoSapCL2c0OSffqvL/hEmESKYT7AU0eu3WiwkvM8b8n0U Spsp4Fbk62dpiyeZcq/1NcMfzk6e8blueQ34FZZc1Fbu+N3JVe1twor2si+yHPltg0f1OswCER0f SAvg0NwWqIqTvou6rIrL4/xUz0i40mtUAyunt8JJ5t0w/4IRM718JtEkiH0oEHMwrHk8ZODYs5w7 WnZ0mFJ0qtGQzZ61+a/36bsFwT5Rn7+q0nujebNXzXmbRefRYRdyn1/QWSgVylWmp6vXqBd1MpAG FEFzx+66Rn1gzXWhcTj89ICvbgO+pNXOMc3PkLD07tqGjQDiZMRj2fvw4S4kAEA6+CLci/5XjieX gKkBNtzZNcsCg9ckUdUNCzIOHBhHsq/Y4UKDGFUOzFutRDN0NOR3tlJFh/ElsE8W2PyHTAStEPL7 smOxGO2SJfpHqC/8MM+o2uy4dmSXnQPGNlhr/D4XWqCi/ePn4I0ob6DNSazJs5GoECx0Q+JSqTFi yINlSEzM1b9J3d9pMiQ9d75LyRiAUpRV6/yybDtuBdLiN7RQPOlkCmDnqZkUdRGEFl9PoN7Uow2u /paFNSKs6+xfBr4fhunAqU9wNO/PrmVlTYZ/Cz9JUyX+yLJBhaF1hIH0C1QPA6bv9JliZkz/BsIr +zM/4sveRQnuV12ZfGNp9B+rnBleZtgexPRryHaOWk3CwSTFilbgDxz59IZUx2FkH5BpDC1bgJNP NHmLgWrjpdA1MTzoANgzbfE+DZdIgBGX3ydPvqBSQ9fQ58HDNVZwakbTv9/Nk2SI3fUX5PPZRhaD cfoqf8/5kvvN9a52L2rsbM+dwD/mtLWeNZuo+ErEDeLecC+oxXizLTStd4+3048G1K+sU8uRX7Hf hIiL0pYed6a9hUOaRL0dJjYy8V5B2CNHIffKhD7IPiQR1oCD67hHzNmwNw5BhRhtPHUoL96i7brd Q0VHMIs5CEWAHA1tbfwu4/wJSUSanZ60b0+bkVYaT/eb2N8BNFENBD2P/1W48YuTm3995qjCkLAS 7UfNW57tRoWuLL33HeefQqnDKzkdvE2LbTf/Gmn700cKqDKJN8agOtXeoQt/rg8yMCiz7XQSYlC0 FxIaaJj4rWOUjdxLhy4Ad3s5GcvtKJKyeDftMGjGLRFfxz9XGAk+4b0N33Pt0rGoX6LHel+7WGmM iYwI+/oNdtkOtNRsoh9XwRd7weWCuCO+MaaavJJqpPUwWqB3nUeTTqy8sd71cy47IZn4DwK2b2r8 uWhkQYqYNLdddv3t2kj26wDHG9HheCzZYxw3xEQy3Q6b8YbBOLG+Nn3NK3YB6XlJ8llSTm8WJzKR QFxgxristo40c/PcrqkSxFnNVT7axBKh3UNFbOOVRz6Ys3SJRkXsnwVQzv8RObVvGMcTfMm9sroH RGlMwZsl8CFNgbskzZlOeLHs+I/s/Zco8xMQciE+/9QW9LRRd0KsEbRQ7aKCsVwYiNYZQ2WGTQmO hrwhWxK/TKGgeBvm5B1H1XfjOHrvPskUNDdvAA4xaPSQL7ZpDwvYBD+La0JrzHfzmSKQL5NWWUhM Ced4Y3Hwiplvvuxn6/hcKU290txtV5TZAMAeays2E/LeXwYE4DXSyk/Hp388I2oOZq2NYO5Zl6su RbVQ6oGonwLrRbIP8o7z5eCsW+QesA2FetO/bOF1S62u6mOoC2RoZF1vXeYvQI38efcwsL0MqJfO Tu4wta1zrR8zUJkhChKVKV69Kozc2+xfCaYHDJdz57+ni6P8oR8LVCIsS2zbyp71sVO+9JxcnBo5 kGSHdwPhRdrRcOoAT7+NshUsy9OqeGUrhsxDbSxul+6NoGTO6PJV6zH9f2CyFW306eZaGdc4vwJ8 FEsMW9jUHjMVxztux6K4Y8d0EvX4j7g+MyWz+8Q79bCY9boQH2eWI0bRav2SKZx5nh/EIZqKedeh k0Dg6Cbef78z8TiZSwvNYj2exk24m5pQtjQAQ5jh8JFGmZGwHvt7ac0A/DqXpou2Jn0qS4tfHPmK Hq49dYQQkiBFwvaEk1dnLbmdaqsMAn0EOv34lGfusmsd8i3qLjZ8iLOzDG/WqwL7pEPdq+tRKdSN K+T+iBvVf1Q+GE+39GR3xCTKtMm+WagVAlVoaAvXiFFAIE8lpsZrKpwbNXe2STkEBqMQiJLBIy9i W6u/PMy6O9rF/KLcpauwkmHl1QPyo2hiEjx0BOsLAR+oaZhGNpgJ8p698+jdHV5/3cAaQqdKgLde VOoUIRrW6nkHK6yrvxm3vjIyvpmZuZM4Wtd1rCMLGqeCx7GDLIdxQ7WTKOYKBZI+mRksaCl7qMb+ e3VcBuBGYLAFncuVI+7lB+z5l6PLJXcQnvb7qQlfVobxXJZdsDTI0/HAcrxpG7qM3ZBdXvXoQIuL DGUoP/nzOwtWJup1meK1a4MgjB1bh2gFi+nk9lxmmc6EN/SxmCmxdSJqiEmS1HyWHYft05lXBr1w 6qk48RgsMnUnuAI2Z1XOyT+im+OkcPnjNuyuO1kezdWCg32fXiiBfuEISMWPZsNRkIU6+cy92BSx V9aGOy102/AIHfJ6k46sX5mhuaYfCFSE4ceV4mAQRIE2y121uH7EdpfefPIF8WtP6W44GE3VJ19v VFxv6bp00jwkn7ORpAgOi2KqXV7RWbQ1U1PtWt61crY7P2Yf+CRnZHJ12ie1dhKAQTwCsgAlVRrP Jp2fKIlhfo9xt14TFBy03NzTWVvLEHtKxF2KiN/AMtFnx3mXRPuHWEUiJw60Pvm3eryjRvBCiun+ reUf6fPwqYZcPRecIRY+kjmBvQkC9dzEbLgOpLlk7UFt4GoT++EO/b2OS0R7UB722I1lVU3kocR/ 7OGleBrtDTrUI4rFI8FNq21azhbwLpzu3P/Fl8bqrj9PxNX8pXw01JNI7EJDlOSoPmdfy1wM1lG+ ZMSutDCH+NQm2ukXFW5bQAYoMlRwUz9ZkzGrFcPkS/1m6myYrLWIGclxQFGDzhoKEykjnTi0o+jC 1Cy0E487KKoupMgN97MYW8outjojX4gfDx75/s8ch0WcPICozN7Xgp+Np0BnHys/PGr8U5fixJav vHEDWQQByzKijcUqiibH/C31/yr68R6Q7hyZTEZ9IlIu9ni0wkIBcbwSdUcq6S3zsM7cfQs6380c IQE4536i5fsK+u0Prle+Sn4SwQXFiH83Sy5VxOVsWEFArlvyJ69YgUvzH1vPA4GGZwMD2Twslxd2 p1e3qxEz+9pnddyVDov1SmP9T3+NHhGg+iZHvn1cuVJIh0UKbP7q3LkYHmY5dNoOoqHtqOO4XyEN +JK1ocBkVym945DtH5/roL81BYmhvFKKdMUI8tZvBJZzKz/0VTQOkoZDE1PXsNp9pMUmLQXqjOwK UQuRaOSFaE5SSUkNe/Ttywsqs5hh5JTmy0+ZBIn46hXqe1dC5Z2obXT2lQlMtndVp3pE78X6/HYM w5YbcbEQow1pCL3Y+D+OdHSZZL72WZKUZ5h50D5Vnqw5NtVIv3SGB5+7f+8Edp0rxBCHpImXBTNV OaQlLjbZEM4br2ZhgFsM50s2K8RMveKCEEaoK4WRrxFDUTmqs5L7bg9kfjHpAGsxtotajKRyIY1F pxNmjsZnBIm/Gfl6/zvyATJH843mE1PbeGGGi0CpVBYAcsjXf13dpsNoKpCAwmj96XUlXUr1A6ve iEq3z8g35MynX0F25XK80nMAt2i25H7VDVI0FQcYoRb5gFrNKYNg3zoqRSkWaepktXhIUPu6xXmv kNpAduheBAflVpwhOOSMYMuR8bpc2s9JHhy3bZ52fxQIvGv/uvS/f92fRf/hgoMAbT8Lk16Av8zh 1IcxipzHdAX+BLNNIkMfZm/30uFohvgB5ogRQj/iNcvhon6qfS7Ymj6J3Uo6t4oIHpR0eZLVYKF3 ezqMZqnIaHrb2yWJFF1jihQZf114FQCbqchGmvQPE904Tb/jMN1dTxxVl07SZptbtiT1V9mf8fVr ArQk9ahxEfhhyYui8SQtdElhC3KNNtf4tdYOiGvOCEUhxq9j9vD7q2QOJeRIBzC5NE9A9c1/AX4i DF2xT117Sj170TsC5oUgxcl3WGZO7n3TFfea3I5Pa66naCReObk+skG1k23AjlGMBLGF8vIST615 eg74TqF7BswDfH9ulcm6/vnwUWMdQ1FKtFJTi2LNUpNJ/fFOnxWlr+nbWiK63V5ZGwx+YAYuIFVG Ty5iAWoO2ZVnJkvzy+xgn6jEiMsRLM5EarU3jd2QVYqhYPO1WlFResbDot48cs6UO6+VqO8B+kyL 2TRgh1wt0tacPOFB2m+UNu9at3ul7nGsV5Y4mdY9MGlUkexOM76WJbUgma3Rji9Ygl8sZpBrCJpS Smdzzv9B9GSEEEHppKsTsCwNvtv1fCccKo/nIKpTcemPOotYZEVMo/P9ZC8vgyNr7NauB33wE9Lq f00VPe9n8PhGVCVj4xAWPoXDWAIMEuEaYalw1EdEjd+E7YqC+JNXKroRHo7w2sMVSG5NEaagpaDJ XlaB4rq3wua7v6YHeFOOmc0BryPiYL1hIwUtb1B+mHbhBNwBWfQb62bQJkn5JIEdZskUM0G2bAwV 9VBDRnglHyhyA3ykTvgwThTTE8jJBn1nfpbmDo7BUlFpZ3mvMgOfPI06TWCqqmKsSgg4yIfq63r6 XaDTIImX7BeDoKa29aSMF9vs8HtlAZsOMA2aSYoeXyOE0mWZyhPQ+D4SWOzUn8UG5+Nn0pz6MhMQ UMFQtNMB+aTKVbNezXwygVYspY1X+RPP8xU34irGM9s1UO7MLGqtELv7KXK53J+ycBQj/0d8pfC+ Okns5Fb7t8x+6ercyzA+TF3tytMcHfYkS7f9uCrge81vHaOncRDP/iJSzVoVBTCfbNpwvC4tskwn OOVXu4sOGbTfRaw7REg2Z/VAY8++aK/LUTxGg0unWDQXKB80n22kq+h19dXXONysuLQvCjqda02C 1NkNFPvesZ1gzv2YyE/A38obodhA0ypxLFUl7jEVbKgcKxtRW7yodJGepZzXMXl33IzdLOQn2oXK 4VN/sls9ujxkfHGvQX3IWMVOcr4ghgc/H+TV05gX9WMOr3OeSmeX9pJsEKHouOM4WgHgP5F2QOmo +RFN2UBJ5vsmnSDWWR0sqjV91RzzaOb4wehGA+wiNmRIUnA6KzfIUzso8LH392e6SUZAh0TE0GW0 pHRn2Q9TtPqYo1rBDHGpqQ/oQFD+Je5LxK5JlPR+kbc3GujnlYedsGpyQHCV+axtwu52Wl4QNk9o xw8skixJw/nacfZAslcHhzXjSBJYU9hZyZvYS0BTPVRsLwtINko7iGJ6DT2NTN6Bh+1kInO6g9sc EfxJBqlmsbKKX5uSzQW3OjUUFhXAQ0y2p6EEjztF/Eso/KtvwOCOkBa4RCRRraevQn3l6ry1Cvyn P9u30IyMi+EWnTNhIl5B5SZK8P28QjIYEDcjCJ8ItR28wBnwq5vmyG6GFkDPHrFPgvZIUuMqBQdD jm87WDYk2K8b5cnPxSZTTpiqeaevb636Yk+VYjqf3Iyuio4v7hUc3oOtqGOVQ17lL4J+FGWJGsgD n63ov8dybn0KU2K8QvnCx7HnXQFD89bgrisPMIRYrsgj2X06CInYzSabu4bAeWcfv7t8S7Yr8hDf xrw93ONINyZ87rf3pStTW+ujZrcOwwOtps2KQnOEM3ajD4yRhXkeHUc15BuHRVPF+2PkeS+pLby+ DgbJqeLVtdazrVAS12ymufdmVUWPTxTYR0sb2THXR+Oq+wIjd/U+gjIE5KxkCT1ic/DPivpPqdHr HOc0NToAr3nCIFNCr/xApvn1cuD+dSEBVkVEH2aXkrah7dD29bZuQXgBXQtyg9LeMp6hXS31699K 8AP2vD3RQdsgZYSekD84LYi8JtOaawnNBgVhLYwZAKVM+gGI2VUwouqnEWEtSxcjsrb0vO3xyY8R DX0eAacgMg6qNFjYf9nliZm/WWuLhL+uMwwMs0ubfGtaF1G8Q0cmsEjkfqL+hpU9DaF6Rx3FkqpA 81tQs+pyZTM6HWb3YLJxxOGxGO+pKIIJqAhVa4BgI9+bF6LAF3OQi1MD6GzJvv8kDxfyfQE77MKo flC5B4H1cv7LV8IX4d25hgWh6/CVuzCORfi5Z+57Z2Low8Uk0j/eC2XHVK2dsGgIikBYeRYPmJyO 2pI/xubPwTHW7M8n5Hq/ESF3Oe+QYlgddeRXyhSpuIJIQg3lJNWSSqXTJ5Zrne3biOI2jDPyhcaG ewt1AGq9kHpLbQAcTvoZCxtrI6PQX3UuBdztVnPCBraaZAR0fZgh06UYgQkAgSdCnYUmQS2PVXPJ YLzjE7AM68Z55lgv5mqjkm1lP68EaXGRYK01JAHE9qTyqcPyNxzy2QNOdTELtdt3QAPsf3PQqCV5 CdmK925IYNedmAHltlftWNJ+qlHdEFBA2FpdZzDHehxbssZyI6OfiTJjc/4XyiuvMYlA3SXtC7Ts KjpDENBQTlHQwLzmB8Wcs0IKR3FYJxNlc6Wxi/XJN1z+0XzsWkiG2CC2z/f6PHnuAIzZLS7q3Ohh cICMe8TX0fdU3n8ZVctEtQ7U1GKZnhpyeypK99gwMuASvBObLSIZiRI7OidcRzyw84+pXMpWeBN0 vR2lahdx8iTliJPvDMsbW74QlxoO8/4UZTxeMvCnyCh3OS0+DS6glbxcpE/XzXt5EFrsq349hTHE 4HeqOPcFK+y+/goA3lq6VnvAq6xLs1N1hxNnlTazPfXNWt03YPthZBzShFT8y6rfhx3uZ0hf91sl tcyOXqqwL+mtW2H1Dk8f+3YrAyMR6q+O1pkdt2QnhcFaXkWIuN/i+zJqqScMn25sTPTBNS0Dtlst /rIa5nu4HBdaTN/kKTqCbW+08MB47GI8OAoEzLOM7oth9TJTz4n7oV0jTL3ocn78z0bYppQTc8fc 9xG5jIrI9rUX6vyiZjDNLpExHFg5ffo1GKeEFGden3A5CCIwkjjXzekonCNt1UTWWb9iQ6tE5yRm Nx2j3+A/aLcZauDxpVcA+6OH2RuF+p8rb8l3kUEF7Na9mjn0Bp/WFKeLasQv3XX+uH9Z04w5fnNY PJFGrm2Upp5H3CleJLhfgTdkheEfgsTrLFQlm1cL+w7OTNkiY3bU8Ibc5UNu+ERZCQ+aBbWREKzf Jop/N75SpOHV4MtoxATZBzs/yvA+3Te74rkTb8zWnnWgmaMg6nTyUXUGbBvsmda2Wg36aoUR/pOU GB0xdBPTeddaT6rOqyaEWqUzMHwaPi7I7EPNF9eBcMAB7tOe5WCMzCQWfFmLb9/rQg+j9XvFvmgK DCn2tHNraD4mp3IXcmY5C9DIJCYCd/dPfWdo9weg9F4lvHtv7tRs6niRJXZhrqmzunHHDaqD6/E6 Ct5oyFg9tMfB8nO+npvL9cqsi+qr29ESRaXPT95ClrogJ4sPHWen2ugr8Mho6VJCRHYmU1yPKnNN kU0NBXu1uNtybgTqXrKaJURKK0ngIeldH/LrNiraXwbL876hZVMGNm59HCqGDKtPHknDNwx/hlnY aWQ4QWCLaI68VM6k8/Vg62ZguXThX6wkyai63ouZlCnRPj0QzpiSmSDBvp2SHbY8tB/OVppBgJUj Fy0MYcCzTVk25ml19UT0P2eTpSXfe+BUZntcnFJISz6tEY6wwVngyI1n/OT72MfCnAIMGP7/5Hlk C5oWhaqjZ2JHBaOSn7A1uIX68zWkfrHJI2N1fBu7u6LkW46ctqo54QfDkgpFAZ4JwwDi9vohV55s BvQ0tR866ZX7JRBXUnlrPhaeTPfaSiCLdhJixhiXzRUFdovjhXQYCxBNK58x0EiZhO2U4pCh1mob AwE/vB9phLRcIxzNeJwFfcvQWXJiYwa8spxQGQyb21A8o/np/j8dM+lF55NjHqBY/kgef/Auk8xU rJFeoZlc38kNYPqR/fwdiUM0MAU+cbhKemD29sfx3yaq3r+Z0SpTb7Tnzp0OYpIZzgChydAcjvC1 ADybOkm7Y9/16+S6lcQ0Dj58C7APNkD0uFYvPb/brVgirqpAnaOyRyub6pXFvRNt3A1Lkujyv5fk qn3UDlaC8paWpw2V8QH0yh6GDzrcQwnOwUIEiVJxU/uFtNb73HFv0FGaJJdb5i2Z967FsxgPetjh luaz9hq+kUPgA8L4ZswS1vGLwJb4WLHa/gxDeuAIMmdspPb/bg12Zob11vejeDpOhVWuQ4wQjsQK kDWIXPsMfHSUAailWPrx1ctHJvsDAVNVZbJ49OQOCZXgmhZKf2Xu3bYatdrC3q3XxxApLTe6refN hEC4TlYxPQsxaskI1/oIg6WEScTqZJIDM5kLt0nuGd2sa3xC/+ZxXUPkh9KdZsSvD2s0ZIDthwB4 /2uPdnk1cYGv8S2KL/RqPdUbh1/86E13bpUdGRP3MME4VDFcczjyXGe9Sv/u50yQKNtgozbzJZLB VK9FY9Jywl2njGU2GeXz/ru1UHfQIC146BssqDAeOlAm/yr7UGQH78WtRzuCcqjtiOozGVIm86ID l0O77wgXVEMVL9WA/o/nmewYqYeS8l0lrJ+fG7ERdJlvz5SzyZ48p2iIhYVyQ4HByCvrdwEhDHbc lyW2RlaOWeyYRGCeJXlO2OGx45TBmVkOryXxdhARtWwRb22eLHbVs2eZOsEaopMvpk6EExBxfBQ8 O1OYzo7LZdSKdpXzVT1ztj+HNNdj2StnnVC4wvWD0Bk6qOZrNRfIckeWeClUA7KXO7wqyF/Bw1Dz Eue71T0/kGv71QdImMMnqOwrNqYroszmTxU9DqlBGbuizvElU5j0RyugmJoAXyb0iGHffGj+PCE6 MjD8bqBPxxle8GH1FUiyl9rLPdka9cp39DJYV/kKK3lPrIhTEyWNVi1WOGZPB0cdYaPdQzszBQam KDvinOg3HthCSZYLEqFDLeAlDkFNRW3hEVQAfRrvv8+KOdhvouBtkZ9U0cVIwK0esLB8wPu1R/an owfvCfZViZIswADyWZxSPncLzwVH6Wd16DZpgUlLUCbsDgYqTB/m3jJMjlJfQFZ62++mshVs3yt4 py05lJ0w627/lxPqd9xSa6UurgcGsh8yhbbC9/rLPLtf2jBocknMV60um1S3kDNGAJV/1vO9RRhs EjiiaLlrI+bfvrfOjBUh1DtbwBVZygb2nhUxIfUFj7RMpoHwIgkgpFMqfeuydBiyPhfhT6P5FVtQ eGvc5f9Ybw97Z1qBCLa0a3qehP3gzsmsQ/OWLg1+tUsPw2Nr363P2oCnEvioNxdiVoJzP2NdKaVg mzbKWrfFLuX9BU6028+GbXPAFEoHcE+Rj+LPCbSIXpDzDkQCCd0MN5prEeXWxwyTi/Efiq6INw/K 2t216ejMkTDaQ1JDfvOsYezHHHxoZ343Y6usxsQywplDy8/X/wxxcqrqaVBSFIe5yaRRE3KEqhjh +HBGapH0Iev51weIoWdhB03FoLrNHyKVHw7VxLXFeDilCHvQj2bvPGJNspvJZGm3AYRv0q14eTgO cXYbSW8jiJLJTXzP/9tjkMBU0BKqQB6H5vkfkmJFTlcazFvU1U2CbZuHo/tzvQFtOCZF9Zb5abPL aTQTo2O5/d8Vd8vj9oksrBmhE4Vydt4Y8nu9LWY+RsoB9v5Q243Nh91EvvsUmiWtQgN+Pq+1RnKl Njt5fhxiR6LvyW10m35y9lnSYAiS0UL6vjE6wfWt71XFmbUBhwMn8dzVhtkPRU8wsXw2kcM04T50 XX86SqaTgLXzol61j3EuijeMYUyn1EiBBtjvVcvA/Ks3trSpwURkm/rOYlJb9W2VXhr9CFIFrpd3 pd2ygKlIcpPAm+v4QWll1tmtilr6iRZyhCb5JRY+r2SdNh/rw8+5AVX2J9aBTAAiK7iOb8m/9VTt N75oIY9A2wQP1BXI2k3srD+iPDmaE+2ImHmzfS4cl7EEwOrzurO33btA8aYRkAmLLeADt48pTsVm ycrAX6Wnt4O6wO8ZT6u3f18S9W13ofH0F+SLSQVuHq3UalOAuSOVZxMInhHoq3fFwopKeBT1bUls Eo+GCRgHY+i00BDSNaJqKtsEpfm9uY4raSCZR/aZ81MOvRWsDHm+VjwlboKL7d1Ef6cdITdblIzl Iuk/Xc+XcsT9OyfhHnQMMQoA6sDaq/ulYPom7ofRc1TIhjeM1KteQqpxiGln2jAXxYOQA0WardN8 uPtpSbxirzAmeodCn7jjJLx+l+Hni8dwOhxXXTXfAFveg7p2Qp42R81ecy8yIL1Y8j2FksZAeJeF b8xZrNKCOET3EWScfw6SXCqqVCeC1TYnTjNSqEu6PJXrCSJSRE/hRefWij+A1sQBtGSCKYEox9HD sw6lDhE9fV0hy5hBxVaA5l+cCebq686dcd6hALOIvLGOuKInQ+H6Dl6D+KrSdZ/66dRsQa3/EI4x 6r8sS2OYM8/JtfkMimxAheQIpUHvI3+FejAn5bY71vvk4ELggzlip1nxvDLAKCP6HRW4GHaH4HrQ nu94TlWeUcsngtBneYBEwenT0M1nkx3P7H+33VA+UgmRMv2N/OVybOsbui2H/iEm+NBHh965JlNi 1apxUekQxqpsEW0W520zOutzctx4GmjjS5Jd9eMS01qPvEmQhybJbS8P3iWq2GrSTDmKHVqFIQ8O 4N2SH1bWC1bt+ribPEaAf4KDrvtNmNBixtW1nhtlXPtdYCNNEho1z+rzu5SnZ8YwCqaMmjIc75Qz nLa2ep37Nxu1Bm7+ooJ9M6aQY9KAgD/CF3MEYLIRaCC73dUOkRSJoBW9X1oaJV4mWruHKK705twm 4b6dxht3kAimXWqmfye/kPh8PbH7+dtOqmL/pss+B23/di1+FE5mpyqGcOFCg4HZKJjKNAd62Xlt OLrxGcf4nkxtmIq6qiflizfREqYFN+YrReKriGOQ5AZO9/rKS22qL566jxTBNnVQFFdxUueV2CXN SI3kVeJrM6fkXEiEwx+rtNMWzW+TMoXzw/LwtiPqCnSnHyFGl8jHNAB21oxlSJ8fYzM1Fd9/g6b+ SnGF84RigtPdR07CkKJ9LVzWe3kyOnqH8sXp74MpMgeP//4sQ9PeqruR2h1I+U/BztdVWgOdLDC6 +trMgqv8YkbAhat+wtZDoPvPBfvUxZHy7Lp5HYRL1JKs8/e8JMyCYFNK0lY6WViUhbjZcOfzO5uo kIMw7zdA+lGYPEP9LicbmRdWZBupw12IzZbMzddwtgV6zZQ5FuCIshp8oI2QtCugv0ZdV61nDOco EHfCMHsKHat6L8GuB/v1EfPd72gwqiwNMXXsWNOxoxQ3rbyJwRm9C+KVqzLDSYYEbxFV3OCDSSyY u2TmFNeVaFXR+hYAsETMnqpjV4s8JNKYzTifyNahfX8ADlnKCYyzfnN4xlK8+GEZMDMr77GBmU62 6sJR6XgyGOlaFkt9xPzdJy0eJMv+9Q4NxNnzpq7Lg88j3FsR0wg+N50br5iMHozlvfO/yieK3x9X PeYjd8Jzi5cmD5h2SZLBuCwW1FjnrcSolPfNngTJXHP1xTcwGfkba8VD3DAz3t7NhF1t25ZgsrP8 EugtoO7cV9KDVVsbXaffKiRMd3yYmhSuClHrm24h9y0AfAm+RsXmW577Rh7Xn97rnBkiFogp3UJI m2zmXLQftcVbY0uogiPHy9+uBTPCmp4hAyuW5QDpE2sNhGUeYHGu0D54ibvjMvg6uC2chlEeoUJu 571aBGILmRmpleZcgfwkU7Ow2ZUeG0F5XRGiSnIZtHimx+75hR3MWSrRiTdcZF1Q2yw0X7Ypue7C wl9muqjFKH99AxpOXSMqrvbQ22pklV42cYTSlYh3QvoCN8hFFPsKCEdCUZWxrXIC+c9Zd7P2RHxX xOmaoJtrGfSYILvD8HjS9F6UWEI7kQrguVzJ7S5cr2MNuwTd+SHYFw+5aVf/rln49uE18VWSTCxF n6Y/nwEiCt12DcLYZkxw5gQP1OUP5pUKCOoz4MUuklhs80f4VkpDNrwQv1oFvV/k/GBc3/XmRtG7 qJoFiVoJ0vMBUvuRkwGjcPH+nSyu9vax2Ztm+90hsVHX/Gq8mu5BXV/jNGge37KWYsv4GQHOkvRJ tMM1Vp3UIPy1x+2kZBOfXvfnLxrGR8NvcRKgc1rO5HlBIzDB4CvtPnuH+tUTZQ9F3VAAxl0FW4c+ dsqVubPzd85eZagceswU3znU2UlbatQZM9a1elx7hLx0ZQzI37pOQLejWWpV5gDvIAfr0Q//y7Ig 4DhsPA9D6oyCYDbkjZz0mEmKdt4rleHJiYOrAYYblk5jOJNtv1/2YYyPTY3ubPs1x4o7Gl3+Siq7 tIibhgn6/Su01BB7AYntbXeDNygFu2Fy4+sCqelvf4dBMo/ObQrpZ/Dd61geQQqVcVFj6a+YJXFj 0ZQVxeqkfZYwX1sm4qOV6u8iE+w7nketkUFDoFpn1RWmro0aKWlVuErI4wliyOhHVOV3RFjEad9U QJd25sA90Sna6yFrsn5ZEn1/wXY0SCs1N3FcNZFDRJld72b8MZiygiRQMxBDKNSkHp+jZymtGeaz wv43VuL+wMnC5AspxdAfyVxQkAlZo58CKZSBrxgH+CWJEb4nSow9eChDdDLh1QFyZ/fI3WtzpHX9 9Jnm+J1OOhc9cBtTWhMx7sYCH97lFOQnAZvbkqY9Kqqp2WiAOurpOfenjKccM/ZCs+TcSkCLL8q4 nPdix+ZGsjrQhchLOOtUtXKGEl6l9KHzcB/vIr82V9Ag3AkT8b1Zc6QKQBgE+VARieUkjobn3aes GrXFb6kwZ2Y9QJGvTqAYtWR/3jwE5Q+bnovXS3yUM6TjB1toY32spnCC+omiIJHRdZd84QH0wWC7 FBkhlsKyg1gEMjwWHIjf/GSvnl3m93YSPsYkwI/ehgREgIiw3Tvuf568QyHbJbvzpW3cIC38wsIt 03iR3rUbB4KowhcMCKAtFxkarEey7RjjZ1rHO6M//LNNdtYrYGD9YZ+RtNQftYSekWbp1TjXWQIs W0KK8t4TeORNx/14cHSkgi8KFznuKpt1L/4AxWyO4YFgLV/kQmeDPvsYDNwvZS8k4GElyw5roPSf 1VtJCN4QN69Tz4UZ6g5bkqFbGODFIRtiqucx42KcSsUJli4vWVuB7hODs+Tj0ZLrncb8/UIGZOox KrYfr7MMAZnnrLrpEODLJx/7M46hpujQDuQd4tWZXXt4LOHsw+2Hw7dL7vZbOO2JGC8J/aXQfwVC bpHlzk3lq07S/qY6kVxbNCEy1j+6DkNGXaAqXAUGBLXkFmOCR8MPxZcL+1d1bWi6OwMP76tV2SSF 1UuP/AbRRq0brtdeeZb2wY6NzKRwbTH1Yv7efh/vtziDF2z/eyRTNHIy87jPyOLUoHZ10Ro5+TaO eLMAX++UFHhUBRME+UonckSlR1XHHeTBWsgupIN9nqgOeuWwJU6A8Q4DA7YDSYAHRK025HXTkr9d wi2HTjJS+QyMwSsLmk3VwJJAmDuLzbnnQGP1OCNso6hk09x9YV3HbNT3ec+Rbk7IPBn625Ng2Cfx rgESuYqLgE6tIFVB2SlC9vhuhGhgKh0XMF77vrmZLENpG6MRiGDXfgQ4JeX9OqXoiSTTsbzdW0pQ dl/osxPMbUUew1HqPVb5fwQHlghwZ6p/xfpJIR+AnOlhI6/6T8RcvMkeqGtl035IzQ08jSQ4oPTJ d2mqNVnjGetB7KIepS8rhRi7zYPFgK4PgPRxUh1FJUjW02y+flp0zcBWS+um7BrfqxwGQG3FH95P kYOhPnmIvxOFeQ3tXCL1h3uj7g7JNDR5ppkQWUbpoY0gbk3J8IjMDizq3bv0KthTMaDkZj4K2wgU yXkrwYQuHdq2TOoduNB1O2yNxALK+ZHvWTvBxnXIqt4iGD3sA/kFMP8iMYbBzL+0eKox+2UeD08J NEJx+g84crv0MDheDYlOJ30xDZbPTiHWL3dpD2O7o8IeDehYY4T9f0SEVN45qJQFKCH+wB41Z6l8 x6Qz8Cg8XAmnCVLh5l3ak07pNiO7hfMqqaieztGhyxKbjaspUXTsdHUpyFmBVpz1QhcQWAZOXIpt O1VSuBhFtpnHrdVZ7S927lUxfOG+ZyysyoPrgFMJBB6WmBuK5kmq0RDMcpYzRf6OPyHtNjfnmhKh shfUoYssScL+tamgZhWj194/5BXbN2fZ+eqMvcT37McDr30AX7mgLnPn2QUSnrMrKx5qeTVlVVo+ 6gofDKU9wr8L6BWyenh69idubJez7YA3c7HPFGcJSfbujZ5PTvkAbnGXvW+2N6r7zTv7rrmx6+iQ z02JVqolMC7ZMItoVEMaDvNNOrinXCfC0h802IkPLkzam4B8tTwddZBzsk9Rx4+cPHwuKTQghGpU wmqswAxfW1P/sn9fwolgXPQfe0f/ZdP4ol5ypRSwPHA6B9HFSJUVbSu4Y7nbWBu+qOMVs1rEnG0p q9g2c4m7mch0pKIyJdMAL7O9ZB1YL1rs5CkygBa0oqw5NuJi82pHAGHVN2wje+CFwldgaC7sxARm F4/h5W9deXA5MN+lt/1nRd+CRfjaWgIOTWrpRvpIlt9Xdl3SloLMXf8Unqk7gB9Dx5XhgBkYHz9H QbnvWIDflTQ2n+1XP2xBoxcoqUmTUams3K9Op/FV+/UThsDVJjdNpKrP5FQHrPsqSGPkHFFKSlb6 iP+quLSEDfWXXM4lMNK6HOPaJ7Qd3SrO82c/dAtYbZ4k5ffoX0O7ELfKZu8AwY9ozIbxC8Kfn/C5 tVfzNzchouw8m13G5/2ioZfWmiu1kB9la5bXxy6rxfnoxS3GWjwdFU2UWS1bCA2LQxLTQpz0a1bY IDeve6jUyolIOiR74eBsI0ihk2sQAAqYxxWSCN5NtZoGZ5YSOkqozXWBo/lD82L4KVosDuZpNn0s b1O7Oys0HvLyGzMvI5F3+KzSLhxQIEIp5QXPHgIRoAb9qSA3wGMc0DYEb6WrCxa6qB8kCnBnPXVN u6XBrKZ/H6bpLs68hQRfGKChmc1ah6Yq4KUVRlv34BLIAIZ9nllo35Yl/xGtx17QQakjXekT2pG3 X4xlr33Wox6133N54+y3tiBSoPPt1XYLX44KjmOj5A3ke9tfWnPLm1RxhOzYRRHF9v7z+GPaTM7F Fg1u5Q9h4NVpSbSpvLrIFP/JIQTvJ/U/JEnb11z8g0pBZ82CKUPOquPjg3sMl/rQ3oGT3Q819cch Y7t9cSB9GVmgnho7n5B/KfDjPqSsBXdw4rwnKMgX8QPDcKFd5fzmkh1S9B9U1Az+AuOeNyhAoeDB jXJ94U1d8i9DYSNmXhj/S7CCqPdPTx0soDkNs76h8dcnCXvtwXEXyejlOiDEiITQX72N/Dr70X+d 3LgRCE6DawP0TnYGEUOBP0K3fdkz+Sbk25Kc/h8HkB8OQAqhu1vnMpq6zueN+p8J1BsbvONDZxI2 OBMgVTT+Arz1aZcjFM9nwJaFOIx4qFnC+sfoopZ9tE/EysoZ74rFSXAm/rGISgcIddqhyPqYglpp xc85wSh6M1OwfRSlhVwH1CJWIcEz36AwvQN54hrVrE0xGKLUKfxxnAdhh2SH0k8RRyf1N3qVW5je StZA7GYeTnH8DoJkb218FXFyQ5la/zmlCwz+bUCphEsMoDWE853b1/5imt0lj2kKfpeirtLU9M8W flhdU+kwLm6sMupXOytbxme5FblnTuXxlNBpE2ov6ldVORNf5w6xuY0IrZfwoB50PhYtNC7KrEB+ 0kOw/ptLl30jBzElGliBcDmSjct5aUTbiyac6T/QwSUOSzzO5Mk3vgKUnnvKgljo6tihnHp/vdvR hjTUst2+1fizKkM1v5p65sh4RGFKEqCjJfg9po0ZekBEf1gpxpS+tuszuqq2KiMFyzeLgVbeQHT1 M1D9bExnODyG/Q/NhxLbSsOkmMwS7tYyDygGK3+r9++tNBXwnZ3eAFrz+yqvhYAhRoc6ZZJY2+ad MBfWGsF8vdjvQaAem97y97IOlQTzGh/k5m3+o2jHm3Y2oeO/4Rj1egFDFfOoYBmvSsLqBSIlfBpR KY8mJlVXL0IpgCgsf+40B2hrnIIMaWinqPhAULG80Ohh8+MdY2L2oD/4Sli8368GJyKwyqkoCFu2 4vBdN1BSJCzbMiidS1dviEuWS9yktkI4d2QiH5EyfU7plgOcpaAnxmx4ql/xXmnMIeYPWBDmlEqY GnTbCLYIM0xhKVhVmInA7EeTAawvfod4NKQ7gP8Y8CMKX0ogv9NQkXRp1ArwqmKYmjnCxNQaW79p 0FXHs42GHfn1ug3q8iPo/fi8d/54HKYQNOc2RllrlshN2yIve26rzKmFC4mcVLl1kYMkzrUqNKW8 +bRDCCTFRxwYRW5tSPCYuG7DIWv1CkUEcSlIh7FVLa7GzD/iOQh3ZZCWqmyXeBuMdapG/AH9+nwu n0h+qSoWJaVuiVX7d1BQeaCkVcmkRjohGNply0plVp8HfHZmw7sC1i0lBUl6QLI+fxT3VIGjkNRM MgQVv0nMmIaX3ITDxYN0NW3rBiIVwO5mvk5jp1//2yQSXZXZ5dIZeIBymgwFoD8go2RxemF9wsse z2odUNP1p95MAXvJ2yVEHSqhDfNtBlLwwLqb1D7MStKf60AvR6QfkBh/hCLkr3xfP+RlYWxLEoVQ qr5RRNRhScEeWkWswUcf4WbHo8oD+I4W/8vwxm0L73tpSovof5VpfYDD7xWye3oDSh0aEBrDYj9p HiR2DghljFkaLnamnDN3oh6U3mnIeVpiYLS1/fwSgNEwlclhYMBr+4kOOmHBaAFGxZIBft67ubVm ntMi5T3cMhC3F0Jy8dqAZb0sv9lS56uZnKrEXx5g4HJTdEJLRkFNQEvtxhtSXjo6VIYKVjVzT07U +dnErc1a2/jEZAEOD0+6Lm9OaJKx8qKWm/2oxFGDekOMbCQ8WK9h4btaLnhVqmil+bwJyttiJL/m xTvLCVJysCqL1/4xeaegaWD1yMtHZkGab8q1g/P4y5WQyaI2Q1qSQ+QUcRGy5Vrp+0ASpUoSVc+4 1rgMI1pE+h4lSPUXjI80h1a8z9hwIywm8Wh1yS25QhuIXq10oYbb2IWWDvg5hCVcaMv0eCP/R/wa E/7O50WvKSXOuqp29x5oJxeBlQiedX0915SYhewZjdHcOLsr9FzYpa6cn+GP71jgHNuobhtKe0wi Y5zu6hYP+6WgE1VYtsOrj81w1Pd9xk/2ROI0gZzPE6jpmxGweiLaYRk1lCyd3oZSD/Ee8OGfQqtO IYx386tb3ACF6u3oHClQ7kWrj2Ruw3Nah3Zu2+erG+HPaWUiZQnVVuDvt/G8kmhlwX9gm4jfIViX Q6QTHmKwlo+Rpb1uFG21l/8s8rXmqCYSSxP4URBi/fW9BnPRcLoq9w47x8iwG3gU5fzaS7R6F2Ac Ks3f63P33BboRLKwvBLkRWLDizVoDVlbYvg8sU2KcQpQp8KEv685sZ8svQI2Gy+G8R+WxgsxzFzy Gn6w95CXv9jt5vRJ8ZjYiqECKk6IC6RhnwiDyLPpBcwDnbl05XHYu4HklsREU3gW0U8RYVIXIFdp daUMw5xDoD2JjyJWMVW8UzDtmjRxE1whP7+DhBhLdUY3GrGHta+GiiN6nqXYajDUg0XMEafibP6o wxWTfHWlk9QXEiP8R+uqnwi8wqPOIDonBZ5g9cwVW2pF9BScIs47VFnnUygirWOOrenqSyvtasQA vRYWc4GGYwzYd30M6ORXyV2JmuUghmjVt0qe9hDE7tbOoYq3Gwzn0p5BoLn7mUPt/weMnQ65InqW 07t4H7ksritX084m70CcQT0tjGdd3TIv47jIOmq9ue5KPk1Q50WCXcFWeCkp+TL6/DAtGeqqrLq5 uEYIa1pg3RkdYr99R1haoOO7TvBZjlBMBuOtU4XBrdQ+umRMZ9z0GURSDnUA2Ybca9LulI6ihsXl pBySgxYGRZJoUY6B1wTIrDGyECPVOQbIbd/5duhZuTMS10Mmh4Iw3zfGPf/xBnKlwFzJLKtl59sW gxsoBfkbNeTh4rjFeNZuRC01OzwF77zUuItYMM+uaEsIAfgK68j2mccU7qpWafUaNI0lbCtY2iki y3XHIR7+DWjWseNCfgFv5Ovow0BYWPhVfYUhDutJgmE3ae9sZryVIojlmGCy71kT8l2RRbZbu0+G 9LIXfO5eIWcXyz5XjBGWbTWeQjYU+KEECkhkPkyWNKmeNihrLuJvf9aFNUunGzKnTFDQ2DsNlEV8 1Uqm0/I4YX5eIMuk9bAgXy9pKxNuxgl4mAfrgZPsNrR4aoUqthcSM1RgUh8gubbxZRlr2S8xTZzh 0a/AZrDwqi+r/q2CWo40O8WEoWCQDe31vm52xv31QBkJTPn5zNwJBqHe9V7R5tcWpWq6UYnJMlkK 9m+P3Jlj1e9iJMXRQPha2N58Qbd7FucYz1/KlB4SSteBKd6Bx2HbODI9ntIxipbabwBKWwtJ/frV uDDqGXjer50nLXS3MqlJfMPns6E9mJ+bH4KZhlejJbpirwRPuq17FZeoij/IZX8KYzgmf0ZqnHop GYYFpTNzqW5dqHUpU3JstxCaBzfsGKKYFFgK4U42B0Me1etWwvMd2ByJEDw/n2raiGaedOZRnAUr SMqIbU7yYYWX8mEPpF/V7SQMA/NlBEjBZzOhe2fwU73afuXzvM9xVkBcWay6mD45D6WaRWlCQ+MX CvhdAQjPLTmISu0FQOEnkaZrldSBtHrgB1ygVTuQ18b5uzfG89I8pg/0l8z/iw7++6uwNfpy6VC3 o7zJgGWLbout/AVExexZeYNegqbLgf9iWzUg16fvVpPdBK407Tt5ozA4ZLXbRxZYR3C3AcuRQ3cK zdhY6/G4CR6ANz/cjeIvf5xfu1W4HDT1NixZddE4+w7TJGsSH5SsYrfPLlRBtZD1QwiwRXRGpSCT mGpde7mgx+4NxfZOiOoYo/zZ1rLSlGkUcx4YiAt0ksdGeGhP/D4/x9p14dHdcyO3Qh4lDTbTmSAD NIfaMaqVDG7MBUSjwnX3kehuzn9FJXY90yuLyfTbwhuZYgAePZJYEUH7W7edEfdNH32wW4VE4Y8Z gNEWEtzvIw0UfC7B5YhnHDR9D3w34j+Lr65va9YYK7heKxeayKUNxEjfvXII1/sO4aQOExwa6T0I NbPBrBGCogCcmA1GeUuMF4Qfhb6OKRR0uILtun4OmEcEstJSa5GoE5Iy/37sBOYRfOuX6z8Zxscp unYerruB5loLk8LVn6mIe9eRYW/WG/zzK07e6tgUJUOz189A3cANCeKVRNAMvfDGBdf7cjzYxg4Q A1btTx+/F+h9LpZhwE14pdfU6kH3PNeRVsoicct0vSDIS7PMhOiaX4N+2cBt0pRm/ygqBgCAUx4X IRQ19iFwiifH7ZFIFAq779ihJVWgTAapyRq96tS9cHEhoIy3/Ke9wLSt2CTqLFUEUksL/mGSYfgK unvdEFgZmwGioOCpzA6XOcaBw5/dShKZhb++zw/ZMfzHZSeEe4lraH3hfgqIJA2mYUMEfq3SJPuF JftYqyw+4tqj3BNzdtfapT/+qFuu6UzXnBzGMrMbcR9BffwkituSQsVKaiI/jQH/bh/WODWY2iqZ hNVbecta4vc8LS2JQiQ6dGk6J7KdF75ZIdONzfZsnNK0S94Jfyfd28zicJPvrGbMmUeCi+J46mHm 5dyCYyW7+QGRZLt40NcCE84zG5o91UhZAeGrG5shWI+rmoC2AiFErjsmH+dxJSiabBZn7woutuRh u2n8Z/VD4rrxDRJx9Wg9biJlsmTztNIRdwQBwvqhCVf14hXuAuGq8JliY4YK16Npr5cTFaY2//Ex +ceYjWFqf3JWmf6/FdeIbY4As87yvRdfblllBidSukYiE8Hf26HO9hREATuwPLdLq14vEYmSbEc0 511bxD5toARkioWduG55zaXkZFKRCwZidC5wi/A6y7AO0nmLrSfR3I+b1fOAqd1fOqzKL6KNmiAp EF6+pgx252/GJsXyzFg+Vr36t+0ZT6L53adrzt0HFUO+oC0d4NI6Aa+FsvtE2NqqMlpg3oUeuVFA xxC20A97RDGd50gXcYtcpvraL8P1+LjlVzk6poGHfnMvtsmJOduUmgXT9pRpE6WQv2er8wL/4aGE YEqfRn/pCCDEFrHNULIfo2qzc/rxS/QCEE6BlwleypwdnU+lscXqHAQjrqSQBaVQH5+rUO9KxEd2 OMObV8gGzag1Qu3qjx20GfQ9uHByVu6N0tEM5navsPydAuVwOOkWSh018OFJtHSeA4tdzLOxHgRa Pd91sULNcwMQw+xcLimHRQpEKzEhYlh4NHGZ5LAlFz7+vvlcsAr8v2Xbp4rX3BNYuYxS3OtgzDba BQBhHZR6aFY8nFMHfg2OBrS+jEJE+9H75ACWGXmM4N97PJGmG1b//TemV79OJfMFAy1RoR14nE+K Ul8JdASPsxhTAWC7+zZv2+RtlsYE0kPdf+cxv/QC73t4zok6IzTu9omFAXgbbhgDAGbo0RbtJHmo jXw16E192rU4JNCgnvcoQzPT3G136h4/B28YkWJ5jW7O6el4jWmnvh5dx3fgt6MLUUA9hoe0kdlA 0QwVI+xtmW5O7An/jW/uqfyub5xhRzhGPA4M+RNaVMgSdG46NuoZ34uI+TCvHUiRR9OzQkKJsluL VxMWLgba0SPQHOG6IfzT0An10jB3jliJRjD+rbX4VyPgn+AsuK6K4Hg+5cDXnw6lcNvfyoFHIPga SIQRkvLkmQsm7KeevN9Nwsx2tc1YaQ18cGHUSo0iLZ5qdD8JJDq0i/jddWdaE/IisKeNqhOio8i4 SCujKMwZaCZZPmtkdsQItFqRPGTnxLuc3ubSb8T8wyggOzkH/KhM35prEbckkdYBd+5SfzG4N16s pbz47VTiPriax1kuiPQWZODYBrKHFJHTNIYTDgNAURPdEDdtFqyCa69oZ7isA1eOqS6tp/wdAmwV iv5MnyZoiQv1JQJuiaA5ffo/mGqu7xcpzuSYIhcU+3xOdv5km8Iya7P3o2gO2+YqMPz8q0vHHVXc bwrgq4magqeUnQ9Kwosvms3xH4bNpRsc5DCqRA5LBMCaTwuPGTW6Xq4cnpDRZvMmU40n79bkXBD5 RA+3hbz2qwFSEt/NKnndjrO7wzArIacgKfUVQGOZ+8qFB2pGKUQim/qLgoJaPmiwuLjtHyYsgDue Xv2e3jtL8MXa7TE4G6opKCONh8WBII5Tp0GD9VCxKNxicDZcrtBLwB9KU9rMIrWAvj8kiXg+hscG ujDKG+j/Y8o5mXCp7izB2kZxQ9B9qLKPsV5kxCEHNmHpmw89yaoaTqUUVjlBdaGlo93VHVC3bRGA CyZFbtR2/DqHJf8s3OKr7+ksfi0ogvAPGrAHtzpqCHe4DktzZRKPe46QBZrlgFNDM5ZfhRQAgf49 GCi2zA9+efIrxLXFLegFw1662HNt9088lEKL+x/n6F5mKLSEWvmJNX50NmwWdI9VTSyWuOBPUNVU aWV1lFFWD4JreJdO6IrHxLvjltQllGV+PAaf8XIvln8SR+0BHg1VuMlf4hEv4d46ts5Mq/c7thT9 uy4tgh9ZJmdBnyc68Mn2uAaMulo8nIzi3TJQDHYOpTh9dbPFN97us18P/+8dw+9Gpkdo6kpju9EV DM37Mkj8Q65urLN1bXLemVaTxB1M7dRG9Ql/mN25rqCZSzCYOy1/76ylwSdhkfRa+E4jXhJkkgNk uz7pEfWZdrawoN0uLFQwQmstO6oJuBdHx7auJ3H/CRHyOGyPqHny3ySbPvi426KXMrQAVu0vPI0A iIi8c40dI44cOdTw666ecjxB/gauXv4vnX6Ki9eOuXNv/X8tSzi7rxTTWJj6kw1BDRLeFhX7bFq7 f/vLwzL70mS5gAT+2KWwc+nOUADD84N3caGBxkzgO9ymcgFsopHG0xsQzmEHfTMh5IC4spaT2bjs kll0jA7PF4ZZKSVT0Aely7QPsBRsqb3VbltSM7Y+lUtA92OyodnZjZKDHglSjQ7C85GVnOqzgEcd NvxxTwzDuU2zQInSXcrxpu098Yp3qiVWu2CquOoTWFFFTkRjbHOsaRENNDEDh+rGixrF2M9xEy5D G8Mhbnhl3RDLhNUV+dDlfSzL53N3nT1DBZr3NCmg/tDyfOBtzmRG/4NZNTbOiqHt+qwbFtipGFL0 DLqiV9U0vSxQTwvKZ/CcHBApPChdmp4twiNKglB6rJdl2+0xTJhvJDQioR0EefkXOKs4cxTTN0/8 efqRXhwocvphtPa1PZvYsdYct3HbfREUtDkt9/sifmSVVapfHkmbTDt7JFz5TZcPdzwYZ6GVIHGj 003EsKgjswwDm4jbq2QVR0isjTJ5nsP5ZqzdN2c0EBOpnanPbFzb3SwyEzc6zEbc1bSpdQHznr22 ukIgBDaTB4woXsUaJ1w2KgVDBcoDKxmr/ySSMuWXak8yRPQSheuBMhPkCgBLbALNgQ1jlyH5SksQ wP0r46LZwInKFq1UO5EzUX+th5j/Xdyw7LIetjFILx5uU913ZixJCbSiLUdv4kO8adSG9T7DOkjZ 6tTZaBF+SMtsR0hv1lHze5hEgJsqJzoqWXUSvLLFEJlM8GksLnlTYSvpmN2ZQXzStRZhtTkfJcea WXQhEvKPdLpxD7EF3SGIighQm4hQ62ekDzafPF8vaY+L4coc1S/EIi2YRdJFfcGq42VDAimPACjY Zy9A31EwMV9qAE+nwK5UmKwWm7eRCaQbJsPNnbtck7ajguSSQMa5dvJJaLkOfY7gmiYm/GZ9S+4Q jJ1Jn6t75cpaN08zo4LlpSr02236qXJMW2Rhyd0RTheWKl93WlGCaRl3xOzZyUFq1B57B/KS825X SNHb+smU9w59Zu8J8308pXLaNCdMb4X0SSloa/igaNyIHKBTGoiKf0BgDYjF9nDwc4ejvuny3beP qtn3/07Hgma/cRVJmslUahEx44iW5/LqS5w4v80Iy0uzn2nd3lSQ+KxmQ92rcz9ZYUjBdU1J8QL+ IN84xVRw+KcXWPiLIE7upKvm3bFfZHF+NYhxZ6OTIv5mmzFvMYxf5jLwVEtMCfNiyLKRdyybKZyk DSLHyMhM4zolC9Ez2SlLFfi7TNhrBxPSYeyijvq0mKDRBC6zK9EaZ626vL/bEWfauoCbGBF+5Wib jQ+wXBFafOOcEcL4TskM1Hi1TQ1FjLssz/KRSOY0ULaPFBnLYLqk4ItkVAukC5WKeY08AB++nBbO zKpWFblpnniQ2rYCTCfYpmJlcOFKYIO4gUhy2SZrUuEYuq4atuv9cWUmCPcpTiy4/LdFdJATvjZ/ 13qVKzKVQ6aCPyBlT/4OPclkWbFT21thU0PvabM4VgLphv4wFK4XMibN4wHlgW3rVlcuuwdVTl6x ZFqIRWNmz6Ie2nbTMftOqBhyx4pcSltBr3QLaEN5V91WXT4jbTa5uhzn+W9EFn+FrYwgkNcu52/f BIIeLoQaBe21jz6+j95s0prQKoX1cNAEDiN2SH13rX4BsuyL1Mx/P7AcU8pY25uPf63hZB1r9C3S JW5aD9eC7LNJU+T9QhkJCQyPlUKWzYJCfsAenabfnIrY3ypPeyxjNzILpD81zcYoeg1VpZOYy8ki sW13my8RbiA3w9zX9Z+xvlqwtgmz9FYnOrmSouUWNSQrx/8BAmaALp4yfSTzNiUP5UsrN6t7ipcx 5ED4eb5w7TM3vpFheuD04qHyxMJmQUYTwRZfH34KoODvH3a1AhJcECN0CKxE0U5wLcx1/imvmisS o+xFRDDNacB/eoUo0ZKTuCzIVXKyG+hl6/FP5IWO4pY12qAj23ubFe/zYlWpIZg3Ig1flGZ29CCb I/gCgjQunsqftZqUAEH0jDSCJGFUv9fsNJXLV9ZynF3lSz92i0e4ZfIUPxZNtNT3p+wdJaNhsjip FsgvKCTglfn+84q8YfUmn3lvL1j69ephuvWKPj8C2TQQPXznwWWzD3mjStHJdH+uEpJEotLWteBG OsN+RaLIX5rPLiOXxT+qVqlVgl/I13898drWRpSLzxzslLXgN4w2OBtcQD1bQH1do2kf1lhqat/1 cd4+glXUO523iozU9vyzrZdbks1ytLcGpHb9yZWVarGoB0cTDSQSeqs6nFn6L20DvR8zjfqkaNbp CRQ/pNdPUeks0qoa3h435cgT+xTPMSiiJJggVUj3ebSIc5AEVdzC2R1VhyviINNHhZCkCjc+OIJG 95loU4v6c5bRzJb3XJUEb1ief7WUCiHeK4QtJbLpCwi7N6hVMnuJg2xc1Eo49gq4YHkHPKr697Nx KNOYTrexhc7ZKTgznCK4hOeiRtgY9JSbhywPyyOaJIg6pbC89OZZ7M2R9K/LtLOIykfwfe0NMhwn YhriazaeYixoxs9jHvkiRHFaDAn+/oQqPbKjCkWLKe0eL3qJA2tXhaOA5DZARGsCz6fCIIt6NovW pS4Q2qkyFPfWo4nB1b1YVg8tzjKmS01rQABazFu119uallKh/ZT8KKFEKhZLzKieGpcrVqj8at8U yTePFX08SYPd/DgOVZGDBvodXXNPH8MWK7YekaIw1ychg8rKhdP+/dPH+129YSCyvoqtf9NW439r ss2ikOQpZSywOqu3YLIryj71P1sWoQxCPVSBrTgJwYAt/5EqCuwozyWU0PEvVt13VEI6NAI/kPTJ 2wJ/EKLaa6rLigvHzojwAlhP1K6n8OGHU1eI189Z6xRq0y3VsclazAaBYc3Tw1GhQLEvFxJn5sck 94W9rUUONLPu3Q8m9rXfnn9hyeaCqHE3DooML9CxGXiflcEhhA5QrzQWsS6J8OryPNQ6m/dHGy3Z L8Zafc/sEzXfGj1IoYQp2DTFUAjKQ99gGkpvxCs/jSEeC/QDIyk7orf/TQgqhBTJfQoMMunoQvmK 2i2jYU2WnnomtnbReZuFyVAs84c6WJnyOc/xkT2g0j6UV7Z5+v6gGM0dx+YfmHX5q8P/uWyt4YJj vkb7NcMSGyg79LHo7/WL9NwcdEZ5x8B0sjflBxh+/bfiRbE8gTZOB6crIZXsoioS9u70HoczYGVb tIAF1kzrW+ocMp2wJVtD56+aEgTVy42us0vTAMFaedF4Rudl17eh2481p4IqGW9Kbk64XCyQfKec Q3nhB1if31rbK4foDH7Bu2NyRahx9J4GOYYoUvS3Uk83JQWX61ywBJp+GdBFvPvhgRkgO3wDGTH4 Da3v/5n1Z6YKCuqOp6J9xNmUBK1OluYJkvOJ3KIfSCx5Fplcm6o/1kRpyXt+oULUsKby7eKJGSGp Hm1H/RdfBIbUAxcEDUzXqIKF/eylJY3mrCs1sJypEmHo15EDsUaRvAoUA6QDNez3rS90uGsx04/4 m9KIl1SX2wnkfAvqhPVr/5LUp5Oo32Zc3ANnAueKc1fN63w7jF7IDoFN9C0VIxBgnMu00K/C7nCH AEA4GhSt7cG5yqELopu06skSK6dN94DhrntHAtd1YP03HTPunNIsIn/nJdI2CisUbX2wTkXz0IlN HKyqMe/IHNFijagyWfoWsk1h3+YfEDvc7fhBqfihJRz5elG5bthQPgqx79LBb/6QWyyxowiXna4n 2o+tfcRgR3gCjOMK6nBerm+ZJnOurJ1SvYKzcR+91e2YKl6GBF8XZcx8IXvU4EPUgWyKieXpA6UG 6siyvDsFnvOK2gd4mle/W1YwQAJ8h7Lr1vO7pFczrUhuQBCkYadTqcm82zoQQHuzfoUXH2I7z58B GIaTyI4Y6m8zZo6hB0AVw0NAj93zTOd6NXlYN/aXvKNgrX5riHI7Zfpdbhn8ryXVmGtcOb+sJrlo dhQjn/P2/IAYtjS0TblGBMJd1Z+2tQVFLcNCOiXFZdGCrXG3vz91RsTH0gkHmGGASUf150Ve02Sj +UNIqiYWhZJjeMHAzDwbrN9buvNF1SrBNw3ds6zCBY4mYKioKcStZ9Xya98/Mqr2Ed3fsBTz4JLg 9EZT9iLcjccj56xYktyfVdT3Z8CfScpjcfiK5ApA0s/tHi+UPsPSc6PBm1cV7aFdmZnMq0X9akC3 6TwNR1NT5v9biR4lIiypNTuB4tQ+JAPGjfJchke1TvtDxHrWyAc6Fh1+Dsg9ph8i4OMtRKPJpN26 DhrkL7f6IC08YnWWsoASV2Quyg0e20MjSiT//H9n9WaXOpv96PELwV8B83RkmJosxkmKEWVLKtNA s25uz2o6tVBFgUrjuokfJZMPaGl6elF9gyhuvdX8rN33tqyhVgdDZe1QcDsu5PhVBJVp8Iz2EP3r hDTiGntO+mb+7Q5Qvxk+lSxXG24nmkycz9T7tFkWj2+WmwEgx+skRyF+W62jy1FS7Ek+EpXYk/h3 Dqs4z4w3clYRgQfHXUs3/p6V1oLTtx5ebGf2Yv4klJqQYgDnbBt3PzKgRdjNaL1tIre52L81bpGx x5grcnr+BZKiS2TWBuETYg5ni2v1zO8JsGru/LEjTucdclnUqwUUh5akKONmXMVnb1KCplUOQWaq 1f0M6Z/zvgtlZjsyrdjoAWpqKhY98O3UQmqsIWve4XwqGj7em2KxVh8aJUQsW4Dl/gEug9+R9h+G Blx3h43Ii97LmB73aj4StUdjpEOAi3GXvfT8aRD8N0WhvDiJx4EqxXE+PrOiC3KiT6KOXKeL+bd9 rD4uEIpS6d2ny6Q6VzRjx3quJX4BrAL/1NVc667KJT0Yiwo+/BXQpG+AymRhHMC4a4mJnasm6xaH 5wzrdbicAD6NkGmAZrqcSYh/iaF1zx8VxrfFLVHjtnD2lImR1aUVmbg4zSyHUcb1BKqllSV09E8D 5CdAvIaEqy3+68ia3JhbtNcK1FEHh8O2yzeeduEz5sNreCCRueuz6VBm5ReOR8/d1vKxJv1WxmBE yPlNHw7x00/IQdiAi04dZJ9JqLeVrDsKtpJM5UbeD2m2yNOkaakwZUyvnxBN6O/1ePFBASWuE27Z Td8nRRKwojHz2N52l5CVtMp0Q6OWQ7h0z+N3ZFLZ/9hP9A4u5Zf64xgI0gnlWIjPKU4jX6UjYwHk b0I0Vi+l24kQ1LSRWTLvVrLoNGwdFxgpIeBPVYAhOeRhA9VP4lPYMQmRd4eq6bHlNBIE6rloN1gX TxNzambRCPfIR5jPdXXkAZ5ZdBCILnyPmtYRzuSfXi56ueHyz+m0SuuYaG96SeSrDcTutMhD+KFh YW2h7v3ClIS1OXdL4lYa3uwe7ER31XOClyfMAtlghWSHeLIsNcOlx1eTvUwy2Xw3N1Ia+gNn2YCI mykq/U9I1HWwf0CXaQK2sluKXM11hm1yEiEOVgt8oGVSGFsw8Ffm92S8XDnA2V85MnWzAPJ0U+p5 xOBMlJ2AHEXBp0an0RHf7jNnzJQlPQe2XMlsgXuBbevGzaufjszuQUI5o2iI6iFiN4N0+n9ryFK1 MRgwhM/aZ3xPMu6FUNHAHVsi54tH1XB2Dkjm4O8HzcssJA7zPh8b4zkNGphke+a9qzyPUH9+390e KdP8mb5nz/Y+aece7/5EYK3vVr9AG8eppYSgow6zZsj1yJTxQTS9jgAxXWYnEGTLz92K8LcGgjyE V8gIz95SdJysPbHAWChnJAcGyqRLE+N46zmC5eKqrcP3Xamx2Cxc/kubBCekuzOk8NUjHoworLKG GQ5Aua/AzvKdpVjncG6d7nRq9SSAJNDjRYWyvm8EfZCtY+H9a0tK7sns79C9VtBCnvSPOvJJaabK h6l4vYhN0sir64L/BOKD7ZY7DhSQjayWpWB+oIb3YMf6GohOnpM3ITr46pjFXNiqeIE/BMEoHPkh Fcy3uuPGQjeRGtjQBMWA9ivxy1eXzw1sqbQ1hfQZrXP/WwIcUtE11iUpXVwaOsFXOLaMOi+ib3dy u0pqph6/Ynn58Nt6+PWgn7B8zULOrUPmh8Oqx8fF7D+UfiDptd4VQQqtqIVK+ApxM0WNBSnyluPG L5B/onVsUlZ96ih23Qspo67mfo6XlD7MfxKS60u6GhDxxyhOIEXSuXSuYWYgU/XyYDz2dGDpk3g3 tzLQARKbVIFeALrfNyO5T01K/KN11AtWiGBUUY376q7siVYwto+PQfz3ObqHjs28Xm6BTOVv4sGm 1Ssm86aDAdtGTTKT7Dv/nihymUmyN9nEjVtBWzHFK2vvQIJVkwsY6DYYxpvIHUMkANNpDodXLSAw Dbe8fyc4LorgJ6kveDJcaM59/KUDLhwDpIqq0Ivvq8GpeKouVmWuRu0r0JFgi0E9sF5Hr12h2kIi bsZl+aWH1AXl+/8RAutyM3FnY1sHp0wSOrkQEoQUm41h6X0TvwHyxg74a/5NwadJwUvo6Bi01F+o x1xRsqjDI6l905Sqv97ZIx5eYEq+xmbaFR3oQKF8WFz1+QmnPWWhFqAXcY3FW0eo4p9T8N6rqqQg VkRr7CPhmh0vrRmFE0MQPZm6HfG/75/GF0ZKIjr1bUc/0YLPUH/rwHVSjC4X6D0SJ2Jt6MHdovc1 Z4d41yGbnEOgNLZmfC8JYx55JTlWC3v+0Jpa8tixhr6k1ivd5QkInwMOpGCSGbS2ekJDLzvg9qB4 zk0/v+NBonlyDIV3kD5rD44i1VhQaJV33RDEgHQ5dMY7x4KJDTAKYXtY5wSlYn/5BgXfGF6QxBaG 7RxMMSU0Ret7L7BJTQiHPQt6oa7bIEJiakD0ptK/c6epY66WFswaME1en/mpzuhku0CnFAPyuSne QQ/LFwdZLdgEj9YcwliO6Kr9crpRTnofE4Z77zCaKCu0ixRWpn4Shws5mqW336BOqSrx2+jxd/qE XHmfcZfRk2Z0B2owN9bJSoRjFwPSLfvlkfGgw4l6w70FiUiHrgDBNciCwV1t4n/DlDACLa47IDoH yPMIxiVJZkt0U/d+c5CZ2+uiUn0/STMHRN1GyTVYV8qmfj8F9GiCRyyrzKrfAhe5inz0rj6BmV1M OqUEzpImnOfAyiwGdCQWW75cvux6P1O28LqbG1oQIGKqkYYbrFpbljLI640Y8QazXIX8418hdt2P 3imOQfihFup7v354ampyLhIuaaFzULL8ouT+BTkFQUTtl90TTtvibLGaWGO8udtZdlKL0nFmCvSJ gVkSm72kcyuEasVE+0PCQ+vEMm04l4lIXERGUxMA3JAcGn/P6Xu/DqJ7uPT+4cDkeZzMj1bCptbs yi9lflOw9RRttN5vte0jmwDzomMRPOO5Y8rRdgF/Cho48PEc8XCnJ4jVf7B3hrTj0uAuxwys1+3S ZDWRwfXu7fO7C160+JL/ASRf4jWJ37sK3rnsndRe9cliq7ehzYdEi8Xeb0tqZ5lON1yEFtTULzRU jiX8bbcRiyEjzIWRVh9KTtR0jyuA03QwfGyaff/qWgiNkYT+PjAguD/AUSPtd1skW36I9KfG+pry UjzvRCvc9cbtxGv0D3lIiOGeFyExu5a3OxerrVNdLZFvjRtQUBDzGQRycwf+Sd3O58DmSfoaeffT J3COalZO8Z20FuzJAZAQXsDRhurXGLZ1pSrmZPlHPDwibkCExB8CxRxCHipwEELHZV4/dhynb1Z+ Qjzv2CU4rMnFu5aBJPnew7SNPKDyrOa4tdO0mNHOD8UEme3Fcm/NciH1WmfzbqzajbPs8NWQasNC DLMxQn5xo/CfJVEPeJaStPo7v/6WfuF4P6uXt2+PEQlxkNm1jVG375m9upLB8RZvfD7APshn25ze 83wpWsFw+odeWlNtOuf+McK9AekT+abnMMjkkbEP+1nX1+uxttXVCxXDwLE8AA5BRJ4Y1oCUvH0O CObGSmMgy5H+mDegEzefV5ITKN7eAcWqu1sZvDUlqkyFwH8wx9cQ8ioAXuP+A63a7c/qSMHcNFhd uZyfN+OGT4EwJS02MFUysKzOiUcBYBvbhrX6z7Wrvo5U7eK34gg3TWXSZfzehRaBtqRMv+k3ACwx g8yfSmn7GrY+jZnUOpfNj3UPO+UVFJrlwLHP3giOspDogzAsxJ1LAqoQmNjhbSQ9RPuu+miN4kn8 nMAaMUoNtY0UbREoyu0ghCI2DVhbdX9ZqmojZ0oiuqy0+ga4vUDZbRD8SlTR1TtCIf2Jv5V2+0Pi R6zR2EbJamjOsEMi5c3R+fVtV9jCOVFdTrqnjFEtoiyRVAUo2SVRo7tXghOspSbF6gmhp1Hz7xnc HEY+DZZr0ionkE1ap+YrEVFNq5amf5cXYvHWFYRpaoeRqIn2Vqu/Pyhfsp/CfpJOmnS6x/BdmzS+ X0mPQd/yJ5BcYy8TM+/1YSB6TLIcVFM49sUW+JIkbN/jyc3/CXj30sIGJuErGWjid7dRqYMesNrW XixScL/5Rdrbr3XPA8N5gjMAU8POQnNhKrFryYYB7WqOUu8ue4N4xiwXsaFZ1YhLBM5G48Ccb3V9 UzC8x7WAa6nJSquaIT7AXWp6bp9exDGKnRdMcuF2mtkWlTujuNGgGe5/TBjJildPwQEGrx2QJYkN YyRF8x8LE6c2N3ikcx+vcbnvfQVlVgjNZ+ien14uuWd9MWoXAGmNkMgKlJbnkNnBgQH4b7qAbATR Uc2b6mMwGuRPe+Beb2tosR+/bkwhEms18hSPQ0+LnhMFGnYzGvwwpq9P+UNf9NN82I6lK+m6Vas0 DM83HhD4o1YMpLWsO9PGtNUbssI2C+4x8Z8g5Kp6fm1AzdHk/wyluIjL1ANbUNu501ve3ZllEUoV sAM7ZRpA957fbeATnczMQZFjPl4o3T6g6C2a58LZjB0NjLh9Mw/kfJnzSABtcGylPuzWHpWzqH9q ZPqG2C01KDGbgMcONeSWHo++Nkx4+PrMLbUGfQKUxU3Cy1wSSBALaKc7NnXbtiUq5i2uXLHGOhnQ g4SpDapfB6oNtlfi/N2sd0kzEfXp+H3ImzuWvGop2nm8YdrovLyUVlFWjtJrhAiYIoCsMk97b/Ri osLM4DHXa6mz0YxjAt8fEODZuAB9nCbwHtYzzxGj51TXlLgrYh/QSrlPNa0iyzPwk0uHI9/sfcxI a4qMiBvD5B54C/0eEta2ubu3yv0zc5G+dCzi0/ciwD+v9aooFPptrsOqVmRIYg+hX8Pa6N09cQtB YLsySyv4DUuOlPDd0bW9Uql9odijnTirmCTrlX6SBXWxGzttSEYDmevVmdkp5Z/f1u85HpptaYTG 0zNGVFkv2bO3cJVQbRUZsg8u0AsFLr9uQAiAc7TowdxeICh+zCVZHBDgxlmvINTpZq3CDUAUw0Ob EtRnv5XOWxN+MHYxHgQsQ7yXy4nxsjvEfX+Or26asfeOdJ6wBhj+LWx899fiRj0GhLTSSTtfFO3u jBglN1YJKnUchbFtEY29fxwIZ3F/FJu81HDrUhGoW+3ZncBLyPaRVIWum0AZOFAZhyldjT6m5DkH PGXR2NeTMi7XmFvfktnXaRNdORFEhbUXRHkiymTb9GAKii4fvk24ywTFAY+TjSDu+ZbGqheCHYuT E76NlS0VhoTmLC5Z4XmJFUzntFxAa1TRPTOO9IIZPCCR+Vb6zcVm18Sae4zR/hZyJP0Af45MhKEW vMnQlP9DU2G1WQ9Qa8gbrlK7wJ/9gM8T8IFcpWXEUviUEXt/YeFRV698MySO43DD7Uw9fY1RfrRE UqN7AiexSVcg7iXb9wO89KwlspzEfxYtvKrdFxhop0OA1uBrcBwqky21jNufJ5jBKVfxjZ6WaOKR GoQPp7D0mzp+IMdcyXd5W9C3n+bbim6SyHFnyLn7Xm9rwJNTTNfH4L7fd1QkcBgVTpcBhN7rN9pG zcObq1SX3bLyu0ClfeO1w5S2qpIVZ8F4LuKJUru3dKffdNHf+5FQdw+y8MUTrWj/P/PiztQS8m4d nPYhko/cUx5B+qSwIGs4SHBgpSbovBBVQlaPv6Gu3GyGN/nf1eEw2jd8FxsuBEpksSnZSM/ZvVPy 1Bx7WUesDr3r9TJA8u4CWRLZFmR1sw+O+J/sYNNTITdn//CFoLFMHQfbWtFYnpAGm15bZVBbPgX8 BPesnhFyR6AMdYchqXgcGEw0qAACjci9j0bpLW1vTCrCK0OWCoJMZBLouVcwrDSyFfNhX72qegu8 Gg23r8X4kZ5oJa2PCj8qqdjULfsZEWwzzch/EBIPb272lVHXedh4M51Omusxdzs86SmHZJztOSpe Xv4jaWa1VBJaykBMZch8bRo5bhVxfU3cc6yvoscjmAXtY+0ufnTPrVslswY5goKanmh+w37/wR9B hhwCEIBnic5RakzJCamqM1F2Eph8LOeEpywLNBqIUHi73zOSa+0OTKQ2YgsUU6vgHInO5KwCVfPq V4/aFL6if/yzMXxORT5a88tB4PO0lYtm76ob/PaOFbLNAQMVms254uGbimIuzBQlHnmaWcMDQX8V EhknsuOlsHp0n7jfIApxiN6vAnAr77vFczUz6oclj7dXLcCcyfYbAld1xv9O4K4LzrGmFbc7Zgrx Dq50AMEI7vCKFInjGrxvqxoHtNSeW/KtmNPaZxKAtaIg1ebMaou12vTItH4jpegdgq2xeE/ssgr/ hOZQuHtKEMC94hmpWi/3rC+GE00eD0Fioakzbie5a5T8etFFWG14scK1YCDgMKKKoXZg0y3HM82h bLaR9wfhHkFHp53XFPsG1imN+0w4KYL5MxslRNn9+mIFTQqb/5sNw24RAnOAaNBrtyyh/t+BmDnw rLxUsXdLVK3FxhU3FOlUTHoa+SVmKIpMMFo/kjX2egVmDyQoI01BECWCjGDRdvV7x2+334kUT/TF wOabJMz5lQDjQ3LeYAgUPyQkXFJjbY/9NrWYgcpv0pUwo7FnPSX1LQ4F7iGReLJ6ILzxKqUOUAvI PoVAiFMeJIjv600u/VC3GyEFj9BItxdkalqxeRWDanoMejYVNmBkhnwvFDYwGVPI+dHVt3bK7KvI f7kuD+ltKXKQ5xPROmBqnMMdScTe5lpDnUJgeWlY3xTTxYpLw4AgDrEaFLgpumDFsF2w6B+zKmdG QbVlWC0yEsjL4GT7hE9x4z4RqbxeYCPbmHt/SyE79D+iG6NaUOpf7NsoAJLav2CtXjF88wiDchxQ wALr1BOs/m/ZbXcG22TuQVqgl97gu+Z+fbu1ywww0a3+XM4jY6PyZMQGW1jELbGy7xx9sfi0DyKG rCdhNqiHJWBWPkPDP/cnw6NGdZRci6NGNeqVre8aipKOmfveF7Th8PSau8VsXRMBrpY4CJ23YwRf kQmi8E/IPi6tdCgScxZNXC9u3cNf22WtGVoA6vJm8NqBHKSwwMVqIrrA2fSfgBb/n9X3KY3rABpa mSkG8Biu5MI/e1HcGmY5wFQ/rzhZ2MvjSAvupdiG00blgcmebwVNrv9EpMqm/HY4nGOAfyhtSSre TgTWjaVLCew/wU9er0yofFML8EdXhC6DLApGyraKQW9Qr7kbAVDGOVtpRprjDw0iQPcxZYaguvY3 fK6Jexlt3sv0SBREXCyKO18NQ1X2vxtnvylla6JAm03mq3u34en21ewSiSuS2p4YHOL8WANYQdSg E5n7l6fMPiG7QAEH+4tWvDGlHwtFMmYrt4EE3vHOV8g6bwQyRRmL230+MVApxKxU0ly3zwZ9HwXn KB91PN88Ul0AWY+P9knLMR02kRi0HvQdG9dfSfG8/oCyQDBG7ymZZO/t9w3Zv3ydxBKB5aaA+4Ns QuKU3GmgtibXa6jDYR/2tkAzZf4TlHEqJcrAzNyw2xsatqHxG4RraMvYYYOaf/3EBF9Ipz44AoqT Z8KO+OWoCUsIx9RLRwks4+qnJFH8GjcDRW77QG1UixUo4KX/TA72Eoo33fQpzphAd8Y1mESefPwG xsRk0aE83DhQ5x/3rnwtMb+MmW+7vH2AQnQtApsjrfouQfcpxKB4Vqwsy9bNS2Qv7K4BwPkL2MRa EBIgwKCbX3uvuII0aFeJMhWsQZWGGxDcro5wO21RMDXvCLmq+c6UqvAfOiLFHt8Gfau7nETxrvco E5hqk8HkDw8MWR4m9BxZH4ubfS4KLKwuUDQcX5xwMaiM+QpXwVIx2GHG6aLF1kVQM89gfVVNrLlP ENCrM2g8fcy3XnOw5HcN762qeixxOG7ePzgzvUJTkRW5g2DZBITdzAJsZgtMCOJHzHZToVqcShf5 3o4/10qC0FvKxwiyXc5VFQLU3aygn2rn33rluw7aYLQkbYlw8I9+yhJ1FM6PuV8/PJAt5NyKPuDP 8gii44FJ0tQP6WsTUGMhno3bxdwn4PAoM4n9IdYayGnNDdFtAZLkhsibasSxWZ7nzCfkIkQO4Re9 EMb2O0P4W5K/GLfOSrlHiQXZlzzhVMtxaG1n0eUbQVkJ4OeBjXk7yhIlYEC0H61ZmEd/FTfRZbQK HNf1Pcp6wOwZ+LPOJJ+a+oJk6AwprCyrrLYJoe6qEr5sLl0+vY9AVQT3QpxCtpf87q2wff3/5IVx 1soEcB9irIYv/QcfN7Ulfu7/vfYw9lAjiFqulYmqsb2ZI8Xw6I4i7+j9CpibcATQht66hR4Db/NR UxBItN8nVhwQ5q9miU4iYj05tqOSSQY8siEu/fojamSI5bpl8DaOLEarznELU7netLtHloS4I9sK CUi4uzseJSvt2i/iNsU6bbGl8MTXBQgmf3CGw8ukgAhcwKYfEZ5Ph4JrK9L/75tp+gz+ObO/wBdS Rk/92ZPVzmNez8d9lZBZsbpWV5JO9HhSS/6wxImQHiD8dLC+8eq8V5AUNk6xuQf+qRHRZg2Azh72 Y9PX1/DFS09IBYPcDHm/n5MtsIEevtPq+EdFs7hPRwZ6MomZPwMyj4LaFv3WPcf6peHNOWei15aX OT66IKiEiwkUKkxz2WPOvig32nwjdeNCSCumYJkw9LRNf2YJfsn0fVT6IhS3fTqUrqZ/peGHNF49 m6uuUNws2mV7bUq6243FovY3/D1F14JZ5grNmmlotWBQQKS306gKA8Asdu0bxaUXZtuQfRYDe1T+ +SQVzTcCWSs9CFqX8tE0wWSfpn7dz/Xyr6z7SqmiIbtm4nGOaBjleKJY5LHcDNrPJxGlYMHfH4E1 tn1vEZPKFWQn5J5ugqZLqK9gqp4AK6/bSNQnzEsY0zTx+lc1hFAFGTPyLDXua69t+J+qvmZ60Beg vDXu2r9m5y0SWLyHne63SQxUi+HSur54ypzfCyqnb2gIcWfr4oJqyF8aAWwP8dXuEW4CzOsh0MfU kIQMpKfefnOuzcZO3KlZKQhLXK6PMhEU2sdeJ5pv0wRHTb3anyloCR+n8VHM6r6UaR0rAl4AfrTd liQ1abW7BZTmbmKihbUsANdgVK93OBtKWzGo9uP7hrz2CKO4jTdXXGKJJI7Sz94DOpkNdm+oSqmb QwlmvXU92pvqCFX133GtvYJ3NZtbIyfAij3zLhLMO6VSrMSdv1WnO1jNwfzNhypBkct0taqb1m+z BItehgk6L769WLchTGakcHNS3Wp2s3FZs6b5Jh4mmlFWl9C0Qoy2FNRC3YNWXftkoKWbEoKTwF5n zlLn3ZGIAiM7m+aTwpPJ4/yDnjuhQ3Kb6lCS7Y6REMkThI9vkEWLGKZPOJ/xRbgSuP7mFpk9SZmy IhvG3k3id28JfHvGnvoN+WBRhs8rfAxObZu+A/0m5SlJ4zlHE6wDg3GMJV9aolvx1aRKwOtJ2iRF JYANSLgADpIz9b4p2kKnrQRMu6XI15etKJFG09tCfkRVAV55VrxiLxPI73SJshMJ0kQoRwBKQe6O tSifRU87bm+hcHTSz6RMqPDvcz9CzB9V+aeTShyg+wg5tNKgtkF1CmrtvQzcBDh8DyR5J6usvhVj jAGDXugSe9iC+UqJxDPYqnrWG7bIl/OnydRf8JiARgs5l2NmHCg2MTccql/MuuPQjzJLUhCbUsjL kv1VVXIsRY8ixzOCwdmloU+yyHE+WMN0hSwxBHhaRefJi9Ir6SmhkmtkT8RnXLQg5XhFxNB8RQaI 89ynoSB1UsT08oeson1wTbKUoPw4ND0SSLwZ7/tdLYTMJBJmuLdMsgUZ0QNQNgn/AOKK6Gh8zAsc 9DtNuXcwvjCp2umFI7hgIJRAymUOBAHdmLrNW3Ab7VHzkL2uMkb5EzdKgWTlvTCGreNYI6cNZJMZ njcLoNdvUJad3TgapWX8ufDcMldhTYCqslWsd5Zf7T12CoXWGR68xnkZqmn+cUKn05kK5nT2kCxW 3J1okzgbB5L3TeZAuBUM2XnG2+2jiX5E0o9MHi93Avtu/+L9WLz1fKkPanisyxHqCwK/bdognp8N UZp9g9b8pEZ39DGybENdS2AQLNm2rm725ckGrudA2gZlUgayBRaguAZTKnKm1JZbkvxpVpVWySXV xIrOR+jLgvMzKruhkHWZij9uqes6/ghTYqXqFQDedy2RO87++8iiU9ObrLThbRWytPTcrnFsDck5 wHpDQHSOxF9sfB2HQHs3F943xrgSPS4oDCbd6OPH3kYjGPxLtwyqtvOjkR6lSOTCragRx+ek0MtR 3wXv8dRNA1Xqv4YBC+tXoeF0VtT8a0YGoCXf09N+c+WbhHSyddTFJ48RzWvseH6o8+TDgLWYPPTT K6XtpsgUi2sf7wl9YsCYL8zX7bh9HWyLpssl+jdk57P7FY5fE0S7qlLJidLpn2ZOwQzZ2qofD79u CU0E53qAEIpTrJg79baUKOWbCcB8GfJTstQ/CswvtjvOIsV1dcKYmIHOSkIMU9QjYRfPqzkp62YF eTFer4vfly9tA9uPYUPpOhPsk4YFZHvckgNpk+Ns+gP8WVzDE/VBqEK1G6S+28uqo3V5OZFdBQqf AX6Bn5enc2tjzNxzJcN1LBB9IDjX4Pf/wbF4Fw7F68W4lfpwtn9xHBD92jE//Tt/tv0ULiTsHPFA txzMmPQhe7OHngdYbYZ3CnjVHJf9jeBif8lgmRtB8VuEPJBuHuaBPU7S4cUgW1wjamhTIBhpx+xU 6ZLWzykeWOfd6OpEDc/O/qn4QStyba8yvJlu5x7h6pbgykHw/tyGwPbvi5XPgMZZUdtELzQEoH14 rsM8/EakAC2DwPVxfjIiSMm+v208CHldDM5MBRne/0SZ6zRUFWcFb0alw0c5aaytQaar6U0kCVu6 OutkJ7bmqbW+RQQ93MLg8W7chvzL9EgNhWVXKkntdRpCCik3a7vA10YEgaMjzTKxNW4fWYtP/wPj DMAw+X52oXiBiVMnietghayZP8PvJuqQsJLb/V0S2JD0lh9X6xlcsMVhl20R6JGlISgYOdV8+qKB mjJMZtyiGOr/aIgcAkW03BVdBqJHZ7lIjNLagY5uHW7TnQw6FD/dPX+siiIGwQcrvVilJUTrPcX2 tb4mMZ39Ol7iDFJhEWraPJvRqyX1yex60Wte+YBrlAHn5jYcOqtBt0/M023MExNI7s0kQD8hYzmn BT6kKQ1LGYB33RvwJQ1JSJP6LvPC8fhHtE8My5RlN5LQ0DVM72HL1K8pFgdkycsQP80t9FZAeQf5 cIQay3lbgV90gGIzE6QT6OfS1vaICFewxNCRpsqVRJ0uFnEZrjmJV+lmhAu3f7ZDGIIn+ow72Xxx 6LvWEmUrJ15q0+ArRpJg0Fuu9WyN+4cq50W7R6+1RC8Lhwvv+Yy1wD9Eu3Xe1fcUqqeABbSlV76s 6bSXNcLD9Dc9YhHein/pa1krTuFJSyA1EB+IdpvLXTbulV0kcdPeMlv/G8DtE3IkVSo/z8DAVL8e 9Shbst2xyJOxeVZm+gwuwCZD7Pb8znD+CUMWHZDwIYfIOCkFxAu/reexpMiehLjFEDRqLMdk7RK0 y2me1B41sHh1SmUQgYxUxGfq1pKiUpaONvWnxO0VFePhTrdkwRJXOuGdL+fp0u4MPSdjBU4kfi5q /PRzfG6BGNJ8R88YUqkw2DptFj8i69HWCV2AAJyDmb/RzpmCnIuTThRQY/tTdegogV3JEAEUFFaY 6IZP4TtfPhc2EuM9sDJhEm3kuGY3GGGsq2aNBwpd/AAoI3rR4/ZgV/W2P01XLS0VLQx2BFWIgUOt f/PnXDDi+0xBQPYNODRa0K4mf/v9yQRLH7PxI8avx3ZNadjl/+n2e9TcQ+dwU8A7yE98CaaPPAmC PHhAoDzfEwXKySgWtdKzTHFG1MN8g8xJDGiFY+GImyB31ko2Qps5xs6FOQOVZQYo7n3XphinNo0f kDGw44bEl3Q7iBUakSJd+/hLCSSMgFvuH+OKJS116w+9dlaMT6a/gWSrv6FxM/GYUQoiC5mYlE+x ev8Nun+rSQ9h1t2WbSggOaK+JDhIxHutju8FCKGB091uCRZpmLzhJJ2e++UqCg8K54eHYXUDYlF7 UTVkti/I/6ABU2qtbVRYeTdUXSmSKYFXCyAME17LLioh2NiRCOE7PvE58p/lRFch1pnBdFktDX15 IwZwUQHMrlGsBqqa+fK/5ECw1FozWq2cJ+Ga0EMOFsFrrPPOQPCZoS3U259biTmQtpc5X9LFOsJO v01dwpfxjQMf6qV4lkmSf8ZaSaDPDWU5UfoD6fvEnYyqLP1/4/duvEDlwZs6QXRWgm4zWHbVLRlr xhQCKIhWVHSDQSdn66yDx7dqsWsZ/ZDO6wEnyYqkN6Hlylkp0lYXDBpDbS0QnH55ozR0y9tGbaI6 zOe59GWizlZ90EjkHC3mzizWevHfbMLvdUs1bi/P5SUizuN8AmsilEkvna+OIz63igDFY92xcTS7 P9H3lgXRNn5pMrbCahpeCRvAwXafX35yqga2RDC//psDTb00HCb/6BJQYALaLCkYivljqPofnoPH 6ok7Pi7fsloQhOevNkg0vZ6lwUp7xPJql8cKjYme4X1XGSF60TSMlMapk2Ar2xTyzlp2teNqtt8X ejhyLlCwqIIWkqHPnQKLx6g4BT2rfZPHpuyK769CIvRGAFdaM5OKyva27TxWi7RZ13vBdiUGNehN KnjE6T4T2ys15W7+Pb6UxKDsG1fkP1KqynPti6lOwR+OrI9M40FK/eI7DdJPKxcAxjunL6gXseMh ZTJnD5yMNXlmO82xmQlgX+QmFrb8zOM1z3weNWYXRRvb0DnU/2xDGZh54BNVLD7Ukx0bV6JqNGZz mVUSSSqYj6Gq49TdApGL+LP1I9s4kysmC+N7nZbq7qjWN6n+li3dKvSTgFE3Eti0DZ0qhwgidWhv 4ylV63FrTOiDU52fzODCKXJn3Cags4aTpvoQ6DFv3KZgd5KZmZggoXlhYHeFUWgiK4GNvM8Lsl13 3w4th9nD9XiAJPys+jiQG74GuDDIhYzumDcCy/AIMFIq2d1BfZxu9UeBwAAYguMh0CPe5NYx4ywx X/uAoV8REfKWtqob/Ye9UoyQKe8tx53A0FCGR+5CpMRKJ3phho2bXTGLPKO6g3/ioboz/J3gy3RA LR/BNJpK3d3seIqPPitb5u6S5PmFPyZ/Fuq8Hqg2MuLnCchh63yHv0Nws8V48tHVgfBSKlmB8vzY TEsZ5xs3YJGRcxCckKXBD47yfojbwXmU8wAQWlhp2yqC1KgJBHl6a1s+c5ismpfMNIIzwxujBfSG mcPb9MJCrMMQHJGMr07n3dRvmA8GTpxsME292OgBmH/Jd4+xxROqs1Swug7ME2osw5reCn+Ivc2P pMcDxl/ycpxTfpIUMSWINkA8EDAk4F7dNP5deF6CR63PFaVXRTuB12fkOb2IeByp/WjJIxd10xlk ouW0eCiIxv89SHFqaRbCHbw5GWzDwrpGsinr5KOhPu6yh+qc0J8juPfCzjXD2rwN4C7DOe9Bbw6P g8YzTj72EkADlxmNivpceYv9UDMAdFsGKQydBC8r9mgT5Twi9Pv5f4ESD5Pk2PgKCUYLtvdYHVS/ Q7rWZ1kWr97ZETkaw3p38Urub31z+nQrLawibzoYsfm8E5SpScIDksjOmFD1j9gXDUg+eMDWse9c UQmIw5z83/pRGD38X2Ts0ffEHO7PjJVVGzyb8aYmz++Cqx587Nj+tDKkQqavzxz0WOQDsqJMjjL+ swAZw28kWQNvS/qONBjgiU5MxpzqhLNfVVEcjOvxqZYXWuziI8BBdnOkR9IljH0rApvVW39Bq+5G F5r5qDBdkt/7X6qI0tVzX7Vd+oH90tB40k7cwmxeBfcQwuJgBQnN0uQiBpBV0IePPr2ZgzOzS+q5 le6CjoaFgv0hzhmMxnS6R1qPF//1XtWFyvmBI5iV/xo1u4QeUj84SjX5hOesiqujzlLX2u1yhpgk bUXhCBS2Stw5FhMaGyJWpz6aea7IPL1BIt3tC98tuBvokX6p2zagUIXNLpCIZk74FYqx3iX0XFRS e7i1u1c2Uif5quKgSKu7SM/vD0fZ8+Rftu8EYV8g9bWTIR2YR9u4L78IQetuCV8bsQNT/L8T2WyM Ekd8ZyPhAk8tfUYC6AxHvhkeGZONuLBBTt/odJid5K2ad4PKxELon6EGf9wjn/Ej6RmgWjM805hx bPXusGaWRELQ/+S3a3z0SBAsDmsagE5ZVlYE/IIyaT+ev3SPDLt1e9vKEvfZVGZNH6ozFK7EoRaA E4tXkhFQ8Uvu/yxQ7XMkBfBEDn+Jy//NbkzPFZdDhZEZh6vqr/MkPJuwRgv7ztFKd3nXIBI+tj5g e4LOig1uH1cscqTw3HZM3IVU2JISm17FaLvyYv1Bfyw9ErhcfkHw8XW4k6soML5qf7Bn/GMQwm90 o5MepYof4ffwTmJ3DNJtdbKOPDcAYuJFpit6ohNO2LRTYdzXykLVPwgTB+7CfI/C6DtWAbCcYEqm VnmRY17wsHW+bfMznRMnqOFBYapj/hVlICSrrM6ByoTUOEARFZ8KnHZew05Og9FElwHaoZ23hJ+3 KEu47yMVI0PJzjnHiYw3Lxi7ASF9KNUnapXrbaeCHpGvMGjjJUcEtqxoubiO5hUhHZP7idMTRymQ a9hgzloIcvrCATWZLke6M85hz6mo/mueg15COFwuBXdt1leJ7nLHHsCm/AFgsS0jzSxOtXz78rNs 2zKAzXMPITmVbDJXulXlsTNruH5ttpOl1e41BwgmtxG3XSRRBwRu6sxSI22Rfj62SJNR+j3XfkyM paCJzFZJRSQrfexT2elVr8+BZZhEMCOWjdFuIlzJ9lEcjYk2QxTd4qxhG5llDG3jNG7KCdRD5Bxt ZmDySuz5IZxOwvbDCdF4TzUMjMBI3klNjRk+uM8wNybXPuJfHl9ZFr78F7Lyo1r8dU5xHxY0o0Vu cf7nO5A6CNhvfTSkF5G8nHVJA9Jb+kY+3de06pSy4/X8cX4MS5BbDbqm+AYoeGIqhxct2qALu5Y0 LzFWgtHuMDuOptdYLxDpHBVik4E5ITVl4W/jI5s9uoMPUrGzxEE/lZqFF/Yg1CJSyczhgP4DBECm MbCa4ny3D7wMlZOU6X3cxefx9m1JtBLK72ljEObPKw7fl3UgkIcJdNtmewy5KYM1PNoGPgE4I9dc cIWoykrxw9L2DVBg1xqeRTSBukQkPsIKAj1CZEqXEpEQS3qvlK25Cb6zPCkN4hsXENHlH9mb9Tpa tFOL6gBRl8Iz8fCSFYpZHbyB6OAoPuiEgi43JggTeMi833b4QMcgekERF4JfhLBPJQ7QUaW+9pmf bzPNrBlpfa98opirfswTiYcorESNiAMTl12ppndyRb2UhXZ17CrdRJxEdBUXgmiehbrOc7jmbwwh IXEC1MkkC4vxpuu5K4I3oT205esxzKas05PsmSQErXiZNLhZsjaSR3J/RGm1m0dNLcKShl1BH1Me q/9ERHOxgnifPi9VbwpnmgGxParlBKN6ChAZ9kCS0xre84ye6/6I8VkG/tDYj7G//q5zops7AUiV Gcln62rS23AyoMfLbGwCpM1ZGszoFENTsSloyXAMrXPO476zArn6NHOL8mJjxTo19okUasf5Gxud MYoV4kzn8ErOJQl7eqhiMjhro1NZiYnEGEtzTSqaq7/O77NZlB0Pn3YudDSZVWdV2YoL+pmDBcD8 Is+aq1GyjztgPOjc3U6xECbCmVmHARO1iTflFDBJHlAMtBaEqjYn88U4/TOz7NOtPhYv68ROtLZp 6XKZFNx5SbgNen2ec46nFg9bWXaLhBz22Evmgc63WOJO38a3bD8/AlOeRcdUKCSBpTMqsNiiiWuG +7PfvJvT5KP366Alb/hDDezsmVAt7mlRw3vHr5BTghn+uMXzBfpvwtra4eWKkmZgC9X/St3LppN/ K561hRv94vaboP5u6432MBrmpodV8RdiytuEbEmwuqI3G7tadYM4V0zf6mt55sTuIHbSdDIdlnvK Vr8Y+HMz8vlB7gHf5ajZRM65AxQ6x+8CeJcVyka/l6QMGkSdGTvX4nRPLI1gGTSx8Zlthhh16lMz eYw+bYOmM1k0LI8NINT+GElv7W0FtsnNhKhe7nejEhAz/VnEbiJkP+19VSIqkP/MvNDUIwLrwE55 uA+OpHqN9YOYMWQsrV5Q5/wYIAuCjAmLzyh/vsbnSbBJJSyHwZBqYtZ/23dRrGGw3Kf5+oFJVLV/ qtEqX9WEEqK2Hp9GU2hTWlPVPpdshiwc4dZ3sgp3Cy+eSR01BTvVknMfyEvsa7CP8hkG88Y9T5Da qObY1mrm8nNf61U29LEOrm0SqlSU0OPE9CCT/VURuxhuUFDOMflGao3FUdXkmJoiqFxOWi8GxDwc PyvyrQqrza4eFJZHM8mafsaA9lYojGIVVFoZxCzZM+jhMOwowwxPv7LTW8A6xlbm1g56A9QGRlcR 7kiWbIhRFL4wDmQnt931wXAZ4OmSnXWEBvuE2iebVYIIWDKyIPCopSX3SFmwO9sHmfCYmS/W83Yp SI3LBF8Z4tGQuxpNlXyrH+r2ICiH/Bv3N+FJheNcMyzkTtmNBQqvfssXEHdzyLzi2jXj3sjkOXug bHNqSJdSrTT1yNzjfPJH2aOfynIqWqeg04Oq4NQKdbVEBXFGv9VXPsWERrd4DpTDwa0y33zp/hb7 G+QqSy5Z2SBAxQGrzwSmmZoEUaKtqizX721aeoWuZ2WPhXuIFmcBYOLfvH0RL7ph80UGB7hhTSBa xhRvSTscQGBno1ftcTzMs3trVxoMvqHcTQhpnXrqrHEeBQuALafvrObTIfvN5pHAXg/sN/ELcYl6 TH+6eozpuFubnf66l699HPEEWvPfg5VcGbTcS0smSz3ZII8iuIzeMjbWfUTP6+nSpR664La8pIiU 4OcbStgiiw/gIM7WdInnFGDGfdtJSBmmjcHkX76XahG8xmVObvxtPXunE4nrT/daOiU8myva9tA7 ifbxR/YG4npx4kqXoKJTiWCgmlwd5RkATalu3tkA8mDWqO72BKaFocIBTGHdRDpE1B8otHwfy5Nn suOCZfPi8O1vek5KWg5FjCGvGoLDIHZ80WRSlVCs//kumezL0mkOt1CeGEmE+Gz+9Yp1TaPfYRtv v28aWOHPxQx5s1D90hpF91wYneafHKKoD+kZcb5mIobm+L3Nj1GMFEadtVnzGqslIYyzbKk4AcYK aHYu5Ua9WuYDwQkVtG9IUviipGpQWZynHbBRfMpugCYJZfy+VgnIRoeOBD3kceFlPA7Xyn8xrwvI 0AK/RpE8ZPNPuRl0KkRoMvnave3NqvLkuXk3D7fJt+J/DfVm3bQxnFEGrQL9CjwrQAZbYhDeiLWX b6fz5+dpvloT1NhT0386YqzhFKysVuTBuYY+2r2s19tVm1HZidE6KFgiqqpqxI42tE1b2HYyqfKa gyFnVEejdvhSqPcfM4f9FhTLuYlCQYTB4A+1NxITznc9MjRmOVADKM3R1668ahnPMXQyAqFaDxu6 ggZafNLhmZkADiWV9oUBSiQF1nFTX05aMZ2utkQdaG4mgIqAphjLFL+G0uHM7SZhcytNuc+WSvu1 3yWQpceE6ItetxWUs5vXjZ8jxUowhMVRQWSveHr4b+pCgGkiAFb44I+mAcHyP/djDwnKvj9Ld8Og oCStwuS734rug1FJrza/buti7UGimhEZ8m0pjkPB3evINQUR5NIaFXUodl/WfVw98mVmPUEqvo/w t/fKM3jsEkeQZSZU/Uk9Pj89ogGBWjdfvvGXoBFnpV3Rf/MmgP6iEFbiXTQwHtCl8J5q3sSyFDnV 1fjTZTJhxX5p6O7gpH5ftqf3A5FdW+HWftJtJacBcqhswFcTU6WUL40sDcGg2bUmES0F1gEBOyJV hc2TEhtQYxCl/5iclRk1Zwqb+ViktcmtVAHj8stvJdL5NMFAwVz95Kvml9kjl7bBF8W2LCTfVo2a T6PSdmyL70Evku66qPMb8r/Rr3yRNfGZXo02tcr9uoiAHkzI5+BcyEsdDbFMTLjFsMNb/tUyLiZk Rcig7C6YfyQEM6TVgqzg6XpLVUu/bONLJ6yzZORxI+kgniuVECbNbw2zluGj1+fVr128QK2jq0oM xw66fSrERZfGvdhwsZDWjwiw1E/OzANK0Flhor6tvNzYP5XMbiPjpYdsCZuBuX+wy4gx3VRVzzUv iZ9OyKFTI0PqlWUaH52meGbrQciWYUoJaFsIG1+A/8X295Ts3DSk8GVmDVjqDBJRVVQcwDhEzZc3 6QQ77bHa+MtZe1pE7dBj2gVloO4e1uNYPhhL0TUJCpK1DLucYgJXZy+LqDsc4D9aVOSZ5MkSBTk6 ythEC6MTZw5wC4v+zW6m2y53F6WnIQegK1yjpIA8GWv2DM7L2tvZrn+y5r+91esL2jqjp423ynZV +zXmK+bQZjNYp64DYdOcugJrhN00xbFOAmepZ1HHmgW2tS29DDRPipEQtdFvui7/421z867HAF4v MWyiLpTASl85p994RixE3uRY/RZlpK/QJIUOQY8tW7iS6ILrMdFC4I4hEyXNOmbDwjPyYZ4y9gW4 sAlkHnHGNlN38DQ4zqAMFpGbPjmR7xemkraqYgqqmqXdg5kRLLKHUc8xrjLCfsXuqm9N3jb1VcY5 NfjN/s+cQ2bs2jJMB8Fg/sFYKUBSwlHJaEosvnXrMs+RXXd/N6waLFFDMbQ5o6Qfo10lTmVoAwBD HiHsrsze5UOT0+uJxuYF6Ly4VYtXChH2BQAOfS00vxZ5nWnf6w1cdEUuEIhqhARcfIcM/xzl3SR/ Y6/jtw/0jKx778jeNv1QGktuCZGXmy7U+Ki28/7Vday9l9j/300dOMMYAifMJ/3wo8RybYNB3ZpA KY0VdaAfP5FWWU5h2exfhUzuxucdl8vub+/UW6XDvofqFGePLfnHUokE0uehAL+bhdew6zf8DM2n xjgSnNLEcZi3LytrCLMuxkRyPCmCP4X5JvlWr2PEAtmGGHmRaMNGarfnI9sKDlK2QNjnEn8KZyzE hgS/xwu+Cp3BTOk37AD02t8/eTcIQt4jc+TXBOLCm5ZNCGiMp/cuvQvGEwA0/QTNYQMugFVC9h/l IgNi16SSHWDN7QC3FrVlpsYz3Ip5I40F2/Ar1wvb4qFIeNsGoNQ118rFdAoFaQgEI2rLBN/NEJ2S qlxuodBnDft7ukCbwvezUv6ANFSgpW7R97U+It0/x4afp2DAS7huHnn8RIQpDskcSCeRCKftUVxz 1BDcTe0Fbzqg4/y9yuKndkJQMJLRJtpU4ZphZvXn8qOt8cIlQeV9T7T8etu4EvQN81p/nLwD5ZYG fn0bWUV3tZFGky7TakNiQjn//jmsvWQYdKu83EYROQzFWBGGn7jkB2qO+HtBOfuFdnXOeXSuxwmM sYKTGokTlzhfO/rtuMvoCxK5WOqNt5oup+X9kiFKaLQDVnWUkCPOxwCPDF2sZxcNSkmlDZTQUR+r qF8hbH1Zti8/1WZuGPwNG6KaUUDeDZgYSRoVG0teWNlsSkVBgptbK27fz0DYnKToGntSgBAaimLt 5WJV91qn45wUeoPdT/BSmlzPu18DBuDaA39H1Krdl+5WpndgmPRHDug1kfew2bTkpQ9b3wTU8MQX T6A8rdFwMeJNpJbidyzsgrxzLPpqCqsdxkOyBjqfkUCus54WrNLo5tN2kRzSRFpXeLKSQg13rcSR sIMXDUqHm9eiv0crqKXtvNkGqIfttJ5nSkBX5AAfLojc7kgNHv/WNn9Why4WjDOIja1HcRLOdvRL gNSsFexwBtkteugaZd5kbr4aXzdhOOMRiAwG9HEEWd+dIS5GA4HGbxJL/faIS/ZX5De0PfSb5nZY O0BaUSCo8KbIQ+HXzy4M050Ajf/IXxWbqjdPSXNQmISLNtzRP7G8OkuF14kKOkl8s+/xuZVI3aqx V+xlEmmpjl/HRexiboVu+wT7JM0Kn/tgVKROuBzZX+XNRs+y8oVmru2W38RNCJ/hK4zEQGYh7viT Zt3RyUra2IM3hW68/wsKkQGZr2yWDEtutkk/Qm67gktQ73WSLCOALcv4E5KsPUeE+y36ky+/Kv6l 4Hlal2Orefxb5qyImjdRzl89x8TNBn7JTXmiw+Xrk3BI2itoKFhwl8HgBjzkEEoe3TjOF57Csb0d 2fQAFFdwRjBhXUkVVq64JV4b9ITJJ3y3ZFJ+yuIXhDd4+d5xlAXeb7iTHgYHjsy0A0R/9fO357mz 96eZoXffYbDguv7xgThcOBj/PqUWP8BLtxAnAtfVC1nFEkm58y1SzMLvTz0mPr1MP5+njRoUxVTo 7lJO/iq77k/72NmNLZZIBUW6crOII2IszqaxfR4xBEpyA2FyUMS1KOSROwUu5z3wZTJfsRUoftR/ j21QbxHsCu9738qTZnezceA4nhZdymxGjCNqciW5HR9MJqMSqk0drikIHlE+1IQGLXqC7RPpY3km DZiX6ZZc2VbyhHInfApnAZ/WN6ve2zjWKzrT1Jca2V7K8V2ch306tRvai8pOboheH+wGqf8RFewb q3Tg2le92HFDa2JM2QkSSOWC86nW3xFtMF+/pRZcCWGmB2LxtNqQ67L1yxKkWsFQyvSQCtpbub07 FxORixd1MOmeaR3D76eiBV2a6sQNGi+lxEWPx0NmGwHxasomQh9UKo7vFVEYMNwJON8k1JofHTt3 a3h9okf/fZhthyIMyiNbP+4MmYScaJ2NLP9vgys6a3ze4hHy2FUJveD3HjxdDLXzv43MKcWmELCC JszerDq6zeHsQTAIdpy5WEFWEQwg8JPzSiXWR9NnL6VjEZRptuIOzyXwLERFAsinFOQh996t0Dy6 hqSbkR06SEOH00uQyGFMZjRftDSTtVSKvbDZt4ndGqr4LXhOtixiaMNWsgMcYIdP2rEMjDmW2yi/ KwObSl3H9L2Dl+03Ev7EWdlnSnb6SP4piNCYs01kTyhIlURpVz5lklJ4sYZPiZi6vDOgMiPYUI+v lrxyqCQrF+2qdL/ZtVs2gK1Dgb43k+j32/hQIZWu/XwMbFwj/MvAno3v5WQgmwZRffvPKKPqL6Ki +zeVoIT1I1pHenuVHc51/phy+NzhRg5pSHsYBbacwV6du5ayJwW+ho9hW3nGvXGhkTWCsQA25hTh UWWbSzbfNBcxhwHF2U81iiqFrDpZxh7hVzL+yPUNKyqSJcBNv/5yQiBc9QYuYMmrBvkUeDxZa1RF j596YJZtrFCPOdkY81nJ0SpGa1F8Vow/ui4bSTX7sNMvj+wcPU66Vtf7gkpb9XR5I+eiQsGNOkF1 TMNznAbtH+9a4TmnqtBzR2mCl4YELzihNscl4+VfYHUd0MUActbJ8WplvfxWsj35RKMdEtZhol4d p8cnF0YuH8KFdJqTGngLqhU6CzfHMulQEh8keCF8ogho9XJTpc5aEAvYnPklUgfnalcumIsdwqVx LnOOzY2WF8usAqveM5jpWHnvyWqeluAHVOPXkAE387FQ79SkKBDxiRQEfdxVfMMQWoK9BQTfHB1S HEg8CYsRl0hpLy9QMtaQa/Ug7zxOaxF184pW/VGP07gEPgI7FEAfHBsL+WSHhw98bDd2//XzFxPh 42zkRi9DlC/f0B6oYnY49p0RYEzxDxc4mMdLgSAHfhJX7tvEm6WENBAlfM/nT82AL4LnjnqXgvWf 01ByMKMoS+OsQ6978JVugchmR09TMEi3GX7Nb7ptNycqdon3IpvjI3Heq9B4su5oHZRiidegFO3i O0FopYbaGGpCN1SXi8+cvriDYMGXFSTn/WwMsclttCu1zwg3nxD8r1kPs7UgIpLn8wfcRKe0gYU4 Fsy+C4rCWjLkKBQl37/4vu8yOt6RSP2iVOKigBlNkyjgS02fwkhT5j5U7w8XPtkezf+zqPj9WPMI tOOqr8iELT5E8Tfe4ybLeXTBoFuR57IhDCwz5qmClv6AG7Q1/tZepEsYgV11mX5GiOgXCxbdclG3 x6J3AEgAUJ5fBILhr+WydiJVV24svhS1I4nAopnCumGURGTTmkqVTL9kI0A8OP6fm1eGMDTe1M3O Zukjl7bS4u5e5sLZqOIYOUp5pnCgjwHRVMxzB5bGxnhWbgXFWWKDGj/ptwbjCndhzCrPnmWveMgN K3gdtaxd2cflzjyRudrgTls7mwP8MC9Ue7lIit9LyciTu86EkqomGk/oQRl9ucpIvNbaB7uQyDcO wnBvcSZERsX7Lje2G1stXYuCrl2NN5Ukmt75Svi2co6DM9Lzwg2y0nobOJWDYPoBRg2bqqJhEGht EBIGzaXwuoEj0DSZ+rzenOrS+Akp60OWB5PvOjK0Q9ByuHVPCANXx8FvfO8YZICwaJgnRf2svBp8 SPfLOeHpBW8CY88Wb8KY7Nfz4MsoRfvw1N1jFcMIKApPTU0shNAgXSVMPxcROUFvU6WC9yGV4/0u 5m4WTfAD5vTOSxrSM7Y2dHcHqnxdp61DcRu3AuB2BU+vchB2Fps9myngUzfWYBa8rdS7i355/9AK Xij4/kfIKppgJquPM1n5xHFL8ZtU3b40+pb8pRxp2t2PoWhYta30CHccDhRIodRYZDhQAnaEVyP0 rYFLtvLfbtfzmT5g/VIioM42m00nq7IL5LgLJhrB91kHILUQeylSDz5+vEeNB2KKnrMGjoCpoj0u SgZk4JIFziK71lwgpIgpdULc0c/zK30IaZcrvaGmdFLOITTZgYAdzgXcg1N5CJjBsS5ZS6PvNwdK 5hD/7Gnqt9luA4Xd74gzXTN/1Zdtzr7TzJ0hIxRhLC7e8JmVdc4rTutmTApazMAt54cTd+ULk5iY F6YDsPYjveS7+juULqrA0b9powvI/LW/aD63rpyd9ohJqi8/wA4xvH8UHumw9ZJS1UWDcUtW/zgl 3ONF6C5U34emMoKLQy36PoIzBq97pZ86wqcx8pa8Rx3rnfqJxmX3XB3ohzq03M8SrG/VXM9UjjhV J+JfnXQTwmNDcSbxAbvNuqy+ixhNKuENrdoaCe3gcggsdvQNCldUTOkqG6ebJGoPOQTJkN1kIR85 AvoUWYHtlVSNObTyIi1VcgP8J64bCdg4lTuN+t+Qv9ZqQdPJYEGvG2bcUNIvCOWHZ7OjCMTDtc5d 1vIAMXu21aIY9V1gK+e7uwmIOefoWgX43bwIGk2cyRzTS56AbDsolRFSF9BhvuDEh5bb3l0fJnfn JUip2TmwMPCs38u52/vNTP7FK4Dt7V8+iMxr/SvF3ZbhUKtj+RBRN75gt0k0d0esKUUMBNDhTJS5 GogAfOXSKlr11W3CzwFfcqvZhzjPgbFAga9DUoka8ouLFWXMQcQqN56SotDV8LkwErekaebAzHmr nlaGmXBi5pGx+pjnZNiGHvW29HuP0ORg6Yw6ZDbM/+UNl5fomCk9Ez9RYXe99lhgxeJdE/m9fSDA JPiDoQGeYZ+Fb+njOeD4P6Q98oadgRP7FHXGVOTIiiCuVgjKjwKAy8vTgMBYwn+rdnETDb09iubs JblDqG3Abq8tjwBnEJQqnFsvYNxCOSsdYTjFhG/00uLDHh7cN7B8dzDm3isXclWHFJf+gtTURKEK NbQ3C1z5iJRf0L4z4rbGYgWx7q/dAl8o7tw3+Jbt1yqkFlKWJvUf/oqfL8PG0HX5iARQ5HM+MGEz U6Yt3uNZ0RLoZGK3B/tON6A+4Wr1Wqb5WVtQNxo/rPA0mfGmfa2kuzPv/ioP4yFf+wsmCr4PCWE8 z0/RHoatZhJWzRXyvkmdRg5eMR8AhLA+jIiKKYYkloD9K8cgacfJuelvlKdmFkvSeTDgtYNf0XPC 8U6eqS4Loh42qTas0VZ0VQxsJNVNyvsReZsjb5iq1B7YsdHE3RHmkyHxK5Lyujlrwr98u3QmeiwI LnRodWz18uNJ6l4qlet7DyXr7BGF1OW0CfKcAMutt8mobyEeOU141OLL/RVTRXKt63HHUA20UGry 0hpWiobBqiHpTY5HK/IEg9PCW+M5MdIFKr+c+L/Tln1aI/S/Pzbid6FqFXFyBU/GRYnHC6OVPf0R KpSGk72Dd9B125Zu4Ro1Wo1OwVK1AWNWU84EtVvuMR2+2Z+ee7eYjQLzFwpKLRZIrd1YYXuG3Tkf 5glYME6FraQRpidh11GOCMzBoQ8zAVTMI98O54Sv9zxvGhTEGj8sqh2WTDUyMoUGktuCjRnMioDG oXl3ga/5uJ9zg+O2yprvXcyg10XII2Jmr4rLqwpbsMhE/oyAJkdFeYdrcwkiOk7WOvMmAQOZ7IXN FPgX8ED3zsBINrpDXdgu6fqVyAXbs8nBQH5SZzbdRVB5UuQE5Y7xlazL+HInVxZilGn/lhPNMN7v UPSEHMLlcBGmFk0M9+C5YfUbwJwyqJ1P92lvihYYqdwwaprjgqb4nAX3yos91By9jsoDbCK8l+cV SR+BAwv5C9s0lLlSlDaMdFJHYcshQRmvmFyJ6rbcf40pasQdfCvRMpWPQ/vFmK696PNhWBV9zrxf wtd80nkAuBq/mgSgm7/FPR5ednNbvzPW6SJmzzUhSmTZ7EcgAwFK5ilFmqqyrAvbX3AIBmb7PH7r AXFUMEualGm2OJI3dhnPgO7jBzWBld2djz7mxA5u5y+ELSS1nuC3inLd0Of73z+7mjzk43a7jD2l SQzJgIo//+alYPcFjb/aAsXM8yR7KfG5spNVKs2rrVdctYLY4U2EjUwS/lSwJ+9WLB2g3Ti/Xziq 9Tv/9AGuF/CxBQ0AZGOu6Js4tyRjPNT+GaO43aPkL8n63PLJ/k1DCR/zAzE7wYYfxR2szY582yxS xR0mk6R6sMFet0WFhc8WR+H18Ac9uUABCfUQu0sQhwB1omTDpxwDe+jvGbgLo6VBeEX5VM6ynEWW 5T9QgEHsu8CL75+QXec1vgRCZd1Fs4TDPm8M+D2lBxQWQ79DAMchtBXV2M3UY7wC/sN20wyn+t0x jlfFe/azr1lG9VJKa6OMg5mFjeXTDPdPl6+jjdXgqcPqDyQ6u7ZcpY65pmk/2Hcy5CraKhIJhBDw x74NIOG8KFXp7ySHs2nxoPpi+BIyUfnPbJrQoaPI9i7kDnA3u8k9LDfHdwBuaCiBuL30ttlQjSPD h026KhRH5bGCvQo8Y1f5K9JFv4y9ZAV4IIvg/CQPcNZsczcwv3R2eCNqAIpwjKUYR3MIvDYhemp6 dFHyuzWEd8hpKctSBEcDAAXJ9MIYS4VDsvGxoOxewz78VQU6dDQ7wZMwG5QjwJ+1wMWtnHMUfACR cWY+uLgmiwM1+cusB2mt5nRS8JzfgJdlx730zC2lBp75By8qyNBs8Dc0FjRwI/Bqr+dDdGVr3ymN zYiV2EmFupo15/qZks8XNjVbEPEEi079ZTLqJH7niiWgNQs7Xn6O71aNZpRus5zBMb4wLAXHhkPJ 7TFYfBYT8/crHRP1zkFxf38TpffLBsYQsL15vgU448Iw+5PRF4/STM+JlJgfRcY1PFGtR4UBZ7HV vFXNqBBc7Gi+AuhMzJBShOUjnHgolecFy4jXSBFtlITO2mD05V1CjhAaRm+uSv5WkWu8sPvctRqq zPKjwkmk/BsW/9hABPl6Gpni26CFxPhx3Or/t6ejGb1NzB+uZmWh89kRDrTYdxJnwwfEdDm/1yE4 v71SUtBTujftLXV47NHT/0wZlRG0ySC7KCrRPYMBupAaOYNY70k1xaUZlyRyrjIx5OxonT9YU3L3 O4VeXLRLp+cZD31SpKOmhHAtGzwIa/8zJg0h77VPnyRI/9GkbuFR0qllIBrwO3H54ggWix47CTpT GBcbZ1JGZ+1zMk+etJx2cU9UOF7csgVSKbfUTVX+jggQpARJm7KkoVX0N0pd2CU2LhUyTO6xIMKw 7ph4FiXUAZZ8NdEFym7q//Tz17R0mVy6+YVBWd5kzbHEzvjaL21N4ahgbbj58yriCnoMoGpspaT8 uRjOpY78YQ8CRragbLENIpAPTQeV2LiBYxz/dQOaXxECVe7t1PzClPYaK0UpxMMPIn5VMbe4Ntm+ jUe4G1sf7yCq8qXgki91tikjMsP8OFjj0taGb/019zpkl1Rki3by/xae6fFKyDd3i/cok4IkDfOr kq9J8c3s2W6GMc1syyVcRagZjU188YEqkk+/SpIQRnJS66PcjtULevJdnhGatjAmp1dW/lNt/OjX d5Y0MUDegdTpRaQxoFRFW6d1++OSF+ZZjjbOxIHaxfGh89Qy0t08x8VpIj9s/TjIaw62EgrZa6C+ SlJ/hWw9qJPoS4TgZtALWd804Had2AB+h4aGg6xygumBRJCD+cSTMYK+ZJwwHw85FY6GaJatcudT bVBwpVndRJxw0hBLqCH5XH8M4xM7H97QZs7/JWCQt9Mltva5k7Q6Wn32uK8YgnvLniTOdbAP8Xc9 8uC3KZeItuIS2S4FmS9zpLvRl1kJ6w4ML1xMOqbZGa/sSLz6mfzbdFjp1b6bnzR4NUB+Ihak7PIH oWRymDOhWUR9X2lGhdZKpBCi2WvtJYBxLCBypzNAbo0nK+L2sD+t5Qm/exMEk4v5w43o1M5H5JD+ z/ZHHepVFe3QZqtUDOdKneOw8kGcS5Svr/zO0o48/JSVR/ZMfqM9ZXFZySMuLEdxjptAc61liVMT z6BP7a198RFvyeVjqubeRbxUzlDX3UNPc0RTq+Z7aJ87ZKrfKC5qpNTyon0gF992Z7nJ8gjzWBnF 8ByIRPQ6BwZxlw2fOUVFF2SljaifonKNfL0Vs1FqISV3+I5ORx9AeAqfEb1N56pUQePUR3TcCrt1 Rf9+uXjre1few0rQgwDN1rd7jxv1fpBKTJxk+c4cQqcJaZJCx2SwUWNG/30hVmPkOfbLsokm34z6 uO3gCEJoTjHnBwaF518Mt83eR9PhsLJf9d+PbnSRM4JRx6WCgkhz9FL+TPseAmxddqJMwXunj/7I bwTlmLAEeFeRXgyRCN4j4IVWew7Ql4VG6eP0PtSjJJdD7qxnryQVfDDYxhtpzo9htRmZkN1sdJlD 8GwgO5eBU/0drfUhkS69Dqd6HgVmuXUQnhOXEjtt2LYqUSBATiPQ4cOlowQbqp43qbk+8ALSpwur qY0wX4WB0/8a0ErHf5iZuQwJhvpl+4YqoPSe+4zbVIfGjpAmuf66aClP5crFXeXwCbu9QT8nL9Jc 3i/5CY+Ct6yKMfqbKpRFf0w7SMz2yeC+DNJngReqHpf8wM3bkY3Qs8KZ/uoSo1GziIksB+ypwUOy vjzjcZC4MPXAAqXiZqoUdgcMQpKlpyIsoIvyxIMfj7wALG3Ke7kgPEOZgR1pbXbV6q9SP7lS9/2x dn5SB0av/rZWXAzb34xpMzWCzOhN7Zjkqbouqk19+gv980JGFG9lx3NnWhJw90zNilqhTnb6MBWO QHhGvFsOgeb0UfNUN6eJkEWIWns5gJ5kKZ/ysW+mgGU8yBNFUI+guhhLy1L2X5zoFvfe3Px7uXtl kSUWwp87Wk9oO2NGtI6+0F8n405SH4gUJ08Ckwxm9OQqzWdIUAfr6n+NoVT5xBk9UJyvQz42wmrK EpIPY9Pcf462uahIGzAB7bBmWBeV44mz9LB8CNdfIRXS86ci5cGD/jFVLK6bzlAXt39c5sqyEcJM u6xvGTsCapbfc3VwtH/fN2bYC8gPdBVttaLT0bwmbNlkLxAsIdVIe2sQ48bXjA0m+1XEswCPFHx8 3stK8w3GDZMLJYFkx2zd+I1rGh1CQsT4VbTqckELShBqD9fXnjbHF5nTVQ0Se6bPa6oPAEqiQDaf LOLqobmik6RqlkItVRcFcjoiGlOql6LZOqDBxr6yrr3uzlg38SYE7LC2PN9IFYJCud/+BquDRsQ3 zympGPn1Hd99HakK3nLj+EEzfPQrUvF8lfYebulO0gl27EKDZBijAOyg4kc7+5NbbMOC0g3T0r3o EZNB1gx44PYqJ/f4GYaTb427npxNTLy/4dazHX2rLLrPgCUmIA+tJs0rmO+I8zbFTNf4Pl+gi7Bi QcHduuQe8dPqadDLDm3XrWQ1oDXiQDal9d1a/QhWc2TJNGyyG7UeURB5tja4McjnG0euTnrPIxZ1 SxOdHeT9csfAdFaezsao3MXd6zdsh3eNVObvnVZiN2WJlVc94b6jY5mH/GktroHlYPgA/43FMAmP igpLxi6rC1399AX0+lweJZlsZjHjjPbdw7hnTBtys1A+80tXW31NV+pvRlgnL2akSXyloWJ1qHgA 9G1aR7jpXnG6IOJ2xvFxc8MjA+CHwKbK/AkFc/SHvTo8ny3ogWF3GIy8LP7+SlrqHUv971NZU9nw NVLD8GxlnwuqndyisIi44g/z8AOJz8h9ts1Tg8wriXBvFEEOctLwxewfR3fQvdbzUrKUnSL1fHqN DQOL9P8GC8XlQZtx6R1dxK/4ui/ZUOSFrUazs8pctIufJV4MCZGkuikSVGjW/5OP/Dl5JRGd0rzW dU6mFZMXnORVYQhYOwzh/psqgSaSjeDaiqVu7kBKlwpKVBlwFdJd6meyS8px/vsrYiG4f5pe4c54 3G39RviYW2g/zSClOBuyhaI4j5L8eXwXAfDb4eUS5dPZARn0s4hupAF4ZlVBQezUhedthmg621yJ 4P0pv1vYEM4O+svOrv+bY95xOMWr15RLKLD8/pWD50PH2HMtwM+M6xvRcpJMcToS6qV4FbOcJLT2 25avc2uSQEAe8G+CbVghZHezVmq5lE4md8Wfb4fEaAJJIcQDmEzpu+w5Xf9lY6dG+mEKQJYcN+R3 aBjqquRoAYOab477sBNKaqW/76IOaJi83lVCs1CknBY7+TjcEzAWOM5W0vLApEK0YCY96csve+mY tm8vBwmN8SYmE+jS6DT3j4C8o2G3oBhc3c/YDQgY9qmFz+BOdz9ewShvd3ybXWc+89ApQjF0L7NI jwd/4PHgIMFAkIW9odTYL2hNHy+yRTVHjBPESzt9Rg8QIVCF+ZnIGC8pglweaJwWXUE5ObPrc1oe OBa2VKgIU7jUkVGmeqMSLsX9PSqkMYADCDoAcsqENZVqrzjGZ8NcJXIgP1BDaOvrPXwoumOjGj4K lGkhy4a5CiY6qvgohBLR71fafh4TnFW9qkCrMDe6/orAPG/Nhwr/3LBe7bnwLvppRA1lv+J9F7a0 yRrIxb7TIPuruuIJyERRLEBacTovpVHMmQJ8i2ndaFyrco0I1htlZN4X0xcRL4EMqecwT2t+gTRx MgHMg5bVUiXTxmVxi1dM50T1rIm4GsxrEavllUtBasOsALK/JUW7vQdZP4LgOUWxz1CZd6N4+1VP xZatimOPEw3Xh6FO1zrqYMB6PoWoXdgjKiIWRtAa8DGcDp/vb+EchOcKnFXBI6bEmxmTwrxHEDI3 GczwP3pt2v2k5ymsrqwnvVt666wYR8l6q2T1SgzZ8YxsVoDOPM4C8rcOPkaOTvRMHJ5obfBIQIJY dgO4gyssjIksxw9T6b1MGSc2hR1vwM9greMzpsr+6t9O9BrFtnzj1tbYsYGuVu+AUo0cm5ilFQBD kEUFmle52qyuw0WFJb009VNX527kpUzjqkl1UTmCD+BRX4TJgNWenOeKwrRvpl/S9jKWtYwCKTPs Gi1hv5NfKiYzwx9TUAvXBqUs7yVKsRB1TFlbTWrPx6AmPSTcR7GyzWh7pRs5MYAPXHElpojW8vl/ m1Ta0SK2daC9WYNta6dO5H7C0tG64tcNACDi0Dt7rzDszqLLbNjtuVRBGAd8XgFruQgjBGbFlI54 Kza8ERbfuZZSme0NLdwdIVPJnqZfLGxzEuZGDK7uoivreFuQXZ85hIIS1gz49hs0QIx8BqCkltbi dmT8q91oxDVT05WWF+IT7+xEEHMB9iAWN8mi1F2yEM+UwdN+jnblaZQ1DjIAb8Vaql1IG0mjzGyD ZRXPLUqowVYrU8ATPj/GJBFpkoCi5KxNYVAcYH/o57pQKeJZ0lrFiI+/92SyqShj34sW+HxZ54ER K5eO0+o/DDXELIGhckHkJPCSFT5m7wUDpvPgMfiUZqmbLIS6S6FhVGnYcp5e7yxqAdIibjUOhjFD sqzDzhlQ4zLcfAOxBlbiPJLVpRd/bZoFuBA8YjLIUW0IwUwmUt7qBGgq8VVTkzM6wewvZn7Sc2z8 NMsiKMzt5AbAf8+J5svTazt6MueLoNBQXWo2NDcXi4N1QRsjvxQYod3YC76UHc41vYG9DQh0XVsf mETST4Vf3UjSEFCfdRM1xKl8iWg6+q1JaVJ3TMGxtzR3qHAPFul3rE6vzh8i/H6dI4p9wp5YFPOW wjkO17sfI7OwenrF51COP6qyhQmTtUSV/L6M6KB0x/JKh0/8NgSVfqBBNy7TNLDLstUGjZII6TUy yYQwXp/UKWbo5+3A4cJECx8iOLWY4CX6svQYs2AdMZ+jxUc9pNF422eLkFsXC/MHslb/b6pHBq4p 9HTNrWLxIL73xSum2Gl6Ypq44cAvvvglxF0hdJVjvsJVeK+yO7Bwu8RwR9IKzS931QLQgY8fU4Bq Bsw5kVTorOyvpDrUkJ8iJkHhqb0CyglUseWIrBSNIW6C/QW/pVMHQOKCOt2elzu8A9/+/6iAXET3 oraLDQ5NrYNLzCere3+qeFi4/xGOf7cT9CEhnMqgU1EQN9j/2iDU1TWAILau26aOyZGrvIpFBZ1g B+705E1GZuM8M98sBXQozvQ9yu4G6VMoWpawh3DUh/HKbGjZxUtdG8tWZ/YoEERLmAz6ufwNAtF1 SJdCqz0USQoT7w/HoLr8v2bxkR5/9PDOTMObTI9X1/Azm0avPXF1Pcebae8srRaRTyGj7nSMb1IX MWYmlP6QvmN2/oTNDH7J3Sm1/F98W9TqaG2bbfokwHPhP5kyF/J7N29pPi9gMQQ1QWtIloW0rVyD qF1gIPM3mIbqEqf2GNe2O/ZPEDYhI5GKSviSFpCtu3W0ZwAQKD8ZngYh9aE4vOQuBsFsKR107U5C YOxFUdo1MiFhDijU9ysXKyWa8bk1JRB79qoq0qhnKC1J8dH+c5T29pY76o0b6brJWxha4ahkI6+t EJK/aftIZ6kTrqpd0d4yvFYewcAKH/pL5fdSAfyeKi4NlIfebmkQ16NEn6AcExVPBlcFvAqQDtxY w9cLD5SV5VgANAuh9iHWh9Anb6heG8+YIRdRaC6H0zgLEqA6Bd/NVCUq2SbWJ/85FXMVSREM8qlt QAKCf1Of7+0ecHNSJZJsouCXUpPOWDrK2YFGreRw6ADhOsBFb9XCrBPFIkIsUXC6CvGc/mNqe2Rp zhDu3/BDmu+CIpByVXo2XN/H2SEQ0NqVsNe4oxsxKryKrFwYFPm9NOJmqmIji0MDjaWa8cEXGyex Fat4qV4GhxClpLfLMqOKM2EYBY4wl+GTL+jqdUffL/P0G+aID+O6DvHw8E8asmIW/cK0S1yNUhoE on3XLfW14E3DGVj6cIs+mNZc4bjdGGx3x2RdeL0A4CCCqTWgOYaDD8RvUCovJq4F3KSNL6TMcYUh PCm3dP8NRoHkX6kBbGjc2qsb0lduFnDPXf4eZWoLhCcF4K30gTMFo3X3aYPNUd8TjZ5jyDgZZZAq khH1RsIhWpL2itIC2fmRrkHUP9rod+RXlFCQl8ivYtbiVbtGLlQXduMB9fe7sVD2Cljf332zJLtI qKZKcvTBKLC4pWwROxmtMMtKlRiGCS8IUHnmtMHs5p48Eh7fR2GaN7f+ZkewZBLrdB42ZlzKSdYN ZUCyDOKq6Vz8DqZFo2emxpZqYNY6YS8kpJoNPa5v4CUDcGPKo0MUBFvdiczxYcPmFyKg/jvefBMU 2ZZtNqKtyNfOc8Y7md+HYJmnsikJ/Ixjb9SCnXsXrz4TGR8pqwew+jc/pZwUNst3qcKo3d5JeNeo GlUIy1UJMcP+f3uqYe+VkP5DdeLsV6/EiOUdVcYLw5TeX10iHS+5+KRM0iC4/G5o5iWrqnu7p6ia s7PbqXB5U01A6tQ8hwXDonua1FBKN6jKEbzF7ag9/g1AwAQJIuf82i8yNB0v7jfh6SEnEm+HDrv/ BWhxfGXuK25Npj5CFjnSqf6Q7beEQxX8FBfGY/wGN3xuh7/tk7DPlq3Q/+YjsplA2sxiCBx/ldlJ X1V/x6tJ+zoHnya8OTMdIHFIRExgzfNC1Tzrh5rnJ4EoTG/YGrCrydESlUvsT8W9DCPN1LrBzt3q jhWeuPigh2iijxGHQautW7Rt3Rjlv1D5rV90k0Cn3mzNlWsu1p10HvCgXoLLSOIHS+dlAilnTmdK bn1N+nVPKhZ/gSmkp9z+8U0OixTRbELqdepfQ3lmXLzlO3uI72RvYwUZq1Cska+FeJHejnaHN+ln TkigmpeJB1xKbVHW4gOPOcCgEP8YAsx9PdXYzjydEa2bqkTrtpR9Gc9LtRNmrt2xl/zkjkXwpoof VC/mEf/xZHbEGynA4oPphX/saCpe1gukbtBEixiuOYiAGL0x01rpZ61jE8zqG48hoiEFRjDfI8zl 7wthFnuE3quyxAsR7fx4elDinonj+gXXLLX73knNNnctkcctK63eMf+1oFF65iDF3RZMieJO7VCI WjZEnnW8oON7nBvd4Y1bMmWW6HrtbvaBuI37HpZk6jObWIvLipquTBfQc9Km5vOVgin/Pc2pYQhg FAv2CiEDIeklUonsshSwPSdQ/60r4GnQc1owfbv/zNWz6HzxjfAgrC+jyt7//m++WAcSIBIeO9GV lknOMZywX3E+2IBB8z75zklPw3iSoD4QMYC7TNsubveq0Pzr9h/7KxUpHPda17SDmvvQ3a7GppN5 EwiAYmNDZdijClTL2d1rAwAxF5BxKcVT3tSmaQC4yJX5mDCEtZicIftlE9bGQi55tY4T6XTKLm4A JWFkYGg7UmLJkqyYBJoX6EDxsPKG3VtaeRIQhHTWWt/0/xnhhqSgm7bXe6nz5/kXl1bgJTCx1RmN HA35qkvh/xkr/WVI0vy2tEL480F3Cro8v4bqPxxqAup0fsVNXQjRl5wk4eI/l9HgavU8wrNuFTGM Yb6Xsz91MRQobJ+4QM/PMFCPdi4hgGEoefnlSn/NnM0dFkS9G7xs2TljtuXdtZjSW/wtw1o07OKs +cxGJzyjSg029ivpMKPGRzwjuTKsKFnwzh808vQEthc4HznRjEA7W++yk0tpgWt/FSgDwydWD6M3 DVUecySgZSj0P495lqgHjAlFEm/0MkEJtpskL3aic4gw8p8lC7H9EFlWRzj/bQ/ZdW9GHwsCtjDJ 6fN3W1If1EW9vZNNsePZR1fC9pxQjnB22JKE2loAicN3pW/nXEEmtKTpF5upASYF0O7vAOa86Ynm e/EeuHWRFwcZq40uLT7cPHYAQOfT4Co5yFw+2V40CQPhtoWNJCHB44z5gghKRQaFUUu0SEr7RjYv hmTqnntw0P19GHy64tBAfWiG4PPNddsD4MCzIMsLxkhitVzEwXdi9yxV+tfmecO5jeCOTMXIGrba C1VTG5tRl58b+dzgupCJUvTZ9nRrnA7a6xzQgYyaF1Aj2HhkIh+LUB/UqtZD/Kxk1fHyLiBmEaFq ZPLGrD5+Ozk+P4+XGLPCr4yiZxoD3CUPzDxndsGCHwQwuhLMgnMcXyzrMHtmn93Q/twn7qHgV0np 7WPFIIcqqeMWAx3l84wYg1SFv7N8tSPyCTF7xxtmbYAwYu3tnukyaGMEDBr6vsUhPxiTmrYfYJ46 D2oLwBM0g70kwNdyR/AAB57SWKuvzQdCcMIG5KeInGBLBlOoBAuYdVdfvsbW5WLeHTdojMrIZYcw /lTvnyI2vUkyNGdY/CLjSndp2ENcXnNKul01LtDL/aCfCBG/UclfvkkHq/W1R6czAx/2bExt7SQo e7clOgCZfWraS8bTFXbZ+t2f7+Th/nAtiyUdvqCv8UcPBYrGr4mGslZ5FAMledz/1ddfn5ayBlPo vZd7ABfoU9TXAIWnXq4I298+4KY+2dTa/jrWQ88HSSJrvBzLg9ngNrQqgT/TfO4scjaIzxehQ5u2 pQh9ssz5WM8GOQhU99aLQTJ7CFs+TV3Cg3R4PtxMG5XgLdDowh2F3J4EX+RRFdW2gOjdBU/tatyb Y1Qxm6YrVAHXlFJlpEqtszALJQxLLka1MDlqpAjUWOcaUVS2HZoNM4A9jcm9MxE9lKLInfI95Fmb lp7VEO51sKzwjKPiAbQOwskwvqb7KV9Q/iEzyrWiaSqWOvtEAtbf0bVTNEhkCgcM3SCrQ68w9A9t MED9uhQFzkwgcFhAwXc8b8xCJCZUyQz88MKo434VDFykAegTFVdLLHFdyzCCGjzDFgbkfpjtXwp8 dWPXKGY5lwZqovoc4cDKKo+IVncIDiABLptze9mvHZvv5zEk5czeXvH7Oc4G+b5z0O9RgUzNVzGR sPPRrM16DC6nyKuBmQGeL9CMhjBUL9/ilen8a3ioFrxFM1myQaICWhGLofMfOihCuWy5+o3lzWuu 8qk+v90d//ql0P+M+tnOvgH8B6SL/FHU/EtmaEasy1663bdXwVTrLnRU7c42D1oihYm0w/Xk17s/ em411oLXphF+l9G9J/FUe5X6qw0lKvWPlCUBdNVfheh0IEPMeiufryrjWF2w5Us1UgtrBLCd5w46 HQu+aLyDN/+PLomiIgsFwRXN3PHfUc67Au+AjQ/osojLV59G+tW0r4U1APQVwNzn2UeihCOOqSLE QCfQm2HVrsTzmpuu+d6ihkGM3wIWzt8YY36u3aqfYlflW3ux9EANw3Znhs/2VfNlK/Yh5nqAcnUp 9m4bi974XHnUDhDke+TU/8wy0+9jOSaUklMo8PTq31U9hzqVZ4UX6weGrQQ3xaEuQSItB94Jx0Ed 4fAhW8nGGkC3MaVsC0ZU171+zVOARZhB0PWpEJ+U9EYrCmBH+QLFqnhWRgU2FJ4ixSaCXL3yN26u PpG51uzDT4jWTuJ0g6Qgw4oGZAIu2ygNE/OFd07+XA3S3NvA2qXZRqOxzfwjvlrB+1PhpWRmNIel gQkSi6zndFRhkZUXS8w0Klipa7bkqPW+Gs5NChSRfM53vCtrWgy9SChvUFk0h6RGcjd1Ld1CAVp1 mdRbd28uao37NPsiCgv0C1Vp1Qs2m+VDnXEiFyKCOFSVc9AnaPPhS3bH7BzMVrQD4YemP1UvTb9o jPX06rrqKhsMFeBj8l+pKhF1Q0CoFWaXa7vKfshP8yXcATPTSXqaP1kqxBnuHBB/wHQ0lGjR76bx v82kVM843pnwmG47eB3r/wZROrQsYKygv4rYnYBE07yXe+lLD8B1iiGVq04K6rIz6IHS2LwQgDvY 4EJ95A705C0z7homPCyGPPE0+xvBSSHVMBoccpue2XrSsMJnQUhYnZF0k9JHURQXZYxTlqYjsxEb LKcTPc44poeRt4GLtH68zxRoyX4RhaT9pJ0L1Vh2ntVoDCTG/h9/KdZm6cw+MY/O55ScK9rdmsze FzdqPyzuW6EF9ql+A8JRAc8qbpudpVi9v7wIXsjfyvpxmLu2eysHdBFXWlV29RJoTjh54S5I9wXI pdl/CPUAZ0cA6Dx7qkw98KDg8Hy+DDw2/UznT99jGDtmB3Dy6jnPii75T6+i0ZTyzSBluoFq0ivQ rPGVbPhB4HWKPdJX0N+4zNV956lOL4Wld+EOdWdaAq23Dytqvb61RmCWhnf0ezPXO7ZtftUhfGI3 iodAQe2YFTzCRnpiqa4LbADEbTPCcfJXtFdf5o172On6cz0ZczZ65jWjCh5+5YQFQpIUOEr8iGRG bm61WFG8mEItF0BHWuDnLppGkHKsycmY9FC2lf28SQM+zrrpo4ul/jgusLi0T5C37gn780pQL1tZ V71IFMkC0/LSiA+MIQFojwPHhAgMOP6l93JJBdPCuhT0Ujc0KukpO9tXOkwWD5CFOftMdEFwFFey RCIAv97h9TPvywqCnR3wl/5GGm1Y9FejqOP7a0pdCWq6V/bbiH5t1mqLkUvamwk9g/OzQYaTej12 DttzhmmBkXRWLhO59n65X8xdjibrf8shFYvTHHS+FhLP1LAwUDM8+NJglqi5D52ASQZLrKm6dnN5 e0jGXXzbevTAGibNiEEHa3EnlvU5cKBy37jNumaQLgEp2neg58sw6bct3BLknimycKej30BwFwRF SaubvVWRdjBpQTOaut4QXvhfP89z6FYRwNLJug7D5lJgEsFVrjRViya7PokbzPRzXmlYRH3+o+hI jvLaoTdIFFvYgibEMWs9wMfDMKHeZfSSblCneXkaBBCArd/MBfxB2l4Et3tz47yf+/eA0oxdoWxk 1KgFPSxZ25HRQPhphXQWQScmvSc6lBRb3a3XAdDlB9p4t/mSh5W1BktARimOUqlqgyH9W5JDmmnb pe9rhtQzaGxpGOWVXYNIvDHGJpklxrFp9E4GBWa1AK95qIiq4jfRJK4sC10oPA7nOnSvSNEBxPge pwRqpNxpivEdtMfmTthzcvTzZ3TVVyRf4/TrIvY3TOGwfaq25P26mAKyqgOcYz7lwdmVNTMfOIkE ggLd8ymqvKKMGQbyo+xWfgltCVtgn+PRP6YjzCM8MHhGjLssfhFgjqAZRq4+pIXumixdtOfsACZt B8EWM9iciUD++jcPE3y2PolKugFaoh86turrAQT0417iLUG3/2b94XIyNjuFA4lfye411dY/XwFJ TwbT3vtASfzoRq+kuHxgkw0Xi5lcp7uLKcT1+/pCU8JDK4ubzh9xwSs1Wqd6UedsiqT2Y+BA22bV uGiiJHNy+s9qQmHuqnvbCCrE86w6vRA704A/WJsxXKfXiOkzh1WccKSwyDF1uS4Bh8iKnOdI6ITi UMtf7MPFBhOkKH6mp624qIrXfoxSediQQQrp/cOVHZRDn6oKz8iZVfLyFtqdFwCHqAAgix8FC71v t5tjAQM0zcUvKms/Cbko7/kd4t/RMLQV/BGsxkp+Xg5sLd2sAHhrDoGOkp3kvHdTmPLcieEIFkxv +ed0kRgsK0ey+5lTg7+5+f6dxaiD32fMVRGOstwX1VbLB/DKMWz0rRXLvYxDYmTm7SaG1QQcwBS/ BrYF/JzyKQ8AoPdDhJb5KnSExOtFHZOBFWKKp8FiylHUZZ9PCY/ygCQkFNY36IU+IxYeBMbRidoX ZpDbrnud3O/4+jh83jeBA2VaS+kETKKgBvTqTEXuS1haVAJ/MJW+0IBpIPli9BOYj+v5WIjKEqe2 aA9BpSK61am3ILRKtRvT8QQqQFzOfTFEyRLYb9nsFgiAsFMUkvVJHUUAnEwH3ebMJAL8CUAiU7cZ t/kBVCctNpNnAh88u6ZeGzT+PZzs0UDQgIZDdU4j33FP4JqOU8MEbSlZCwzao8ufzW7ZqUq4q5b6 RxxmEaKSlMYiCWnf+ZcPco8Bvg9vmeYa6X+OMauyFkeABWy3ocoR652aevXco6hoxoKPlgyG1u3/ Ifk+YVawdt6kfZv01X4R3AJBDHKNUoIxaN4hWMojR9x+QP1N3pYLg2Ar9cRA8uz2MpcaV+SkfRYm D5Jw+S4FU7PXFMtKWICCtVNFOWk9BpXR6LkXEn72MVxp/J8eMiinH2baxwY+TsoFGPNecUQoAve0 DFnUgcYSCJKiS3nMelahRzYJydaiUT1n1IAJeokyxAw5IcG9RwRqVYtSXEKcZ3Hkr+Nx5ZeTYJAh WYgHLfvXGbASx0M2xmFjTHxCeYFq15KC7zCUfUlJceglAzBMf9dm8KCnA/lz2/7rcL3zLbRTtEuj M5LQQ9vxHd6f83+WrxOkSM6nKUeO1qnYOjILmNfObN25RHyH67/Tamxao+7g3rja+6jk0ToYzGsH h4gSPL27Qg2fBimYdwYqsZZvOAD79RMSGL9snDenwqQ10ujZYtO31JU8+O7i/2HC5K8Itq/fkZzA PLSoGpgUPNY7Unb/ino+It6DxSu3UPjVUOi+FmFNtnOmwzhuvLf41grj9dR3pEtgutltFIegTgJ3 +xByxMjCmzY7tB4ARj2boHeIovRY2wRVSkvZ6pN12Kb5p/NBO7hutNgcIVltGi3DpoTxOeAE5Vok uRxcq/W3LFc3YwE0lwRwXnUtlRCpYwlcQwW0Nq2iZkpLfOzITkiLD1KnICRuYObGIFX2QYeYjyO3 GGpcG/XHfaJ2RXFWtjzvFiXGgrrWkOE0fTqaeARB8BAY7h9bYnWEkVjC1dyk1AjhWlybSc00vUaa AAceQP/Yc9B7nRjsjl8+DU5XlH7jSFigIKnEVOTxzi+KvpSGfaqf1wsvg0cDDPY1BYXrUmDEGCQi 0HvBqTOPWSMUVSyc82BmTEECC5gBCmS4Tkvq6ydGYDl7A1Ok6DaH0fqifr5EeVVcXc1i3GLevjxn Wq6frkunVUKbDfqSR2KxpGBj7Ibjpy0FEunLJlVJ4MCtRFnHAVwEKaSmMwUNB7YMxP6WYyJMM+U4 z11Jm5Q0YWRu8FTtOWcFXNr6tV3lMkPEH2sP3KO7S9JrEWodF+6TQV8zfHeSzIAWOkuaNDAsT+co Q+eL1QlbvTS7q0renk6phx/ssKic3Gj7macUtGLdyO6M5kjG9AWrur9W8WhppP/9HFn8WnROFzw+ yjPne0FXm05meFyYQe9M4iWekY5DgN1ALQ7+s/7ciVBb7fh6JmhxDwi4FGSAsSAu3cafwCQku+3Q MuHx2UEYRDUeMQESEIN821rJrrcn1jK29evuWjbNwjOEKwl0OHdqvQB7ivhRnoqsX2bkTwydvOTN eihRcsAzlVCadNzopwG81kVGw07DLIu0bOMyesChRBclD559Ttq5Zc+g1HCdisOdKhz6QpRrQxen LLlc2IrgBJ1LnO/zS5T7yONsVBlrR96p0jAdnltZUJMY3/aWL96mFmJ3cCq3iWlZEclISirn7d7z FLRvJsXuakamsK2OoUQmi4aLt0LFCvNtDWKGEWgCgsa8XAkzo+habcmj9ZgXMOW0b1U92iSPuxjC lrYFIF3DlBpZgysjroLRnoJBPdxuYFAdNlbYsd425w+vxF82/KRw+ypA8sEUwbm8zbt6CCZJbng6 yJboyyj1SAguGvo0X43hx5G+nrR5cXU5U8YbkP7/vaCRq3ljtt1DW0BzOt4iU/rKDwYwfB6/PIP7 09CIJBsRA44IzHXMR9ZWoeIrbTueb4dGiGWRoUxz60hxV8ci3QKTXQd39ssuHEKyZVPQg6gbl8Q9 iAiCQsMSg2SRCyRhyBkMCq+2JpKZppOBYs5/1LR9KUTk2GbekqqbPVh7D1+KKZ4y0cwADpXOTu6W OKQ1o6yclOcNrHtik866zyodFkb5mn+wasBICK259vHGKy4oTKDdrfpPB76F1ZaJ0V59J2PHRKqm H/chEDutgbyG8qDEg7y/4hbRpCJHYhKZPDyrvWZWKVKwIE5OjWBZjKTxmB3Zjo331LwEq/471rs2 DlLo3lXQLg106yLcLKs7xhFu5q34bWS9lSEGFMuI+dxJvEZLKNuJ0xzknDrR/zagr1usXiIqz2OI n+hZ09etvIs//ZMtOzcgMcpkxjFjvCo0HwHURSitOO16wdQOpqd5XGM8dtz/hW87Ju7FQfxCmOxN nU+mDp2sTrhGwBHPele1iG15cO+QgTjZzWpF21Q59XE7/568G0SHYLcFms/iO8cDBudDpJwEXf4O IAkQowefUk4Kj9kb0EOVTrqQTAH3Et+gJ1xkWetZ9lWwf7gryw04MQwxfjs8MTThqs1ZrPRFiiJl 5oIVQcATrzZ+UTEj5WC40ZqzAzluiAizLGxws0dmrMOgAFnOCDyijfTE+klsB9yJ6Sm/DZ3x4vt/ CD5gEsVaKSU+rLq4X2Q2rnL+F7cF4JN2PZLYIEC0D4lhAWHjdVefPu44tBRyyX9JP8dgm7ZUAdhM Kqsa049w/iol/4BnmQ2r6m866YNO6LIi3dt8jA/hOBOcCafVbCGngeyfNVwdnF8JhQLDrreQWRX/ Rn7HQm+aZscpmAeFsGvQuYBKeNH7K2PbvHG8RVLevcDYQJ/VNycrLH6sJqKfwYWXNQX9gGR+0Er5 Lajt7BGQxIrHHPwOq/YqwoPfgKQ34QuoVkEh2zbvT3JGgGNIsk6jPVPgUiCElSgJKXOfuOvjO1UG rSSji64ICX3O6MeBC2myEDsVfeJ2wTrZqyoAPg0ofgdomIWkG+5fiPii7wriTzYZFmuwWYlOEBbS v6QN9hYm97cXGx0PvGTUtg7E4p8o0UolzaW4U/mR3lO2UiWlcjM6gG+rpaR+DrfOfQuWKJF+N+YK 6MuJCtXwzVb+9ScshyO73upgRFy87+Zp2zaQdHqexiqMMyhA2B7qaQ9oNPq/9M1t+b+zP2x5Bx/R MpzZzgk6+3/e5zzkQbE+cZ6y6nz3Fhgx2/WCxrq7++YWOUHr70BEDDxhyz2Fv5RGRcWrEdSq6dhc RlUh3XGWqlHkUZPel0NoRdHvpqV5XHb32uOJehgyNEPjyShb/UBC8addOjp+ZDs5XSg1/5s9RLop B73ayEG8ywZEB2GAdkPOpHuWoy+8Skd185EWCxUt6o6HdXoAtn6rRWHqlwdHqswnv/m7PMUdcfNL 4lzh8WmgqiFtpRv5lSZVR5qx/kbULe+7EL3o+wrb7wUnHvY9E6oDyzrO4gRUgM6vYwaE4a0Ub98V Cq6OxGRC+2nFXqaqXE6JcUWpUElED7fcHEHGaZ5Fl2KvfZD1f+sdZTThQ5kCW9oexNcsvYg+2N/C rNIhEKNCdEEU9Y3v/0lufdPHtm0yezSGxAmjhfixbhBog9+FEfrskNF9WiQ6Qop+dyrq7y/Rwe9X 5L5N9xntHwlrqzmR4e7Qgc+lSB+42ucGzfYza0+ZFAAKFLIqVdsjjhoE0vNg/k8cddWkc+lQ+a9G 3APSauPb3YOpVFvwg2wgeq5CZ24vxjOKyCMYLJQ0MPPV3dOP4A3MDS8dR1IPlD0POP8KZglEgQz5 pP13uBCpWkaJCyq+iaYDwlwy3IR7RVY8DS5cvucN7At0dKqfMkMCTaPhK8bhS0+4SNqJMy71lFJv GkwWHQBgrNzipqM090PIUiNnL5PDGZSN1hRPNqL2V7Pgodd5nuEzjOwl5TP4Dp66e6r4jkK0e0IH TX18B5Gds1sXSSjUwhAYjH401d6gsz5u0NY1B3vNRvQ5zWLi2HMKeK9DHGObx1hdVEhHn9vvDaz2 e8NDCYnCYgkpaSkCHjzMHUB3rrvmJkuRmGPfBfziQcLbmb7FiXFVCl8v9tyJiEzMVnqyNbkKZ3Hf 2EUJBVRyww8H3SKUvV85j07/xabEe6+eYrK6ELLVovpf2meHZQ3JGmf/mLlhWYzSHqr/qshFWD37 jdNY0CLwCKiW7KC0p2m97gyaX+ZjI3noeoK32kg1Oe8moUZWTGWA5oHC6JxeSw0L9Sqw4xlmEAni FYRakTqR+zpNO+SUUakyDxKlLsTTopiRhJk2K+pYn9qtvAm4CFpZAufOi0loNsPUIaToXHzs/knm HtMKfFPAK/x5cAtokE9n5i4eiIQBjPo0Gxzqx07Hduvi2sdlUahXXWgm/E3FcIvA+j1toSsYNCB0 JihzZlHff2vF6InzaoYY+INmaPUZuGMgYS3d23HxAqwlZM4UqBb6dNPKVspb3qRYTYGFeELPihwz 4mToaKK98HL69wejFQMBIVcL7wa5dVNRyFETenc7oxUkLfaVnyB8kYRNAptbMq+t1u8AbPgSt9qB 4pqbVHomU2R3pqQj33uKvJai8DiXS5dslgS3RC8PZX8QV0mZPmhLVin+io6mBKegURhicP9g4jM7 UtZYvTXWMLLWvmccAnjD7T2Y/OiRL7QckRIbcN8Bf9r6SbSac3FoCD8ZUfGxJHViZUrYB20VZ+Sm Nu1eD68tGmc+VP7AxwoiNi+gDOhf5swaEpmtiwrbd9eyVqYaC4OdsFm+1dsMSNroOE8P+cAdZ/5+ SwgTPEAE9ueHILEpIBdkAVYcb02IJQAs7pctWXPtox+nTCrEGwCXCH2Dje+yirJPuy+z1mwIgWoe 45fpxfpyie78YWayKnNfokSoq42CpZnPBY5sgclQnS5xrAFxWKlZhtKHWNLpzggGcLET/zDaVVZF l3p0qBJvJm2k3rC2SsOFSaV633eNmbWYe+/+FjtWKkUD4Fm8J+V2ffv9f5CvZEWUFYLEDdvK270t QBMSveISp1p/xItltkiRsE1wBFapYVvYNCZj+5DAX8MVZTFhKiaqdPytyXR4ejYoR2PietVVQFGn 1oeuBH+cFifDZc4sA57Ffal0lsYnmC8SkK6xkntfcgJcaTvCBzFHFRRx/52HdU4/QEckfW2gbqAQ AKHCLBSRCLmddI32iUb9/8Okb8glF3fTmtkfKtgWRm7rwucpOEX90iA8pVrHS70Cr5YbJEm0xHQZ DVTNHXX2rRZOpUcM/alUzP/gyHH9O9Pdz2T/FVr6ovPgPCjkWaeLmbVxP9DfDn+5aJ559RLQQQvI sevwpQKOf2YPXIAAW5kL8SfI/qRJ0wlDbWt06JkukB40s9U4v76coKLJ7rTJLZGY4CuSKRFuYjb0 y4OMUP+xDH+msijGTgWfbe+t2sqn0iwxJs8JXFrz5OV7Eq++aqwDn07oVobpa1QrRFr8QMjZ2xBB KxL2WFzinRtvRIzmYavWsE1E9tImePEPxjTfArTRbuOTtLv1V62H2bPK2VnvzztAybxUrD6c2/Ap NIRPa8ryoy7MaMAyO6AhOeBySGAXsHa8HZ0jB9HNfvZBEYd/PcMtDEsS7Q+FuP/O/KdpRCBP2bN+ qbaHhTSCmjK+4GwWvDnpEASdm8x7wMVF/Bzg/hFnsp7+Bv+ovINAYvgl6y6+mCwWpGC7dV1QREke IcMZMlPKTG1qa9QUV8mpMvXdzIQ/KINX/TI788Fgqow7//aGm/e+mBkRMKbZUDDtm6D3ckyIvbKY dvgHW6pToT9wFz0TGOSwlVoEDFztJMGyg35B25+R1N6tyFOgnnj2mmNSVCqaNGdsKxV/kP0o6FJ3 0w+CCOrpdrf0F2VCy4vVv8jGuRHPlKbBiuOQlZDenQOmzcCBeeLcYDqMUpfxpj1Bgtoi8g4cf6Nv Vh+0t6G3Pg0u6odgyG1bBiuriBV7hUoDs4e8bD27KneRpH0yi8pxjeCDBa7qIY7w3yeNzjn2rr1M oBJlVw4FkknVEQUd0UBQlgDz9uvEKNell0OULIBF+b9ZRvStHQ0OSN0NGfp3e/8EN5DMvXo/D2eO 3a/EyNZ1XvgZ7020sMRnASVyVxLQeUm83NjAo6f4tMylab0TxURLqO5sktEvFukHH7XfKb/x7Ji3 RKBYkNLmUW0tUeKdkEmTniRfpdvwqKYivrboWz4wpxiUhQZC9mjU0bSohuVD80OKILJPvuofA9rc MuYwLvFVyWfQJYfips0I249/NVQQ6uhMsdF0lY973OrQu65zVOlXiTGKjUU/EM/bGiLRoAthc3To ln/yxYiDYPvqzJljamCR4d3/YYfyE2vyGCEo2rPW9VJkhXstjjYbcHgPSI2WtTy1ovHDuxNwvTSS Q98dZB/S54oAVqCVlep+DZeat8kBwQsHXitJ99d/6N2+kDfWUE29JBevJbcHBmgpwa5Skd1HyjVu dvqrNml9yZnuVuw8nGCJta9UCYf1pP/L+NDLgIYad3PNyF61fW9O1+RHGMGon62C6aAf57xOt1Q8 m5mYC2WQSx9rCi9nmwT/CIO3oTCGWH1UVMlndFvesUkzhnElOL8K5NmYd9VhL6UNq4cWNeMId8aZ V6gvCw2duekpI953sADvr4J0j/iwqxqTo872w4DLEENy6fNU9NbMoKLjRdhkydcFg5BtQmN3Vnj1 xtYMyPqgkzkRicqYmOtk6TBoN2b0SJajQkRpJW7G+C/YpppvCu1e2QNJdzzJQAa05ih49Vui1Dy8 vfu9q0Xyd+uQsLkg5sqKy2YmMUiIEL7pSYLLvADi5CMKUw8zMtcMYL10Pc2j0qROr0UxbqwnATgv 4Tqtf9gmh6bW5kBSKraXep91Gbv4vQV2ti2gLg/u4Ihb+qiGCljRzvR+xLM3zr/AC++D+UHkqtXG O4YqLPM/Q6YRkVOR/uvDafrpx78GketrqBs+OFDkDyM7Wpwi0a5uiusa/YZEPGSgAnzT8p3qNzob ZvIFN5PnZkc7Mm1o8ot10/pB4hcZl/83ca9NdnkMZk5KFKecwi2H4edlAMPskJu5xKZqUsX7cxzr qrZffI25pwQV4x0CYkEpu447IqiRYXqeO2odq5L3XPV2rqBywfA3PLI1etlZB02ygZIQRw451MqN VRFOzGgg1CNy5j1DyrKAud33mCsWfDVzrpcH/HUfqeVa8IiqHhp8I3eLPtQWZHkVdRQ3jt03ze4S tEQxt54YViLVzN9cgTzItFFgfExaSerGo/7lo3+TTUoSmJ83LVOXFmMb8hw9PJ07JGvhy1YfDscL +RqjtmK1N+LdrVKVKMt+Bw2w0u3MCmkGiAulgA1CXJADvFPVqDqavXvBWDx/8qz5vxX8bUEg6aXV 8BbFo5neX4rYoBUmz8vyvXibxzrGiVhOllA1b0xPn7E06qL8GtbO5FEz8z7Xbgd2jLaLRLABf2OR ZYjGlgJH5FMiVcxKrF7i1BC/IRDnk0PO6hkTxKqk4PEyBcyatm/XTi473onZfLAGMUzAVXPUYwmN o3gi7STbYbsCUXqHa+nZV8KD5nk542aVk6Jp6G1TnmQS9k5Czjqh4V3l55XBg6yMYs44uvceFWDb OiVCHvqHIEpiiujgppa0wY6MQv03YEGRViOR4jatWOwT3TvVRcsn64R80RHBN+IMKsjRi0NUnICp OvzelbVD0nGKZdBAUaI90ijq6Z8+NZ32lcYqesbVwHNBLMj+kgMZB3AEy1uNzYkxfNsfXW67SpVY FCve6P/alqC6PH16f0pIkcyx/Zfvb2CAcH0sO/tF26/mQzHPn/XWO4dM+E4emtrPifTT+SWOCQQM ATMTaEEa4DqSRBAKGtE50gfLBNVLJLpm7DTJPo+j3zY9JBcZBUyxK1m4rQw9GLJekMkUbVwXu2cE 6m7K/Kltj7DQsdqSI/mYSpWhl1wVVEMjtn8UzFrBo9b37YgFJmphJ7pGH4GHU8Dlf+3yCVVZhLaQ hiAL2mtmRwwWnCBMHxscJitzso7Ot2v5U+twhRX5y/uJQPNlTkrtyVZIbkv5Fabx0EUc6Algo2C7 5LZVx3ePqFo13HHavMPAOaE9QHhjvzYIuINDfiq0NWNeImSrXFLE0p5prrZKeRnVNp/IbUloh6bc APQzdiUJhcUSX/3umqoLhL+LARRy4qfbDO5DME0GtJBekaYsQVUKMWWyzYbv1eFD8QQ+Q0r48J7R pAbgbZ+fdkQ6dX/HYiG318k5BkyxUJnuSRapWrD9ySEfSSoawfWshBlifDMip+hCRH1eurFAw4h/ tceOuc34L+bnNimB84JtW/vMA0P2I8D91UHMVosmYRUVUsGcK0IEb2tjlYplwjeRLQi8RSt0dDsp y2PF7GiiAQi7Nv1/lfl/ps2L2XLlnbqlwXjBvF/va9liRLwatqtBt+XKWo2tawXEy2Ur8CY0+Vsv M5jeJrv60W32oRsa6mqvn1culkAHd8hlFVS8ctNWOy88TXnVHh0oTEpzmNiGvSWNPusfBaQ0vs4X LStnhEfD3tz5DfakOyLyfcPbE++Xx6G4yv3oK1ClyzU73HXUgJhpot4UYXYGgKPL6OKKiRg4Xvg1 QN1r8cpeFZKgjulbtYDNVM+O08IMmQf7xjhWnZ2vdzg1W4NYnmx3UE0S0OC3lN/u0ebnw3y4O7r4 o+dtzylsmB9EZ0A0sPmNsH5WhZ2SRVG6t5j8O1PoIXb6qh7DBB2FsA0UImQQoH52Ee4tjGnEcZpl L8wNGwRg6kzkyq8d4VKCe8LFGNWY57CI38hpQ6Bmymu1XFCaUV2qrspnQx8RGY7edMseZ1ULC0/8 wF/2878cvLto0mkIKLhvdukDLZCbReoE9zXClx4izj6nwxGKjhLQp/pHgHWzIH8wZ+9OnY3M2WfD 9aJvOAhd63NU1Npku3j81wy6TJaLeCvj7z7G8SQjkleQUMOUbmGzONNIucmXN9YjDoB0WptXPaW6 44i/RwgLgPtoI1uITYhyA3Vl7pWEWh7F+9xeuckLb2iCeo9QYUUZoEF+HnzQAWG62tjF9x2JV9k2 lTBPRk5v8FsWVoyznR/KC1EjCPN5/nLN8AXrX+5HcGozXEPw1i2hkFBOViIar10vMlOgm12oSe8p oAT9dG3ZhtehSurpfb9/VhLugaOh974jjWcp+Nojgnb7xbUgSrjjohWMrEVz4+T+ScjNkKzP2TUc aBxnhDd7Vg+sO61LivTP65usbD9yOXvr7SEIxBsHemRNBKf5KmL5dQ47wIKx6VOv0KQwde61sdz5 KcONARIO+U93EVOpdXai+tSQo0wruiWEDwQ1EyKv8NsXYrEeRHi4YPkGjox1bhqCMGv/apW+uKV1 m7RqSvhY3yS2iqnqG04sKwJfvvj4AAv7J8InjdNdk/yeEdWU1vf/qimN6NGNitRuag96gdbU37Tj A/hoLE8fzSw5XkdetWbj5EgWBRMYYPH1HKQLLfhR5jJEzg26dGIXLgV+XJrss2KDQoCHmmSQafmL trDOG55AyGVn0nu5gAB5SGAsJqEa10lk419X9JwwU6HQrxhDV3jbHrGWBnzmMrWza8XRiEin54L5 GNFoCL3D07AB20TUSpUE9t5BJc8apQ7M5FMriH64FgsPheZy1nGG6LHGC9oskU89TpaJ9Pk2If2p ZPgmciRIQQijZi6ttY+/CMaCHchfM5+7RltnJ2lL2xmja5MjrpVl2pMKHS2zgUWtEUKrcMmIjVdD pHQxHzgJu4vyeaNtmBHQUco7WoX6a6FNg/fqoq1W/zFShODuZHnaI83QEW2hqJNmM+ZPcE65if/L kk4F0xt83mSRbk6hOTt0FYP7kXM5FsMLpZe1cRzLkyZ6juit1C4h54VlccEokpBac+V6dBLAjVNx KM6Hdm99FcJmH7X1TnYuPtIBVzdU2v7UyjXT4lBkuPIAZkw0yPT94bQnkJN1tJ2q0JojT2sMRMfx eFQBz5DEQ8gkfOgQpg8EaUDYyUy7+94yuRz0UsPTuluBTYJDLVDXJyxkazTGw5+iK0FVodjWhnHz vpvNkyDxLFDPff9VxjP4zpG0qFzuyJuVuJWZQtLHzTUPyMTMYuli+dfuneB3wYb486jDr9xLLHiu t+Lslcs02O9T/radyOo5N2Htd4FUEpc2/ZH0Aff0e3SH3PIoXh52zabfcNytMGIVU+d3dP+ceVRS LPbqAxvC204lWS4l6DdPtKLJZEYv6zhbdeBQPy95yjlg2EU++KsZfy6WtXx81CYAojuHMJ5IeyBW SJErrvgPzoSv6d68Hq7WB0mzzo1bggqTZc7cAtlS0mal00bt7msMHSdxwkCYIFBUmMkxNGzqyZxC 7KGw4aCo2tgA6KOTr0JJ6jhm+ZMDeCQBBLC/Qaumdq+gIN5M8G8jXtubEhEfRN13QrKYyBsd71G+ WA5/k+XgjHiBL8tSWDc7dd6Xf0qeQ6aeDtSD+3PYKNcCriJSMsKejYbV97CFWuwPjNQfVn9VSEyc aQScQpAqt+yiModQYiQ+US6EziORZPp9d/KERpw5cDTzXYfcPM2CIWYMV9A4hXCUwU2yfWJR0paF MJMdpJAi7oaNM9pmGWeCuMuIDFggokwItX2ZGC0h5seTVEzYry3j0JGOv2CWoq/MFULISphpgF14 0wuTOfUUfyWuTT1XTl28CA21PVQ2M9UhsFrLwIdQtkzpcJ35CDmnwC5O1CAvb13wUU+K7dzcolic ggQcgKPwBKw7C8e4mj/BTLv1EGjcizAWP4E9+s4ubfkQRxyBgMXSeA0tpE2vuPv66DY8ZXe+lrKu 4pQ4/4PcVhCu9+yNlSibjtDueNI14OGOQbBi/PIX9bCl2otBPe1csf9XhnGeWlYnyHu0KwcCRL2X UqpWN6M2jubp6J06QLzUq7vR761oF1OO+QBkxS0Ranoxl6JcBSAfLhrbrzdzGIwb6tyfEA2t+ynP gvwbJh2k++D4KmxonH8PWy6SWemt17FnP3MI7dBEdYgR/2M85GMYIamUnsaPQM1fF3B8jCMw8XhW /1OclP2/CqcNAs3MHauf/5KBGF6lAr7GhERdax3KuEtjLT/laV2Md8VA2wWx5GVQOqC/tSzAXNjQ 1+COrsYEqyCIucBMP/9yMgu+c4hvif/E3he4g89xAnxxIMd6W3RBwWeyhHkgNzO2GZVYOyLLuct/ YHPrCHYtdvdldPzVWE6uV8GMP4ZTH3GASIZGUV405381wAxaPZsBgix6KqcJIKG1UoInY9T5EH8T HmvGT3YiMVjt+8C7qvUG6ri/j8FTWETjjsa3RpIvvtrS4v02YGqv48T6g5d+JdwosuQqo2e58AUN m57NtHEbayXlsDPO3R+8VKnXoGB6g10AaOUBI9Jk4vpGJH0eUSQGFevsISRMMqEugprTCVfyOs+C TJXXmqgheCHKqk4RvK/OZHfzllBaBP1qmbzyZsuMWQiFsdieCOXqyPDEZbjzS+AytJlt9/HwNiO/ 07IHOY6cHXk8uAttEsa8Ih6UdsskwFWuprNo9pQ7P/lLfz4RVj6+SWc77XEF8aBlKy6Tqrw/xu3x C+8+8cvsu/MZ7Fk28jNyimGKAW6mxev6xWLLO5hglfQZQE0ja5CIh0pGj0wPQyuweS77pdq1XplG 6TaLWzYtUYWUWIop70pGhrTeLbBIZ/2HsQPHlNBpiW7bdawNLoOn+wySJjbA0Ny6QuxEmcBR8YQG l8qz7zfJH37fkIH54rytH4u4GsnF7Wyib65jGhFh9EeERZx4gmaoUijCW9dJph9tC8g7SDaglse1 7h5E8OhUX4Oo+et0G63ha0nI1+52nwhq+M1yA0m7xg0GINVsP9FfRdahFRV6eQ5MO04nB5zkb4eY e1bKNyNJGpAFApqOqVEKyBasDcOF3L3AwhKbLPrFT6yThnt4pEencACWIxfN42V5eexiQHuuG2sa fvwuW82KQXqFLDtW0SVvkW1HutUhO3huNzx28f/j357VScIG/PJZ6/imd5YceJB0PZHPItEiSQBB SUBKO9rXT8Zo2iSVIBAnlLuOCBWgX4JpQUUdtz21W4qiAYCUmxemKMYfu2OYJ7AS/52sD4EF/n/1 598YW4mifYFr4AhsGQJmY3mxts6gbyjcpecQWydvu8Whoe9e5kxyC4QziGJRD7QLibYlghpOSVYk 4v5vBoTsLA2/15u+XkqbnsBmPwPHYc1ZnL6upJeGZWBpSD+AAY0uVjtpVfiXX7vno4RWrD5eo3lQ ruTG0FLH5kEbDL8iC78zfykNjY3gfTiChly1YUEH+NIdTLWFDkhZcgXEJVNeg8DQntAG5sfeTLhg 2KcDTRqDWUa8CO4gfRo3szvueZRERQ1sYriyWp24hREMORX0yKIxUg2gdiDepcIgjWuAVdw/P6XO wsN/5yFRXOQty4pnbQlIdFTmlO0ro1dWo1wU8ZXjMUKXbcYvvPUzjjWWbuVOxv7H9orlJ6z92N26 ELjQw0WBHpIbo+k44j/4r+oe7S+eYO8oIwvblAEtQsi7Wufi//TE5jDz57zejNfOoUrQWJl5Ag6M 54WNjUzIZ8t9bDx/xmrRTt0JivWuOSPAU0fNm+OUjfqjojrMfhQ+pWJI6kMmgtwHQiaOzW6B9n62 AbK/bee55dGXpBvjCbUiFXc6d07y9re7dJSvBNADkca0OEKhM3iX5RvYbhlc/fxsEfCfPPBQHMPd Z2Yk3mMhvBSPsL7JNuy4Sgw0vHsoKQfkBlEiVc5i7ajcxkCWQIgtXbtxeGssMjNVqhxTSCINAFjH 6f7prU/LPTuAbq3b/bWF39QNbPV9ZBsUE8sxeYtp9vFa1nyNuOQY9QEbxozMWIqSV0DsukgzAPss pV50GXrxP09H8+p4GRuVqkT8nrQAqnZCyMfRalo11QHLNY6Ou8KT2+CRvkoQ6dHMaxXMCIW0gUfq dUd+0Z5zOceIxktJsFDwdi/jkDmPfKkyjHq9Nx6vQSSjQe5DkIo7GnEMFzUCwgLi2oHiVLA8r7VK 5tEfzNRx8VYEZr0RSL1Fn9RUkIm3QL9hm9TkrhcXt5309oIdLKaAPfxj4Io53mtF+UwPoW5cC+08 K3D9yKtWZRVx2X2cf+s6wLvqY/hBRW4b1etZbo5yBTe6VQ+OWSp3pm5MwuANzfttyazP9saFE2wm h4aHdUMGHbt5xtCk8xJPCVppIratOyuNJ6vt2Cuo6gDMD5NHSveL1nH8wI6w5E7HldE2WBnkTezM M0R8SFRGsvepOfCqUwCIwmIqfTBlUWix0drYOFTPbFkqscBiOh9xEtmef/uFaZrppG90mRaoUojY IsFE15PqGlNAYxfMvXtbbrbq5cGBlpkW7oh999X+BBXmCwp/rfdcq1T4fR17vOoODIO2DUBc2zlB Il7lHYFIaxR1qizFovXH8xcf0PtqpzbXekOFVpWT0NVALt47UDPs2gexRGcaGXhZgM2Bun0rfvPU 0ScpMTf/PeRIiePE5oyJIrfWQqzY8VeqdfUDnV3255B1OJLFzr78ARsbyOJFQId0cyHo/n0lrC70 3lnORHOWb4yTRZtK9xmkHdwHITRrmgd8zI6tGR6o7DmK/4IC6I+2izx5DvlHYrEXwd5uSFZsHgQn TKfn21e6kTH0csL++Og76RRL7SbOXA+fY3aHi5lsGyYc/FKlMfs8jKMIIKky6Ynr8Jv+qWaSoScD GFb2o41RUQ0A+C4dfRjCSkznFS40pk/3r6/z7tgrmN4PzjtCpQQ8NsxvbKetDMZDr2Hb4ICFUVIo x7yUK7VZqhLUzK0OX0huSsB+1LuaUO05UW+4c4EimCfWMj0BuUCeCeDSChkDSFLDmkFfbFk8dWD1 RTN6tWaMKIbkZDmTV4cYP/xQf/9Bs7PTHapZz0fq+Z+bLE5Lwz8OsZfN6HgbErrz9wydZHxcOrYM t8oDb2Dc0hRm3NPWUKdBrnZKrfK4Ti/VcaDBcSor1yOQD4uulCFv2456hlGeoM2AHbhqqY7Wip8k Vlep3L9TLXXBJj6kYIptlhhgt2B0iuKFot5qxkO38E/tcwaMzKDoylGSk+q9nIVa4tEg4zDBOOkh +jQQgPzdMOqYwKKvxyVdDKbUBkXxfUYoIulalc/xs4rD7CpcDxKkprGy3x+qU0XzYfH6hWDu8+Qj IZoWPH2rHdxzhEGKoi7UhcWe2vRiQPJsZ2sO8z3lHaIULWQkYtxsvtCy7Zi+ySIfAgDBSSwd7ouh g1V6YvDWrVR/qyjIr5/PBl5YcUHhnmAQ5BsfNT4Eg+OkQKiDQXR/5QdS+PU+llJhHra7ZmkyO8UX VvQSYKfdE73LFmYhzk60qIzW8XuufSVvFjLOH5mnmNB0YSUp+MhthNIgnubl7f0k4G8TiCw13ZD6 eSwzHRGwbU+47t9bLg0YO3EGlZirNjvw92k2qpMGfkw8CI1CCrA+3haj+kVjEzb5zlIjY/7iAW9d +3UDO64nGCPgx11YTn2FQSCuOfNyMEFxcgnH+/vgSQG0JboJhArFej5kHWqRNtF5lTDa92+1zX0G ZYs5CPu55nrUmKpvVr7xIpqoIAw0jJY0m7kvl9YOXfbf+FelV7DD17u98SJybBe1i6fQX99ItxOG sKWxC9pRTinNdNCLfKA1rW96GpO1E0vjJJX8bbFEi5ZGXnFGRIAJOaTDAicDp1e/FB/8Hir0z+BA HBL7C+xrJdIh7voJ6qaBYdkq5rYAExlZvZvOR0pelCbdLpvFkbzSpdpx47LWPuqqlNO9BOuQ4jve GV7cVoywnhwCjUaUV4tcvTtX0PZMtgmo/kNIJ6KhraWCrVBBSemGb9svyhQE0DXACy9/ZKkOzgHG /TiwBCuzjaweiVGVB+2kRov2KG3hOzmRk8o2oe4xRE+OoDy1UinlMaJMHAH7FTAMZDTJRvY+Bsjl n966vwfJu/ZYrszWR2IKuv87v99MHfG3lk7xYEqcaMWAMeAAWKKrMLf/HYvGZCZp7qI1Y0sP4hq5 04nnVVjan2XNh9OO06Xm0qgSnXG9lEUEJ9TN/KhwgO/VfiGhBkB0/+4gRU2cr8pu8fZ/YmsbA/0C cc/B+CwHOFCIa/jjVrtudErI64JYF+RJZz5DULZsg1QnR3UK8itJQ/m13YO7YVAgjNgPzoK9Dwhu mYqtXFVTKM+APpi8//gnZpciIoUO0VliJaI3EZTnQPfbanMpsDNOwcSCM0UdCcOPhSxAf6AjXDiu StKqd2sh37Ciq4C/QCGrYEP0F2YyOiDG0JeuQDKcVE7I1ehkhWT7t3a8otZLBlx+LQGUfDhkZNOq SUAez082TB0wUZkKQZ0b7GZy3sqqETJdXEWZHkj6gBPZtj6JhsCr8TXCORiXPJYnk1YzExtW+gDA rkEdzTzigksgL7EThl5U/yO6nzUx3EFfyByE1pCfElRbR/ZiOfZS2wgoiZq2/TPvlWymE/WCUamv Ns1cgOQw5w+LSdrD8GMzE1H0dmVwhtihumekFjruiv/Cbae0gJB6u6aamn6tmF/Cj8KOhKeZ+LgW kJG7qw7COjHJ/sBs1BDxnGsHAQ48wTB4kF2pko3uexvmhSA79ZM5YBFMFAHM3YmXNBn+2bLDFNVy ngy0qQgyHCPQw6aL0XI/L1sU6BXA2NgRhziP3MBkase0+ArjIoJNAeTvluFH2tdedthFcCraOs0P 4q8cvlkT4xID05A+EdeSw0pJW6UatthioWmaZgBr6ni+2r7FQBYeGwNIdjd8XGQoK8m1qkriJmOG phCCSMk/JB0TTftKWRkRd8d57ea57XrC+VnlZSkqPvA2d62KMfpq/oBM4J8g4D76S76D9SDb73Sg dL9rSkYUt7eBjIcnwK+kms3KQvKHx6obwf6vMxRboQy3BnuQWdUK2+DNv2XSbIgC/cpM4mqZO/8W RKYwVrzPFe452nVV/uwhHMHjkhCx/4oRlcKdABypkJIQLHB0XYoSGjSC2wn4fg8QQCsbby26MfEO FY0a0QxYF7t79V5gAEs9H2AQD8WX0BmfPqiMunS43QnyGhzqxIeRmxyPPn2wh0eRmVUuhdwsfXlm Oq7H8q0wyhylz34CiBIYvt3pEcZEtfWmRAMMZfVbY/0R5oGXC2eoxumm2qFOO9dgZ9p907ohKL6G 3KC+4O9jy+TZ3tUb+xXa85+AgRXZuvxxFepQW5paYD44E9/bTBBnxjt5VzkGjL6BxioVH+sZx2St XHfqRwqQ2Csx9XtHLkh9gv+lXLW0FQroT8IXKb5IxLJEwdlnNmVUDxX9UN31QrAILkM4xKN5UoJh QS52lrEQ3tuYzHuxwkzLIiuF0qRMq6pqGs9xg1b4Snl55yysfH3415QhW6NjQt6llTurTXZdy2x4 cAYGoJY1aLNY7ezzNdcIRQINfbNykFNwFji/GNcnSqhszdCdmT2leNw//CwUePs1rmVtBtCpHJKi DCit76hjpz2n2mnmtrRQI7JLNUZugRDw+5PBLshcLwQSMaTFIwPj9vb/5ZdnC1DVzUKfRmSU5Pij Z3pTb6YRcuaR0/vpRoIbzxtEspvpURM9AzJY4oLaXQrCW+jBwo6pBQbR29NmCJbt2KJe9uskB0vi WyM2/O4UDOJs7rYOjFxkobeiH17TX/oj+btVyDagQRWFwBniaHI5TGUxaIgqaR5KvFHBKkdGllNQ oziyTrEU/TaTtUsDlpR8s1q5KZ/P/ti1LrpUxMzfbw1q6iqSzcrljoBjnNAK06h8SQn2mLYSnG03 EURPdrB+964bKmABDC909zwhH3x1p9h6rQYp69Hmc7nenA0oCgYB9aqrPUD3TYzLqkX+LwZNHGpn VKggc95g+TXOyU+LmUxU6a0KjAB69Z94W2MLlv3jBmIvxeor2BAP01Q7GlsCfCM2LFOeZHm6xzEq K45fgu0HVjq1IO3Bb1toFdpBWwydhmWHlyVp63/ydHrl5ZeIJFtH9g8yHXgkZEJicVPF6nDqVDk1 2/hUYajleBfqApkdoMrI31RBtZS+Tj6IrSsGox61FPOpr29ZnTV/42bg7dzLJO3vMj6MhdKyDvgg TKLrb8bY/sq3hqvoipWqupDT8/kIAjA/YZkys3rhwqqaWv39+blP7m//4camPBM82A8GckKEPZPN Uv+YaBvtKzo8EPuDa89UwxF0LiRCJVDqsiJA7Wqg0++im+4qT9rCl0piGzVCLMT6DBJv/SDtM1f6 qUbRKHiVh0GpqiDnFTBynqb9E2Yu+P3x/bYXD6mgg93nSo/t9OyycQRkbntkyN1poQNtcfoMDgnK EW5QvyH92h4PUM1tCaUkI9qCS3q1sEwibYFbz5jBirDktmzksAXtVmszkBA8o6Vp4wbUV73lkKT3 94Wcw2Os5CWTIReRFQ0eU6tvfGRpYOXyahfHhm1HwlOlF1fwEuGcNs85BKKagvkrSbsCTshW0Qxi WpFM9hVYi5nEHLeX3nJ6rnovb02W4GrSolZMX0VS8gl4R2Y1SrpPLG8hFdPdhKGyW6zKXfgtA+C1 4pPtZHk75MLTFfRPsnoWwh2w8ExGZUjELy8o0ZQKuwFdPVSkNE4Zf/voILNe61MAW8NT+GZkNsvR Q10bIxQPY6ZS7YCEqEqJ4yg5Jv5dIIHLbBKa1v9PccbG1v0cMok8Q0okqVn8JpT9IE8vrXvoUSFE 3VHftkHxx8n91AtEpWFSixKU3NQ6YYcGI5bAxljJ2SCzo4L6GqgPd5BE93poFq+U1QdtrM88BNOP Ox5R9h3LpLonfyiyIKMjTDHjpuFIbqeQ+3EGzjRQM8aUkRHuLRsP2e4rloQJvQO7/k8Ho/CSCLqi vCgtTmMlqKeloO0xqlPqgto9qybJrZgNJ0QzciEJiyt+5fO1DnRniHXWTOFlOtrY3ucWjGOquSTF FRGm8d8GFmPmTS2bEcGmqLMJTWzieBQYI2bTnsiD2R9O4mVk4Y2aKo0PSvxl7YY2P08LYnKoyPvk sjQ5AdgUVO4rbkNbWqOlQS3MHb1S0uJ8imjy4Q0qEb5UDltMWy2rJ/Yd9sYuhw0IlTzb+b6EBWDI mrWS/7rtbhNtoY8eA3ovUrxCRj8i0sp7T36aeyPy3+gDqhaefV45WcVlfZjo/pBnJLWcrP2Z/+Ek ysuZ29taqxToG/CyVoKEJ7JUGjSte+WchrXNjevETcW0N5utOmtk+bzgdcBuA2/oQ/QVjNBaYEPY iApyPNv2xwGd96F0HgCIoDJQg1LWyz4ObT5tpm6XV78oRZqX8e65cuXjJWadNZOeiMy3K5FHkE7J N6gzl996XH9sOuZA4GyFS7M2pFdtUixjpIskQG75lhQp+rGB8N6JrfGpH9O/gGMUmqvfh09hIU+c hGcSY4U+pHrzwGG2q18wg2IBQ5JS/sgIElsBJhD6KO7E4Kx191Cri/Cn86ID1oH71/ubdgQVWYQb FtErMOSAnasH8J7FfA2tW4Krjz98O1ouTNE0Aw1YeVfaDuQfpe5fIh2XJ3QLxDR8tRImM4z1dgDF pqIrSat2Eyo+cUX6XhA+N/PYla16aNjLeHimPt+Vl4EQQ++zZ7RdPtiyZmD4yaryI+3Kb+4VQnDc tz4oPg9ECCJsiVV1TGdI5V/8sQG/mSEFcdh9P3iWF8CcCPnseijvJEYEJegwVqnEjeHCPnurs/dP LcqywMHuMFiePd6EiP2x9l1IAbgBRj/Q9wF30oEhMZa4zeWNAS4r6aNdpyVLNi5AcE35kPZl3QXA InwSDtmgPTOKfsvwbqUdpHsj6SDxQfjJJPF9YBAhkzMJHHIm48o3LTUz+zlvntLPFQcEtAFGqKHP maSqCfl3IdrINYyf59Sv/Xnys20Ew8Q3FeCfhqmK+PnDlvAeVWCoC6StUeMC/2jbytDSsmf51p1h XAzTrBuDVMXM9j5WGEZJJHUzRxm7m00PrR6hTCioLW7Dgd5CYep4v+lzWfE1T6Bhz2BwTUZj8Npt GxygVO5FewPw+kIB0Z0bcKCua8fzIf0xr1NlUlBSR+QRICLxDtDW3lZYGcFkbd7mJDDktQ/gc4ep CzTExYgOzn+blnfr6Vo4scKJpTnugWNJyFqJhW1Vq6ce51SHJrly6liaRI6j4VvXdp6na1K9C8UJ j5IzurNuJQvawRWnjxmCId3WvIfvnTIVBcHaJTsI5fbK6QuKhZnlJkKqfzNSJxJaam6zh7foW0Ul lSfilYrPJtMx2qpP0jgmVdK6vGqNOSb/0RUqGfCRzpO/kuHsOv2t7l0eVVPFD6SR68dP2DYT9O5b iMWGj/2wR9O5E+/g2CcrIBeil4OjEAi7ES7acMQA/fZJPbPAdr1t59WLoK/16dei2MoZ4GC4S02A K0d+SExRfRCqDaOURVivLaj1BplcZJX0Dw3/HPEV/THHhy+DFwyxknfDCIovQ940b2QYyju44IXP gFfgRkzKt0X5CIieZ9WDV16eaI13UL8mz36+S06oGKycRMKIRrj2Qjx9ICxfCU9gpYfIIxE9NsKa /joEmoejI93cAq9mczl6XljrpXU9xpOIO47wb69YL3yWwv1qFSBLAaFVjQi1XYakEp85scL/FouE KN8qbwmu8X57deqr4rBmx2IgLbSwKnGeFvk8O3VNsXdiVKyWLmPYSFFr6c0VXp5zXg1yu8TeAr0P MLJMKX2FXx+Rj3puZ+2Mv3gu4lD5C35ADMpadt4T/fky24tSUgXN9jTlf3o9hR9MmBOTrspigPtL BV6C4OOdKEQCRyq55e4IuC72OgM4uiHVi9tTK2VBXQqu0o6LJZULDAm05BsGAesAz5KLhWs/hc7u iBD8GL3EtghnFfPOrVqf80rDaMVxJLpkIU2zgMdfLDcpPFbPTK4uNVfUUi0BovGdHAj8zwoFjm96 q9I+RKZcAeD+Aic8XadYxo71WsdTtYVYdsvYTnqZl2yOe9wtX9Qc4N2x7kZwA1gSdxpoWW5L2gas H0o9nm9W+whfE3j5pIj5z0tiGxwbJ3HJYa5+DAIg8tFmg8iAAG3T4GU8tZj23psf1oxGTuTbts9p 8tsawhTW7Snk28qdrBSa/hpb+sscwMHXJh2ASpv++2//eIP2FF0NWZ+C2ivG8TM155mgkj8sjXVe z138u9l5mlp/S8hBjLM8/eQs0w1S5Mg5mZ5tYLLDYXG5oZNb5Cpal4EdSi8zOh3a20HP0ZDZl57l NVwnrS04n6ObLvMGtQ6nySfnmQ8zmOFE9YI63EM7iqPCMOhX2Nhi/Q40XoBZIHpIHsS1IHuWamYV HN2nGG3uLWW3JTUsxRtDYFCzqNuE4UBXOZ0PJz++ezl0LgPfJTxymt4sAb8ro3pc6pNBj6WMJC3y wQzKRqKs2SdqyXNVLrLUMlYwD0hbfonY6FNC/DG85rjwrQ+JUXEgpUisuMMl/HPUkcZRB/fRH2ON tX1uGF/pxxnyM+nhpsHYir5sH7AHuEipJLYwTIC4M+BRpuucTb3fw48X+ECPIBH0RXqVdGu0Mi/x fC7lqIHEoQvHC98mX2pW5yKPDusYLiO/cz5Pk9GNeJR2dcUQL7MoxVgLXyGQeyYj4MBP7XyUhb7X cNpRiglIZBKrLVP3TjSCciqSQ5MlgWuAB4UihtgZF0+c7ysaMQ3sttWNCghw8K3Y16paO77BjGmn kkkejuXxw5wHx+ItbmAQWY2Oyb3RLt3A4dI1yhdwbI2/ijStYDf+ZVjjk92DVBKaYgAoaanAKKwm fxb2GOt1nwdIfhkvvR0ZVxdkKTjyyT9G2MWELtLq9BGe+kDqXZzXbGt2k90tKE+1mx6d0KfobEsO 7BDF9yFQzh09FE6IIQn0t9CPZ+xWNiXdvxo2QuGqmPTYKRGI4P30q4PLgml941DYFUtdW+/hpWY3 WwfmGmDREC76+oK+j3o74Pcb+DHWl17a5XT0LzBoURbHU2prC+5huFOYEWIpMxDHqsEBdXNExXVJ 21b1VAjbfwBdlSO+X/9FQieySOaFjfDOSw3j6h6HKKb6EPSu/Po+fqAqiVmjh071W20GwZvshdwk hyUq61TBMAgMcWAx84TSA9SpBxVb8W3xEdaNdOXVcp1C0/fwfEu+XUDTD2XLudwiv+/V2HTgTayE JVUBZeM/Vv961/t+Rzg+zWwV6mv/xg/055ltT+GwSpnMDED3hlGZCWf36sNKs1kN/1cgtVstpywZ EOhZyNzsb1DJ2JOVaClaPSnkT9GErZDDTfYwfAKSIlcLg4F84HBo7EvJ8pxHHP3votY5Gfk0y5hc xSW4gvX+KCaAsUB68iDymEfcIZh/gIyDO+5Uidid6LpcQUPcBG+fRup+ZOh0qqsqEPaA7UGjgReP BK53TAHMzQO1VHkpEYbJecgYAhTxl942FS4XehrrI4OTXjqPilTqXMgxX1ltb/lHHFa5jdAG+9gs HJyC9+B4573ISf1Poe+lV3QkBmTJLSX1M/rZpzxoeGTJMiTN/5SA8m3a3JeysmpckeUQ4K+lbjnV tfqkCfWzKyoVoHiSpzL8PCMlj8EnHtin9sjhYAEZt4GY5wIsOowU3CrCt4XBfFgVzdsX7TnoUOgF tJHv6xoncZm2Oax2M3h9PRlybtgweHV6KdSctxxIuL4pUGSYP+yUKwzLxlPbM5HVcU2NQH8eBaug 2r+3EG3Dm+NyAhyzAnHXp28chzEmeHFHVcuNr5JVnv5V/Hbupkgp7NSPuKeMW2U/Qiq/hBVZz5rB Y9hY2cJ6RxULW+BhGw89D9l5DX18QVSDQRUlrKrUO1sFm73tqB+rcd8DX/FVfD0Gf3MRBYjteig0 2pmAlU5L2jn5SRPTZR+g6+5Uw6b/J6qZLqWicIM21feN8ZyyUfFUkeWKtnnRA1g2dmb6l9ux6OhO 2RK1v0X5RqDLY6X+Vd6mnY04Wm/gAM6GCfdNrkVC34FPCStTEqXIrpMKVPZjcUlxmEj4eYRU4HA6 gZPI8d/hwF0lmHG1GG1UqXs0HwWXv7brXBzr8J33sd+9145MfXX2MV3AutlxPkAUFOnINXfbD+qi prU6pOVTyDV7s9dwkG6fKuZ66Z0XN+PUxVlhhUEQ2wq29fcqCG1hvOeVONPZFLt0HKRUcV7bsvdD UmpEdjlypz2K2ZGyVbXYNyi2b+MlJ5Li1gv3sTihksF7yAXcWv+mqwtFfd28rFlwTkZ5zSg9O/03 aSUqOfVbOJ+retAYRrTaZSdvmhxrAvMXfG6yusT/EfTNtyqe0/JCtWTTzZoWq7DPDAzC1Jzgtv2w Sk7qE9MYJu7zQ4loCPJFgttpZBDVADBxJd/0VQg/cQ4ouvmbcVLUp5Q8qjm5b16S1PAyYEJh0Rmq ofqCiafOvOrYT8fDrGTk0MKxDB1IOXA6tF59wafplyk/XezI1m0475lwFslszxgYEWwXh8Kl1sg5 HT7H6iidByTNxpzjL/YP/vTXCNMg66RNbmnJri90fcWbK8CnxJ66/k3s24OmO1F8thMky7yrglmU 9PdTWnovstnpFnhOk2c6ulwKvYtr3Qkv6OYW6L3pPVURfFEDgMBse4nkdeEadztRzBpVpMOrzvFW new7Qypei96B1WrDPqO9s71LU68YBp1miK2mVAqJ85vDynJX0CIcsUMZYREZfmSojgK0NKj+4NZw un8Keb0dH5gJGo6hMGzA7Uz4Cufwr8KvTTnDsd35zEAPCviulN3zjl48bE27sEQE+PEPQKW5y/ZX pS+Fji0Oh5nn/zkUnQNVYXp32IlPZ+PgbNBPiCqZz44d3fTnrRaPotQyL89DblK9tDXs0UV5h3rT 5BkrbDiE7abePgewnAWi1npyiaBUHZ6EEYVO5S7HpT38G+4XLaK3nW9Gv8puNuheOLf5O40u8oXB 2Xf9a5d6BbYK8RRloBD0OTK49UpnGwdzMx9oWTJmax8JpCFdDuedc1+7fgsUG7qKJW4k4q4nq7cL 07pQvW17u2QtXjqGu3QOjZLpL8F+Pn9fxglNgR/XpmU/Azep1IIIpkIrJ0VXI/8plGwlNN6ibJvr UHmi9GkJTR7ETwA4ZjtbdYJlnVTUyC8XtSQLhz/I8QNsTFnYVWXgxM5BWKuxgsTb18PNjA7nBac3 AcX1JB2g3uofd9XcLp0Lg+3f04NeCdAcdNeemk6vIAJwY4luwSqcAAHGGf9mLnnq6Okj7hjxqc8K LIyk862xPDBAu7j7R0fTd2c3yGGRh7QV/hMrvka9tAcZJ2oqD2P86hiFTUKYgTzWjMDJhDP5GCm8 4KDlb5ns4t2sCMZhhcv2zJEjd7an1BXTX8ApqB/ZsMtr+ktkgVvWqJ71Y+QoAymDzkaN95K8Aq24 EeS0pgbegZ/KAg5YZvR6gnu++GKrntO3amIG7VxiY94+L1Eaor9Izg6F348xV6Lb3B4X0SInRSQw SiSrLpUNTI2TgTsbDfT5HjjSRGWbAcAM0jPxm53uOBFPZxQsTqh9I2HiSPoGv7GWQ6JFlY5ttixB vK2/IDVNFQINj11QrlaFwI4vw5Ripan11eA+0hu3CNhMGsJ1UL2Gp7Lvy/TOyZXHGKnbVdxxkK7H J/pMh75EQin2rPMxpv9ImCqWg0rTbyCy+6KCrDAMEHTbqqepzWIWqnu2k/OFAVSVT2UmaLuTOg9U LtNy/4CvuvLbK6TQInPBoBa1O+vTJzto9SvBrFiRgCai9dAv8ibhFwJqWdPHgokfis2bt93M9/+e SnSodb6mC6D6AQWO6EYrZ2CcYtZCOGW5B60JJNRFNmhXxW8a4+djZWnFZAsbmuXZNIsirezohVuJ BnwgdttqdQ0fSdzf3v81XC13n4mGjoY5nMMuvi0Xvh2YCZKwsDUQh8OSK7ObVkn3HoA9yc3LBEv5 WlbdB9qccCWDHoLY/mKof8n0EY7bkLKlIwCRN0QeLtGnzk9SVPRy9+HLsS5SvVKDJyVXzWdky4BU Uk9l62cmk1qohqWw8FbS3n7sLDQqd3felfB7pYd5Bn5nIuBuORyQWwfG71lY1qlbEHTInbFUxWXh Y4e2f4gSU+Zvo8aSX/2tsThDWX3W1ldNUCX2xkk+oRoVBqS97GSGVb6+axciPV/SUjVJDqI6wInb 8v9zJBX5dR5dq/WEq0gqr7ziwjXNIr9y+Air0+wG56a2ZSkgw0IGcJxm1OTpYBhFYHlkpOvPGa+K dpXs2XRMBmXSKhDER5KzLtD6JF5FaruCvW50ofcWIrq0gmrD/6Am87ELwYfvDC5XMWngDsO6jb3a r8/qOyLMFB55LMRjc6UjX1SszBZdqyi7xmMOlrx8O9rQ9k87Ti5qvuz3dZOfMsKwB0/by49DSVta 9nt7ppGbed6zpMhqC0O8jo2YwCOMzqTOs34iUbznbKJ6zm0pS8FpDIVw9HpGOGUQ2p9rSORgYijz EbMtvOx63MFFw3mGUTHs5tJB30IgoOFv0ijMEN1J0Yl9liiowl5+99hEu9xggLUHbndqehOokrCh tX/B6JcKvTbx/3I64VEQkKcH0GlyBoXqhB2vXd8iYC6zmSBYX+ZlhID51Mwi3wxpJEbFsdxrCxfO cNwMf837jgxUDohjkvbYRy0h9++SBpWr1gBLj5AGCCIozWctj3gXEEVyyoCvMKpiKLVIIWcjJ9j2 LHkpnasaI0sVzlGWo9dsscrkgHYI8KACMGVMMt/KJS4pqNjVY7dPv7O/hpU1LkEVhOuN1+WbdzmS 0IypN+1qhoquFNV63ZixfQQKjqDzWywpdG1Ta48LuSLHFmcnkKmn+HKswYua0JSVxaQNJKDywKAn rH/J0XGtgH3ouKnbU1P00p0zTCv9Ei8TPHXqF/v4oJszt/pQeJHqqEINJW8Q/aiAuUJy37obRqQK DfQ9I9Hg+esD47am3Vb3uegocbvZacSeoAp63qIrXwoptCTZj/LhmnpXauquDGu+N7EBh8p2uFYh 87gZYCxlmcceDMmnh4h05PkpMtEyMPKYQB9Z7tS3ElmM+N4uXubW77/fk1cBOB4HdkjOldzs5t1Q ooWamk9btzF+7D5BlaRcuWuX3iYsaDfX5x+lQ8bNoXQqaRL6/ja/XDDcAoReFlfSZ0z+giAVPosF P3JnCzLQz9zB22o2MhuhJcljSZDkxyai+QTaMfM86+OqkBDH90rvjxOAULs4MPo31h51TrqU1KzL RKDl4rCceB6VJKITnmnIhKvjRBjXhoqpSydOA/DZVW7nIk1WA1MvG0zsZDgc1eU2v3DLHYiM8rW8 KwL568VIINaED2zbHQlBMwq4DGMuAMphTwN6RrkepSCE1u49S7h8bWLVaeZECVYQ4KsSQFDArDLu fUhqfot0crP24/lKKwZMJBBQwS9JCv8iyv96N2InYEg/vrbNZgAtB4O0knSIR672h1nz267k7doj 3FNHP4zqUxRKu8+1aEz3aOgTJ2PaFKFP66AnjjXKPIin6vJB/sWNJO79ZqPUNz7wJF6TOTuf0Vpq 5OT8yMQP5q8dAhyoq/v4Blto6mB/D6MsiCBpgxF4QUZpFZbjeBHwAIHwBcxite6QBMDu9EecqfX5 KbeZGy2U5Q3zK4zt6iSFucmIrOXxgHkaLJ9iWQGhgD1/7Th3EbppN1uC8/cPaURXwr1fYlbTMdLd W4+ujsi70KE4nmzLijPA48E+A6OPChuF7rVA/FNnBQ22i2+4pBx4Ak83icUrBEtolGQhPg0BPawa LdlDZDTZAZsQ5tlTqrrNkmaqJAAoKozCzucy+a7OiAc6A04aJL1BKut6obFhUCUAYS4OS5Frgj1M rpR8n+tlPGq3vHLYVZRgVs72lek15dSUHawrPFuMAWLaQhLrwiRUAvwke6gmGzTCW9JgNYzPpZpq 5G0X+Bj2L5DEh2pn77eA8i/UvRMrx0WIrWKT1qR2tcUeJ152IW3q6kerJuecdTuG6oMecuL953ip aVajnCxvVNw6pNxn8Lc2TYHmsp8cz6cB158YZWDje4jI5H6UdX4qa/gU9tucd86MSFFHqL0Slwb8 s3cohnR2jMWL5CywhOUBqvdu2mkvCB8J6B61K1ZJcW2e05SOcSNlqT/sPxYTZj9Tbvr8CI4MscM5 nfnH/g5cL9Clgnj80VowhF7gnJ+WodR9LHKfpxLvFCbEC6oQ4BXXNSiXmwRTQih96yS0Zory1LG9 6O10TFZCOvkqZi2Pgv7R8pgF9PuQ5Uk99W3sjouXUeIkVJtBzQxszLq0HAr/8O9JuNM+Jj04cbx1 yCJTuZk2DqKYkLIWCXk48Op9rUdPY9jgc7ql8N5/gFCqS+5GONwiN+co7k5sTSMbn0hAYjBIpLzm tBDquzcT73WbPBruepVp3Fx378FyUbLBmmKURQAOVYGVyK+1po74MutQL4kBdneLB+BJeHmFs7cF 5afHgevKug12CF0U+Ikb27gq6vH23hS2CDAn5W/gLhnsdUSyCl02wLsJVq1Ve7L7N7qE61ARb0Bp GD142lyFzH8W6oWvWNCd2XeTaoVEO8QBSBwQaXGnAWWfCE5S2v2JPKw2BCt6K+yeNuQtWn8Bj0RN pTakkZ3egeeXpv8PAHKlgBiIvhEx0HkxsgE21oTy5D/r6vGzwbo4nD4TivvrY3gEVqu7oWjdBfrP 5J166Z+W78bS/MVJqUPLShjoOOJnJ53Dxsq9z0XWf9WG8lRBccyLfYyQxgXaUHVznDe8GryzjZ67 oXOsvk+uEI4uEBPW5YlJE8u0J27gpawcqPNWa7DzJUOgufV3LpvD2NFKsrRP10+FnQe1OQ0EaTyH G6OkfYEKlZMzgr15qa9IXhi2I4z+ZqORc/LRN3sTB1FG5L+AdtMyLjGF7VRCZRHdR6nihYzXa8kq cVgt9uoZdaMAL0eXpNomfAaDDou3NxeU+gtSERjYhH++Dr46pVXAtdKGa1+5MPVo+tvSCUj9l8NE rpPOHOEIeMpxwKAS0oQ4j29oHHFd2VnAL5OqaIvULqozGg09JbSY1YfS5K4hyX5UAhdzwPUfdBKB NkBHm6VkeNoVbbgpEH+bbSFwZDpVnQd5EDVYTNsCH62xWw2ln79hR9K71ZemqXE10zdelxoyVx9b Ygv3SRbF11CQ96YkVSCkisbzjtNeeNSz7bmF+ihOSigC7GhlPmS9BW0NH6xE0wf3LL94ikFeMdTf l8iXYICHpQAd2r08ahYZN7fZtiQDssJJAv12FRXVGopTJJ0gg5cSE+9lNrW0UUo7lPPe0tKcNksy gZ+PU4OldeOKy/DtKQbXTAU+rkE3kSMN/wHtgML7sYtYZlnHFhtLv3yJp454IVQ/iCVAtJkehVGR 8fQaI9cMRWBzpX8e1joEN+VSHZo08h9DAs3M9By10HjiV/VWCQnX5NWyaAZkF4us5TUcSfGRUeiP zUMZa1H6oMCQYZr0KGcpYVXClzEQweBBY842EPoxntXTMRPeh8kehK9jwD6nR6hSj3Ih600RSvx6 si6SqEAdJ/pVUFU/rsQXP3x0lwknBgXqt7/TJ1tmbvJnF3+SLTwwMbDm7DmSayG17EDDvFtFATVk dLoRAIdqievy6f/6y87X6VDzhoQy9NY9Z1ifN9OogbC2IhqWOkCPqDqKls2ttl4QNle/1rKQX4oE 39v23mJr6o3ix/v6HOfPDMwfTGcJbFH97FjMA5f+w5DNmNRaAB8b0Mcm7QVIzo9n3k1IDZ4USiGg RHN42PteBGMd+zitnEUcD457RW16GpU/zvti50MtytVQli4xg1TXknCaQI7IQoqeP5p2KohW3LsG 7dg9QIzNvwU5JSfA3TnQrVEYnwx9OPTCv8ULtD5lq6zodAcBPe85hNGwc34qcnKgOPBK3Eh9FAjA qze6Z++PfyWIeVNWL+VrpT+IKg0lEjR8jT9OyJfGb3/RovWGjBRYE/PNVGQ22NlE2FwOz3o6E/3Q W6hfjUg++D773s4vacOidJwOQqi/aYhRuFwOhZYSYgEzSeVilUA7RcClJTwlb93hyRPsSswWjju8 NiqYDTbDpZ+sJI8HCq5QueoqMCSTQ5JL5cOIQ/LIq7sIhAKa/21w7o4cktkoSTNIyzw9NiXSq1Ug 4Ht5RfCE8qlCUVVskWKzSYWIE7PhDBvAvxbNjxNrXGqEBlBlGOhG0H+1VZXkPyHgZBEteOu4mUVm 6Urb0nUJEknVS5cO7mVpziW1tzsWbPfNs5FOKs4aTl3RpMaTmNKJb09aT+oRRDn+DAdfRhZAvuvE ZTIcOGUXAi56dNy7pVSbrCOHpIRf9S5OFR4s8Pza/ygwMITpc1PWLvv1Ws0S+yPd7Gj5EGv1WhKa YpgosnBrMkYLdtO0NUU5hmqi1akU0ervmwRB5e9r+7cSAhjAqoFRIFvVYi4U8+fLk+M2zU1Bw4zy 212F5CfHmkT+GNeT3S6S0/+TByZEc/cOH0A+y324ni0PUymxniulauBwgscR9pwUrmbPDbDvtce6 sfwDgxZo3xtVjpIsS0TEfDbDvVuVnUrwh3RZA57uqfdGdExs/v3hpzwDYCNzuBI4iRnwCAuWVRr8 c5FWqSsewUnXy8LTsAdNO3ururzPliILhRPKg6Lmh0VytQVXjlgmMygjxTeJn8uhShE0RCPtWlDH cMxMQSYVjjybEgkcqBuQm/cnJv1spem/Jzo/xTfGi9JVvIhlm62o7EqvlwwUJO7YzhKJZp3rFTd8 LpMcHUL6hUmDLXuFHDi8VpRkVKbxTzDnu0JO45A+SQhe8ENn6zs7NTZNE3qo8sUHeXfb8xCs9RMi Or8JljmQPDEx/PbPz8Mak+q/GWa88VcQUJ98xH5I4bEHHtiS8inK1efU3FmxWliMMERCVsjTA98+ B0ZcbgQIaVfgI/8P2sJCDQJfBwHA6v2sufIfjTh0RhSvfxLSEAi+duMk9KV6kNyXkJMmDGeJD+r6 IMXkueEQc0fJ0o9TZZArVvTwM5VoyyTqcRbqXIbh6gjhW2ct5ll6krEvt8L8ftCwoj4/BryfdVi6 JTdSOGzPSFlax3HDlklqyQdm9FObMCRy7cNYALgNc9M0OFOCuPaqoGKJgtiDwleNSCef7GdmtFrO nfvuCBfeIA03h5Rd0NZbnpXRy2LBvux7g5m+d0z08hwZjMXmqmRz7P6XH18wkD2/ArZo5LoNLsUP AB+l/zSxZp+qZScv5cCRN6iQKYkiMFeFwVXXi1oVGMqETKDqIrGO42KhsLLlRFOB3F8EiLWYfLal SW4pTHjKVhFuIJ/0pJkEwVkBwCz9XK7kD9RIVI4spF0GFj8PQGmhkqHpjuj++3uzqO98xp3jvaxI X49EcZ5Mw5oszwSjMokLiuccT3+IvV32XkwfLeRAoCjCZZYpgTY30sSXopRowDd037w3DfkYisdd hAmQlCLX5AK5DtbewZgod9Aw0ie9hhxWWN5DjRkhhsdytCPsMkQzr6fY/Y0DNa8uBxRfLAYlLnYP KIV5a1ODjaZ2ia3ZQItB42lZ1INP8/1RuYeOc1Oo/kaWx8XkJvsfehR8G7r6rXy7vtRWFAv6qjQk 52GXSqlcYdizRuC7GyTf9sJv8Ez+tlmmT8u+SIqL6KH+tWa/blZsAfeAXpAohppK8LkcBrbpMV9e 7KAE65PGsr44BulcEmjUKu8gHsgTlGRPiBnnNrM4bzfEK8RMholctJzn7u/Kmx7jWkVfqlSedzZN 3P3BPjHlW7HFjEN9kkpoqiM6EVhnkvQjZVVtYq+M50ogDnA51l4NemvomKDDhtKZ+i48aJ3uLui8 K0QIO4+WcDYxB0kXYJFEl6Ct6o/zG3j0nqsng7Su7viYfLc2H8y+6eA6vrxKrNrVDc/NeyhAlpUC AcIDCsuL5sMRSB+1K0Lc4oBLUe8A2Ae/Hl/ZQrwug0BwyDYVaTEkYVOrhNVUQBhdQaJp8QDAn/QS PK+T5/wyS58KH1a8s8CGKlSLrkxt0Lft3jp+fT/nixHiQ3UUWm1zs0I3LCMxp92tRiJMca4VhJwW ISGJMta01XtLvJyZjDWxuljJPlHoRBVAFYPOUp3gN/GlCpDwPTtWhc3QbzVIMeD4zK4rIIvl5zQu qv9vFe+YIIAon7o2lDwtBVYs/FR/QOfWydewCMHqM2XwcAlFKzWIc4FYXS9ZUjXMIGen/SbiEYge zSG4l7oBToeWiJwMwQmRnNwjWCU4tgyBnSPR7lF7W6XqM0ygKlpUxi0pOAh+nL/+T0a2lBMzcm3V RXmU2WWm9VP1EptGDHg4GSkYevQS/vOSGS+MMEbb6yThsFyV6yTm9aH1doZYFF1CX76aBo9GSj2S nWoaXQYXimFe2ukc4V8myXds1sw60CwhI/b6ksC0X3xkdbhomXTDEDA1qwT6vja0fQtZ4ds7i3Is gn1eWoryY7a/OItxoRqgdbfCTCm/IbgDOtji36yfd+gE2M4X2r67o2niLJYZP7DiStetjmF1CSiD sW4EEQCHmLVL+ethCjuqdB7BI2Joib/yHkrevNzJzHCv3TXXpeKFLe1SlFfwUrs1+OLs9G7iTmV+ QcB+3rjt9+S5MLaRmw2vU4bs03nhhVXyboL8mcjpVpOhnBsqf/a3Yj0TEM2bBl8UAS9W+tb6Xbkd yeZtgUFFt8FqOwvIIZ9RBD+ayIDbYxwaJiNVTbNJ3FLAX3RwHlBY32ql4paS0sKTRP3zyKAE00sL FTQsUAknF5tn/KONCDO7/vpwoEox0fTvmvf6IhfMYibh0mm4uyzmxf5oNDOLrADVcXofFesd44HO 4t8zGW20gufRtqST1SHxTeQzQh7lJ15W1vQzcgDXu1WSEmmw5AfeFEwx49Itvb4OziF6rxVmK7kB vlcaKbjMYZ1b8U+M64zQueIC1+V6GFT965lwj36vp0Sl/296K/IPnbMMqVw5gY5ZiV8yXST/lRrC s2MY9sQySdKESooPsDrJ0Amw0IOe+auD6oy6acCRUA746siqgy/6kWv6MzraIaxqn6FWDwNkxqVV kyumzO0bsYgGUcfDo9iHbZEemhOQRe/lQWUBReknXiR12qg31wiJ9yNcv6gtmCywAksTJ31Ta3fW NFdkGZQ1N5yNxeVTez5Cppa7axS4zhFjdUebS51hQXZZlSDwrbwX7JqLTbRcKEYLBaNJyjLtdMdf LGiEaM1WfB1+UCMiF/829/zCu+pv4Fr0xhXQ/JqCWogbkdFac4CXPCVIG7XJOCSY5lDbTBW3ag/5 3dOZZ+poQ+QDt0MepYt2pjfHBh70puFcXmek3erF6S2qPFmjxlmKOso5k8v6p8xWnuZF/HeSxuo3 Bw8p+ep4n9nfFh+X7WwSJHoPe9hjmsk61MCyK1hhdViX7YV6pta72WwpKyYzyuC3ri4BBdtv6gAs cz1ZEA2LJ5Wj3lEISqALwI6JU65kv1oU9w24nDdfAvQuObK08Mw0Rd7+ag8+dr7cuAJ7mGtLRulH bty2CNN9z/Pw3g//n/kahJyyMf+e2RzWT+iUGEg70hvwiGf95vvwU+yINTodHuWytNdLlVbKGaVM h7/upui8jMM4seqEsnd1PsYuqz/6EvIYUZmARHDixh7YAD06/srULpOlv4Dh0g4Kx7/+pPogBLbZ qOT5MCqV2m+NWpvD1Rq35S3eYY228wzseDWC1i4KBGK1AHo+H7wB86UjoMFwCVPlzMtrHJp+zTEv 12A93K8uh9ExJhSoVnh758xKFqvqXDvzIulq+hlJxBikG8kFv5RUGD/Lp1UquYj6upHQEh0bBtqq W+Vp3Gim2n14bxA8OmB5AOT0i5W/nIZ9YDp3+oQyjaLLQGwGsk/INuzE6MYpIBp2lCmk0A32uSGS IWrgi/htcy45lY1qyMsX4iBn4HOmmCTyls4p5trIqVlMrDKe3lVeT8V3RP+BqjksWVYjrV/OOEmO btrD1jl8YkdQWpn7zDOiDdqjPQwDR7oFS0O4kDDfo+TQSvdgiuDIpcmjMPtm8x9IgEFbmK8QV+vY 92YpwQLEiXcaDU3E+3btSfFarRgifLnLos58kpTXPPGqUJhGCrhtTkz9U2QEAO+vqtC7/KCgyXP+ 0+PrJWlb9XJ+nRx/bVNwqyA5dOF7YcC/ZuBWERaUsJYCxBiCCaizmjASjc07wQY8LBVlQEGI9d0V eBJxtuBCGrgiA4Wpvs1NKBbcOYv83IHDgwhzsncTJRwFRICu4yc5VWeK8mc0WYQqVoru1txLCovv JPNe+TDuAkWlOJ92+rS7+QuoxhSKaYEHlahJOmYRIRZ1yZgd78qtp9E0K6Rli3s8xdZUrFS6+aVw zYlZ06DmBYEtiP/dqUu3iq9/t6iL0jfgF5ECsULYr+iQNCQWIeduxF/nC5Cs40CIvqotLOkuOfYv BwfgOTlViOozaQrwjqzZbBCwIMXNCri6tZj8EOCk1h/WiCyclehWeSWtymiiROGwTziFiUSKK8wG dC+rF7iqhup5UM0xkv8eWeSAsZb2DJAEX5IINNwy21ieH9PxQ/qpGh2yZeOvwp5OxOCiqCQzvVaT 7XdtP0Un7WezZ+9qhAe+bVAxJqvFcPmevuzPkcOLLVE8Nfo0ETeHfAKQK5/HYKXbty8ZFZ9BuF49 m2lU7wPaATlJ9i0lFHznPtao5fGnOw94zraaoQg3Tml6PjfPkWm7Zve5KJHw8lcqyIvqSnCPchHd pSHuBE/LohDWDi6lLFobGGxOgZIkoyS7+Nzd9P7XJ8eICc/JOizPEHaCeWnMTXi2bI5rXrxuuow7 Siwm/3Dg7gcYwvwXs4hiVT7+3pUF0MsaTLds/+Y4ValE5Xy9TjwEwN+MTcSDuXqsA2PpiJdkHb3B hrDzUZDI7k2H8vrRPZ9rxsIJiAoHt6vJNFGOhVhVviPNBIh/DG8qw8KeEvp1KpK+rkJdv+v3tEHD 6cizLN4vWRXhtYzm/wU1mTCgkVIta3kwXrDNJeHsQTPhcBA687rJ08ugB6jP5G93QaD0mXkfHFC3 3xWsW6PWJwf2xcSossNj/pWzi4XkmQQZyi1jsJ8aulg+VBR0SR3MxbxtcdnC9j4AxJEjc39iOtBn J2q6cDzN8BMRk6tAVyYUO15CnFHTvEwxyIuITx1WBFJRuMy423BqqGDyqX2MjtsEXISusGNtQFKg ejs086ZAGOpmi/KVhr6mMmtgRoJZvbn3ksJbDhHowIkdrkyXRYvaKkuzzU/NBomTi9JU+Rt+7I58 oox4eMN8NpP/x/1ZS1vhD51UV167f5VuibTabFq4NPRgS0SvaAMbAyt+tn/jfY16n/lT83W/9wsH sqPgJeZiHHkmhcjlTSrAI5+2bsscjryeBtDp//2ezMR33hiWh1tdBioGPj0RlAeMRTetjL24mlDz xTqQUzG0+eooA3QN+BlXaipem9loM3CLfNo4p+BZvnPdtI2u4Pt3+PUY2QAw0yY44+6qG+3/r8yu qMepCXzVuUe5s77sT+YJ3g3M4JSD9CJoR78D3fYbNaKDNPDI6X6D4CE58LP85Fd5I3nr5O0XyCk+ H9oTT90mmRAeKz8YFF/3qjsNmAN00SjDhsoI/6stg102Kmk3X+YOKEmaKkS/vz+c7g094wTVjAd9 fNBpTYCjZWfy6T26rxKGy6YK4pzeg6jvDhldb4sEH2ZHq3+aHPYprq3rKNtB2N89XUBl2VHkdiPP 6Y8ZdqLN7ngVH8hLLBC6yBzjTF8IEXsaBFsxNVnMEfaEn1b9oUHQxpJvQakZVvxBRYwq13ftoHib E+ccNBB2pYVFmc53+0WbH7q39yU6zbyfZ9PwK6gObzoZnk7YMLTBvBu/1tY1ZLA1MjrhEWTfT89I juEjyhycAmcoiGXxrtB8Wusgrwi4q9IaL9dvt0lawHM9oqSuyRlm0ekNzNPWKtTQDwvcLpFGsjXF GReLcjcyuFV/MW7ViyoFWiw3Tcxs9ukX8oGK0UddHKPU6nl5epwC8/cPsbtWiv1LzphviixKzGBY gBOFDcf9FsK0e6BrXa8srbt60Gpmzsm8no5vKjhDS71LHTKjmT1E4WCoeunueu3afZW/KSpO1drm JpCtEzAGSAJWlmor7udTrDjAh+sWSLRouSRCEjmJsNavzzEGx+UxgaxdONXM4pEHnbe0UWBlYf0T 4w2tGnciAM34EFB21xkrBLWinbiHgx7UCM5PmCwce7rld92/CYMS/sqQmIMU8AgsBPIwv0Mj6DT1 M9qEJTzdde7MoqrFWcFYvOLUKId+cr0JnqE+fZzHOpMid3Fsb7iSZsrLHU1z86iPpIRzBhbl+jfd /Q2J8yzqTi2EJQN/t/C0Bg0HP80fjZfXk4m2BgA5KQEl9FKyu9ZKL/lLAweCk15axF3WZj6uzHc+ rnrrtvPFgpIe3I7UxbTUi4mngUC7GibjTFTj5/ph3O17yZNk37D2EAE45XlTmUCDaywfCsc43aLq rMBNufK2LEWaNE1HBP/IvvKNuglZsB1tCPrX6njGSaRVJrV9YMd+6JiCdU3IWOFFs8Zq/0/9KpQ7 lsn4bV3e8D4r/j701GblYUnz1AYaOS9uH1pwvvY9ZrmMP/iXg6ECr6EmgdJ5FJshH/Ehrlb354xZ TCAKqnJzE2jReDc42P3AzsySvz5G6E4/Xp5etTZ8pHQtgYhGu18MhHCb+VWOloIKDyEA7lnFZk+n tUyrKJhD1u8MIo7rKOfFquPRfpOC2ID6wfv8TmDANZCln8/bxBgz8mmmd/gKoiAP39Wg61y3qF0f BoCph3OfHHQF+RSUmeCUrXUrlHmhm0n+BNOui+xU3sXOkPjghEegthypWb2VpCe0YCK9nPIA3y96 pxD7UcGSL39tMOl8Td7bKN54Vmxww6eTmsLqEfp25uGzRhCsWR5bzgcejCuCfRsHI8R4sWDU7UbH u60PgGAGA8Im2G1gO74SnpfqYF3++NwUO9PWZ4+jDayNhVlVwUEOKH1sOwfAsNqUFmj8foJvRz8n zi63CURh6m/eF4/Mfol6brZPCKKHHpEdJ+l3wFzspYoN7odVBc3MTkFDP2Uics+WfqFnzm8hFqOP 1I8ASXs896inEI4lsmhJa95o/TMsuMRWR25MKHxmXsV+LrX97iSz2Q2TZz/xYjtf/h81JjnBABvt DCht6DFOx69vTaSZ1n2HxWdFO87kSkhz6KJUpUVP99wTsyXtqYK40DdUD0qnB6Co0Zl1LniPnfh6 Y9EOfMA7MLo5/xvQ1++4v3TX9f5vV3BcmhyJ4M5KnVUQA+uXr2Lg0Qd+0/tc+S7xcUEYp+eRzO4U BvI385qoTnuZE36HURrONwvxwqxGsQYIC+LfP0gAzIVfzwudzISdaet+R/4ClCv8y886RstRXcjw aIUIjXFM9U0yb3z9l6PgHbSEXCdX5LV0QZXU34ZFjOH3WiQYbRo2b9yAMr3IEQYbfuLw4NsEFqOV P5Fw45MNpJ1Sno+rr3vDqe0BFvgt3sTf6swyE5RfS68voFN5qA+A146vaTF4/Mspj16utQsVX4aF g5eyIiAT6v7XdJCgLmbHziORI4ePYFCvnKLGEd75Ak9pN0eAD0l6Aj+imYfF4nsisdo9Ds8Y3mYx iKK8Dgcflr41YasTUkgx3ftLTfCKZgQ4unFKbJwvujPRZEdMyvS0iUC0W/VcTy83hytbVbBI7dIx bMdKlYotf3KUU/qHxKaMkRGVMbUeeg8BE66ee+5t36ePpGnmFBEM5hd3klhSUC5r3gQcRiQCz0P9 Zwn9WARcHV1GSnERy6WvLwUo3DqUQbpyYthw+ikEsvwDRvsTx8MkkyCDziVvtEntZT9S1vL92FSN pQkHqSvEpYOB4N8oQ4GMJZ2kncY48ZUOFlHx2D4cZtN3fztn+ge9L6EPBECbT9EN/gMmbQ0DhCNx qdytwHm7WtBkQCbv7MLp4eMFwXrB9qYFoF8ixKxtaPNkw33qSuJo7qUfsEckfR6FLNTq/mRw7Jtp phxmwGnQu0o49FuJ09wJ6rJDUOSFaKFUq5g+pgwWd5mCTJi9pW6B6FwYfj4K/6Sdpyu3PrGXVajj g69hkH1bK2zLEZJcIGzKF+4zzLX/XRI4YHVFN/JSj61ENyFG+JYf76BbOw+UG5n/NaNdvC/b2V5A C+4RHpeeGezaSmxzyjc9BvvfcammEDdAbcsRYaswsLFbXXFNncLt4wZjxbA/TJLwR/blLU2HELRZ s9844gElIo89qvzQ6fYEVQJXspEu3dXJa0dmZdPHt2vXzpha1l9urkQU9p04Uv96OGZtUmtr+RKw L+5xODX9O/CuPjQJ3G4zjSB6FF2qqFMDGwhO+IUM23osf807NuvIxmzKxHxvvMW0+0AtapN1TcaX zSeoNwWpcMYY9eecYeMAUOxxfmyf0/ZPxwj7Wqqvn8by+OCSDDHF17rPT4Ub7+oRewej38arSNck RX5JFrVWkvC8bUZGGDKwBzlNJ1qccYnBESI2fQM4xuprWSgfU7sT5ZJYbs//DE9a0GdjzYuIjQlZ CtXVNSX7r0al2Dyxe83icQtTXWPOr3dEwX+9IeqZ+DX+xE3fPkltVQoC2rKcqrfMcYoPrVkVHutw 8DH8uF2DSDA27LFkVLpTStPsSSVcmJ1FivYxV66O+8yS06SpaTOtR/raKmigsWNO3aAwYkwTsXgz N7hQ4R6GSEq6L0g9TQW/Q5eDggmGvaeel+/TShg+ft8D2H0zmIwOmST26tLBFnLn4fecFxn5ZjQ4 1S5eE1M68EIxSKbtwcHb0Zcf0KlzN67xFU76EdLrPhxG1viurWA7uRaYPGWxl61p8Bu6J40hnryF Gp6XKH2ZZnZcNGFhzE3py70EBFj2ChaMwAK57wFgQT3q+OqyXhi5eQbNqjYCZ1R9wC2MuMBNiaUq 0+9tqKNCpDtsRtvS9ghoMUIezcMLVfvdCveU3ihAkuJfY+BWQbIwAgvgSqWuLPmfTD210UQhS/3q i0uTa5czk2lo1xxTvh4x7OvNjX2F33BwLavWZPd5y0G9xfPPlE0GjCms6k5EY1ZTHJUkDyfwmCj5 +t8op57baMdmx5AtV5QjLtCl6RVoivBwXOouKA9tYRWU+l5e4XczDKsgVCreEKQsA5Y5PaHwX/LB czDAT3fKN/77Xvo8lfk2sMzUG0Z71IA0aOJHm6k0WCXb/I7MGdHGfFq2IYwXhyAiuthrIYwngIZN Svs8H6hiuOpQ4KETg6+GDumU6Sb9L0viUJo1ltsWpK1U5vDEp7kP4+oVG+ojOw559y4bwRnaJgFM kk43WAFThz1QSCXbhnjjkfaN+RYQCG/J1vnClzNcWYnxtt5XEASR09338vgR4fr3sMAK1mpzXUt/ 8JfFkvXEr+Ha1WXsyusc9sPiL5sXqftz7PBvawSEFlvVBNbCZ3GXGS+KMkGQJi3O85jqWrrLwcjc YTzU00icf9Oe8TSzoGieHc8qydQezjk3yV5hW/VpMfuT86p9V5mgTo+YaaHZrQaVPSO4HQxuQ108 /GGYeaFzVcwV/sfDtR/byQIGrwUELATi1G/J6j49CBud/NrU4/NvOCMVEEeSDqMoYOQoNiGamNGA XxTxJ33/suKH31mXd66BGVRnpabWD2hrhNlem+dSh0MzPjQFV/NJpGBppV8/b3aiHuDqorw9YGrI 4xIZRrA/bHhXfr6ajlk9UyRtAAsRqB5eba8DMqaTNSyQma2w7WspGhSpIMTZihCI+AECxSyThszD FfyqcBzMfDtJwGvn9+ekts37Bz3PJw+mWSSk1D2PYOfm+Xej8ktZeSc80UWU+qJALJq8uedyDh5u tj9uTp3SWf5MNqrfvLl44LImXKrACTMy1gnqSfSZr93M1Rz4qgkNkLIlKAbMraSPChkAfKeVylfr KGXoO+C6uxAQ8jpgwC8+94i7/fcA2VIKb5V6s6zVw6CtVL9qAsvKjGqO8f/THhd2tY/Psp8k0aB2 RS+FFS86mU5M/pMGE9NcZ0a9TEy1j6rQaVCBLcCDkO0j0svFIRd+kOwouEWTLxq41n0f4x08eHef wDbT+rSLUaEsEFf3jeM+JYLagpyUjK7dVHo52Bgi6TBYpRHr3EPUG821o/phdF7ZtgJUye6wToXL q9Xt0fnminfLYWi7LFNMpDilOtf/sduMPlSyXgURts/DqDiI1iQfUvMidLdz7wpZ6VQRL2DySsnx l0QQK1Chf7xeatsw9lLkFhWqBHNvLMbTB2wTb3cL42dw9rTrmCXzcxIuP50VC7z/8bKLDOv0njnk A1E5t0kZWoEPPnGxJ1j+X6zsOWuaeTOtAw6lNcDtNU4CGnZtmedeQ97k94wggXfIubyPCFiBszR5 QP7PfUD0tqTLOgteN92E8Ag+5ohb+8VnAmmWch4bHS0o3LMsv3tLRCHKLB4etlGQpD6BnS3QlQAI F8h5m/uynV44tkiyGScb9rUTisFHQWb2qGaNEPbe+vFnhaNA+dYnWyBCKmf1QStd0MrLKRSDsDqW Md3JmpGHNN4ZeNcvavVJ2zfphsKtL3KGmd/NV+DLYX+Y8m25Da/3zRz6tN+aSnduAapQycJ7yha+ gaDuRNO/gO33yWFFOX3bzu8owSSv3BOJ+KrnF3KnD4r9Pq/IUMPL1WCNkKTIqUJdcKOT9uAI0JCA EhC88Z9peEp0Xv9Z4qD8770tQZjVGYYTV+RzQZWS1MCrE5Yzpx71w1Q4mXNyU6PBsP5soejPcz6t hU32Iah8jnBTaycVCAfD9DV5m2wI+NuaiaGCxGnpC5O37AyR7rjObeF9s4pHynf0zFSbvBmvJP/m kSZtZOFG6Q4OJbqP2bhDPOxNTAibRLOEh35cRvswaPAft2bbvZj5UCvzKwIesP93FdfvDeuLfiXX ooWkTGXEvpV015vYKyKpfyZHvv8/kyNMSE7xMhMX02eufHn8HRsI8+sf9IYYD6LnPiWcEJagbFec EVSH4nRs05N1ceCSXEiMT0meXVKViz2RyRGTr7cB/2Q9kTi+FHHA71LfPhCCxQlQQRceWGUgliJO RlHn/ZEL30KZYzYuSjkzMNcntsmu/lphBbQLKWruwlSRsNZgHMxernLN+rXdVYoSIGJOoRk/bHiS 1v0QS7rpXJ8dSH1YOQUKWVHduaZuF6qvr6Rth9Umw4roV9XLDd6q6zUXol+S7y//eCOrwiZrzCAs zpYf+pn6tn+DQoJu/lgJVON9cbCdyKwvGdJSDZbAxBtKL5X9qttXD/OoMJDV64eT1mX/sc5kq2cP LuTOJcGKVKt9Fb9ZmPx8KQM//EJeY/ZAkuNn312rjs1oYBpVcmmddPWGCbgvLPMQ8/2IJh/ihsgg c/erNNA7V18BR2iebiVAcQ+Yw4Uekc8zRaL4tRIdE+xKmVLBUa1lNJLNl4na6huP7dOQ4tcsmTSx 9g/90Q8HcrzvwMDmFAl78KN0h+Wj3rj/IMtYgpBHjkfWiGlOyMR9cGQ6uUwgxltaGt2rerjzusQs shQtzZjmnO0WdFCaO1c+e8YoAwoa9Jp4kLcTPFlfKu2bFSpXodX4623kvJxhojJU71FuQBe2VcxN hiYsvODVhTjP5+w11c3YztGafZaDZfb2qOSkwziqgxdrEztGn168PbUSjkpODorn7qDniZ0hrbfu WTZ8RL4HeF9xIC5d3HOJrrs8Qdy8ANuTTPdlhM9pJz7/+knoEx7EZG04qnVr/dVRi1n7dZNDcHYA UYkKbiVAjEmJzCjK1/pLnZFutm+8SCSzgmKuwq9DCYCmMI6L09sTHTLVnve9j3FM49IaaPt+iM4F q9qfqQYiTqO+iXlTGeKtrh2adALJYMVVgyq62zv2hdPkv6UM2DLNfpF7eVBleTzgi9IXENFLRgvI FkajflDkwlHGJqxaAJFxVUzdus3i8PMR2L2uacThXibASI1XBdxGXpHTtMAv2FGUHZB9Rbb8rf/t ZE3b77SO5cxYtlVVFSyOlUWqmp0iPnD7h/Qhue9FDx4tySUcqvqKde/GGB0uFZIb4CzhP5fFmFaP KaK9Ot6CVzwrll0VjNNZklXIOUdHC6NETIophsXPvYIxK5FAc94YuZFOOKlqDnrpw1fgoxBAGj3p jtdoMoMw1d04dsUTk8IXaEFcmwdHx+WBAw0amnyukPzB0FBO6LMFL07aE6zmQbH16qg+s9GfqEGB 7UzNryLL2vVZBcPgiWopi21ml7wPlGoIIynt3ZE0yV4HAmZcP0vGYWOIYUZa6/Ubc1IPIsiIuueF 70SFNt1P2uIG1DfT25QGwxuCJRgp4/NjuldQ3S9c0x0rDREPjxLeDyafEHCMqr7SverptsCdlOcp mXIlxrraBL88UAmGyArxfcTIzw/0kWWjkfjsy8oAx3UHWzTDdIF7hcsaWF3GUZZ8hqbI40wb6FOa OndlejIWAD668aNZMVDGcfqmxQx7/W6BaH4osRe3pWC5HLc6rlk0izk6N5hrAw7cT1T5BEaQtHys hZ95tAlD/a8v/csbZhBvlGcJNuruLqHhkM6r7TWyf4JXOc/HBX6PxMfAhZbW/NH4Ly3NSBn9nlnC Hd7WqCt1yBMdgRNSgbt/rvAJEMF1bh4hH5o1PtJ8pEF2p7jbxJwOEXKvhrbLdcCOqwyGiSW8tDm9 ZrwXc1p8CcRZDC0IAsdoR1Ce+5ASMUNizzJAAx0bKqM1jvRRFT8GgCxWyVO8co1f0Iyl7Mt0Ajlr W1Kh4Z9WOX1zKL6xE7Aa2oofNH+xMX0wM6a9R6vLyrMUEPYxtpcDPtpSxJcnt9DveQ5pz9e2Y7sG /XmpzP1c33JMI1d8tnSGEanC6TbWPgLHNiX44tc3yIp7D381s4iN9mDe9z8UVN64+rVkl2W0bj/T xIsot8PfsQsAmoV/QbxIUnqIGohmW8BcBWo+7FQ8qITx3tZqtReT0cIIGnokQXnXKAhfca4u5iZH nIjZ25iWiGFpM02t75g8wgXFldSFgypny02ueo5ZWKT7MpfbTVZTlrM3XGiuxVdvnwyAqJxMwGcK iIlRvo1oKF4m3/AerNPdQ7oCEt36UlFriGmsYm1U5fP8PRujxjhvYxKbKfPORdfWASIJXFRodRt1 CwXdTUT7YBSzfUHy5r71VRMW2xPzqaK8wKfheewe8oAKrTeOuSx+j3XTUEqValQy70lV0X+lVYmn CgDSNU2Sxu6zXfFNZMc8g7m1vjh+7U19Ua5pnylZ1vMRfUj5h79FaPaDutah+ni0/bTFsmm4707l nQqToWDIV/CwZA9f4X6y/pi3tE8R5B/E633GSsOpJi9R6cAL4+eUm300hmI5J6pwD+kFIZAay5VZ kbSBk6XwSnTHG306+YR+q/fvaXYz/0lz0UNvprrBUFAT74JBSXkEZoNXlNSDdDRRzQbexzl7E0do Fe4rHW0kWBWaHkKAm+sHltOqNeTj0I8A/cbHEoXblnviz3pWCtEcrP2RmBwEB5/e/8UVmxmLkv+n StcR8nEHeVWlD2ISJ35GTxYsAfG85+16R/nQ/uXJqoqs5aA2z/JSvkbFVe6x1vKK61HjWt00Ma+e CsHp9vfV51Dj/35e/EnHkYGHdNN2FjQuDtHdsQyay50vvcaTMkhwSDp8izbfZ9puoyYMx3NCF1Nq 4jXggW7d18L5bdYdkry4XpCpZDFazB3EB6OrAT444PB8tnKmrgQb81WCm/UyQMS/4ydT7gEgLO0u 80uA5gQ6+eF3FC0uur+vzL3APpWZa3x6V5H1/qnJCJHRfc65BMHRdH2UXT0qXLaod0HZxgACA2ne 5YvaLzQw8YXNQOrwpXkcg6aUz3H0jb9HKFfPIiUAUAC75fS5QFXZTltXxFi5aThlEUfX1RnU+zp0 KP5hDW5OaAFUN1gtrtn1KGaZfY0EUJ3tNeVFQaCnPMr3AyqtbvtDlHiFwFD/iZe6rmPOlqDTtoQ4 mQQ2TjUvA648q4VljIq3kPD9VLDUUE8KLa7pz3oKiyVa/VyQhRP9bgFfw4d0FhMaw95OgNiDQLsg oBjz+z2r1D+NqJ4hotoUsQhYtf8huXxiZ70Z8WUrzAStwjmWKOD7eOfsueB39U4gO4R8xpRLfqz0 j08JNLtGvZEIkPI3gKADB/QkKCc6P1uv25kV0Sub5dOAGKUTGI34hYKQkfZnoh0D7ATNKLtOFa9j 6p8jslSGxYu6Yy2zzZnzOHQCDgm1007SrsYNXdJ3eokl+F3zxBsEltqTbYM789g/psfsd26GLUE6 hcXiPK+nKE01LBy5QpANNFNT5NN7gd2tWZ7nuAafmiN0kV84unU9qcFk57XH+H0So4TGqyZTHZoL UMZFiAf3+kBo+6VsZPH5ENjheskGsYntXmlzFZx0OinmqbY2nlLlOnnlnVEQHwj236yvSBxsQW9l 4odqC+zcUWP6NywTV3f2Cx6FIRQ1QEXhykUQ1OuQRrNcbPCtyTnT/Opzyu04k7wsD1Zi8ucax6sI VrX6SyjlJ3rDPVTErULItxXGmJub7PjWpS9gOzJ/qc7sBNk0UnhjB/ED5eSe2XxRGE8RASQUiypw 59EjL9QK+YymIHmf+PlNna6VSXbJf57s8FbPhWL40vqjkxfSXcLGWHyTkQD3C/dHR/gSjKRwd18W 2feJKD/SPwRkkyU0v3ZCvB+OjopS/qunQgrtfd74KKxjRH4Hyaq7rVebyieqgV7s5X7I7SKzx69e D0yXXUSuH+WQ7UXn4aozd/f3YjEdSf+Fe8xAPKC1eDeYEJA5IFXrq+rQ9hZzZHfEedI/VXHvvhR4 R/96kKbdxyLRCO+TQsuecHmsYjk35tkzgJoYvoD6LTSO7jFYnfc2mZHF0cUy59jzbKnQjGT5rsLo mf1THpF1Ut1UYNbRRO5d7WFeZ8PtlXnpArpYJPAs58LrGXF16+hJgOaGjpEtgOKdGAypsnztcj5Y 5nBQ7Z/6BworLVo+vWKVTAdLv5+L6xHvlK8DaPfWlHVEy4429zrtVQIbIsmRZ9fTuvnH/C3cM+a4 VdmL4gR3Xz8JAAVPe0ntvsWVzPb43yp2Wg19fFwq6IsuZ04TDTnWhKF3e3HBGzwMJCM6RjlF7DFN H4F5MF4Chm8he7e35IlxMxB28CJVm4ZMa7CCU1XGSRiciSk/cmY16rBI+BmSO5kbAndEFyb+qOeJ bDPZdvfrRhO27uSc3+LHi9oVZw6CXuaEbwBO3SuONs7sKdH9DwprDjpR9M01u+9N79lns0sjunQK LUwPPr1COo9TMb2RWUgVa++No2b8LjRBQf1Ir+oKXQe56PFS4A1qdnfkhYCOXF9Ng+x+XPT3UuLU vsaZ1SxkfpBOx7u8i15/NrUimYCG29imyRifGMVNUXs6p6O685cD8oK5Grv+aBRMF9nZLOcHaT2k hJll1Pwwn8WghEG3t+5AGtRfVYPCJ0SCYo1he0gEriLEDsknRvbapauAKiyiqcWBHWdrXxPku0sI eheFv4Z7AjtTcLdZzFSvawCWjp9Iy5xriyLnyLru333DQXGEnPMIo2czs3YMFG0nf+9/7KoMKaaE j0WU6UGc1OEaZ0ebEj7N/CSc4DfuarMxOlTUTAGxVCSLQkb9ysAd0/S8RQjOnIKig0aiVW1DAUqa 9RAHLLe1fCMhwejlRWgnlK9rlU/zbip3rOag0bzwN+bOk1RY4UaYtpSC2azSDiR+U2rmaRIzKAP2 SNFS1ZOIlgyVffMrVc2GMKglzrHSpQRUp4eiOSRSV1T6kJuC2tqnphfQ8hrQAm+cWQcR3WnW0VB8 H5XsL1xoOBufgdSIvufC2N4n53MTMU97Co2fNqQCO7mgGPZGpUrMH04nZsdTOPTBpnvgjYfB0PVE gYjaXJkXqeSs3Kdl03R/Sce+A25E1ZFITdWdvyxhM89OMSVi+AlERIVXPq7djPEWtQpCx+xywUO8 e8hWnWt5E46jCuX7/RY8ykFFNFFsfk3LfwxgVnyN87XMVpYrVSDAmoYyFpMeIMOXoret21TKBqy5 WTsfgnvy1WNiScwRJKPDvQXuuL5+ZQYL2T6zYNt7DFZ1BJoJkSEL20uS127Hf92HMwr1QtP/Bii5 beL1W2XWtyZp/6yXGE5YUiXbVRf+zT1Q/xsgXNLIaTmPTMJ86JngF7vAiqVQFu3MW/1WyUfy03KW gw6QGldecWxfTh7YbWGl2DOf91cFf5huPzztXKrPwFkburdZCDNSI5HYqOX/XDTYGjep+hv/G/7k not86X3kSD6J13HPNRp37tf/wRpIpOp5JM9cZ2KUQl+2U8eg5umpOP1AjqFZhX8QKr1HZa6rPIhw g4dtAZmEQPz3DUSoJNvvrrISkavppuk4ef3PDwu4D38f9KLxbgVi7zkXjeMqQYWE3J92oHdC9VSQ FuHK+HTB/6fDwtEksXISdJ1angM+0o79PCXT9SzFWsLYUOdDBKeRmq4lc/sRlwV3kp/7yvD1Ov8d UL/WfdM1RYIAjorAKVm2+xQxKAq7fX8u9bqkOzhe1PjHZVSidrZuXjCrJzA5bQ7Kuf4iu/wvUhzG v37iAqQYr2vzVGh/Wcpn9yhJooDcygg/Gg8q3ltZJBg4v9Fj/awAJ905dg6WtbbIqdM83LsdyyxM PTNXpirYOdIpAv6qFFSqYD1NiqGZU5zzwqyhwR+e9F9SfSZNkDQ9aS2mu79HDvBsX4nQcfqcwJJU MoGMug5+s8+GnKEi41hN8gBCzj7zk71RKg8vCQL7Ufg8IqgiiHZavu2Chsd8jjMagx7319uX/UUC i9Xtfg5PUI3L+f8HaJHoXtTyM7/Fk1TOYNPjIwFXTXaD9fCc6wbgeWNMUFzK5jyiXI04YwI7YlPI jMUuTqdJA+vtupMwvkEiIxMpkeB1KxRh/3kdtjwoXY+DbdZKq42O6cMN9fGOy0E2IuXIo4p5wNJI DM7237FFvZXSm5P4qfKuLHDk4brAoR1WglNIX9JZex0UgEQQ0KFhzhQvEcSjte4XUN1JYeN81Znd pkVhY6gQoSUJxMLeokOvO3QQG4oh/KVn6UUpU1FMlG2rLBvF3XWUe9KAaMbRHF06Qf0MCKIcunhj a86Lp7aoOuKn2EO56KSU504ABbzP+hjyAEwlp1eJ2WMpA/KxGG0MpLPBHGWO+wUKpJWqYmqjENai JvBeGEYnmTlM1phHOJW4jLBX9sKFapUMa2kWFGOPT0GqLJX2vVrRSwuP+SN7MFZc4NgbhdbsjTyA rMUk5QEQucVFJ81dmLAh889YV3jTdE0ncn2uwvkTxHK+mZ8PWPE+adVdkLrTWKv0RYNoleuBR5xA OZ2/bRxuUvEW+wcvoYxbzxOHtnK78Ur0uzqJmU4Ia8xJW7J9+w/8s5I2fx0Gi2TYndLsGbUuxz78 pptnsmGJcCD+rnFVEeHZsgXAoIVehOP5JcJRgGlYs/YdSGSkiL5d20crfqY5pR94S3OWQfP6OFdn lVajnjyfefOiUZNT5AJBKvc02dsES3WmZx8QaKacQhj2nYQ++FmYNCGw8bsnBxGQI2PsTcRzfIOy pJOdJpzd9f23JD3wwFOrhnefWyPwVZFjD6egqaVQ6p4efkiNkN4RZI0Dv0iY0hQ24t/5su9X81qg TgKE1ua4y1KGVxUxpBl7iV3V2BvD40DqOM1IpvkgbOvbLH693vI7jdotgDoCWp0+2ugmRNQCcNeF MHeoaTlqBdbGcp6FF6xWaEtLYtrNsRn1K0D64CZQQX4O9dbOZz0gcGZT8YmExSX7zqNSAV4eSwJ0 JJ9JL/Rnn3mL+vclaNyDeuLGIVvoIF64j8HQrF4GMpd5D6Sg9U6qPNYhOkoeuws9qWhFzu9Pu0bY QT0ft2QVwEeCTYDF+UxA0b1mId/DuhcZbSYCVxuvuD/h3j9IiYmJijV6J5QpqjH4FDT/aPjNIvEB xV3UfNYedVcZqXm0ZWL8CM7tKyFgTBsZxBXNCUolbYCoSZb1L0PKiI1qjpQLUN/wK+jfFgsKb8lI yAo6DCJl6bg5IIagcY99/2T6bPVGGYbrSRN4djE9YD+eFyVZazy2ibCxnv2qum2eQrxM9A+ReeJ1 snnd6JKcQ94I3sc5hyLNkC65nc29gJxhlUT+dm/SYwHxoAdJOKGkyiJ7t+0kSj9VO3jwPMgFvmDy 4FF9z/og0fo3cb9bXO4UReGzNlhF7sc0DZ09JOKdch2RuPVN4QFyS3B1GWULlY1nG9psRwB3kRh7 3O4PIFTo6FDMPlFjI2b6Fm/T4d9C0lIJMMKK6saARECxi1xTs7wTheKzapuyfEyD8qWZgIU1dWbX BwLcdN2HgEhKc88+lmdAstqKHC4Fwg2Adm54/vMXXTR5YhI17J+hXMg0gBNH/8+cUbpBpulMAH9X WUm4cUYq7FcvTy9Z1FSnO7fKm0r4Qlpf4IrflWzaSn8BJz52LodeyAwqudpgQom961Pkc6U0s9rn 3jqFoEAJWszFt2YsC+7pEVBueOFXhYyDYZfJ7mgn8nkH5BsYJk649BEAiofJCyffLHupEJG8SUbd 6BHwkAIXCV5fRoB9RVEuFTvaqjo44+HAVnZuXTUVQGpdPzBvkkw3IS2fpjjGvlVd5oa/AFCcZYQU eXy4y2L6XjCTdNgHZDwQKWn7BXj1D7XKsd74ANWDJs5A6QR69b1GHmNgrKUuqGEVmAGW5meAPVrw pgdPFtGuPDyHS6d27Dgxr+UMcMq9MrPpwYNWo6swGKoNcbEPalfFti5Gz4IiltSzjbyG8pGWxPGL BmWCd8j5uF5JM3ZgbWnr8TimSq297Cc0lf7ON8UTFFOa2aZuCjBag3M1EAQfEvnks/XN5K/07PeK We4f2fOR2EWm22EF2Oe9umnunYA790zyu3XzlzAlHrrSP+3snMuMNZxMmmG6YltVc1x2pm1XaL3G jkLFqcFQvEiSoRu1/WBEYLW+cInjCeQBGPzdoxe1z9E3MAtlCDUn2+FWfI2VLaJ/KRcvG0cuqzUB 1Cu4UmDgNeSNFrD+PdXwg6g01eNzTeQgAtZ2YJfraZWp64mULMbuT1AArF7onZfcP8XlzvtZ1RVa aBg9WFkBkWM00eLMmk47DAPGP9kWS17I+v9nXqDx4cwMctTdOjAUatj2U9QExixsVV5yhWP6GB4w plKCf/M8QCSsPa5Xk4yv4xldy2kp3F7EjyS3uA+N82OP253LDtttqcNExG3cjzWDBM8L6uGxhjWM 6uOIvldueWbNI2me+bqGX4npQpryrd+v10rI8q6BXxazcRZvlCZGv3En01CzgsLbBxxK7OVL8NfS eoy93bHXdL5MGYHT6bfmZbizbAbqqOubJotIxQqVmCnHv5XDl/8D/wWKEFO+/rOQ/4RljNFGIydY NGGjsIWSaNcTeeFdemiYakKfDfPiWNmIvWx2OS7qS+ysVx7QMIcfHckGsywkvBq0gMDo1nQXynSM XetLkX4r3JDBoXKeKNdlAEtZnUE0LrzTWDtwCNf2sfG3BfLZTZEkOckuhSKqhw/i+bK5wZM14tw6 Fd7naCXWZhJdPflqg4UOD9cb7XS9rCutuDsDaMM1mv27bmdJPUCvRaPF1LiS0eDLfc9qlA99NGnu 7wEU6V4DohZ6Jchrs3egK7LqMbxnuoSreW9QiI3DeKIODdpmwuuWJy7gvlW8zWq8olBjLdLfW969 RUlagkVSQ3qO41TjeGPtxZgWjWH2LOqVOQjcruTPlsSbLMmExCk0zMX6xo7xCiKuaei+xm0DjRIZ FlpxDubzGSSabZb7XDPxMRkFz4nW9UQL+uhgDgWiHMkexTGa543ZVMAuKcIFABBZf0IwRIKHOZwt w/VxAHz8xJ8sReAu+tcoZf64kqwG8KHZ3oHDojDttzAfTstekA3dcAXJ5qGtHppZRKoFRjhL/Uyy XJmP7O4jMkmpo+qz76ARYJbD+mVUGVeXVBCHb1+Zg0KYNjVyRxLu49agO4Y17UhhG0v1AUVHCzAQ 3AAdIFZZEhxQ5D3OVPEuf2gNEU/hGcesjDiHHWt0JmJLXdljkJnExGFlz4JxYIrZ3ftcezYIl85d MFZEYq4V31Pmos48MBsQZnG/z5BVCeYvYeQ9VoT6CkDjrfmp+FSjqoC2HuNGB38bHSwuW1zah6vA JoHPgaE9m+Z9IWZwLIG8e7jkcA7eJMyzz6696zYfu112mddNhcVPFzX4mMtfyza0p+1gOS3bPjjD AZv49n5zyTdOCc0bR5qYMxTZg4RIl49u5eUTCJ8Ux3ZAto34/3n11K2AAD2UMqOPyDsIjMVsUkrO NKcDWfJB97+nzFrdNYQvYJJSQ7eHunlayMrEe2DVlUHDOH+7pBs9xUVKMFErypSjKcY1XMnm1Q0t zKtWM+L6THylf/sSmJIu8ba0BcK+pfr8BayXhNJ6ApXBRh3rhH/eUrDJ6mYlzRhG4vb6ixfpxkx/ Q/EvREbfR5xYdLG9MGarOMQjMnVYi3H4tI+LBJvItc+Ot3HuopaPwmU1XIaioGY1n+E+b0yHT9cG 6H2dbN0w7rjsrgtXifw5DYT7VPFbLA1oZBOenA4GquyeghhKtf/7SFGaLnNRPxjNqvSPxkSsf8ra uOIYClpIE63rzQACCaBHExzq3CP+f5NLkQ8UFvgDCr/Q71H7OdPH4Q86AeHDdh0L2r7NNXzP6j9x 5x20U9EfPykIQk5T0gi33e2Bq4Q9QCO7vK9MJ7Ds2aZNx7vLNE3moND4pZUXHwjwEL/ZzWVTi1hE CA9OJqhXmkjEm7+retnR0qOc7ngvGegmAoCOiipHbSWWk/Non5jwu1wwQTJEitnnSoU7xKphTCxo IY2DSH2M3ikO4Ub7MNkiZy8aFDjI3AwRQCZY9le+L0p2kCGBr8A2DXyrdLEgg82NdiW4nK7lN0bO bsI/7yhXqM0NiO9ULz0NuFL05lCtqNy6pPuzYwtton+/ehP3gRsJBecF+9yAYmtUg7pcv/IBNJbf YENSQ5/l6jJBJj9+MDoovnrOtbW4cML++ItFQmdo1Q4pTGha+BTlmU/dZA9QiOsiTmBQUiwUhm14 z9xWOojR/98cQqI+tnq6UmUgstVxdp+gblPx8K7yVHKuJ4EIQrEiIoygYu5BHGHMFz0yZpSbWhUO e423heXzoQ+FjxNWv5z0r6XzzsP7MADath1FjTcj1B8x7qNmT3OqGktEc6vgP7gMJp7u73a1oc1/ Jzo96m6FJKpT/+wMPWB5h+rTy2KaYKcTPEBYS35/F/Sjt6rH1FfCAQszWAlWiTEotkcO8fKLiRtY KafR2zzq9ERSAg4pUtI1YRDq+4AmdkrE23koZkcuqwXTWIhomYKgMK2U5A7FGzJ/8Bvt8fHnNVDn tBypvtMTafBlm67+OZJuMa1H2gr6ZEIRomxNNprvrcrBPnqi0cl+skUDF5rq+/2XuCwOrb0MeQel bx8eT0PGOzsuAd9eZCmMLJdGFpGoDTyytx+1lIDX61x5fETHtNUsYWVTHxHt2I1+H45lb0aRUaU8 2G6fam/0rfUCoCIY+IOPxcpcS4FkKuxPuoHnKLw2+z9CbC8XYbb2TPt0V7xOBCcEXgjK2IYmZKT7 qkiOXKjuCKQjrBXTdB5wTCV7W/tGbD12OutvkTtIZVC/w+Zth/Q9zVyWoIxHcE0OSV/4ByZEGMTU tePF/VaOecosSCAmcD/1qf3bXdYR225DEvkoNf5M0KUrKFyLfmldPxx1IiYKknt8C6AuOBJ4Edjq Irai3b1Mhl1eAIe4Xw/6VLe8tw52gXrPB04rIiYztRLoM4P6kvMN46GzIviLvbCThyT+pAXJ5MgS H1J8a2KNoPb4PyNBBFbSX6hgQxhELn/CFFAUrVIdAT1SkIfbN8B74xpArxyPAVHaeZ7wR4B5w1I0 1w9l546HHeB/tAdqqKzLq4rxQidXeMw/DRWMtlkBlgbdvZXfFmwMXfow7jMoqU9Lk4a8iq8ulOUn jp2ZKVVXC5dqnAi9qStF2Bz1yDfb1r+UocuEeGUIcIQrU9mxktjL2Hni4V3wYUAEcGnvMA/nZznT N5B8SpiOAMbDazTYaEnD9G3N2FBmqk7D7qPgGNsWOVEvqiL2aylPxeSy8upn5x4Ckz91OxqY1NUb zL7vgCIZaoS6WZ1eRAyZwlRk5t/apiqvlLeTCOKE8v0Vw9vG6N6dP+nL8t2rVJfzeqJXmlq1FKan nGpevVD3eElVj+jusPDRlE++CA6zkFMvBcymLsiYPueY/yWCGlZtK2m1SPrakRQp3RMK5OQLMi7h C0ALKJ17b3Qdt36sTP/bynPyYZGtDTXvZeM61svdgSSUMbluvsHeWJLuz8RmFV8vnKRSP6OaeFrs c3ZFlhxpcaKvke3elSgEu7ZhYD6Smfuha7JLObmv79Qvr+/TZ9x2ybpqVqWALvZDGcqKKmpmUWDi h8n7fnBQBTjyBmMiBGuXTBZRBiB3qyX8QtY43rKGrr09RW5NUdqhuCdPyptgZP1+r9eYwFkvVqR7 9JYUNXUiOJflb7N21T1tArsi+4sxKAkgBcSyIIHe/7RQEBCiHQOrtqZmMmjQMISM1GguVCR6hns7 UQmWxmHSOaSo5gNsY/vlZ+AVE+ZcjZGgk2pQpYjNdoOGT4ObyJp5IycFRSlCa1EGb+2ooG/jkXzt qmR7MviOiG6InY72HAzr+ZQbvCvmy3rPukfr2BN42qHMRk5Bn29C4NDMaQ6FQXLAzN7Pa6ZmrcZG UjMBbl1z6Mz3Rzm8lUdcK6tQx08tzq3rhbDHFshdSMpJY0ZyhihukmOo6LnikFv5fNOjKdPxM/gQ OCHJ2JxflcDXjY9Wq988NJZmprszPo3m0supF4SZg+VZ3jHQP5/GfPmYV8roj4QYWst9Zt3jVlwf gJXo3PkxUnJ/e1l67A6OFHYbJvX/rlwMPlB3aGdf8lmHZOXN/GMKJQbdqYJf/W/dXSdMiOiS43oQ uc23Chviey7SW3AFvfKi2HdoXt2K5e/MQ5eAvYA+eXTtdft+lpF/ivdWVOSdL9bHIY4f+dRzehBo Wtx8qaKBNcdPIhugjy+bkbpLP2qX/kM4SO569cNGXyPBm1KJ+PpXHxIKOrD3jSUaSC1vYZKA59cI mRVCC+Gh/D+N4h2tnRLMKpm8B3Fe85JkAncmk15g1Ggly62OG8LsJd2vaHjvAabXgEmsfmj6G5EC Zcx01qKOH4CZrekhUeaYQ1e13SMRo4rOK7/aoEDL3GmaNCxkwzO4v2b/lcx7OXsz1uRKBuR50uLr wX7sz1H/bJ5mclA/cZjuZXZA9Qq4W+yIYX7dHw5F0q0YzCPJXQzuL8nvyFD2mkiVLtH22s/3tEXS XrJOQXzMtNisoaEx6OH42gjaFbxYh2FYR6Tt1CKu5/d4XfUWL1hZ1MnnfH3m98aGePH3MVXTR+9W ot9P65H90i3TzAjN5qBVqI5PoDosyR41OULC4zSUf/oYp5czrU2Ckultxp5FzY5ft8ktq8zgFIvr lqrsnPALc+0DdoW8vavaEwn3/exj3gfNw2Hv/5rR4YJuYuqMrf2Ct5jWHdt3EtOgpw+DYwFVn0ed bksagTwlSnbG51NhbVu90GehFWknZ9amGn+ECUTPgTSdJjlqycQ4/dp4UzwcHRatuw94LfEHNKqR xPdg3gLby3NQ7kWN7JwPxNBdxl4R3d0Ut6px6AmDcmEU5enXMj3ZC1cZRTLwxYyQr0W7a2A9iV0X hFtGjqO2tahAMx8stsJYVUj///Xr+4K963V/Rs7ufES1Dre5cg7KkO3VTiUttFPUCj1abw9/0LV/ zVPj3oNZ5ZtIQHoglAQI+UuvFaFa2JXNZee19ObBrOHk1ahJMimMZ0BTbN3X8OzZ+d+lGr8+Y825 sIuawcpi6ouPa+/n8owj7YD0NYS4wN7YtJq04dGCGHuCLYjUohwd0Jcx/TPLdHbxYuPHYmVDjP64 ARfJtQIMWRCpKeMagtSV7TVDkVIOmjk2xT/AEJxT8zUyua+IeIo0VSQxV0YJK4ivauNiWTM+c68t wdKs6rwesRWVWcQ/Wa7GsyA1/GinkGyiJpHndnZpTbHLHMMFKfbNQYrvwQn0wS1uYWPBCo9Y6+j5 eo00/wjoGhx+aFjoRYNrqozX7BsK+DpkDQc3xoYZjRhZUUHPd6Xla7IHkRbR3sBq7cfcKlrkUkQ/ tLxJIEPlqyOMv67quBt1OZKsNxgoYFpaleUuotGcn8J5QCWyaZywn2LI16AHC+gppP2cUWc5dX4V Ai+vpsr8KFxIXW4x5wK5fF/4ZnTmHbrOVbaNhy9mBNRY++zaW5AeKSvaelu26+zzTuxcm2ZfQSLa JEYAGH4Aw9KmATHPSB0pHBK+O3GEloFT1SYutjQpmPz+xQEUDF3hk0laM18IgTYQY0Iw6uDk0mOr dSGzgkNpgjwMvcm3HIYl68xXHNk9F4aYvje0EGe9DfqCyBNm3BvcKaWANFx/SCng85w1OCuFUKud eSDoCB/LYlsketgHvh32IGsT7lWteeZGWdUAMtsBexMsC1Uru1OZa8nMKGCBy+fJQfR+aaOmO+yA lXIfmXTjXrwNdHGe7PTstlr5XqQPPYAc610pkE7tcX9x4+sFIMTflEmjh2mEQTLxz3kJUrfdD3o0 CY2f5K2pkXUnBJTBE35kOWZ6eVumsiBw0rjDtxM60SiC+tv7OcIFgGNHuEQfdqBU2GesXDQniCK4 /IQ3jU/zN0m3WYZjR6t4B8SJZJVt4bDbbthHfuOHz/a5aPbD5gJMcvVWRrW7Hil6Q6XkJZzOQNSz LM0y4zw57ugtqXdmnnKjrUHjUVwuW/ZW8NciC1htTC0N5EdluLYgxMVD5FNnsfj9qXBUh0JIqKl3 G3dr/Ep1mpP5PBjZ34lLPFPr7UwI+0aCa/6H4A7+AcIjHTG3NTNpN3UMv/+Kw/fbQJ+ixeMijG1q jlKQCToUsYz+d+OH7wkGJdDAyESCkhyAxusw2Kl++rOBdak2HF4qLhoycuNARNYr9EN0MeVn3j/5 tZcxyJDYTQrWL1pXO4C1ht2/4zbsVUkBhwheqYywEJKOpx9Puc+rfAeHW4UdS14Ie9vIs/7iKaA8 UPxF44g3HwKwtyf0+LMonokgzKUyBtT89LK4R2xU13Wr0LeJPLbin4F5D+ISA/ZzoENRywiKLx0X gU38pE0TjiNeWUdmvq7wiTbBIQfrDms+piAKoWu4aMHoUGxngPEZUbV/t6g5IfJ9Rx+XmUc4DRQw UlfUvS9mCsW6VnD2YQcbUtA7dWcsl3E763ob3HUDCzQKcpMWRSUPliKY6L8WwpHzZun/JVcNcRsv IZ9mQ2VMTEqpvshFs/0q8UOLDVAA+vDFhP3GYZbMzpaOcGiFrrDS0jnyrzWmt5qNLuyDcfp4KXrN eNgMs+Z7X0l/uBOryEuOw41PCQJf5P9hzFkm0Cnch6/mkZv6ftHQVuBGi92Y0tFSiWkxWBntJkXh oNe5LzPzxHoOe5T6kkJtf0ojZj4XthpPgtWWg7l1vdwhe2VJ4wgvpsl9jqvEEmcp02NNcRjjSwM6 NGFvsuCcRltPGgkUTYCkpe+Pc+i0dMiOpSb285YMqOlkR30/F6i7sFutMQCde5NzhuEjyJuj1Wcl jweM6rdk16a/qVWlnGWiiJjUPgV1fS3E65aDxIUOzpBh+9AZ9sOH0GAy0J4pbQRHJisg1zGUAlgs PHGi6I8tnWFZazxAincFqweNMMkxTJss6KNt6pePJujkR7iWFZNkchP9cQsnW9Uy1o2JBSvcBKyn R/gnkIlo4R7xk7y1SoM+LJ9WmRnim/wiFlf5Scua5zscUfdvR1EFiZlvW44VXP81Sw96Ot2Ld+8y 1g2zDANPIPPRN/FuaDAytBpg8I4WLpKAc8XutHZhuZCImOcOAqNqBxCvbo6Cy/PY2Lol79aZvfPb XyLu0jJBosF2RJoIYD08cikcdWHzaiOedTh/zF0Z5/CnizH2DK2ScLi2ONP9sIcecr2Gu2KuuWlR 02tNTTvUiAMS4f+Q0VV9wg6qAtm5BVWujNiUNOykiY32rvoeEyUidgzxBlSJ+M9JWb1JCldmIZ+Z QSHjI8TxoGI7i2ArQhia4H0fKhtOFoCAIETCqj9dZUrL3Fpv24yv+Jv5WNQXFKYdsc51Wvi/c8u6 3vascjpKulF3hgNiY11bO2A1GMosRNvVfOd8PhIn6R5klHLKosVJq9h1D5765M5PnRJrkzKnNaa7 vMLHev8W/8nDYXQUU6jW58xUc4FkD+1jTSCeXGRSvAFzdOqsXMYvBdN73J6LU1sxkf0UR4VoTMCo YKyN2zX9uBMuWU3YKWKIVfjMnhw+kXlumQAx+16iy7qliqznmUHzeZKhXG1K5M0RMPgY3IVoG3Tc A2Kn+sIKHo4bO9VDPEYijbscjbkHXghNqjtkhMqRi/pMScLTE8XsHZxXiajlYMNipKRRYlzH/LAk c3Rlz6Pjb/7pRoDxRQ1ilRHe3kWdb7TwINtf0s5JqzHaIpRJ67RyeslLLOnhtc723Ifk/HXvdJ7O 9vBV9zy1JE1F2hrEC0nVv0TzS7qlnwh4KxKoYdgFz97nvML8BAbR0aF5wLMoNwkNAIEcNrIsGD2N 4RRdr8dLoaPgzF6g5dC2fKM2hTji0eLe7YjUCN9z/SkBmHpsBmFQFqv9iGOH8dC2Bl5SRkEDVthj 8qsM1Ir00let531vLBaAR7xlP7uilUeycd9wsRIUnXpkDEbubL5Kr226N3KecTkjdbUtjfeZZMwq pckSlnwAsLaKKaXNcnL1CQRhmMntN+qbxgYt5UPoO1mqH9l3fWZazKskDj+e0wvPr5gtG36PLiFK a9auwXVU/o9jt0rYxMK7pSSSC2p/LnYkeWE5hh0SCyZMtJ4dHf67QeelpPshkJR9K55JM1LhO6+p gV4evOg6QEwTGnXFiglpubwztRSyDgtvartlxqoOlnouwI6nOE8aUuPOet9USGMZqeSbBzNfwwDN L52O1fVwfLekPZKfLOssIfwBK3hifddY9f7xHOD8ybMcO6J9SHUDGUMRKiEkU1O6jMvaPOcRQvIM pMLsW+OxKF3qr5Ngf2/rjUIKdbvBtTT7SRvCxtpLiabTDT3HBTlFI++k1U7zVyNFnEpXT0ilAovX PkZBtfnnu4+JSBxR8mZs9I/+adn4vdyFJ59TxYjndiWKVkEl8xOk1ob9stb7JbT/3Zqa99T18Ij8 Y/RT2LT0yc1ZPQT7urW+qWhAY3WtYEzGquCKwROM2hJin7lOF81OF26kxa+p5f0YuKKK0FvFHvNy CjFbC/UW17vtsnmjYk0Y9+tgELl5Fn2EhfvlJsMti7AasNsSy9PoxXjOZEXhfPk/1rlxxVmQepyR zJGfkW8fssqIG4SLCkadoCCdzByT6HEOWwkgzdwCovn/Kw0i0dyUSN1bVLWR3d9vNdBHBdVVUlkm mXjfE6y7W/Jt5szo6ySW8DcBzwfHQvna9C5iyjZyceitQLt1Il9UWebu9VrrxAWCm4AUACZ7gD7q TEr6DCADH+PcePxm6AQKQLh5hiVPJp8Q84TUj4ABZoKRENyHtbcONsa09iFNqYK8bWOzEuAu6p7z NhR+V1QZiJTCwssI7BisqZQNCnOz6DzAJ1iRXqF0j/x6fKuoraJ3xFj/AeOMs3M04OVp6KWgqjP0 ctoNpB1CMh5v1oKgNrC4PJhE6vd707DW/jCiHWI9yzhUXpG1HDiQXvSI05OpI00H4c73cbaEootA NXCQmVYEfht+e4g6IqyfPI8ni+SU2nEEzxicA5Kbtnx9WXNnTqUSPYFowsV7AN/6gEnRUW++Ev5w OdggiA1nIQi6X2OQAUXquT94ptXKW6kS1IndaMnAf3pgB5sHoEPhivuIRIe9TxO8p9iiIIPVLz+i chbirE37jEryT+JzeF/goaaxF5RWkRiKBtxafaQZMU902+rqBlPfOF1Lk1/tIa4HwkG6sU1pv937 B9o6K20m2Cf1BvynYLv2VuIqmgN+BHAqjyOoPc3UYGg5seUjQk8nKolyWloK5tzA0lk0h3/tr+RJ Q6NrZQ/51Syz2kMvIKxtNa2InUS6OTWLTM+p9ibu4iiJlHX/T33rDCJd81zUFor2pnerQIHvZbK/ oj7zzM/WA+Iy2AiHNji/vv9VOgQ7Y3qPSOGwltz3gtBirFdA0PdkFA/DnqF5o2ZlbaPXiCmO4fP1 4K+6GwtZGkr+juUiIIAlgdpuEfII6OXyXc4y3w4PWkVVNDIqghbADv4omRHEYYnEEN/qch+ozm87 rjuUolWOf5HuxzzT3xFFcl9xGbEngj07EeRxLAOhk2AIGfsmEEBCDiPtc5hD2qE1okqPqXnk/Vr6 7ex7p8ZdTyaKC315z9io41hIqGMwCyHPZmPqMKVUKa0+jLgSPBchm99+lo4eqhnRjZ2366fte3md e22aB66qimqeyI2DqEc+nNPEPv/5ehaqrRjgoIS9CcEGBMRBoopyinPCr1KBuXvDbKpismw8aJ0H QQvMm0aI0GlbumF5maeky2Y8zGd+yjenlOO6pEtNPvDST7PpW+NZSmiNOyFofJx+mr7raNiX/LuD J6HEtmLz857NKHU8OOzX4PICJHnzeyT+IWSofzdJY31N5uhMV230VSkR55Lc0Ms/GyF/AUYf070g 9iRTiLmBi2sJi6LRM+qhMuSeA724Wmh+NBQPg11O4xLIwPdxSNGsN0ot2bJ4Euh9N03ZJGn/BdT7 t4bMHci5nS11NUwcE9myqsHm6cFaw7t8mlRjKOU8MnNLUyLs+LqaASWo9zZhvpQe6IV/jMa6pPPi e6GWRjW0MytnQuCwTOlaM9+EkJyxgQ6x46lm+ZBVdwKYPCA3GSf39G0vwZzLvLl2WvEps0MjmJaB 7uQeskdM1Vm1DsKwHjUZkajNy2XOaQoB6o/ja2MHht8RnSFUnw1dMjclhdNHGZH2gJkYBQiWzJma e5bTTMYpX443zsyqdukQuRcdL6DP8jPIsp6r7ILipyyx9lmnM3I3ysFy6CnDK8y7G8Rfef0iR0ET N0OvE1WO1Rdr/rTyuuGluQclevmIJFZaXr16VpoxfMjoiWNSL9NlzMEQp0ioXizwUeDX3LhKgE+g sWNvqn8bPxoTt8J4sJbvqKhTME07JUz/DaMHNpHrd9JFKp8JFU8ufQnWfLCTUB3k4PMZm3GYRxFC 2n+91C8j+wefwOCRylqIIgT52br36i2TebpFb3rcH0CNcXwdz9zJjPi1WND5DYBp0c5QziKNV/OW LWN3KtbgkOz77poVjDNY7aEZ0If+kye3ldcTuIWxJJnkjdlsWgUZLefTETrF39BMS8EmrIHp7p6y /rfGIjN9t17K8w6l/2cGZg0ajg2iPOlehoTMpuXEdtGOOcNWoWawLP8wGZ2yb8HNxPV9SosTdCZj n8/si8x+F+yMAuT62zdpMHzk5yxUj9p/mFqjEpAbfYKbRHqd7VMwdgRbd1GwpVZJbLuIZOv1NuMP 7RYOD2w/CUJRhDYNdG80Cx5itRHqpeLS35HbHqGUedttWZ4zbgFSSVDdLNIetu6LAgxHwX8dII82 jc+FPuazK77qoYQtBm9C10SkbMLFZcVeQG/ZZdrC4z6PnwNGJNWzWlFO4GpJXd0fZ0A1QtoCd27E Ljglctu3jy8OHQiiSqZxkQCwNSFkkCZZgqQKyuYCMsAoR9NdHdfcQuDdnA5fjrXVzJOhAuEGZ6oO 3ij8jbVbKs8TC9K5Io2z0eXaIgKax4XEzCW7QBcCxYe3oLu3rHRXc5BObPTQNrS0XRPW6DzUJAap O0Cc5dPf91/V82YpNtUk8AF5yKa1q7AxJE9ybbOE2+2r5zVwjeJKSyw3W6K1DZw3Rv1C4qancxXz cBhHHdnnBjy5EsEYtTntrILJeDGj1dDvfy1a3r3pJguPcKysjLy4PE6A+8ZbjXiKI1TdDeJdAigz 9JuXrxF4+SlUJFF8z0Al87RmP/siUUD5pOSDu9EdummUBjvVS77gNrWBqD8afGcxrT7xTm5uMhnH T/71wmTCwjFbTdC5/XR7o2jfCOvZ2xH0SKIjLiQFFomW0bGoUP9/zZEeTMyxBr21fi2KSYjU8oqx I1PkwdSIqiYRew7K2zTrhk6gUzr51SHaTSiDSq3fWvnYiaZKfXbFjR/QHVTbFm9X2KxOTsK0PUqp udkjOrj1gFdqH4nUP8UYtDJWpXCmEnVxUGzJJ+2pqC95CaHhJlF1P/4bOw36n0I8BckPb3sNc1CQ BCWW3SZWjNJ8bwHRNPnj54ggAir+foszfahrt/SxbF4I5ATKhVMU9xUcIyhvN2wLVfuaIjyb1lv9 3Wk5/QS4C4bpwGpKCZr8+3QZdfMTMyn/f4ZdCGlhFS+JOugjLuMMZW/5MplR/voPxQ7MoYq148sE OFY3r68CZdSsmkMullmhPkgMKrbTBoqLfXDbVCYQge+L707WXe/K0D1GQZl+O1ier/FGfv/6kdif YaGz90xCok+QoqD7krqJHbczKkzVmvdNKz9VNEhaZBt2IK9lTT+gfz0c9dx7lwvmbu6/DiAw/pPX HGlxw35kwkdwrV8dNlrFBj6UcL4jfBg/iIuQeQSsVLqZzogAPOs1SfodWgzSavBj2Pr7yz4PWtaC wep8gZ+M9TrAnciasdwIAHA+OxN5M7fJUKOt5Z3pWIdqMy/iKBibgWTDMpnD0ww+Ny+rsB+G5SHC WzSOFtryfysdeX9BBgz9+TBBfpDCrErVo+utXwaNaEEiFsQSW/MIpr/jrDa728z+dOR7jIdNf9lp K8LeezVtA8E/8BoluTW7sDCLZKQSMsT69TmdU6EqVTMx0iKzALW6v7IgekTX4J6O+QXkah7MoF4d 9zby474L8pRt5N8aerYazBGR94lwyCslR9FZwFvE0db8QSTprK5T+rbMMboaC+l5HQhmf2IM6qHD fwKj/CfoMVr1O5XBkZht0OZwNhjDN8018wBHOhtPHKGeQife66BPBH4mB/wL2cO7HL/LuEnhpGEW YlSKcq6W5zSKJ5x2KSv6qkEqJgwp8fXACVFXSsoaLjcfM/GrIUglA7B+ZcuvHapf9Y/2e42Wgykg 5m558JowAoZzSgXAwQhoW0jTd9p9N6LIwxmqICMpM2SFjjtgCCnjkSB4NnqVF1rpJr7jbXSGBRIR q1KDa6VbdeRnZ5zq011V5IiBllqBICAiOtkhYmeYK8jIPSjTBzrI0cNcpN3bkjTtZ2ThuKq4SOgD cUCkt+8KFeQgFQ5HTcXi4CBS2kKKfygioAKG1cypMlc3Zy8mOftw6wWcAyY4nKlXiyNOlO5hQNIr YOQIZ/2KdJkoK7/SfPC7vI0uUH41ZFBIb5hgnAQzRhp0DcxC51ePWdW7H5Jkn8ARwKSnXLoXrixZ eJJm/UZxwuMQfUpE98lF/N5+SetJt0FzqNSjkYBe0TyGfhG2g/AWKBvvNqZwZuGmRqqXLJ0JVywg OAmhU+7mKwOltL4IGtsvV9LD+30vomQiX30NbDggXyKby/KyvxlGIJ56r8HtP05EnpsFLbpeY88N HBNub2EvEib2Jd8aAtTmNFrbQgl5a3h0/845HuIHduA6ftksWmXLYgJpaafjBvsfavzw4Mufti4r /peckwKQzgwXDZsq1Jf6aSdWcTnlwPgEYdrE8t1ZzJvWQQvBq36xiZxo7YYwb71zy9AIhcElN+GR IHGEkrhGTnQjDNC4N32J+xtywjYO+mHPkUAS63x/jBMVxEruhY8Pjqr4fnBWEsiAP1BykRhZspdU oO4pQDPxtszV3foDQv0NFOkd3nqY+ymdnyKaWW8aK0UkBerPOgThLH6xuunUO2iP6b7F2If5Ahf/ xS+ZrgRvVpTasfHEc7vcpLmG3RtmnNFq4LgjhSWuwzXDEJWCoGnOUYT9N51GC7oTgZyXbhrLDYJG LtpWyLOrb8F3bPNIWN3JyF2oT4fmksTUkhDyFj/jzQ5KTvr2kfO+IDefXoyi6f1BVn8ndn1vI54l sphqObwNkzOwTUhtl1r1sv/5vy8qKZyfm/1DGV6b9icAz/1qWjGdTIf62M4HAVFbecqC5QoVYjMi 9pbxeoOC2xCLrEfY/9URk2UjQOaaXfoWY+lUO7SXUJZt3Bg7S9fSXW7FKFHRQ/i6v/RVEX5iCows pCHBCMnLtK4RPZ7vYPDpk71oRGB7YozGD2tMstFilchWJRKYJQyw9Wg/25j4iM5tc4s1Yn+yRFD6 ZvcpivZfgNq9WbplJG79jtHFiOROvb++TecGi+RVwSABbJhale48Fy+yYPPX0GV1TZ/c3iCcuIhq 2VpZpZHFJ5HKloqEE5wC1JHQEoZo3OfKr6wuj5k5DywFoFbBDpCJ+f4rpvBbM8DDj1PmH5BBkvsf Sjt8dVypDHqg4XDNQLeFU2dVZsOEZbDuPwwVutQM0Ov8pL6VkplTeUYLFylJIKj/IOBSBomOsi/S zR19s7OdVtYxQ1vJOSpVFzeV3F1prqKuSkzSDe+AqxRL5tMwfpBeV2c9YtxCTypiMYKCxPjqy6p7 yRvJCy1Df1YE2j8+jOOU3UMg6zDrbE/z3mvkPavul/wlrkoaGBgEt/u2TYt5+0eQ3G+6z72wWbtW YA68sVQ/oMJAZq/8EQmEv+YZke9sI/hwUvR/lyXCyeC/hSjGMdWE97o/8/Xnhhcd7qBBqMCFL/Cu SdFCW5hvCQUR8AETFJxts2WApAiGaChfssXrp0TWnPRnsFQTpBmZ5mmZ2yWmQBvJ7eE+FWirM+uX vSJuAHOX68uYwY/LoSqqS9RDLu89vsTRTc0k/LI59b2G3ioSrICIQ+wtAKcf40pQK1szZTq8QesE E1w1F88QMTFvAaSKAR3hKboDim0MMcL5PBqyxVr8vhWG6618QrJyN60VIbgoIAmVwpnjqLtEN0j8 blNvUzcMcuiNcWiXu+aWTZEbwwEvlOAs9bVHSS5UagJ5AT2N74VXW7NDVkPUwcfpyTNqGYpdnl6R pYjeIXrRFDxclzzT5wFZRtOXCs0KCV5XoSSjNaIT0MRhHn74IzrX2o0X0AaqluMSkm87PJ5pTS08 v3aNGKuXQjGSGzlHd2EmRmXOe6YZd8Ml9S8pk9Pl4MiEt0wiJkDVuVTMXYjR341MLAKxOVW0DdXO WqXO4mxrOqT2dK34zKOWibCS8gDxLTOMWac+ewNL2gjjlxy4LcSx/1qGHulzPTOuafi6xA6nIYGC JaBvX+qa1iLb8ow/ZB4T53AJFiXQeN08iduENl+QleaB/PeOMJMBWFPSW/7WWY8R8HMPDx1MaSm1 2C9nblPReCUGrtCx4NXF236D5hgHlNIwo4wkWdLQEZIlUuXHypkOEEZ8HLMpsncyG7YU0mM8ULFE 8EnPtFadNaKCy6xVFuCt9JG5cITklYnbNkiaFFDD7iINXgaiGGDIrrIvlZJ/GSuajT5OlboSB0Xn riIAKkC3eVqlGWHDJfBjSEPzosJZt0XTw7v838rGOuWyCLfYcEz4iVxAaxO/wNUmFUlvUEE2jZxB aJbFmovozm35w+cwli1gIVaIHxTVwliNCbL0z39ljOwkQ33dYY/GlPW8U5XGnFapcS5gh6fWDFv6 B5qoshPVFMzVPNXzMwVEgiyuI6nUIHuvarlGFdheT7+T3RHAUVaclhnDwpOE1/pI5CMXWnkHAw5c /WMb3jjkoDl3ITB66jum+23aWsXe+zIyVdGoBaUEUv024xg8PQvanavwYuoJfomYYYvBWbahEWoC BN5Cb9zuEo6SM4C6/fyHToMNPsWf+gWMIEhUgf3UBadC4NnsNlRuR1lGfuC6rr4mR46YQ8+X6BYR +2c0fNyW6HmA2x/8iFQRQTgpPHCV9C+aTUcdEUA3C3PdchSDaWJryvJkQznMVGUz5bdpOnjQ0TYn 0l5zweOxLFNLxz2KXIyHfqXZq50G8uUhG1yrWjyV/mNHa7yQQEI/4k6PHy0mcmYvbYtxPw2vsDLh oxSfSkib+TNKKX3dnfeRfao6U3t6M+xHqOznQKpccp0Nmx7ayEfQK3D7cEYwFRzPFdCzAZL6JWR2 TrqZBQ9R1cWLemJDkwS84Pv5NYJtDxi4CJj9nBQC57Avz9oYq3gpAyj2Pvy7GJqtoFNPkqwVXgYu Mi6HTeNwf5fLssJXx3PKvAlG3ztjC5NkL9lSr3bK2NKWie2mPz8PudKW4ibYkvyTWLZzkiK5TiTg Xp65vvsWjC17VsoS3yyn8/OaEOcSExeQdrSmPexmigpwE1D67TmpzvTVxboUUd/be3mZrCaWjSkd B6CaQ/JMLN9KPq9+yFVzpW834XyVTnrhW7oOcIL3xh2UXJAUJnGGgNrSqXuQBSc7eSqT8CEJFquM K9BV+LacqtcyNRPIrpGFN6Dm1L9GzHOWUE4xYT0l+vMxX63FPuoE3xHG8yGz/umNMIP+GT6BmP7Q ZAX6CuSY9KMGbqOMb6vuHPifItkVwTlt02oUaY9XTU/kf1jLsmCBUi908H711C73wHUzv30B+22B 6/ThyhBGhIkUT5hlxmJc66HOnYzGoxyHDV08tlThq9HAdw93fEs5NY+ZRdAttlaF8t8CJ+nVCzrl R7XR8MoWwAoW64PlQ3zqUlpzFgUiFTj3YDQLQNyNtjWSjiOIrdy9GHMhUqmp4OPfMNNe5K6Zw2gy B2i4ic82DNacCz3b8pIcpHexkjKlPvWBJwLXharitXp1e+GqXojJU7qz0zTcJwFh5k5nQM7QN/3f IE0aIHF9Cf/QKqixcTh6gYRdfuvagtjSvfGFfol2gt8n0RB4WrHm63ZEYxhIqjSuNzvweEP5aWYR rwMqnxAh8H+UActIy/C3bhiKI08r3WGnU8lrMH1hvjTnYF0DKZxFw0mrak9wLMGChoNPEHnN95yP ZISQaylKR+BvVf0I2DsH1z7QYJMqSURqn4/+TSci7xLvD9s7026EMLDvnlwwCOXxGM4tz9kbZRj4 P21O8Twy/JAuSnQoUKdKkkFOYODCoHMOkm4aaDGgAH0IeGpeaIYjmjXmK6g1Zsrwd1J8iMNAGJ4m emOVq++7+Yv/PZ6Ewg4GYhorCTd5LvgykvMo/NVkgIcT3/eNYWgPSQUK4XH9CPHX6bQxoTbTuj8+ aBm1A7eGcoOZvvnFay7OvcYJDNKeKk9MKCN0Cc7Xv1DFmd/toqCkjnDC38j7+5bJl6sUjMsd0KFi 3kWiVGWnjEE8IoGUwwilxDOxNg+WC5X/y3viz9sIc/qQsfBha9HWCOyUZmQFcijUfkuq3/0DQUVI bMX524XQ1ZJbhoxlqRKLwmCrjEf3pOVrJ9dWRX+Q8rcANdS6kDuMAauoPeZJG1fmFkoJy5IubeMY O93iYgoZBecyTEqg4sE01WRqlF6rqa6mpNV8hMzXHe0cWBmU3/hWGwy0gTFtC4DoE+DN4hpyiVUf ryVcsabdnbB6fXQEIvzIyDeL/pMxhOQ/9tcckdd7jtC+JSx/2Ug7No6ufu6bMg855qUCePxlHI3W HmJW/VNniQsyRJoJv8lx/c/lLt+XX9G+lwJcjtdUMceVtwu625WLezxd2PZX+oKhmBzrv8I9Dy8z d9f8nH8wzq7M4NWNgKGCdS8L8vc7QUWWCv3ZqRIIzLjwz0W6XkkQNvFyV+bpRb1UAW1U4/lDleJL wct1ydx8fGLnDgLyhihByPO0nwDQmcgfn046oezPi4JYJP4POXh9QIhd4xMXpwRsuMbY1kkkHGlr uH9GTcvQ8VvuhLe+8e0yK3vf7FhahDLXcLQyEENTDfdPi6RUXkjcvA6IzoAcYiOgcQ7zLb+tnh4Z Jba4lzlnzBffoOe/z2J46I6mbXV/eqihUR9xlaCgHHZhWZJowIy8pzYCK//7TQuqDLNo2++aXV6N AAM4/I+l3WiZsHxWqlF9guwKDany3YJuWSJAWdf7kVROtZ1oBZzcsX/2pj+3ms3GlKRtXAwHljH+ 01Pyw42Z+E0S+581/XHPzCt2fM70CvtyFyKMA2WwlJdc8Kry2nHQT5jH8B7YcvQ0q3+vAfv2PUlR uvEiR08qsM2GkuTTmgJvR2hK1yz8lmOghb9MABFuFR5Mf312tANXkWxwJBxCj/9eCkKKqyRzfkCs 8wS34I08NtO7OKHqeDC4rpHImkuQDffwgCKjlqWHjOpqkiKlNFZBgUj6+QzQbvsswrtFIphoLTMO mfBBceCHHUVsKRz9xBxWrSUmJRCFW0SRe5CWYhBeCoqi65ulNfnMroh2nVuv65Ljl887AGY+F0RP kJN4e98HfhfesczarvFwtrhtGpWN9MT8dip1NI98kMDiYMqbShrM0d3TSiWIHfsNfhuWTHFF6tQs /+OCJIYfIRGbac+2oP8+sDmVDD/jVF+tKfpZTD3ou8JXk3EEAIPNtqPw7licxAKPpxquxg7Z996T HinZa3t66ZbDiZVt3eQbCKTUCFABl7FV4fZnu3/SnwdasuB2XjaR17Ieu5u545LWm4auTPcMWmzR ZHk/uMxobGk8m6nGoSWWJnk5GG7ZjmV9mv+bwnd83+ElzkwfSSYRoQhMO1eYVtlzqwDgtqag5cBv wum+ub/YSBbjAIwH/iKUCmnHfXwTJY2QgtPNQhBxy8vIoUlFZQnX1VTf/dmBlEhyfee5rWd+j3X4 6pwoR5rDMy5yefQ3qSo8U8QfxZ5bJM1txzFUZu6DMVmSsAy25xQiS5xhW8rOWRXViuPVSIpO6HVC BoMGlGO7kl/3DTeapsJ/Z93J2AJRVT3MvCKz59FZfkRlWEQqMuI2On7vWMNbBxLO7Ci8fJNRjDJd 8OLHifnm2jPOSwVIZbdOEpITn/OQNjCcBbbRhVijH/ojwNH+/V1TYKnOrfWcJW2ya1uU6rvh99QI i7le9QJAayP60EG9s+5LTh/H4Jkn5wQKnq28qKsE0E7wqmyRyVsRXpaQbrycmBqYVaFdj2djaiIH iCgH9J7vcvM67uYRow78Z81h7Ai4k70VFQ/s5hgXOnYWp49ImAWSLvBVhLziYIQX/Ye6r41Acmgs ktVXVs26YYXNtVRTazzFmJk6b69isY28PAAF0D5Qk06txvotHZBbNjyeqfxZwSieMZq6hCbNTp8K EH6CTujnQNDaFWwWeNorTeXVBQNTxHw3gGDQ6OcYstkkp7OZyCBka6b9UqfFjfbSsDZVCrXvpYjY MK/swF9QAR/Vv7CGdw9NNLhOESmTXmfeGTjx25bqRGcjZP982yilAkYMugZcyWXXZreKfErdOftB B4Qdb4ku2EgyefXKf58YKhYfYNQKDCAT5y1hoAVV74SZo5xKT8WBog3CRnh04l+0vabagaQVi7GT ai+36oTaDH0pGi5d5OTca24nrl4e/H7adYIcONwTL5lvsf6UK9ke5/2Z68WbPCk+xFuPx3Vkwg3Q VnlbhRBTM3h2bjwBC6Jhy60e5SPN4vKRFPFxAKiU24a99M+7StzB7adEx2zcIX9+9lVWsQDq6wqJ tFwWKmKxeqhMJfiqzPmHWpQAO1qvHis1jWly+rFQ69BeYKOf8jStImUYY2hX9AnMMWwx5V/E8BfX fog1RIm4FU7NOvGLWykkQX+ZTMOYiky+DW0ycJFMCe5/CYEmd+vrXqnB2DZ6F8BlZd4GrFEUXJS+ Nj4I5rjOQqYiB0t5YJ6ezK6jX1UF4ayGmeVcVww2R3lD79ERnNsfX330ltwuZzb/cDCkEBI//oa6 S8ElqWeKrIVvYIAo1ODHIHSKNA7acI84dWSFg9iZaUAf3O02UI5z0RWybmC9DKTCmXnoZ3Cer/EV uEDFIaYsIirCm4Nvp/W6zzZlu949RkfBGYq/2o0hKQePJfPriHfLl4+8S5D3iWBIZ4jO2wNUeewk /22wi6/X37c0z7kDBnewY0UYHU4VleN01ptfhT/a5YhMlMnk4LG90GeXC2A/8XbTk8H9u07Gqcht cuBI1oXpf8SDqQ9CuNztH3OL8Lj/NvBPNQsAfx8b1IF90AxB5nEmzoHnauqrmTrxkezj5BQQoj7t vzBLx4UTQa99HOEjRlEkCBO6z895O33JudIuYQEQmqa8Q8eZjydGaHvFFQxke+YRR8XC/ll+KL6F EQ7Xx0g9E2vtVLaCbolrDpTEizoo6HVkRYy4WpMxoMPzgdBmTyddb3FsCuFllMITa8h0/ihIrMD2 gTvTTlsK42PgJwI3CPjKspZkLucc7JpPN5QSQU/24/dtQPF9LlT+uSNtOhVnkQ47uAbW2YzwGLJ/ Z/Se6C8A78T0gEJ58/Wb7ELQss2fefYfloShcD+xiphGFkbqN8+2YVSGmdRcCv/l4v+1HQ0In6np QYiyEVYUpjNHzOIpzDjjsCkTwCU5JEFTxQKKkoLwcUejshqRYD0L85eTcEH3Nqh93O6cG1ZHO/d4 X7osNWgR5/kZleYjSLx2AHAopXPMpw7QlpEPzRM2hRs7W2paCw6YNWtVhCBNMXt5QEZkQEDbB68F 6xKyfv2hMKvGgzaTQZqsb2L9bV785KpX2ACJof15oxwyGg1dfk991w3AoH9czVv1GcuCM0i+Kn5Y SNb3B0AO2G+f7lIytr6rkf03AqJwJW/ft0scjdfXngNB32plJm6IaxmuFDpYOEg9tOChwhn5tR2M pH8B0z8Uq7Ze1waCpGtFOpld6jC+EyXwVqM7hbP7BnR9xkkqJ6bT8WugQg3xaxKtdbkf63fE5cP+ HI27MVk+VqMByA0Fnc40BF2RE3m9zxETlaWIVkEiSM3+v4EtRr68EEkO2KwusiDXSM/08362CK7Y 9vbhfF/aexTZlTR2vv08dmKAGsHVZLWmlHBBbuTM3TuH8yjN8uQ1aHOmNJ8smn+39KegRYATJFPf 5qw7CyGb/PbkPHD36mx//gIXZYLrWUj4ABQdJJn+gkeyG99allXXbFa241ESN2y+R+oy64apE8Og aoCuPa+zfpMpfToSZD4pWQCrPwWTmT4iNRuSH4ESk3HdPvDnedv/YXthCYAJ8LLlX4IHp7d5vay1 BAQ2+mtf1hCHtuGbt7/ITE7gjE/1mQFITIWK5T4iNwirxW8qGF70V+PdhEUUENEqC4OXswmDSJxB GMD5abh4Pden8ghKGZoD9blhCmRg+Ji+wpaxX7V5pm7QIdTIH+NQCbiDLXl60Z4jMHEqbibfP6Oy j6Fm6VIs5yStYhVsomiL7uod2Yi+7svVclU9jTD2V43l0s1jXD7ya/hOozueSxhzNb6ww6KwvsZH Iwq2/yQ48GPrppy843DJjacDAaB6G46mQzmPDoZKTjQ9fBvicKOjkEG5VKV01aj5gLbDauDcUwXJ biC3dDw7uDJ4JrUW5TU8vUVfUVLL5LW67w2WQrs/xUqMfUnGvZb03noMD4No1CO+SME/nJK9TN7j AivXDq3bS51FANO3p6uLEwqXft3nSruC1NWUbHPs01vWeFyYgFdEua6UqGgXbbPhXaLIIDV3AoZf 3Wke8ezORFzsiZQojfOM4wKXsfz7qV6i66iUixxxaiCbkd2zbz4l1Pk2cAc2Ug8ay/hQxDknXBuy qY/4htBzrexi3WsDYpYprNqgQndimhkgiQE9ezpbvNDB6Gj6/HtvVptoscqYtFk8V+L8qrDanMd0 0CMLMdqxNjc6JOnqOzpNfffcVsJzGO4OOayI3pNObqQQnKlT/Vh7s7HAFtA8ID24LNLwr5brOVt9 7irWFOc7CSozoSuy3ohJUwzNCuSYy0goMhYAJkdfCB0ICbgTnMfswcOOr6bwsFGK+Wl3+aoV46O0 kgdyUKcYlUel5QyRo/Vw29f9J8qX4fZopeexqVcqf8LglE1vLUjI1HYaQcE3UraXnXGFnpCrsmHT FCU33BbIP3Bn+ScyQSw7IuXIKkHs+v7veifsh/fIoLzlRwSUrJQ1D4vxt5sh0u3rdB95PZFB1ECN a3jPKY5gr3aB98t8EAE6Y0nVJS7mrNo4TgLtiW/E73QFt1h/OI3bwJS+pR+W8h7edlT/nrzGEhxZ qUFr8zk5jsHaRiawUhSYTg5JKu0MTRQk8jTwtf+8YpdlQSGSTUFkjFjFCRK8rb8w/QjtLFHtMhMd E6bDPVvLqfYYFcihQ4RybVkAHtuDLlb/3ky3xieGIjeNZO8u1njTUALKjT49h6SEp8CG6XOwbdA3 KlRu3VSS6pqTAZuRCrgiae7ULAVJh9Cw5uHdzX2ZV+gjuanUFEjDOAmL8dGrUPTTNNwGSwxCchXV 6UfcNtfWgxCiimytqOcZZP4nca2PLCky7irNVEsr+vY5mbXYU4L9Arf/NxUPJKKLmEzKTPTrsYWP BYpTM4MDPzmGrppEykLqMqxhS9Jg48QYsJYaJVta0vuJmsFrCJiztycCnG+DngtQrwhWTDgVD/57 6nZrceXM+k7CrHTfVcsJNey4AUraruDjQJVgjQxOmMVi3o0IWuJO2bKxYUcBl5YHMIB/g+14iMsq uIxNQNSKyZG8fuRGahwtCPJHIQT3c7KY5kuEziTuPrV192nGkKkHQPBcQL7HLp08Rp+02cH3bF1M mEoEXaaJU38fwDwqKdmUKN0CdtB6Ls5S/1mc9gGts7b8ishSuax1iPZKbQof3SD9sYUHjVwmxLT1 Uqn4A2JGca/jOrsDncJf4gyhInbKS/9UAgjghlWUrsS8VVOjJFb2M29pDPwAeQOiLuMTfCOD3qxD EC8D1KEdjdz3em8srYLVHfxRSNbh8JzjEowoKBk0F5L6szaTWwvNLqZxlLao3rKaslltETC4Sst6 EOYqDj3mWsuN8eSikQdXh0vMwuqkgcWj4UOg3HqQkFWPl2pYQQWdM4eIhvvTD8mCc6c6kgNVHdJ8 aOHfWHzCu6TPtHDizEUUMXpogLi6AXmc/TY4xLw6Q2osFtnpgt3MGC4H6zqgC3WvEZSUkrwl8vKS VkxqdwmFpuFknRidFXvOoo6c6jwYt83gob9lvzJFaMUb9I9MHM89awXwu8eIDnMSrBbl2ZlVWYgn 12eePvFXUbq1uCDYunoPvOMoBUGTzR4TJriTykEf0H4/nBJDt9DXR/AEQuNegWgLiuRMJHgsK+he skEBKy8DSGehJNlX7Tb1ZBJj9HS/DFVnZpuUM9z+E2nVuoPHmO113h2/ik/5yaG6MbDgYMmimFwZ TOlUxsnecRk70teaz31KTfkkZShYmpDZIWbAtwxVCtBl1PM6zC4kxd5drWd0Bc5q0zZJKrbqmMdp +fYGUjuksM+8tYB4RwuzCyoy8LfxPq3iz2sL3aAWPksfuZKmxGlkkLqoAycR6k0s41SoOtQhDFn+ kvIqJggQa3kv0kLcrF4lbbD/EaVigang1mob4BhaUgIK0bdNmnrrvn4bk6vEGhycxlg8FQ/huz1l 1pXZ/KugA+NzAQFiBAWJaPSKBtJhLkpRbXzT93I7cx0zrIhkJwN2Manw08sjkJkQx8Lgp+v72HOt qSOU4CIWrQujY2Q7ldVXMAHpaxOVFGASoqh4UsV6ncoTbWYJtvMWl//yRaRD7+BdEbfNOEJqPOyK FQhiY45Lj0LoWd+qFw6V7oIeKZqvWMC7ovp5wKRHsiWHAfzuTY/Sak/eEJd0ywQtt2X+GeAQUIEM qCql8Yua9IHFqAQmMts/S+t5x8Y+M2i1rn3lIR8p/XtEkNlxxrQnapJ6MtMZlT9KUcPRgSbymPKp GdDIkU0FFzDauCPhljCFFiJMrux9ZQXiLShfEUsCPDdueY6dsuxQj3eD1TDjNjMADWIP1ZLjA988 KJou8krm/pAMi2psEvhQkdFy/h5qprFyG9v8ZhBEDOUtBL+uDwx+uo5q/SAM2pvF4PtKSnQbJOub HCyUogbdUEdRXXL/c9L5BlvLeZOdDcJwE0okD2hHLb6HoZrnT8X2D/0mgPeyqKIa7k4JI6nZ0fCI ukvP9mlxXJ+AnAZlH5dm5Td68wKBLqRRjLAwuxXEmPixCe2mnLKHrY9hME2NViwf1ovDSmanaYh4 L1FvxVJ800A1qy06rwlIeqeEC2Pg8NvErk3yEHuioKtg1jKNAmvW34LCYGQvqFmjD1KqslqNCaKI hTnU6vIgY33hIlXZ5BLIOY16G+IXtzUVxvR9sBUD69Hc5fi5oTp6QRw8UtmZ4sI9wKzSZ+cGmSZz rvqdKoUH3A+CnTmXYQhBUjHIYwPSMju/VuN+0j962hwHi9OXPRJ5FgYEljf5vmw8zR2NCxA921BA gRh8TcvlyN2YNE45DSiShzFDZy/Vqk0diggrvyFGJ1/uXB6Z1lhZunPnBi1HqbdBbi9uISVohcBn drdsv0Qs5wIBcdch1H6jmRTndh5xCtV754RX9lO4VqBOPuoqIBHJYwe6o1MG8kcBbF7P0rssai+l Ng1LSkQDWDUu+HaER7TIPlemoggzNGmaYJAfGKZ3w+ie0Bn07yOUYUnWlipA27DBGdH+tUNSBSYK caMEzJBXnmAxni47wlm/cLPE0cnkgpN5WMrF2iRrl1Dl/HOUhLlhLs+HMe+elqMc7YOD3vhWuh/Y 3kTr0JULieJZdjGS2y29DDMisByiI8JhX9TQ4vEUgWZKNdL4fqnyLzhVxl29g7oX9BPaDxG0GiUQ IOKjAqfJHGZ10NUexj2B45Gf9XA0GRIQWDXzKCWDU+M1ky79kkAv8zcBuxMH5xb/juLnZvGpoAEw HNyyNnuYFUGzNIXKGWJAijMR+W0K2njKE/B6rlW9Q/+wocFBOZAMtFtn7CW+YGEGilcWl9bqbBT9 lWp1gZD3Vi9jU4bsF/iJ5ROHhAXPQw6TYK/KqgvDZGorJsCUw/VRyeub5mfFWsZemPpxvi3zg48X T2rKERdv1phfqZL8ZOAJp9HNTNPa7oCTFaSBQDib1e1IGSVypjPPwjryrLsrCJHNkeoFvJCzpKxe I3q18u+fbD9GFWDzC6X4XxCMW67mDU30Wro32lhWq8QvaZejaNCxfpZY1/jhuF8Eg82WmubOLcp2 mBbxx9EnPkgxW/6Jd7hKpX3AWVCuNN2JPVx7tdOn8j1lTiwoGC4ZttOA8TjNDnKKZc0ph168b0jZ yqlz7u0XqMX+x6A2mOToUebphYe4nBV4+H2zSy28PN1Sn4iUPAcw0RHWoeyVteKxaUFNB8Ap7GCe pOckJO0Iakm0neZ1VYd7hZcARI9+FSa809ixMu5e87LEJZLC1HPIoIvNE4tyKuvg9H0wqGjkynVb wUoUVQDAMUofAgolOQXo5gcoKY2zMgtnpRMx77Fkbs4oeSkJl2LtsrSHGy6eJwy6842LtAZEuYdR QJNVZpASNU3N86zkYK7qUNNpYZ3phtgnqY5Wv8bEIIZpxcJ7pQIgW9GRvOLNeVQO8cp56SHN6axN +0b+KWPXv4RhXFEUb3K9t4+os4t65mbQToc2DDnbkdL5WTjBbvfspVffzDclREvrYCbwUP6lSLNi qQ6QQ/eU8V7swPA62FbN4U/vwyI1YgEx4x1pPCtutsRKCfMhD0eHyBLnXMJIdOn/25X6UZIkHcpk DxZKd83+0My08bKZS8Ue2nsvfsCqbbdU0jzrghKykNWx1/q83XRtRAZj0xvb7izEhqHrSCiPYn34 ioHsrs/JDXb/HhfFQWs3eN8Gs3p/+sHJ0Uqm6o+jGRrngwvql6pHMg0jAu7i6+1aJHXRtnlRgU5a EO/qPp2Ez18AWkXPHXnctJkfDksAgvpHnvZPDMLbb1sJp8136DwjfFhrVpr1BrlldsgBTXis9T36 aCIQK+Lqy42KdQ1AFeFAl40GH4CrZds52Pl8ZvMLJeiNeEIpbfA2YunFp+oGWY6VnBrQQme3VjwD +0tApqAqDp3W12ktzwPkH4yA4kYlkbJ0eid96K4XTnTn2h1ZfjVWk7gjWb4Q7w0gKFnZTa527yAU +QMLgsVovM8osJyVHAix4l6bXJcIsulxk1luXlU4yXMjTtAlyHhrd6E6Oyl1JkhWOzJyogAg/XJQ iO1AtCttDcyPBiA2Ctm4dL7kMig5Ore4oZEFbsYWXcU2khyn3cThvUSxBeHfhxRxMnp0Vy6o53xY emH+UG6sVn0V6OwQ5lsPjRbS0fx/FYjeMkGnX2fILuE/yeLYVm1CdJ0sqUwq2mGrsagGniUgH0m2 Hs/B7R0p9jeBB8h/w25nk/wSnHxSEUZsjc1dOJyo8GNKUTpWfEEBOhPrn8E0+LIvPiwc66oUplvX QTiSj33mQSJNO3nxtO7baf0vFOYfPxwYkI74mcUYb9AXgAayOnpwnLVvc3LZM3+qQ5gQax/Bd4U/ xPwXoAhoxaYlVQXV/FkD3hScIsO0qLgSIPpRJ7YTTVy44tC8HryVq5gpDUc1FTRmJoITRseMMLJR cytPNRXsYR39Nmg9QdMdsJdxeiWqa2VbCsVacwaMMlYOg686CoWBEwQRPyjt8feRpV7iZEsX0RdX Pk2L0dzwK3g4x7pRpz5lMTmko+1M22JV26e/4UZuA3aLqZF0sMGNoW16MqObBYJIvZod9c9tsWyn 2dGUk7fL3gRTcVhBOXSGA7udAAtgugZBPMZH/UQiXGbxmG29hn2a0WQwcIZgMMCmSs9kJF1nm75/ 0QVOubUdsVLrJn9uwoE231tx8JvW6DNhMiRrYF/t3gpsnNHSyUoyb3q6hNaVPbA/RYX6nVv77pRl SnGW3n3dJZxk6ua60ntoP4wYsEOk0JaLt5BpNem/029xtWC6AygYDBNu40gC8y9iqpjZmPa1IF/i MHsOiHWIHOOvcQobtWhuVTWTBO2moEEKMhStF5sQfIm2sU8f1O4l632RjezCqnblgR2Lxtih8VA5 9C28eX7IhuyBItqMa+U+OIxUtKrSxXBCQPmX08WIEtaaCXOAhAbq2qmQQJKcL2+DMdZw7o6hNbaK oaCwuR3sWTdoX4yPQ/GDwefIx97NqCrLR7z6BjtuvNGZauriBmjxWunTJz+Y/MvnSJ9dP2VDgDRA YRWFSqHXwKaBG2VQwXlxCElwHm2WlspzwXnGFnehrdDi+OTkdhv1+qQjXUXmmNrLLmMCiG+f4PWh 5FKFYIIaVjjfFBx56xroTtIWYB2oiLA+QOGmHXAWkhlfVZcI+tCvh5obWto3EitcMwl8rYStesdr u8SZWjn6ZdUvBgJuFnCfPIMdK2EkQon+DvQ/74gmqiMmVBq5Euxl7Ua9SG6RuGV3goYQzTw3J3dF yM9uEIib5PBDmueIBff43l2nEVWCeGoXLPc3akqSUcDKxC4wW9Aq6oHlc1k9mR9UQS/XPKOi063z xDNY2an1Gxo20RTybPKVsEqtWeopy0OGxMLWJilAAL5a/jGs0KOJtFKBI7WidYTWFGmmDWrk12mW mUOiCssD3JebnPgt2rmXMbhdGMzSSqRNSL8Db5zOCd8RW7uSe5P8OJIWArC/pUBUuBV32zGZGeJ8 gW8zGewfaRf8lioQbtnWYJElmAO3I30w5iBN13M8CSukdv6g28TThhO1wNwJH5h9xxFM0F1aV6AQ RzbxM53JPIg2CL8n3jnqds0pt1JNBQa+ckdv3mdlENOm7ACgmQ3rAcvRT9HctdpH2E/v6hiXDSG/ 264gr+C1tbvDRW2Qim3vjL/+fcrF7yO5aIzromctxcfKUdpVBo6voCzVlKFXe/JrqdVwjvddR9cR sJMjAmg4dOJPzp0DuZZN0rSGSrLVKSS8KA1PQbGfkfhSZHwcIfUv0GglRi/DQ1Vc1DuLKKLxvZnc mYm+wCZJeg+CkRoZB2Lua97VHK4AtNfOupGqG/eo9Gy/f2ag/O6x+vMzehwYvCkBqxfV6rB/DviN 0Zsk4tLdf0oSkQt9EepG/Bqln93DjeA4mepsHvEjI9ftO8Nn5qIIjz0ynfNrs3wvX24kmjjJ8qEm Nu5CJt1Qm0pvWoQJsHLGvja/hfm9kLxiOyDkAItUsUQznic1rfR++KcwkHZgXlJmBHY6Jr7817n6 T5IHbQaRGvDtMx+7Thc1P69ttRU1Wp5hITPbzJIfgCwVMjbSsBrV9R+CSjmNOMLzz1PE8G5XR1m/ pjz+2u69/wb7ntqqN714x+dVtwZ0tej1HY7K3tJ6wh9MpQHSL/uSO3i32mRDmkWDEnSSkRM9H7AN ykcmDZoIdO7spYPTCMHSE26q0hgNOKy1xXKrK7vPO+HT2CstcLnhkRx+HvlM1oLewOXZmr969W4q /zuoY17hmVlTPjut3PWkC5k6JYuzbnbDB0fha9/6iiDu/yrtgbz2vGjL3/orXm7QBHhD5p0oGfxV ppMWrlHi3OoJqnPGsso8mzIRdp8p4JC+tAcEmzbxnnLDylsYCaINa1zCW0Z6O5SiX+wEo2TQX1BC qPcGfrSZrk/q1saJcrBUGEVWrZpWKqiX2WVFKomnn5FqQZF2MapAXq9DBH24kJXVVvhC7LNz1NzD FP4IwKt7dv+aZiDgArQU64n7y5spxz+JtTxsbpcxBktw7iQTB4Zck+vnqUPzUGByUbKG6apBz8wf l+jfYAeFRoMi+s8JmAihX7U0X+WFUyr3BKXygvvm44llxNblXtoa+rhj13uOdPDWWRt/sJPZp2bO YcWt9AXtLBW3hXq1iZNIjoZfvuohXjCparFbY4OtR4SfD+LjGZGcM6dieK7SNND51BPtiEqQWxFd ZluSDC7Gucwkn6jG2L/vOeBvtxHt0mnw3HIEkFH1GVexmpm689V0bs/VaBsJrL1QkGl1j7SYLCpo U8NpjJyPxnrkiAjc/wXNp8SwEDDIe87UAQMGPqZ8ny1utB+yChFn1FwSG34Jyx/bKwJCqnpjmZoV S7qBufpknxiN8OCqcta/YXnGEZi2+qQNKLxDRqpI3X4BoVKTfGHzz1yDgAONm9DtHqzM349JXSz1 c4i8iLmRz/WPSC1AoX+2lmZI9ThQ5b5Oi34RFKWo+6HXRk3eyiZWWiHqYJgtFXr11j/KUbNNNUbo nrTtzwssDsRJ1UdS9j2w8gtd6N6jdDwAxCvYJhpSCIzzxDDzMs9Qy8XcVzvTHja+21F2TQzV1SBk kA1YW6xwj3vYKxvT0h6gDHps+OFIwBboVltHVtDBk5Vz29tJNEWFir8pIQg42mqtn2soejRDok4M NRKhMMz+BbcSxa2kIJv8ShdoyGjPzbsBKR2leZfJwp71qH+6LntTE1+P6O7Jf/3ZjUW1s8WTOhdV 2MyyBbSHMrlXOTGhXSXSlmVtIy1B7/liSxcgoyMGd/pgCuPJpENb12jD95EAL33nWGuvQrNvbdjk pr3QFUWSOj8iNzV5Xh2VXiGccuXGQj2tK1OsXY49nQGiItVn3OypzVcp4Ps1uKzB0uF8gM5rLoSG PtG4Onli+9ZbQQBCCA05ggcVbV6WKE+uh4afOyhoYNWGqp5SHV8LR1Wj0eWN+H8qGaMEKtdUujAx W8sbuAjdie8zziVhBYIThJJTDiaeBfohep99+T9Noo8zJXTqA5mzmH6036BVgi6LGFfEJonwEIx0 Mpgj31Vt5el9hyjgkbhVBOS2OimG0g/0E+3hMHVXS7sLvDhrncFTE1NuyuaJHXtObKq77WK5UqcO f6o7Bo5MkxW03wJisqdyeJaSBFTnQ/0MT7cRuGatlZUk2rvgPwXQk9l+j+gli/QPAIYa2JfRmIMC GkXlNRl8BCaO9RbdlNkEnGP+f55pSwrdrPV6/ErevdZbgBW5iK5Otnfc6PP9DauqmgQJzBZ0DQuz IsvR6d9h2uz2N/X2fNpeN2kak55ppJ/GYwkkwkeMdTKBYvEaIzkvy7jzhbrHFNk76GxjagqNhcdm lBf7NlLReWmDJUMi/PPDBMSNqScYxN7fVrtHlF6UP0006k8C7qWoMi4VAHcIndvpSM6SbK3bTb3H L1vIF8yJXXGzJQpjMGB3pGIZuOibnsqDOHOEcxQf5ZXHJHbEnZ+bkP01LupuTmqq8blzbz6tynCV hfS4zzqiVQE2VVHCfvr53uL9pdgoQBl/Yetk0tv6GLDvnYqgnPR+Ow0/U8pZEtJnuietDGK6NhfH AOk0P4Br2AiBrM1p3ctR4xtor4T536U0YtdJ/Q225EKP6iQ6QPsHwy5lTt/25l+hBsIGGOKsNu/o Z1h5Hi+LCB3IYAgfFNdxiAuUN++aguj+m/EyT2qgsy/LfTsCSxClPk5bcoUxhCHmiuq6GeBqvOEa ZuIsU6CN1uK3I2puU5AFf6rRzFBgBk5RIW9WCbvy+M+1Y3t1EwVxWKn8pSM9BvTLMGubYIaaQapS AJkkb9DER/Cuifu3zPku48GOnHM+vmH4AsFQ0uCf1Bk3WShygmh2QUQoXYrQeORw2+RsvwFAIxKC sANNapHKgYtPzuqHrE6J/zajlEGvH4+4+eyPWuUht1LtVWy1sb5gxK772KwNSQ1JjwCdkqtUdfkF e3ISYGi7ci7dqk4Zitnr8iiYIkOUotyCmFwtzDxSJzdUaYbRKY2ScxlC96UnDpIaMiGeANNVNJc2 IP30FjxMT+fl+2glXOhptnr9xuzHrOZO+RIprhnaeicyOLrzFKJFriFjWRhyI9ZA+CSKu4B61Leg hAUoM86aYJHV20LJ0W9/JFgmc05uyti+LZm2vu2Yd/dhD5d6kIoCabdHMIP2KvRoVcsXkJXTkTlK MsbotxYqm+wjCJYU7QsDvl3I+jnjOnceZvWmJw2byfUxD+y1t8/RR6Z1/TVs0eYvoG0GTNqljHAp SnyTq7mHcouIZpC5VOxE1PCST030AyyR7zXhUqdR2KoG+3YEQ2sFRvbHxD6KrLToreTHIzr296AL jB9qP3Ojwrkfv+QKTvGPX/nZX7SlESixAL1eKFaLbEp0fXeer8cjk+DroLp0kxTEc3yyCHnsb7hx QGXhF1FjgH2AUT04peSlbZNpXPVb3FwZKjPrDqNUVZwCiHmW+yEw6pShGvfipS7Ar7mL4EgEni5B JVUiUPeCAfOkej9TJ229Ea2SCOKxGWwf4JKplSRVMcJDfGMZihWSlAAMu6Fy+Fi9ebINnl7/X7Fj ATLHb2ElO9i/PQZNJxzxDCv5i58yag6LEAYu4fqnk0upJ1RqdYcNlfzzP1ejXLUYPfo9jsRxsmp6 u68bBK9MQvycxWUdwz1CYeYOWmvOZt5etILqBiV93jF3kU8KGpdSjFB4BhpudI/v2AbvnoBc+CEy BZUIs2smL/MrAnNYd1MFOLEkKrc2xQWLzdJsPixDp6ChEOaSrKXdIcLQ6ICpTt5f/RbIPPi03ScI GDa9gbxQZoeQrN3vvLOriPedSyhhvkcFhTW8ol2Oc+Kdn6lPJxUSsTwiCQreGnVL/qG6oiUFqX+3 GisyffmS7IAT27dpu2tEk0O7OzLv15xyYrdDYnCQa7PACIjtPYVpJNB1WZIiMUE+juH1K9Kxbjue j/kqy7ceWb35HdkOa0vSZT+pV+KPmEAFCbMXkhWH0DyFObrJ55P3BX0V667PRToTwO5B48vqJvxz dQ8ab/Y0LwBMIAI02+ZRzd4r14UHHKw5buNT8CoJpnGZlRFc5VyZ3FwRkSS4Q/79Vqbe+udKqol9 bKTD6EukBCZrYcBUnh+qyVpPAqBZ4LhhGBYhVA22C6WRfffOpDmGCXnLw9CHW/tWoTVBjoYxqaHF uEIH87mRmQq6pUj2yxUEGuzWNXS2iMyK7j5QFrt46FN1EIsxdChnOFm2qpxM2PsRC0nEfSX+Npnx FttveIf0BihF6fcEdaRgTEhHxcDYfLe1PUKgAYshN/cJZoR/dshwxw/ZjerJBrWscWY9B28Eu93Y x+qnQc0gf6KML9AbpD4LPMyPpi9xaADfgrwOpOkHTqqzPi3KqoVcIFlEw/j1Z2l4yKoigBT8hqKP RvaYxYEPFw8NUGS7YhK5L6Qurg4kbQwLqKohWQgJQLF73uAIWOso/jJyaD6jmH4XqubOeEoGXND/ 0E5dmvRg6KtHkJdPISSnujX5SW+1yzoEbLTpfYaBl6E7srLZQKC9Z9E0A+6ps/CmW/7bxYU+Hgdb RTPBw39znuJo/356rob0LCNSVi8PyM51YH56wytAMyDD6SMPuN8rH/Z6UXNdHZiMSnReauK2dttx O0RFlu6KSV4NMZYi3jO2RLarsuc0zKi8DyESwv1RlT8WLVbXFGcJc/000pSMlx5Gy2T81DH1cIa4 vNZz2uSokFbqRwfO7v4M0HIe8P9qn5wM+nQ/wCjoHVfwwplzMDq31d9oSQHdO8UQO7sOPNUhPP3e EfWhDzjbBUpCfTFIayirGBXMOSxTJ2rot4bDJkbBChcbiYbx4B/CBntaYNQwQgEWf/tvcNke9XNa J0lTN0+YSnY4lfXg/bNNHxkmCTHRme3WMVLkgufhjTHy85kU5oDFHJTOpWr4D2u0EZkzqktAoZn1 B2O3blH/5SqMJX4WrTJEnABSQRsICPdkCw2fe3AWU9l6Q4NcZ98Y5v5owsd7FvX/2UAtrXCivvQT jiE8aAnLHpyGAXLB75kG5c5cAy/o9pbu/9yYUDMqnmrAhgkv9mydZqP9vUVFxmZh7bzG84Q3Yxy5 xlA/8tQ0OCyOnw/fBKbO0c5iX505dFmWEFMuirYxEzyaXmMdkqrpxN6+iFKQ9ypbkPHd5Q9Wp0Yf U9bhD2H9Pd5FNF4RAPjlwyVjvievI+YyBrfWn+peuUjtF0XEN7GhXkAvHO1MQDiDV29gKVcMtWqE wbspJzgDX4KtLI3Tw4KrueO42T4JY4d5nottIOVKA1hjOYD4fGD2YSXkB1hYRTLL0kNlLOSwHiu3 azl26ieR8fMFwUTanrMGn/2UELsR4Ad0dg8jZV4sg4qHeyWkkqaX06NBejQUqy2WV+P/AE0guQCR Fjqe/3EdVy4R18EaaPPf5Ny6Xf5yqWfx5VKOEkSZL9H2vNzEQp8h5/tDteioEYyDcwVnc32mtN8b s+wuNwcLoxz1TgLRxqdhrPyZ/4DX8j1aiVTgRylcUBNch/n8+t/htI0jJ86huZyVEtdLGvVMc3lT y0k09ZP8IMmKchYy+oGvkjoGZiDiuKzFLUgI2zLj/nURtCp1nafwKqLNq+9x22OYfwkMiJ5e4NBH JsuaIqgnAcdOp/dyCnFq+gC30H58Uj5qUJnEbHzvp8PZZav/n5s3dNjlMcd/oU1lMaFFlr4EDzgy BhJITBlf86jO/UHk2n9yo+8g4Mr7DLW0JihZKBrw/FF6zkNn95go/lIwVJg/y2AkUG6LhkPqJbqA 1gVz9v30p/f6V7gxocBxtLnhDAZsAw5Ie3EUGQoGvjSXesIi0T+6VeiwhQKAcQIoV6TgVqdhBUdI QqqLYhhaQGHRaYULV76Mgkn/8XyyHqP208mlLUNrBXcy4gtwvBQGWiFkcfPRgw9vw9qlpWEWA+K6 WxTaKp8RaWEv0QWEa8CFlCCZeW4Rx4cPSVS13JvN2uLwZs/0Ox0TxAZSTUDjskQeVkJP7iIJy3KN v/I/EGBNB/odtVsHlZgNFXssk6W2c7c4zc1/DZDhY3WXG0fBdzr4YeoC5TvRSOJ+TgFmMFuV8ihi pTmoFX7cO1NWX9oveF/3Fnvk1lERn99mW8skiIuzb+/Tw2oBdPGPM3W2QjN3eWCNmf3kcKrMgdUv kbtYXhzkQCfG4GcHcMKlOyH+PjtrR//RZ1fdWq7YuETCD3WVA86ay9dhF/4sob/aoEuJrAFealo5 MKSUTJvJLE+Udl307PUKNf0REScOnxhvdSgbGWh41xBZbwGQwkC10RQDjQr7ftk8pOU4aV5GMWsU 2QrK/2+t9jPq2gsHGPoR3YyzaZttLMhR5miiQRKD820UF6RSLasZ1siRSw96r/Ak+k6L0GjVp0Ux ECZUsNE8nv8mE52KBXdSBp6qMLtcTlYFvwHVVrkcBb1nYL0297BFWhENBDDMArCEJxjkqwYKmbVD 7dzMK1qxNwuYeX1ZLmpDOtkATfX3PKDZHxttYcWYUVD6Bt+xD2YfpQz/7V165kx76UpJDhgjit7K PvETLwTLWFIdw+U6uMTOVY+wHmRtQwEtFVNs07tizarYQS7MmHz1+o4vgBShkTTAhbYaPl+8gQrw DJ5yNAdO5rm7XtxD0juZH/DRpZ6VmGOSzQtQeEtB+txci2jFK3I/oO2n48XPOjt2hSc9WeGwBoQE PlEV7qKOCVRLlkuOZ+RrUG4ZYsoFsS4+VlLQPmb1ORE13seU3v/UMxz9ha1v+C+ExI46xrOqw8+/ yh7xoxzFp3Rko5LBpw76y+u0SsC/2yJKX8NsY7GjLxBL9nOOUk24L7S4nSit8ljbBdO5UBCqp3Eg 4wujdQYf9fmu4IMJRCsjTaNTBoPG2SIAR8HgUqkFIw35euvuBbvu/ZwoqgQH0PBJxZEQe6sFsElc 9InsDC7MNvLN86wOgrBUYgv4lC++24wa1Z0XAlOVXF3ZWSlxsg6oNhBVNpZkX95BXoExs5C5NlCa QVAgh+WUmE7Y5K62N1iuzWsnTHVlQHzVNBp5CMd9V2dkkgSq+lXsgqIm9u4bEVN9gC2+B4WkIf1T EZc82vq6CdtYDSzS5gniJJH5a5GUdFgb9xz5rOgHIe8+Ws5MZZmSxXstUuRZMifE2t4BmJudjVwp GCoY4sUvNQf69kxXD6J7SsZXz+mv91v2vIJYUtNGfvCgZC5U7KBuzCrdPhB31XcgmenplofucyVd FerKsbmslULNDqmLpD6ljzu38S53cQ77Y1aGjf4I8Euv/I7judiHKE7bBiS2Wkpa8fpdKs5TyUKz GgxvGn4sVBMwisQtOdiHSyIH8KmbGMzBxFH+keYnu4e17PCpJnDKgIicpGCuIBZDqCtzsxy/0M5l KM8ACIUw1jkSC42aq08/Godyd6zon0tScrOTbSQ4gLtAOca5iss8nKl93kvTSBS5aG5WtNva0EZd 1z8qi4AaPIcE0C1qBBSmLQEiMi7KgN5ca4l+Kb6mLYXmbyh8pLF5ZAAnez3p3VhFdLWRCnFWIm6e j/UHbi/rZCvru9NF6E3yK8D8/TPYvFrVeojCS8bR+MjfIQFwIrmHOngyHZgUEE+6IyHSxuZstW9r jLQFZkOfEWf7w+hTuBgYlNCBVF4zAPgHYNT9Avs0mf1STc3pbMIvtcr8i1bCqRRP94wRYZIAZUO0 OkK6ws4rqImNGB+Bw2jWTG8LggaRFyc0Y6ubrC+Y4vjdYJxcyW8vmJ3DOJXZmzGxPh50aEZ0wcYW PANM3Y6HmGlWC4J7DbVEC0ywskZWpCT9CO0BI6kKzHJ26ARBz2zWCFrILp4zMeXjFn3cJDW+ThcE 9CNhg23T7XUcNJsOyLBGPZb/aZ81zIEQ6Tj+KboXAVjcql/IsQu5FyN1zBU1lcrT8SVsXeIETtOK X/dyfShzAYQnKmR2W12BIg4O/gEl9LXdU+F1v80UXzTZCrbEgu0WuFRTWD/d83ZTx5zPhninYZyx 6hbjLyTWl1OZAKFZ5K8Q4xjBNqzJ3W0nTNGQJ8XrnQ5Cfy/gPl2RsVibWBl6sW0KgfWhLUN9AMkL 0hrIZBw2mHS2m5LNcVsbwhyrCjoUcLn0lBVEOrSFZOcnJJ0akDyZmFNKJqL9HPWsv4a65XFkpEMs 5qi9PEIMb3jKSdj1H+AHyeOpQpcUPpKybmI+ONym4aBF7rVvdiqMm38UYvenwkY8aZL2H/j19Kn5 /8nI2wOmN/tle6+qya3C2qZVcZv8s5eh2auevGxBlq70FBpZqUQSRHT0D69C4R2Ki3Vy2/tBMsfQ wSBHN+rRM1xJ1SDKshjdYWiR9e3wh/ffJngUeiUo7ES1wAAe0AVTT1c0fQdJLtMQWbUFDIMxKveN HUggX6x0nfDLPVA59LGcI+bmpCNFF/AF3KU/CGsSmUl0HZ7b58CAKOQ29d3d/pqByy+AeOUYS7Y6 kIfmG9CSJvcuXM8z9TwF2ByUP0PZ8PRb5TcruA9+Orm0IGjZ2OcZr9Z7H3e5OMvGwUaqg1m76Ydd GR23p7NzPtV6umoXlA/7xCQP9b5mdFIs2kg3DdtREcLnVfdzV1BJqObHxYFtlGRe5YtSuP1lxLe8 joxz4ye0wxwqRR2Z56J8fXzxjwYhZqLiumnQjKNbpJ7V4zriH6ZAAh2uDLlcVW6+Y1Wd+cqsEYW5 ha99sqc4VT+7sm8iGTt262le+jbhiZLjcaZPJUaP+6n+hhEWQ4OzNnGUVbxJB+dcEnX47FG4QnBW 1yiJ/VqoTlfvNsSS+wyX+hx4+HD7VV2fKCVHIPw+t2p0sTOmD/qB0C/Dqem/nyGDhP6vetWpdLKw MHp/omCG1AMf7wbj6qv9cPBJbnFWFIRXeURZIFTMVyNw2Jan66fhZX0Nj0iSMT+VWZC9WM5BLJXy nQhAiNyN6SUPy2Ms6tkA7GMHk4w3gEcLfxT/YaiSo+4amoIhm7rS/Ty/VbEcxPFleZUS+CQVvgVM RbL147gCb5p8yNJP3fyByTOunQZa8EMB1dKhTxWMEGM8C4Pozsto3oX/4NowVi08U+ry0r1Q/Bo4 dkOu0fkgc5m3ymXUSojqfp3xug/30XKzAaeI9eMNW3QZkyDn1RMMQIufoY0khihsvN8REbryKqDd FhYBQk+DlpFHPQnMxZB45NJwQOrbS8l4czrO8mbNRGxabLrUQUBjXlBRtH9inY/XtQueMv58CzPU vHQU7C0U3ZvfTJeQO/QHilFx4EXF3KqIlPUAZwN5NUcZQZkjcoKd4FDItYkBmSqknOVEJjCyoloN arfNT8kVGSwEy5UeUALavZx31LjlhJutb5NPyN6wy8fnHT0ovfVCsqbvK5lWMfUGBRYo8l/F4qvf D7k9azcT023BFbjwtGM3pkm/h0NoO1+/xMP7ml/xHgmbmX262RecH9Q81rqTMIJGLYgL9NOs3J5j LJZbjjkSVh723dsWhVA7FbAV5in9LM0YOFBYmeY9baE4rM4QbvKJh558dlZ0ZY++Rzb0KBF1xSzo QB6MFHcA9OdIyuJHVTiopmJ7uvcIMiRkqaOXuFbb9sILn8w21NzogiR5Bu+Y+2KQr10PGoADb+ll 7ProLp/nPSpuofRQOo7iONXBZkhk8sNYd+oMd6fJKu1UIkTC1ITvwiBbbX7w6NM2lJ9H8J4AU+ut aemYOkltjj6W03Kbue5W0z33xfTpEjcDPCpALswzQ11vEhbynSbyiQ+HECEtUO5XNFveSAHjOWyI wEgeOIMXt6A71FkLQAmwP5a8RLgxPLyP6HWXTzAIF8wcQ3mzlk7D6ZDH2nFPGTAXPi/dPnzbr/8Z 7uVr70wjHe5gSob8Bbdt62alPmDMdaDDTn3iQaYWOLNejCOz2huuVqOJzhe0CZd8ML1+U3KW3CTd peeixHuALB+nobuHkKeOeFwvbVBDoph0aB7F+VKBe5xkoZ+eaGNb7ZWUgJ4+1ZBXuDVzbiBSQ6PA rypGfmlhRzugIwNkH68CNsqFciRkiDjkY2UILLULhBLc220HRU9iYY4SVt41S2+oAFwAVRw5sFhG 7kQZxaVoIl9IBJs2pz07ta5YaGrY2UwwILSc0TC/5TYZmdSIgk08jUgviYfNNhi8gDL6Q1isu+mX wRWBq2PE7xFQtKP/SJZCgK1NIjcrkP6mcxOv7q3zEaI1pjRviSftzQwVM+WD32C4mN9M8mHR+oKo pa3BMHhYsH5v2DJfMmefxLhijPEw007A7kgF5ThYpZF4SQ3SrVAZVSBqd9kxw+SBZY4dfKz2U8T/ e8OKlObWdQcQAAMHGwSqYpuFbqTWuWiOWhkfb3/+SFB/fqngEuwVX+uQ3QfAcqIX/FBkbQZmya4r Yr9i3ask8n96htwSZ/Icx4O3m58R9j2o5RV9CZ5LsMLsZEgbodGHLr1Gr3h7n04SlhSb8vEwAoHV 5yRKsFkXCbLbV5rQ5HIDYa2mO41nX6UXFaehCpT92wgLiTZbWjsNhx349uUNOVG3y1rH+TGj4ipf ST4BptZcbtVX9E4sjLDr2ZOIYV5I9bkknWCxiEGDBunem58OEtWu98gyW4/xK8Ftdwab1u/CULBU ES49WSy43/u42CCPOdqT7sZVGoOyNXBHOKwakc1RX2aEIH7RbCniuOGcS5FEWeodmtEttQ4HW5gF k+kqw9ZPM2YlVYdzxPjJVIIxCPe18oFFLtJ8jHGE04J7Drav7UTAAAyHyWhEZ50pHFcQGxjZegoA vX3vaA7uXNkhlLpptNrtQnyYEWc2vuM1fqMujDX5U4jXSnsofer+7vxFOJP7xIC83GyPy+IYdGPS /w2/Pv5MLR/g+xTNsiD43dApfOnrpJ49qdOnWjn7/dIkLyCY3W1KvTKElRt6JkzscKhlOR+cmnd4 p9ScFBJ5dAUVbyJCCAYMNV/QBKG+xvVCTzgHqIlsH++oImxlYAzNUPAetPs69gsRrPA3GY0jZ/PX T65vtODzfxNU/sMmmz4V10+EKSi8OEkM3yzIckO8oA9HXx1xQux6MR5fSJwJ2fYtMibDRRTmpBf0 61bKD4249EknHoY7AfvhKurMHsu4N3CkvIksBZRjae4q16B6kMKkWwrLmXSpZeREaQBYwTTjlo1z eV9gYJBvH3iAQXM7p2sorjtshzGOMhBILAntE/2J2F2OmDLa5E5WeuSsldH6EBu/cxVwxXBlYKfA B/Vebm5m2/Jn8bWjV8iiRo7GePdzHsypyUThLRfC1CeFysWM0MvQkzxVS6mLhswnAW+jSbfstzxt CT+Ro1e9OKNb1f4rfGlM0vGpcq5HaRVfpxblowX9zS7TZoWUXGG91cFJkYhykhbSvBee2lCfoiwX a95SlQ7qQ4RjtX+4cG9mkN/pbgae6qIticLvxqGtG9OJxKWrHjerkQFmwBIOY6RgS1pGAfUqh50F ChV3fhUoGBgDNMU66HarG7kSjxTKGjNcn2Lk3w0V01tUwERkH3+nTiE+iSrgzt7bVPH4CHSTus7h vtDwJ77wmydwTzysQT+fjExVoCyCf/6+yNcraeKlNCwwwi7LKBTE52oXE3pXr5qnJjtHOYTJQn+V zqI3XynQxs+IzdEBP9/t2K2mY3H6oowYCoRhHRoK5a0Teawh+IxiAqXr8p2ouH3QINo9rEdM17cK wFf08Wl8sVc7UpDtWGQj+rgJK+cy/Vqwf8PaQVRX1DI7wcVG7D/fyNi3MTsYIpzZ5efcyDGNDQAF Rp/2g1GdEVbG4ZB+Ncc6mGDXW91YNy/wBifWqW5bFSOFC9+hmLja4QYkyEXt3viIaMOkz95Cuy73 K+txflz1rzLCfsTzVOy+5CmYvn7SjCW7wq/NhIUV089ClU59xVs4e4pGLb4w3myEmqiF4uGl07fN 5F5wvY/KLuxmwJGAPGQ+tPO2lfVlLF8huRHZR8rUM7aKjR05StnvhGFDgVKMeGHn0SMlop1QAb5G q9GHeCWkHs3SKMsQes2zOVheUrSonuCkYLQpABOCntGhcEU3uPlKWT+Z+TrD2leBy0/+ENWqA+qD 1ZMSqztAaPAb6j70dbCJsmKGuWUYgcsQMXQbLQ0kUaKlFuhP9+EqeUS0xNNMo2DkekuFj8KWbrQk ei1W2Xm1siQ7dUiGpkQNuJQH9k47OU2uFTxgP/TuPEnSjBRdH5GKXZXvMCKA8jz5uXLADghLueFW Avd6CMeJwBPvBzveo3HE/fWz/BNC1oDG0cn4Y/EqMVro8OOFo2jsd+RsBHHJWJ3wZxHH4nE9vu7v xx8GyyWhUt1ZHzDnWZREN+fvy/1ZcftC7evNoinWmIzFEYqJ20OZGmC5ry4x2EMiiJTm7Y0db+P5 6lplgc343QRsaRiugptPwi+FaMRpqc6ldK/+aLAdEQbb3PJXoiXhtzHoZj1A8vQdaOaJakvLXhQ0 3n2wrJFrXI13apIBD3lo3MM9smh3KICfN8b8cLQYooo/DeA5UW2F0gPXNHA/7szLN514iRLQSist 10q7N1UiDF3SOEhgZpB+MzzxNazfqY3CfrwnPcJstYbpn97Kv/QFFZlIH6pK5Huxn3GmLOMnlZlb I+GMtd/Bzo9KR3DcsHcG41H4navX2f9XIeQXAkucDfJqKO1tPvxB1JI/cimij3vGras/o0Ip2xyA XgBbdRYJ+ygYKRXSKEPSuxUHKMSdKcknnuKR9Kc/KMT3CWEvzjpcRe7+9sggPa8XC5jFg0tTdsyx lZEadvxVUc0YQqexp3TTkN7V9JbVpooH2Y+mm4vLwA5H0bcfbIW+GnhhOAOIEx24jw2RmAajuDAM I+8qWwf2FXk81iApSctS7etJTBzjAOE0tbBCFgkXpk8i7xFXOiN0DRzpDHgRln8LhoknboLrKF+U n9tjkomlmLAl+bZFfgSNFv3i7IyEhHnHdCn2ZxaTTaWvYH9Po+IXyC7FYxKDFRX3E1Jcq85m+mzr nYisEd2et8/2/XvVzGlJFtaLy0E+DgYOFJqpD62i81k9V6LtvTpP+X99eaWsnyW5m3CxAgo4YGPl A2ZMTyK0uFTOS88l+5wWKCKS74dFm+mZWMWV9mfFCzCSV7JVTM/LhGyLaiMqEY6XLxrMeIt8EKoj +W6IZW1Pl21xFRGMe5e7IExmq5nAsPY4qOybLWKyS7BkVo+ywudC+PIjSdBZm5PaXN1T0w+XftzP ymxPYRkdZxOSqnYq/gJwp2sChiP6e2xrA/DhCdN9txUioVQq1Mz1c8Y6JipzfdPdgET6jfBnO4pJ ZiMwjeWLON4Ff27YsaZZd80EfGrQxZKJI1bnw9GjMafdnQIXdnBCuNYJPGOSXUl/FECujQAy47Qm 7ASm4u9tsHO51IHBUESBWTPa7Pwq+9VRzUFJcpLJ+AOlkZawUSqZqqi0x7QH7/Evo3cP70s4xmsE d+vbsS3euT5FbVcgtKJIhE6vsEq+Iegh+ZYrHQJ3U1RRGHcVTx6f5TRCfUtVCE+uz3Ibz89kfVah fQrCJ8bYIvo2ilux4wu3yApApv6LQaXQOfmPW/xMK4vMyAtXgMBwzxdrIUIzWTs6slC6wwEhQ6sl hxvASU0tBFwk1jqcSW/PR8JuQAIEWYg5L1sU2NNudTWNpiTCx1PMJzy7sh70/r8x72TKVGy27Hoo hHFxcpd5+j+HlavKE24uhIy1X4YVFXVLBAgq4/Yh14pLea1V1UKJZe/cRgHXsUM8/7MODXNtv7VS 7Ffxi8QMre4R+3hDmD2IEYrQQ+iXaCMv09ybpqK1wd61grQVCYAfXW7nmLKvtD9Wf09vkZJYatrc S4DvOLu7P4hciThm98Df92qaAIb5iShmWQj/hzCiy8s3qpJTsgPFw5ZxvEh2ZJHUaDvcykprIfxy 9OIEG0bdQJbkuKVIeNfbevs6WXMRvE7s+b+hDdUD4HTMx9GbuFFlI5O9PlwUEg50ynNWIkCGvpJt 8YiZ2tLNwn2vlTCc0lKxv47lgk1aXC2VmOmbV58Dxg+R+VlSOB5/lRVJCRXsChZ2t508bAWFcXG1 prsrrJo+G2ie/V9yr1iSoPFwptaIeMcEIDKz9wBHq5nVacoKuhNzu8TuiuHZsTbJtAnN7+jTGLim wyuopoZk1SSZ0gj/R9wrvLr528vLKcuPxA7uMN9Na4HqtLmi/2kTb1sy4A5dtBupukC3VyajSuUd rCdHYQRtFEE0vnYANWSJpRNd64WG8tDGJOGAcqy2V0jOQmQPW8t5sV1TOttpYVqyplRF4D78MvYw 3iJC11cXqeHXSqhgwL6pF2g3INO+/SELJTmg0jHK0Fgl6RXmVoeqWJsjtlgsOhBs9xw22XaJp3sp 5pqhSCCDCnNm695x3srtbj1FqOsyyHHDAtMLYdEFDtNgHU6ewX+pXGaD2JVsBIYk4GfM9YLwv9kM N1gnggqY0WrblpZVWqH5GUVPPtZJB+mWYCSpeSAnpu17B2HOMeJwui4GXO8ZGKcy7lCA2aQcjpJh eS7Nvho3dC88VtNRY3Qszlw/uk0TbE2dUIycYzUE7zjxXC2YB3O4f68Qe/Lmg7ph04NaUcaHOLfX 74RujrtGAo62s5r0/3Z3EM/4y26JCZj/HFCzvkKfrFkjQ8RRxUjQalvA2NHJkGdNim5kPoUB4hT4 Odfg2XQT16QJTlIL4xVj9lw59tVTxxMvUGQ+bLDZNwXJZ8a1wIn8XbrZDnMctGchI7rU8M+/AHHg Wylk0JwcMwaMEkMc3hBLacL6A+c93MwPbRC2SktQ5dO6+6KXtuV48k/sfzCBmDSi2OVhu5sPmRxo 5CNur7/PAS0f9n/mBpRl65VhEVxnNkMxmE/2Xu5QDXpRoUA/3LOcSuvRugltUAishehBQn0ueFx0 LGj4mZf4wihjyNglWF5CuudHmVDIprnWoyYWt8tHA8RKhTI2zQ6o5DOBIMhWvsjSKRDfeOOFZy3H DMHaSUAJLZLxsTEvB5qgssT3c9MBR/CNq63PgPVVVDihPNNrHHxNeLPNaVFc04lrjJ9nlj1Mdx4O svD4YcMivzMUenwTILsu7S91K87kEKNiZ0rni6LviGZ4pc7dsSN/gqteyd2Mub9yQ4pbGlRCbf6Y /kyapYb90/j/x6FmqEVmPbeA25tvVv2yZXMGdvECdr9umWQKhnfD+nvCs6XWJ7/IzdWsLJITbqI8 lWWxmr9Un+yfJJICwWOQRHO+79xLQ/RgPTSfH/5yA69fmI2Wq/s/GArxMcfSBkGcYKEIGp8Unlfd AvzQeprwzgl7ai4Eyt2skxccinkUF3UDDKSEYwF9Y42Qd24MUXcTO1y8mzeSobwQGaU0uox8Og6a xM6kLdIIVdf+KIYhex8ESvoc3L0I/wsp/mSVbm7EMsj0H++4bNyrJdNptEgh+o3eD4U01at/KmcV WjMHN/FnYXzYMbBPiNOHc2FpONW3MRjK4zEFSF87v14qI/q9xdM5Or3VVixAvR+OCNa1oFudOdZ6 pj+e8ogxbQaRxyKRdPu6/57wNyiJ5AOMiE01k4KNACHwdhcjwAuuKC3RO2FH3lRttyx2570j7s2R +H8IlmtvgmNFQbud5xUz1KvgA55ecBKr5yIIDv6Tl0mzzWtBmiiDm7vyReJ5LMBe/fosodkYgnSL WGvwJfUp0KKKlvqv/ZNGmQyTekyZ4J1QtPaf+6y1NKYRJZJQ5OWfJ0Nj+NcesLTfEhz1WH0LtDbr kaWBnHaFT9eR28Ti9UH7UbmlNvRu6F/T627H5aaZu1viHIaI7dNzb7+WQeAaILPQ5aLowj/7aYhk +t719rJ2a7hAhzmel4qmy9HqHLijw8iONskDWK5DgFlppl5U/uDW8J9FJ6wkJd+un4Tnn8RySdQ9 NIVWgqrI9j0yGeQ4lDCYPDSYBDjzWqHS9/8Zg5U+AHI8s9wxsIE8tRp100ovgSqfMQWJ/XIlxw4O vP18lVqY0CziX29Gf+zY82JmnMbn+6XSbFbxMpw9hKIP4EYvKkB5Z3BMYWrA9WAIzSPbM/imYD13 wP6Mm6C0Dg3vZeIoIBNGmxI2gDPE79N+yPBgTCnXRpdnLB+3SdM1/drnRp1D8Fs2wnipmgrxb04I gOcg5ULLJQNYRw88WFBGjhcDD70t9DuHrlW/g4rHDH78Neo00ZO3DoAA3Bt+3FOxYqqYQJiIyhXw uaodw4puMXt/Tz8s/LlR+OC1Y90P0FlaQtzvVthxv5YZoFQZKqOcp4SRSURuogSBS05WnQQcGdyY OlSZ4bJYqTBMeb+aWauvON6Xdz9rH2XuO5x24/w5bVNNBn9o5XJdnzqPPZtQ/k1iSjPGKf62Jdam vx+9s41GzYUD8GqHaVL1y18wnKX0c78xmtjOucHPo9OkTY7OBggDrqlUO7d14g3RATT1YXkrzLym oCE8+Z8bvnszC6w8QZurrkHolsAK6j6ZiCfAsh6sz862CtXMD8uu6y9sIMs3I7pVghwNB4gOuAH2 UfzGv+9Tkkynp4y7tOTOoAxtXCqJnXcEqWWYOq655mOwTJKH2a2QZjWCIUH70HAFEFphFfcJ0PUX voT/4np3rwFQDgltPEgIQ/vcuBB/kutmNDOxultwIysS838kf92FKz0amLZk515B+FopHQxoORAy 6dX7M1goyu8vxl9jc+PbA9ZDsdqKotQ17ZwvEVYPTdfwmp5gRibA/N3a1I46zKXnLNPbP7OoT6LT +bvbiuYsC3aeYSPCSNAh4nHaw8UfcFqxnG50ASfTgx1RifbaiCV6ndds3z4dZY0qx41ujcZsDnXP NqIEnxh1eCnOsq1ZXannBeDD7rUXUTtG6gGfpZTedgyJQeokhgQVSCN6yWWcClb6gEa+CzQFcB70 X9MbV1SgyMSTZpuSk5tm4pGCw2xxK4ZfcxdOUUbx/vtBoThbjP5GVf6YQZyK0lb24N364fDjn+tQ Xfd826FNvZlahp45m76d0NmxmjqpHtH+lqlsxZwWLLONt2Rx+I6Jf/9KM9ObwusMKg9k+pv2cWYo 3lMuWQe8O4aeQk9eyWIUBvmS21RbjKwBrXq6Atg8S0gUfl1d5ltsFwZdqjIKudbOjKAWm40HH6PP mXWJNQW5jbVVOd7+S4Jw9R3DpvFgL9FInxFvUQSXeUPi2EaIjtIg+R3Ux1we/k6dPT8K9JtH19i4 0Zr7g+YXj/fnpAl6/7JraCmtBE0QBzFvJEaTTT0paGc9JUPqDy6HG+eMfRxjtfK1rkr+gyexSTnz ytBjhanGOOtkpjoHpx5Vz6+JbpsDvvceI2w6oS/4VZYrnITYB+zBn2T4/NVw837+VaZXFvtbk8d1 Ucl5mkTZfdWbM0y46++x5u40z8bgGegaQ/wzY8RY+gxH6WCl9lfo15m9F8KtaJ+34C1U3px3CmVF D3KRzVbI0aS/qrBnrM+r0ZiwfwQgJe2B8haDywnzDKb6FYsojK0kPvl/nzvY6BS/F0vybVTu/RzO QE6nxAz/qK/1MDE1s6BfI+KRdb2YVHgO72421aw7ZQI1gIml8hbSbp9OipZKMdI8IXfwGVYTMBJN AJEK4IqkMYesVMCLLQqt6USVI59USQtLyUO69LJqYcpRqyQ7okyfC5xcVe/+WP4wd1Bv6nEWP5s8 23sj44ZQQpK+xNBJTF9DU+cqg2rxvZaA9yPXrBiuspzeKnQUPyAxhmEM78JJxWtLtqiT3Sb+QhtK hqu4zKum1rp9sPwmOCd4nvyqobOIGDhRKIKnUp9WNFBLZWDuetnAUODVR3/OxQErncwiqXV/5bna H0cDmcmBkQkJtkLWToRzlhaGd4ySVih7u844FfWatWHl30SFjhvxkJllzIaa2Ut2HH2hWQHYtS5D EXC5DHwAkLX6w+Q9TiV+W+1HtZeMzQCkJwWGkA+KnhscUhhY3dNCXdDzAAJJecOwEzJlUv+UxP42 dYMBODaEGM6GpQFH//f0oLXSf+9Wk3uRrp7MDGaYhFvbidCvha+qqEQSy6FCx1bif9yBoiSmOQQg w59SsxhHXkm4clO8GryPCs8LtaXylv6vtw6n2+7MLSzAatdj2nqeC87Yc3CUg+lqSaPSHycpHVRU 0QwA32Nck+HagvvXY43zVtiJqov3UsfYZ6BiuPXc01s7Dxl5TcaKEdqO09HsGuf9JF4AXwPmtwZx RMq4HglNV5+gycFyy+ZmWUYYfuuOVuU+I2SJgxyrOVHOk2fYoVi8TKdNL1ItzQHSSDR6jKUd/nkJ 519GcJwVnOmT9WZLn4PDRsy9V+bcZtiJ9BM4GV9nSCdgS8rO2JcWST6Y3/9eBB4kNp9X8dlXuFgY dx2F2GZfjVCCRRq6if44AcLhOvhBb3KN82haYp8xZ6CyhzFFIBrJPGwsQ6pJ/NGU69O3UKHg29Gj BC9KoVk/Hd4a8nWzeqSn/UQkzg1Bt/mhgXpMx78tauZv1QqhFGEko6OpDmmtsNuFZVRurFjXAhjd EGn+dxkxA/LlU8z6fT1bTjcxAcpJyC6L9kTCjp4f2AsAIdEw3g4qLIsZWjTnfdNe0sHeZ6pjuGXg LNgTk04rST4ljItR3T9pljDppnSYYbtp8rS5zlCDaV8KRyNykX5WA9MS3HQdUlncSpiqdWqSaTsN 1HgPbQXsv2xoKIDibtfoP3blmBFX+BzkbeINsepp4gnY3oFmfc/cN2SsJinp2VRkRGK1oLZ9joVj bKIgER850r9YyGyuf8Tjsrc0Wft2FoSzm9J2Ekc7E8ndqhtv5unZWt06M9tg/QKvE9vF6iqs1mEn yKgjgt41TkflV8S31m28RX7eN5kSXqNgTO0Oc/YqqEfDYUy38DpgqqHCvg7eBsNU2Vz6iMFnIjoA Lw/508LwhAALdyEJfff9AJvLA6fR8BH6TkdnvFpmEFmHVZSSzYglBhFxm6E+25qyVBeRwpAWlm5i /9e8Bn4vCEEmMUJUVcfD+QjEiKq/IT17k1azgb32unywsLXKk5hEERp14Jkr6pVeTkiq7Df2oodI O7dFj9bDMO6zPL9oTFld1G5y0jH/91KO5vhLSRQM4lK1kZLPhQf5o7J12km8XfMq0D86FGuKqe+x 2k52DFFnt+ncmByvCaJMckEcIa/A11ZE4RRnnbY/O1SoiV0vsmLUfNvUyXkBvmCqDcjzbbE+ReMb HbInZcp5Zp1jGe8Y3Ytt2qDeV8HoHY+AIY5E/tsjlGdifbDH4SjdhPe1Yd432KcW3xinXe6wSfFn 4yOq1xqiw0Cx8sod7KY95dr2m/Zb7Gxy5BOOB2L9w65NEV6tReQhkGLpvkIaC/HLNGgUVsLvSSBJ puY8BiffQRLNSqHsbLVFv7Zh2nzmHCBxPJe8TVyfaxqi/64h6+1gFgdGLej81wsCUlzdT9Eko2LR BODOLQGsVVvHBbACdsDS+8AdsAALueVaXL0QawZUUMEuJ/mKGLImn3/7vBFIbQJb60diAOeFAzV5 AWwAOL1F9UoCtu4hbwbrZTwaxazpxklA7bwBdogydC6QJ/Ux+w9ZbjXfXujDcFO8mSq2tUoodCql xeFLbsEYMH73gO+Hq2omNJSC4eNWsaZ7DrmqxO897nq9xGuJxK3bMbKttIEwC7XzOn0KHkhm8uuX BRGz94n2P4UKzfAZwhaqoapkBdk6xrO6I4WktdtuyJzLXLfrSXRil7xp7ei18sD+C4frp6J6TuJT vkdoyFzRD1ngDuX/xUlE72v/PrVDbI0mvAJQzX2PInLm9NuI2uWeBR5dlBVNMAq/5PYrHdMs7KlY qdE8/jjiho/5XWt91oaj4Dfs4IgS1Pk2Lr1Xov2j07gWDcVFEfr4rb+3JRJaI3tji/ILVXO+oLAd 7QETUqjn7W9VD2eHW5x7FNtQEccdn+ZShPabLAWbhBKt5iv+CX+QxM+0PMkedHHRvT3+7DNgrqYI AyczARznVG0igXw5HYuh6bK679tOQaqP9K0B9G968p1Uk7WiC/yNuNleENqwq7oVWKLsRFEX0YGq tBPiDoFgMaGCwpe76Z/EL7/LS33aRWbe2FcSOkObrm/5ULmYc50hLAblMxYPcI5Pxxqxv4GrztTI P7GleEvTOGSTK01/jxG8fpXUjt6wqcAjx6MRrWzUa9+DkzmRCGbTBUHiYJUlxvAAQb+bsg/dtC6Z kPIaph3TdCplRb5h+NG2ORnMFy3dUH20ysUyOgV5mEX83SXOjstJAqajwtnyEmITVVLCQMCJom6u uySPccpi2rX160FNfbPG4hq8chFlc/bjTsh6Go+BYH6UJEvak2dN+GlQDNwJjkc79MD1OcYDKLkl AvR1IoxDi5yl6oIvdNZpEIfHEkSiunuia90q6skOn3XHxR7LeStw4uSOco0A9f5iRqER0+weyx+C 7AMghcTAbaywgLV7ge5Fhfesg5ptKhXlMRFR5gzeXupfVSZ/8JErraW7GB376/dnebEvQ5UCXk3F SQbdjZVrxz8ONNvH6Rzwo2P7Td0JNxbTKhs7OrHtlt7Fd0crgO7sgGabDLv+7A96uElnqsNgjxsW raYvWCoehLaL8R+XS4lZTRimn/geOmfWEtC37v59NqRWmXz065hDCwq2ML4FFkwbicZ85JcZrYVw ozmVf+zYqnoVXAOTFj4BSSJq1fI0maKuzuX37CUP2JPvviQhvrjWKmOA3373girB2OpqLKTGZ7IN M8egC0Zd3j47aXb4UisRfQ6WG/8W7+HRgTr0+xK+gvern4k9Os3COYwEZoFg9LGgVNAoHvu+WuED Zx/ELg26zNzLTx1TBhaDAvEBtfPyjQapjl7qcr+lCoCIAbJHQX1pnD1AP67FqUX9T4CirY8Tqt3a 0ppZB2LQsQktfmkaYMx+j4CvLhc3exLfPE16oowbCJQb/ebaXGFxaO3u3SQodInRXeeVzdZY7HnF 8XcUfFxaxps6Lup5PIKtwPKRLdOmI9ONsngV6+7i8gU/MEVsrcG87y6slkwVsucP3dvKNNEuXqYN CUtGBX82hC70vjzTztJTIwJhENOGIEf45WLmJYFCfw5MDeMx65P7n0Z3inEGCDJsoE5JUZFFqpEB ZcTtP+tOuSgUQolrw5JjT66ns/me1Z0mkJADcU9lhc/WY/A6GE3liVzgGfXkK2BZFxStXwlhb2hC DWwrEXT59lWnbJjFoDcmermvR+Puwzn/6hx8tyIKtLIZwvVi7uopScG1R65jyX0EBH/6WocOfw0D HGZIn4ZTt/q/bTZKkzeecWvxrWeSM+8jMZ/+EQXCppbgd/XbVOgQYd8VL7hQl0qUhuMU5X0p51PP J0k+BLaQCifLaXCWV37qkvbfAW8bafA+Dxidl+lVyzCWzQX8E23qnceVIwifAGGdumr9h9xrYL5l WU9nEE7H4CvwtksOOXawCMeVPo6bZaQOy+q2wZ52hlsfEFu7V87aVAISjjAJxXWIdpqJbE1JU2w+ cTuP7wuct+mMl9q64P29jCWjP3pzpW4aeqtAoTBM/BFFfsoEvWV6fAihY0e6Ik+IlS11DQ33xRl4 pL+oGcZBA+ehBEto+jH8AgDtjtRsDfC59Ahnx/1i4wsIli9ihgEBOKpVOKFLqHkUEBwDry2E9lpM mGppz0aVB6a9MnTTOg8KRDKzNSxZATomuyKoVv3foMEpfZ7by+gtdvkzGOmoAQhpMEO5S2FBrQqQ 1/NMN6i4wQXXQKyQgClcdG9gCvJm5WPoZ8DCYLaaZ0n1cjXSK4lh6W13xzCXbF1JfQid5k0S5Uc9 nH3Z4MSESq4JzXMwEuIkd/m73GVi1WARPPefseS0r5+s44Sizoo2LNF7azV4T2N5j78Aewama842 mMepEJbr3iO6pzp/AKdxMgBxGbhx84xgkl5OeXq1PmGmsHDJtNAMff/C3f/k64JRb3dU+OJLRiZ/ PldZ47rks3472HOpHMFN3DKrFkEC7HV3hU0glllySAb49oOWEo2oHUh1u76JLrKc+WgYm2yNBsDR 70rR27HULDjsrNGNObVhr6Gi9SnPYLV3MSN9gJE8EjhsuhfAoaD94h1VPEFP+BOh/rAPCMfxdgva iG507SyOXZYt1qqOlcPnL31Hpfbfuqyi3Un2khyaAgfdeI1CQNiCA9/Jwt83tC4AhBiojdMn0hz1 ZdfTZz3O68c91muUycBsdMytXvvz1wW/81kHj4InbAzA55fYf573Ppy8xgfy9pWqY8KUzvVzIp6e XYgfB6qWYqH077ildRd/b2VrcMH1gfmmWytvk6yCktNLlQ0jAAFAzoxH/ck+OiPP+zilpc+6iBHp XMbZ4804Q8HdMsNs4oDDUiL979+0YUIzjmT2sc0SzRabueakGrp/NPy4q4Tj0qjgLuQviY+xyMDM z/lzL4kbMpuIB3mjPgj+GIntyD/ENS0xJnRo1GPO9Wvll8AcGfPI/O2tHXNdmxkFfAEsZe0zZ2Az BtABtmDq2rArqg0+gbhpxdNhb13Zc88i2rmU1pHbO8EsdlHPUy5SYl6bXeW1aeeqOppm+wHPU+MT 9ENaVJ0UeLTBjA2j1akM0nxYES58FTyoDvRhd1vcPMxNb/xT8FW/icUTrriYZPPn4JVNmHpZA+bJ vxhiS8vnjdajIg5hu2nmPQtm9p2GE3jR6icQea65l+rtLfZjOCxB+a1JI2qO1jgP8cARhK0bVoGc h1NMx+0vEINTK10OcDocuuAwJ5NgS9TWsEYkYvykcL+tTlN0rdG2v0T3UQ/vRpsDJD/CJ35YsUJ6 oTVOR5rfDFMWm0GsCMzXYkHsFFvm+NU9jL0UMFFBYo/GV8NnYcD81ZkHs76Wc3N977ZqC+oSN3bT AgwyUUmEwPpLVF6C3MN3E/7OjxDbS67pwwbtpDZlIXT6aHSrflg3EKsuSPkZ6uQqT0ylc0q0NOyZ S08brai93r2B8X1onhbb0gRb3sggmsxOVJEZY0Es5ltv7IUAkSCucERyPHPyQgmyxc89Kl2daGcv ajP9IHe5ZqAZAwtE6j7ge28PXjr5BbPj6dYD+Ld56+Fb11PDxnRRCUX+ex39DF4wepOTPocniHgb 9tkrPzORrwQz1ShsO21hwJ0kDeGGicEBiitDL5QmZM8PJWQYL3JyHQau0tWlrdWnU0IFXcBEqUj/ 0wiRFXDnjoFbt5G8LX/gsyAFeopipYwJncTBYvgpRLkhhpte7I/jshrchCSuT+uzG5TX8xEl0Zi5 xlGG3U1pzxje2IUqNBScDMCrT64um/xJ7VfHG38L776xQnSscqKMYQguwM0sx0Bw4YF9BVrTSdzG XMGtzyypEhQGx39COg6j5SpXXy9gEazV+H1XWFh0TLe60hLI7HXV2LO/dbvFyP9hdP9Ov4bJEWPY 58j5C3C7cE2ltN2YXOR6+GuSXdNVxeXvYszupLaI1H1i1SoFAx0fiRGCDFQHdZUnw9rQZVC1ergr IjqicfDTyRCwG19R+DaUgfOnjLZ6vAPhMmU7r2GtcbGBJzQ8vg5iQdCnzjssfJVIowG+PDcuAaWb xhACJHzB+x5ixSeqOahxHYphcKFxdDmCk3oYB6s2lj4a1+/HQ9U2etd0BnHbI5IDZ8R07cNuO9wE ImhY66i+Z5v3Y3t2oBRGAefbqiZZn+Rx/XStgUChfPhEsORftGSxME+RDm2sQdEp6UuNORxRL+08 JN+qek2JdyPwl1emY2FAnEpzIt0keZCYiwYQufqaKkpS1czCl5lKecDBtDkjTeMZGZ4S1f9IvEEr nPfFxCmKjtCdDyeI5RwYidXE0Sqx/HLgBtKpA2QV0LYYoOsPg6Sg4S4HCr0o9me5Kv3WvTvC+3pH rcq2OtsN9lx0gqZgUdgCjRNExyOTZrK4D5qhWeYwPXucTth5LUJj4/CsocXqlP/wEOS7hVj1jVJy VA0GNxWq54IG4mJM/b2qnrrHdd6+bJGITWKw8cSZcu7lMnrvTLSNRO5hzLUee8J7JxxD0Q60+AAb V/c38Rz0dHNPF8Yx4ttbr7hOZglQuUHMOT+xFJ3OK0V+sjPrsEn4tfDtDUVPxkHCRXh/KfDHUQq0 OwY0OLy+Uz4zEgir55x4GbXssj7CJHlmQ6N7fe5Ppok3z5XXvMAvA+4W0VtcCD36A/WepRI3VGWw ztrlzYi2YwEHOe3dSn7C7Mz8+9hoLCIppw5NmlV5VU77DerDINc4JtADA0y0WBZBDeUQ/XrTfghX 8Of94HR0qcQFGIladPn4PXxZc1Bto+bxb3D1tsckTyPVes8WkcqJU6IkaUrsjI73yGZ4wfPfMEga UZ+gpjKKFOQIGasN/GaFVm7naLL+3r8EjDb7zDed23yyvhxVKd+fBCQs0cBVd4oYvld4027/WYuS qsbvHn60dZWktSVdMFxCYPJRFm3D+EWtkkA2MHh0jpWx0H0cjRfWMGCBJBScr7I4UtmA5jzNxEgO Q/K3IATtDyTWtNbT7FNOMhp+4DetyZSLFOKrWOiDNgbmdejdtxb/yQ12wioeBdxqs5kB0q3fBLyr GxPVcWz5mxj2MJS4/lj+GVdnnwubXqT3lYFEVT+IgwR9bmr9ENlUVIpg8pPshkvy0RUbd1BKuj+K MZdvUCUE/er32cilnE5FFZhH85em6i3/fqF9UQEr1ZsJtLoBdBUW15Dvp3vkZMxTWksAESOiOqgU ClurOcwKCX8lcX5OUfW9m/pNyH8dtdfPtCTnlUDMIF2fsQMUcwgm2XqwPOWuchb7gEjRX7TjLbHS Ml6LCd8Q1VNHKM3vbk1UZ329R6I1Nhj0SXQNIcw6Lmfur/MSnaUyOHCVwJWujYoU5AamEWFYysJb bo93zpaHtDzQWvFCDtDnPHGtfGvS3k//2dn+NfQ6iL8MLJl4TXDGLdllFYx0xgOLtiC1Ei2r4pfQ cOSrSyvC9pijvVf0TAtBOMON/Ch73EuovlQGd0yBtnFku+bPknWwXxUU0cl/knsWda6nngmJb9QH h/cJP0BrTZ+gzRORZJgOO6rZ5hqyhblwkiiru/jJeNoodqS573pIVUozN/giOuC9bHXWO8s9D3jZ 93vLB9xRR/VeXSnrJWeqbakg68OVNYUyMMSB+NMwyTUxEAB8+3ItapSGEGx43txx4xBGxfUTGfzw kdfNQgt8r7O61hEss1ASyNCXR81KfNXgGXDozeXcFzU/LToszcf8LWhtGgKTR5h17qK5cuUt9cF/ Km/eXwQUUEqSz1PcuVs02XB/iP5Yx6njJ8+iKl0SLTv82MpoudqZzdiI0XCcXPf+2hkIADy6Yl/G iPWWT+LACWhrDjx7alKSKCg7A53pFwoqhwPafhVBYL7ZfqspD63B0r3h726NcjIpvZaii7u6jge2 4SJw0nKZPLdj8XCYJUKSNZL8S5EO19TxCy6DZxul84TUL1yDTNL+Dxr247AfMWMVaoTEJZkYA7Fo i1JlVInQqWtsmG8AqM+RlSgiJCay/X74D7zimVwaIBdh2BhQJtmIsvEA3TNjyMALffm946NamimZ /TNwIzfgpbJX6hT0kXUzKgIiD34JAIlziiTdDCACBQAHfYkE62sVkrSKPSMDmtY697MVJRLaNegY IPXiHX5vrUqKZMjWYImetp+lra6EUAqosuUtQ7kXZtY8kCyYnYAl53ykYalmm54G5QuhlzeqJKTn 7yW06+d4RyxyD1KbnnRUuM/wX1Nq0OO2zxDZ9YikMxLNYH2AiRxObn+XxkPm2EVEaxTpxieJ/9PF 2Gw9aaaBMMSdxoETNm+0vgfzGXskn/ZZ7IFG2tBlNULTDH9tGYoyE/qNYBtUqS1Dyu/4AmdX5QA3 l6/f93iPYLF6isZm+YtC3/ntrgJYU/dVKpyaW8AS/csD7ShcIv0MDpwlSdZT2gtxYzI9WanOXRSJ wPy7eg/nOzQBJsEYs1ugXy42lovTzFLdBUti70ca867xgvXW6vt1b2TZCB54IG5uvOiu+NzFi1O1 Ew8VHGXEeo1Dnli0MAMHqWSCC4DZ4Q/ezjOqBr0Tmn8gtOoEy54ow+hDfNIsNRvtaVTWpwNXfyos UEdTVcmcGWKltsFeKFp58awEmfJ5tO3XFKNJhNOTSZ5yK5vexUyWxqFKX5F39BAm8D/hYaYFDOlg tZKFDI8ltNfjdK9OzUI3obMvRxhd7MhEVR8RqgCvTqIekWKTp7JUrNf/ywMfhI9Q43HM/Me94nHc gILT3Xfv4pXRWhfXLHjMpsRy11MsrE67fwYjRKE2rcCguHswYV7c+nyMJSQjuv/CkHp2OOyBMaEv Xsq4RxzhfcJOcNyn/zY6dWVmekYJu4PftItvyOZ0D/ABA617QSwfodQKjypz/tq14IJsjGr3BIJR 4NDCXGJFpAND38mXAtPheb3XKNM0kAyOrAgLseYnoBeWKBPZzr4kX4cLe0f4KR1nsma0tmFHc3ed kWlKJheuiPoTXTMsHYKKJbSe67Eg/wpgeoLeffGQoa7vndF+ONk5rkBsjx1x2ohD6QU4WDM2g/pD M4o1V9l5vnC4t+00i91F7YEOoZF+Ac4iG/kvPZKtOIbOAqRUkWxDwlnPioxj3vdmVo9uZ5KQxG0S h3kX5bQKpV4Ev2VC1KXlL+i4POYLCiW5Q/g4d3dhgpZdMDA4nzVlkKtaTtoySOQ2jnzfU2BSa5Ag wlScfMTrs0VqQe/em2YYY5YRGAN8abbOuC4pKLJkPqQTEm4n60nJ351NrlLzA9fE2po0OYo7+p1O LQ6YNvlqVPh96N6vdAxBAdy4S+PPb1hAicPZ6nwY7KXbJ2ovKMx2JHmDlxRm78QA1C+gBblFrQXi LAK2qsNVfyy7d45zHbPYBRP18wTzfiLX2e2NEU01+4y1xmPEZ0wCbIT5ymUG776bv0PPkFmmgURy fZF+Jxc0SOcmrgvAhQg7zUfn/B06FlOJkMkT11me/PRJ4+zgR0TkrA/LKWOhxurWMxdMWwvU4vph EBaEWZL7pZBmd68OHjUsfoa5BrBCwHB3x+20zN860g+TDvvAXcGNVGbA/UbQduALQxDYQN0w9zZ+ /Lsh/Ypc21CG5mNUFJPdqhdbTkkOrgW+RL1O8s32HX+pRhtI/eQB3tNDxIm1MRXVkysvRfuuXhOL qe/IfjML2y0xLArnzXeNT4XlThfVxOsDnt+eSAGZfZaZnfM1Q+lTLAEjTlJasUHbUBiqHsSvmlIP cqWX9XCeWjUky06qe4OvtRzOnf79agWU/qQcCeHLYsIMm768zAGEYbNz4bXKY+NJSs7m0zeS1NFW e4RTHnIjkakbR8l2GSC6vl9skyDpjvPdTiETtBu+UBE0XGX7SL7UtCFWz6ZJ1901/l0T0GdAt/hW VQRPyCsArmGvd9aHFJDiyCCj+NhUTSlc+ImzQrxlgZ4hcJBWBpPWigbwMVQJYYRKjhTWfvYpklQU mPnhjNZk1SECeb4LN2D6QrlJqH6CU7fg/niKLdUVGT35N/SFsPZR/GcmGLFiM5S9S8aaj0N9gRwT d5HBDcbeBK033ZtCi+miIMEqWunxOkD6EiFe01bvogh/qIHelozBAM14e4Ws8vllINRoAc9dlOXc scGjvLG0bOogaKBcV3gtqNuADxai9ww1nWJu1nY41RWfsjfo1wmwKOdQMqvqKv9b41EzLFWEC0GX cOtR002WtLZy72yuXCc/WbgM1VzFHDBNN1OW1xDcVj3rHUd+aV9nSIypUxQLSQs/B/NU6ZaxiY+/ n8M19nOeAXGVfLhZgOtjjpSrUz0YTaj5DpR7XOzbP+1nWs2YjA/kkxC59JfI4ft0n8wjlSvQ/Pa+ 2zlw0xcquJssMqGONTKfd58weATA/Hrfu4CWlEb4JXTkDJpT0em5vWgRWErdsjScHr3q6XOgXmBz Vt+ohBPL305+vv8aW07fWAku73aYC/0tJt/L7w46gbN/DvcJNeazaVfgX2VivL+ErTwoGkN4akLG Sghno25D1NKhKQL0WIR2HvAV1pRh67TP4FCYONGRn24B3p5eWyGRXW0nptC2cysmIXNWWjmceI9T zyai5J4P32hnRRsP+m5OjH7s4PFZgAlLutlad2BWdtEzaTbNGAkgQqIj/qSpFaLdvcqZpnkKiBYo 9EH3T6NYpWYcweGzZn6inyaX9p82rWx5N27M+1O3z1Ws2GW8U9brcTJRCyM+SQ49Gn4IG/HBpPnD Tik5/eBgAHrOS/dV9M6Rm8LpnZyIJIeGtVKyrJCZrPjh2BpHprQJLhGO+3LzqMuyYeHYqA+Y1MQp 0hvMloc5sqoMY8vxJ4/Tae4FcLiVvTduez2z9BE65IQFxOy4/dNFqTSehLJdsBcXFiwnmn2F8aBX 15wvDzUFTnrk+C1LTbFrGLX06KF3jWUuqonXva6Sn6RVrXjcizzYEE3hvryhj7iUW0vW/BSv7oor mwG55LdQ3wLppxRLNa/IqlYZgkd7MefKEFZy1nsTtus/RJKupRS1dC0ZMBy5QJX0eitMBYulOIfo Ep3Sj6bgHi/W8qquUxrm+gJyKh8igE/CE7+uURxH7r4b6ZaWnx4uOO0WSyV4mYeqFSm+EowrdeP5 12GeHgmthVHl9+CgBpiOWgUu9z4GZBsl4gpqrX8pLB2wiJR4c2+HZm+uekFrpCOA+6HyHoV2k+DY afNbl3hHUw9xDJYudku9vNWqHw2GRhiqq24B8fpAXI6sLyRxSufLqEap6foxN0UzNfbmKkKaCRtw VbFPTXdXExxuPJUBR6fbEkJkFOrtxWph6mkCXauTQVaL2MoQcadkNHsIR9Nx1cSpp7noQ4oTDogp xjdpbgXiiaQvwSkiqNtJCeApzaZQw7oPqi7iWMwNLqPI2UMU6DB02tiseF1jolC99KQB9X/oyGE4 txppUqYFx0+N3Y9oxqXYDJwEnZhbkWUQNyVqPDW6cod5tH6l5FvZBWCKq/s2Uo+G/BrJVLbTNCVy Cw1f6uNn7RFblU4BwkukNIN4ywDHPjxoAdfOtNKynwE+zJfqe35MPzcL2vG1dZY6l9Lhej9Lmsa2 q7bKN1T4xtYT4ALMgyiETjWqkBQz52r+KESmglNQ7fR9ezQHMGZOBgDjEtqU3pRIga6owp6frBef Z2C0D2QhqSwKWuI4xJhOMapFbCj/wUiJkajYtXOPSHlznvR520MSL1aNyKSYLVGyUide6vDMkeJj 6yC7f50OU3UMqA+4VsQkzu5kO1R3GX5gRVc4y946Yi5U7ZASyAUtyjWHqgFumXmz5Et09l8xO8Kn W/ZzASjBWLZ80ECXlkLd2BpOZ3eYa0tNl+wSTXIl0GiBvCsp5xDL7cjyqIqSM6eBWMbbdTRiSON8 9AmPYWFm94VcGeZLZZQ7tMzh67/e5Xy7HLgw0KX/mCLXXgF2mzvW0NjdDrvFw9EpacPpvotxf/5G 2K70oOQ+nnwhZy1ckHmuplw4Nyc0ZApYMz/c9ppX0lWv8xesDYn1nW+HDGdWSGkixv6eWH2+Q+Zo 8ZOp001eB/IUD5Rw9sv9nJhNnVHjDqAVx7g+FHA1C4N7K+p1BzgnYGqsFRfqOLSfYmQ9/Kogfj3w aRQWTClJAhVcCsvtUYCUNtQjOyV8XE6Cpcqbh/GmvoMrTusZf+2FWX9WtiKXIsUDgIveZOQJi4Ax pNkXyIjSHK6dZeljdDog0JnqcQqS5WKpqrPOxjgRgJQnWMn9Z17HAGwKPyO9QO4+MClfEHhOsZwJ htCNzZz3hzZoz3eUS3QElm3Efqcti1n9okuaKJf4UzgvnQcwdCPHaXsf6pBprkTnBDcTuibs4YEY S2GyUvqbUpjezuaFPI31p4x/H88g2WWAdDjZ08VnHD0dEpR+dc2kHkUKLJWjQ1HboT/8yuX5IAFv TEBAOwC2h/Gg+wNUGkawkfLsr9KSG6d05xd3vCWWAPSebDQ7mp6jWkuLEZRZLJ6nUuafG3xix/H7 bxXYsLm5+Ffu9LR6H+sq4CQ5VrCVrEnF9bvaJrWS5tVACH8hRvN7GVBipcXlbDOWWEqLBEtAxUTO jvXMQk0SXYfZmJEIgGyUATK6LUWZFN1fAwVih3xPP+jy1KfdK4DBw37s1dE05T6v3ezhEr7WJUlM 1ywNQrO1O8ACE+jSMPdrBhAo7H937ei2YPasHvLIvtS6egAp+2P0e+MwrBd8/Wn/hanr3Bbyg3WM dm5e36Ae5KEO9qvS82u73ohzRc6TH7vc8CjPBE9Qe7NFxnPq0iL4gS/7kEG6FudyuhQrW7QBTccx jxOBkjiGaUsOkVHSuGGaPozGfX2v8v9ehPS9fYjYJIqK2yLH0NYawsXg8+VldPw2JapuYe1pL4UN NUblumJia+/NeqDNAnjq/beTZ/KVxnD2r5zDIpCUPinPKC4msUcT+5oeYGviysSHyiqiWZ/uju4O KF+a3cCaQj94STJ6XicnDERPIJ4QaRpcaXnmPNKW8QB95aECSTyQ8mFIfAJhVuUDnPM43ZvQ/Ko2 b5pT3M+wXNiBcFtCN0dSez1OuAa4Nh/9jdU8vf7zo8vYCc9o2ny/ibsgkMsYrc7bd9kiLtW2ujeO w1nX8+YTSD7tKBPxI5+7VSIug7rMn2xO99lWwsZd2Po7ba9+hmjRnsGIy0HlO7OSjoJtLgLivIi5 bgMVww+8DnOy0X+Zzxw91GSEljbdRLmgc1MUEEoHqVVxMsf+X55Jlc9ucBZXIT9KLr4VhwzkYGt5 VRcD+QCmfBJFEz1L4yF4XywTGYCRf4DM6PjW0g3LHPJaj46X+ZrY3K2AJ/OuxbvHxjXDO3jUKK04 l2pWuCgn7YvPnK7SQzZYmJLc5Edja6p1lJ4CHGNjQCVc8h5zIyb9CPMr9qJ4dUNlzxGlQ2D8apTp 0VxrPnk2yvryuFPTkbxdZ7lIdfgWhzDLgiFMSa7oJlNE71U63rm+x8l2F9hs8opMJ2Q0OHa8YsPm y3pGHTz8ix8FnqqGwwJ2VSENterpTmTICkbA8j6exOSgKDTB7HTuIkN0w+OWyRF7x6nE88QbljMl 2to4JTMWF3hRvNVNXncHT0FYsapqllK1tYA9jgouuvsTEgrC7s2k0qHG4HTOTQL0agt4A7ZPM1a4 hTXt66wCsSPwaeWd8ZWoMQDtUmNc9bDbPGEtT26fPqZzfn74bASDkw3ggQi+QEIDIJuM3g8i25BS M/yF4Ac9chQlpPdu3lz5HAtoV0QscAE/FJRQKMnfaDJizP/fkf9Vk/nBTsIgMQBgDDstKJ+q4Sii wCxQ5oamdlbj4ZO3Fh94SzzAXq9Al0UI04GLzmFBy/5sgiGTQApQggMVx5CRP5agPqkYn+YWHScx poL6xcwR/hCeQrj4MzI4Oz03lx1+/nftAv0Mbbx58AuGXyy/PVTun2mA4/mjHCoSIzDVlLTAdLd4 FbZBySJU8q+SwYbylY55mlE4N6C9pq5F7UnFE3qcIjvHypqcyme/VJluaYgh+qZzY9lO5lba55GJ Gg/IQZSc2rIT1Sq71ulrBjCLAt/aiorf/e4L0q8Q7/lalqpEBWjb9q/uhlPl+ICu2aUu//AOdwGi HvOXfm1XUtvJI2zbk+KAS3xvtwULhvIeaFKxy5bZjNw+RWNq3eRcp8LW981/Os968DvnvP2EHlXf y0k7UAfeQg3981nUgVtm/4a4CYuVRQ8hmDQl5sKXEFbYajYgl2PDuSEXzviRDuJJSht6l6DTbrXh zTGoMdtqEucIt/pDTl5KMaVyuHYrEFA+LtqfIAwptP4yFj6f5RX4N+30Mrtb9lisNsL4MjS+r2ro 42IgCYVknAuJpeEfu4TG6zGR9sw/FgnjA6QhnLhWDNB61WK/h76AKV9AQRQiDONp9Wsky1sjnXyv aS9TYqbiTs4Vwa0Rim228xr+hi10xzqJmc50km5D7D+bDt+vEQsqrtoCa/MnMSaO89Th202/lm48 xxPGgDithZzhFHpcHPHuGnLfJ8sQiyzP7Fb/555Du2gsmjzW7MMfGYDDKXfuqGDBlh1XZOhwdtFE xPVBsE4Z0kNlru5DMaPnCynl6d662d/D4BeoVvQgqdkE/WrDKaTK/ngnEE6vuZ8z0vBSiNH68nqa bUao0OP3NeoB5Qf5n2ub/5P7KRxG8aCuk7RBmCdCNv2ShIcY2xNvoagAO6OVLJLnZfxl/ETwUXpB 15RrfOaWfevl4HpD+CFiMlZidPiyiDGL9B7zyFa7jsQlGFL0uMKddmy6QFZFXoLOtWLyT7VaVJBd sBf/5tVGnMXlM+BezFE8NGQvw9oAHaP8jEmb0BuEzrWc06fiEYNA3XlSnl7VWRPHUNS90CiItwqa FeTcJHRyLsg+inHIMo2/5Od1BiBYvh5LRqgK+VSFyePegHwk/ifaesJPol2IKfJ6xdFlnmfdlT8g SH3I9rgzkWaOQbYMf9VdDq9sejv262gnPTzj3FFeVFsN9zYHa8jdKduhuEp1UwbmZuiq+7ihX/jm BHMou/WjlwN43Uhj4+3al/8zwjHS73GkdHQLqY7NBL0tdJtRLqpxF0lqSQcRrBpyR21bsi0d+Xoy NM5KqUsv4+DhF5wi1535mWTEK5XeRbg9oV2ybDTy8uKpPBVgwgds3J6R3VPTFArGnAri760BdRhM SyXpIFdasqmySlmmimgz6jLOIXWyvDplUmOx8Bn8PuF4HlcXwYzluKAgCVsScFuPGFvPmL4WjMu1 jP1wiVUge8mFBigPMlyapIXlFqmsytC9xXcO8Leaz+lXQAMJkv17O6cf591m/HU3a/YFs+9VUXz4 xuCxJy8ZasZtdOhoIE+RFjGMg/YqtgLqGiHLqrzq0vZqr43ah9//sAx8VZDVlM2cckIiWbzeI03G X+eLEJOif0k/zRgeOJGv5qAFCGl3rB8yq1neR8RgxsBF+hgJJm6N86sQV2AHksBhwYDyB24XPKKz oLppv9VCTZLH5lkgiNVpEr5Xcx0r7St5Ro1PTItMNCtJco9eU15OkeikAdqhM2KzOlH51xrlG4VD paOSVESS6ZEmNjPgWbl+SpYFk8BlpVmtD2zxf2nglb/+Gd9AFrz5tYOzWLpAjJ6A9ELznLIA9YUs SnNjWnJNVWB2FBVi5hQasUaAuczsxgTkMJ+Gpww5q/sFbfAXp8NUMNmk4s/gQKSrBmnQwquv5K7F NlCfMKjLZ5s5Z5JoVKDEf2WgndJ3UMfH+7vAcpOEnS+EPLfIACrdvwufonm2NlZ2W4dzt7uvSR2O T6JG5XVSgwjzsj0CV/jTGFqdS/qux0HJWQN9vGpLJy2i20dwWoewbufrl28dGvpS5wNGnfx6c0PU pH+IumNU2KREJehtq/PZdspVq6XgEv2viOdYBIwZj8NsecMnx6fuCWqJrElRXASTQ9LmdxITAai1 vw3L/FR6tqI5aRLMqOJ+ulmfXRT3JqSlybKP3sOjtmfv2Om/1MNSVhMqxcORozqCiltVAMpI5+1k EyvCrriA1OHii1cA7comt7h+1UXd5hOAQaP2R8tZfWUg3LcmsYk2yrhHBUiwt9q5eYrJUdEDgOoH l996HtokTZ1SmyAuCrlrnB+8YegQebe8HFbSwzQkLc3WQkU5zuw6gy9kIcOxk+WF2Ky0qd/Zijqa EN+uW29090IPZQTF0SpkSOdlWvvcLP8DvyTOQMxMpS3E5JHkKsl7tir3xncv4ZQx8EMjSSPg8Cr3 FQCG5d+lnWB77LVGE4DU8aYfz3kb0/b/Eu+9J9GYuS0peHhPjcqUNuPAkhXd0kgFP/yiEkURewxF UAAVUBAHohkxEancksSfx9jnoXv91jMX6wJ1kHA5UR3cdVpEqjiMOWh/vSovyG7dHYp5ynRFDlNU J5SxgoGZDoUgWMftRW6pZ0mUg84y04yuxnNd3ScVyUhVfPjPzKUAFiLbDOFYyIvUtxJv+4swM2H8 5iyU0zJtW6y6/M99ZSDyiBj06pZdmT5YhXlu7D3g0WwwXw+abk6Dg8Lw3OC6z2HZ932lwU487QfD AetT3TyAekQ/j0CguZ/HHffG7TWfnf63DnlHSVkSwDPcQwv3lIdiZa0xcT3BxRDRgTIHGdWRsyXI ZZY6WWIDIuOQJtuHskuQqb0cURgLcpTCU2+uNIAIpj/8f/ZUIkz69coxwCtYWaz2N1ciQO7/omHU gwPsXcCYfrKho7vjSnMruxOl+9pu4rata/agrSHEBlFb7AfH3E/ccr2azafuj5/5FKRfUBTup1Nc PUagltozNmRBFOmZU0rnHoZY25Tsnm0RetJTIGXbTK+xp6t+IRsPOX71QHZv0ATMFwXgm7LAKFsi uLn8Ub2J2g55VC+65ApuQle0LVwsduDzN5WuHa0PlNqhI4nCcJNf+z512meVjjZma2z68OS5COp+ 8OqUkj6149NvDpkfJy8GDErGSjeigCkcZIM+DVGGFOPWrZy+BGYqk0tdmBVK/f+GC2JbIWOteJ2S 7i3TjHqH+roJLsoRRkYGFpHtk8t8SiOJpUoMVgsg3SRwIl7EEHd7p6EHKVcnjpHB+6bbzDIwFeFj kDPCKHFnw7npqXHwexiO/izNXbKoU4doorPl0dlSS5pGwpbwe3B3xw9fb733heO8XOy2iYyU2XAP Ds6gaf4doAs0CVsAecxXX/yPUJPevd+NFeHdMI5GdmEH9riGWHJA/x3q0uFeduRXXoun6hVq0iMm Dyi/ZW3Q8clmh+NuSs7E9B8jtqHYELvJsML/7Mbs4G6y+Q44NTJtEh6Btzn25wN113B937OKJYTB uPsGdAy4uo9tyaCPKKuvnpm5GxOg/Yky/lawF0jOcCBoKjccZBz0rloCdLQVVTzoXdQFM2qPLhtg YpwH8g8D7bzC0EVlQRb5GGEIr0V5Z3EdnZYdmfK0gTdn/4AwC0HXwOmdERdAi9E/k+uUCzybfaE8 CvmHOoJyNQvogHSiXWmAk0m/sOekOiQ020Sj406Sb2WesyNfQmoXtD2G8pUEowV0R0TMUdTMCXWP /DmKrBbwS4Bq2sT/d0+/XzSwpCbVgR88Qt+JrN85vReUhtAYnsgAZ/wzL9PVCiEgClOeeEUHGPk2 Yhr6FToyz6CCuc2tNpwBxLEwio3x7kcy2ZFO5af8krG0MTGahIZO2PZ16+Ms3xvBeVaRXvlQveZh a4XHEN38+S1/v7NqFkn6NdoqRWBewIGlA2fSWzFwfBy9qLofkRcdBWSJTp4rwsqLmajDqT+2pSw6 8AxY2G8oXGpVG8VzK5IceomnPPgB7F4vHdZKcALAtTEN/gfblA+a++YWkkRuHdDaV1x/XY1zaAUv g+9nFW+a/CB4yQJZ7MRKvaGhY+sOdvyLSqDgf0ai9jZdA6hVSdOp2HHgPOD7S9Udr2rmc8pCLMlP fh2x+yNosmCthi+68e6EP8QcWVJ2w0xxMHyr32KOFgAJt/ClXEtreTKPxIR403AtizfzXm0HwVF7 xtGrMINELSBh6F1oQR90DkYP3EU3yJksZVCJKiH8mP+WhLeUD8byclxOitVAPs0EyeticGBNSDKJ XQUKp8sSL9nhN808dGIOfBCZbRZXYl7tg4Mgg5q833G+LLwYTrmcw37p+CtfwyMIua0jAV8v9mCu NUkW+/MSZ3BmweSF5BdwBAnKXU8s98qxMJhrLWoAZ3SH7yY1sOfiIH2Eo7+oCv4lwpevunzL0zRK UH5yORQfxEWNm7tLJnh4kFu6EwQFkxkXiH5eINqLNGh5wSZfFHZ6PEk367G9pbEkpx0vsGNtQ/nS 02FkQFGDnmu8jGWZhaQZRXwSV82O0p7/i68IrVYVUCRa78BL4GunS6xaHQgiwSawp9IEdGFVIs68 yf/Sy2fNvu1ykGmVwzouaanXATmdslM95clWSHvnqujQzcuWxomeHKzp55TCAKMrRSq1EpWdLBoQ pi0UMSvmswU+YnZtIBODIqfS1Agl/CYG6So9a2+akecLqwXGa9XFc6HEQJwYHVPkKETRil3u+dXV b2E7XYrM5fkj5yVebP2GwW3lVoT0MKe8MhrHcmNoL5cw7NF15cYWwXfXbrOa4oZO+tjMmBH52ufY ACqRFutwI4GvZ0uRdI1N+62woNJm/VyUr0zuQtCPTQ4xlfdunopEZOQgdU44uYrMb9NT6TR0lMwW urF5NsSzuDOGFMN+0nW6jL7fJ0KgWq/jQMWy3HMXuQJJZWmVe176kAkhmHyYwZ4dj6s7soky5/oc GWgtASOd9nLwfzHQWEf12ez0fDx1HM9wezLEFA+45O9cjXQmWNM1AvQQZrTBB4wSzWhqG6Zm4J94 mAaTK68Bt6zA1fb8LakE6ygcUvzHwaJsGIfVEtMx2mthGiPmzUxe5QzfZLUkKqQicFxIFnZN4nIa SiFw2z4WO+Ir6nLmKQ8OAG4aLoZ1b1wceO7CJ9qVlzNafyI+7D+AswcAHtG/V+9rbk5e0fS393YM z35nM/EbDP+J2uX5Z25PJDNiKXyLPSfVHJYY1w8VOcjZLhMr6/EyFkiuKjZ8+0w1C+hkUOyb/2oN avGW6n5luAsCy05umvNfNQIRdbZHuZyRKQUmomexH2mN9XTS3uCF3VNh88K0g7vUeQpPay7OT1pL 7lcEsuejht4szyQ1rLnLN4rZ7764Z/JD3exdi+/jmGZd6bDgLPtLbphxwt+UAx/GvkSFPDycy/bv 0Tuy+IgcZF9YRd2Wrs5NxXW8JtNwUFeZTNaK0S95TL8vRwp7/PDjtNOWSeoginN+6HXp7eJ3JhzW rAP8ORrTAkXCM97fgAIvUzkkztxbZKksSVf9Uslun55cVM/xZoXp2ONZdCLfm6k2lsyxVJq013qP Z1ctguoGEJvtBhvIvvpBLkASwIrB07PuFslb+GNTub+gSqQKGSNe4sK27UF3RoSY+np1KBYHdNKX KWdj4eUTu+TLyekD70ZCRHkOpanwnTo3OD23P664zXDKOJmxVFyzLTvsuQZsXi4rtq8/jCytc4OD hdaDz/dAwWhcUa7stCbd9w0Vu3d3unGBjkcfFzMHEqOIjspyiZRPG6Y2B2xT4NPg/7ZRodEgw8kK ZyaSI4zdOvb9wL2f3+3+8046st3dnTCAsODcugjrXsNCe1V1ADWOnpv2y5r/rFf88sEEivw45+en ygbePZR4OM+bLQ57augnj5D3g/mc5CDS8rqvKTyWODL5tTdbe/ljtNVtKZlpdAsGzfsigy71f2TJ zv1SRiMn/81q91112nL4uHeLoDqStKRUkrjwc3DPnf6qvbM9oG84P4SYxesqGD0t8IFMD21TQg40 W/KLHGlR4wkj8QmOg+OpT8LJf48fQMtw602f2idF1y0J8/AY4FUtMNJVfSDWdiUtb9tm5OhAU4aw uWPOdEHW/+k8NymLgrePDxqdRlwjKO9WEaE7wpglifUczxLCXHbJF1GJafrN2OBrbdYngPakGIXM UgKsdPNHSg3uWUbBKacaarCZpjUmE5omOFl+jpGB0AyrZValmEOfO4cu9ubpuEA58g1X+5KhpEgJ N5fw5pRIOsZvj3crV04aNLgnpX/3IJczVYA6FQw2voPlG5wDDLqzx37gI8yGPthbXBR3DUWOKZuq PMmVjdVf5TXu+FLVBBpYB+dpC1+daPOzHVOlmEHubFaxDHQtXKmUEF83QdH3Q5A1c/0gp20wM4jj hZl1qVhaqbXqtcGSEqLBeP+FeCit8PzJF2Ho7l35vr1kRqDpCIBwByK6PDdKREGV2O5N5Ipi9AWJ qikgmpAovbfoHdVEVTxaF1XtvqwDZXjqQdKIBlhs7aOGB1Erj7dCLeqhqKWhEFSCCtj4U8Oa9/ug 4ij/xM2CkCArtMgcW4zxwVvmFQRs+PKv5LMwqOxr/JgnCELBCJwYr9e/hMej2mtQAOeVqNFXspNa iW1P2/Ra/RaKhp2fajECSpPzAbXOOB9Lt5qrXuQWaNK9OH013rCKmcr5W1XV8oT27tzqhlsqnITd uI38U5NPbdDPjEsvVdqiRww5gaQSFjnJ3WZjz2HFaWCEIU95X7iuVLhs1Nw/JtocaZ5NqIf3JPkD rkD7KyrQjt/A5myYeTjhWoGJaXM8paG84nwsut/k//LkbgPrPPeyqpG0Hwr2j0k2OSjaCvofuxzn suiR/yYAuDFV22jaBNdD+XNNpXnkFpVgLjP8GOrnM2+lQI/VEgnizwT14Ulsj/yJrAQVAZi/3Nq/ 9gjlOTFoT0WXI7fu1ocEdWTHzj8V4cXwiYIFioGr9tyH+zkjdf5Tun4ZNKg+Rgeso57UPA1bkxQh YVlpO1d+60zZ8DIhB7kOly1vmSDx4fcDzI0sKmbkNNf9a323+YqZHLCb432HN5Gq4VZSsNST6tnJ 0ffXi24J7P8bDRIOTZN/wI1oijivmvE92MW6oacEuhl2q36zfXmH8BnFMlZikJ2gL2CkVL4hHY7o 6XFu2jzWV2vSBgGNn+PhbrHVgBJWtG6SJ/jOu4lhzMTqSRL2riHEbUxmT2esU0EI2iA5icDFTTXf O51YP9OVqDCJH+QgSR6A5Kv2BywaO86UGIUFBNDKYoH4xkhN/fByo4ZlGep7KMCNuxdea5/BDGaX pa7NgW0VE9vW6bfq+EyIL2I1H03UUofoew50IBPW8nFxs+Z4OyHpd4k5QvT6lYfNqnQcimE/V9we jXVs2OcZt/hwLE9IIAubc05ilLN0+MALlS6DSPmvqZKWjFvWomuBaoG5xn5Y/bfMelTFECWDrrXn WnivznVMljT8Mm0Gu7HDfUuyhtSTnRQE7z/cLbTQguuPz2xBlGnkc6tT/Xv42uY1xUCrQC+JXyUZ LjX8A5uXkCe5Bc70Sqff4oP3hsFFKrBZWsNdfOofENB+tJxPwPIjB1gYYC6T9iPLD0CvO2SHwDRK YGZOHAzYxD8TQvsHYqSmi/O+S44Cf84DYtiXFtz1B4Ts8QaawkpBlAExbjM+m2cNfx9uyqAQ+GlC 72giFfKflC6fK9+Wbo0kiJmemBRlIGLK+idNZWn5Tg2FnzwqjBln3vGoHZW3qvaCIKMxKeSfAAbN FWvbpdaqa0Qjg4QJrub9LjWosCYWtVu7qa9uxXTPMcAsYfKDmFb2ZOatfMggo3Cyx33IPc82dfBv gOvlfcuyk4ZboAiP6BlP6vc+ljPtr4pd/L+DQk0pOlWWj01jZTqwgRb0DZmpS8LjW2DEF+wJqz4M QuLeMF9S6U3Q5XgS5aTH30Vkl+wk99f/MJTe2FQ+4UesAgm11n5kydxRTdJJ151BKlQ6bTlkpxAM yOIcTyXdzsANt2i7200yb0s/FE2McSlqV6sC/CT/D+t30SkI+COcNn9KutARvFPwPPK1eisCImWm zCuIb07trtpsLeRbbyuv871Ip64LAm5//t9s/aw/9JgKVH27lOOXDlljtsm2eqQykl5v1bdu2mLS TohwNNyl9irE04Wok3GYzqMLjg1Uigv9xQY7Bn09b74PpN5Zo2JWIxmwVKOYQY5VfwqWAP9/qZyZ NFc51oyT/SBn80AvBTkBgACPl/8++E1RSpZ/Jf0WfKAxrhuGDDu7NkJz5fP2z0mFuTXD3l/+SoUp 2nPbZHQdt670Y9mb82lJeDhgwqWeREyeJGLDWXY9URbzu1Tf8cN1/Lsg/YCoU9pUwjs5I1dUkx/W GGX1OhL4kn39VsiHu++udhN+uYbhQQ7LFIB/59M3f1ghaqt2Yy4+mU6WvcPIJL3z35JpTRAJgmRa b2uKR7YBDExBWjsFsM6X3imTAEuGcZBvlpTpWPzWXCSsKpRgbbhxvjD9V3AWWuqCvc5HzabJH1fe 88yDuGNrze72aCFqfausy+G75iOhUA98GduCyoNerOP2Gr13puQs4ZdxmQaIPGv7NO6nVS5Zfa+K 8Z6/INzIxk5fLq/v8ZB3E0DdhaKKuYBXTb8JDdWRYFzii5HeMLTeo0ofnYAl43SHfJ3tyb2R+c7k x2AeOSsyF6fUF0kIbcEGfl+ddCiDBeGcJglkjnBzYWH+bA+xFx29hLq678PBpqEqY3wI2yTEHT36 ThyCi7icAh2s555UKzdY6Zo+SfqWGWOhK6JMJc5mCnAG94IQCiIGgggSBEhMcdRqt8NMwCeUQQ20 lR+RZjcVRIl6DQlnUEtJ4nm9lPv8JhP0VEszpSeclgNXpV7JWRkXLJUDr6+H218rF+2EqxB9LHBi G98/RdyR0Rln2nuvDfC7ebLvYi3lmYBtvYecrYALloBFQVXR/7otnYS5nvvk3sXNE0y2k7iPrmpx FzvbAUWPxhZb2FiCPvGpqV9hTnqLhnFxRwuhFbJN5MOImcTPQ3GJMiQTs0C8lSJd8EVuRznqe3b9 ib8Bh7SgaQRrX4vbC4hT1Y0tri2O62K4ex+htlpaHOGHei696xYEIVthBJrkzMgAebuQ06rOucGt SzJhh90ktwfCcZkoCbgZgwViPm+71JPLckHUNnnRhFzoOxMyuZxmoQWoL/WFJJb7lRAuhvQwsV7A qbiOeYE7jTsV135aLbtbmMRB6t8gFWzxxRHbt1ZrU60CJaWMOeuUxVKtRCosv+URIMa/yvgBb6qm Ow8i2JUKWHeDBsLHKjASTiNfTtO/Knp5pjFU2yeXa32MtUT4A+/o07EPXm34+xTX4AtmdTOuLtNL rYFsYlrGdSqMSt9FG9QhF21BJFIm1TlkilI3QNqAh9IiqGUVru0f++DXKlOB3LNULgR0h5GdaUrH sozEMO9MTptxOrkjnwYUtlHUEdEyezYy+hC6n3RC2CzFP7k5SuVL50C5PvuyyyDtuFBTtzOvi3a1 cUz0pFWMYvi2PvIsfUs5zQxka0iNdlPtQggw/O4D9E27YtHWcQyUP6lZ3/H9Y1zVd8xaWy+5WvV6 BkFirq2mdCuTW09Oxs0KqgHujiS7hazEzI5bmo9Nt9F9rYNk1AUEGlyADJ3rPCmH5aQtwOqgvPBL CwgQlKt9vIjj2T91Eguy0/0mDpEyNe65PA2pAQuWpXot8SGpy0Uam94HGyXrnZxAVEfYICi/1+7G cSBJ/mDuLN4Mi1ULfQkyB8N6MNW81Amvje7OJuGBpEpGftihZEltTlvvBYpvbYPT4ezpCg5NCKJ9 MA+ji3qh7is/Cr19NeZ7H2NW5pSMRMwH8l585cCQ6mmNAeW9caWmW5UIx1T+ZwFkYtWRUee3n5IQ BcyPi2I7EqNJ06cKjp3NdefbHOgszlUzQHP3aKMnfk111onDyU+ojAtkHCOr2I1EnbeScLE9bkDl Tf9QvCrNOI/GmuHteJK9Gnn5h16I3wbjvRgrlSoYZm6QESp0Yb/NKzKNQUwAY8viz0lYiIXcWbWl HZ3vXd96mxQ6IHIMtplLYRsDGIEH4YdAWrFxK47/LR0JbMMzgkuDjiT7QllOEWD1dO9BrzNDNAAF ejtXuSkWEQdNbVcAqB023Sp3grl3a8EjCR5Jf9+QndnbHcIEoEiYqWsjQ+qnBx7UvupNVDrLSLrG kMxLwJmt/1wRKMFfcmv+kdBgqje20SDyKtnpOXhrpag3Qlxz/8ihnuQX8VuuM/SETDPf1x6bCXUt UwOh2RDTUYPg8jj+jCLm5ixXF2vce4Il3EFA/fsjM41PWZtXbMI65G25qdWCGVTmiQNUtw48dK8A SftkBM8K71mq7GcS7gKI+YrMfdIT7GDQPHYbGUaQ2Ui13krMHH0MjXI/FfWDOSipnzN8zqjetUMc 2XZ8Noe5WdmNiH6G4haB86rF9+140B3FGPpXYkVGaWs6O7FhLGn9HpQq/kzWhGxlBURUqWa7CtK7 Gj2LxCygedgaQMU9c8Png1xmsZdHgbek3+apZ+DT89SBH5AxtDVrbbRwhAHBjFibz0PZgw/OyndS 1orWYsVEdh5gb5nG7FdlAxJrE1VmiulKIG+7A3wcgPPHSlZAk+IFOIjf4J3TjeOYd/kfehchfAeB 5kyhBPq26TEw2jAh+woGY8nxb1cvB4h0b3A0Yr/NxK9odzdJgTPu+NsNnyNBFf9vSG4aQMBKanyI GPnh4Lf24Jk2rEONRvd56pXlQPKlA5H3yhmeSc9Be/aWdounjxc6dFUzux3dx5FMiyKXH9JoyCQg 5s0cxT0PGQHzw62BrynvpKI4NUR3levqh+8kUY+8um4+OxwsVbWuhsfPofXrvYL6nTaIINDeUrjZ T1ophBYVjXeMy7ft4aDhhd/mZIwKDzkj8Tn0jTnQhBEx5zC/Hbo2r38awu1nMpg4g+4nE9VIf+Lc sOJsqtmy3TWFRDhr0sf0EK82mq8eU4VdIh0gvWfjnPa0O+C/koD4D8WDtPF/acjUkCMLi2yxQNNc rpy+TA5hyWgo0yyAT6x+F+1IJJinoi5O7hwMabPkQP67Rd8WX1Dan2OV9WER00GMGsNf/Fq8ry5G vy3wiK7b/j3BO4I747sA2jcYICPV4ZV5mk3B+CXCsGB8e/SBM6v0MblAoLaHV0jJ+DM8qbp1CoVR PlVhUqgnTkB2YT0glF7oVok1LudkbwZWdso6X+9sunKxe/92t2KeEXNX2I10g/Xnw953Zvk7dq8L TjV70Ra5OZjmTxpa0GDB7w0JwolylcLFWtbs2ZpGuT0gND09qGndj7uz51T6PzS8a9FciYOJuGbm Rx4cw51DXNZb0r1Lxhv+dxT8kJpt6L3JKDmo5o/dk9BGBzcdt1+fc99EnZ80n91kiksclbpudzNL Hwpu12Cx8OC2K1SfnrG/X4H/pcsBW3H0R2slK7rZazd3lg2t+CAshembHApZ3vyLkym3ZWTGO0PC n1pg6oFvT2actO9AC8BdHp1iQXCnPWspJRKUgh9Sq7YYgAllUT3CHx3kaKy5S1Vy/yg2B3WyOPpf x5AiBjLFPzcme2WCsNUiUTUr66r8RstmGwdjJZMdsTR6HRbzWXfag6BnMZkEKqHY1z8sOuMPjvHS BvSYgnqQqmVrh/WFfJxiyPoaf0YNuYa29nkdWBr0lVrFGS6rw4ev3v1GyhiwHeqtGwF1BcVhnaNc 9gq42cH6mI2DUz6tLDckTD/vmMfdiiVYKCapIsNffdxWVo7DZvJ5GX5msV3vLvA2pDvYm6kuvgQH lE7kveFWqXd0cWFDcwDWVplSjXhrXdG5r3I4MVLw5uoJuYV+8sqtDeN3dZq8g5TJTaL5TCNOyFi5 PawlPixxgkwj7uuyHp8OiJcSsMlbQn5mI8PYjU1BqEgG7SmzmbWWYYuYwz9A7fpWHruk+CsPVu+q rXhWIcuqAomcFxbsNnF6GvCuDcUbHq3CiWWU/paHx5BdOto8Q+gHz5GDi+JFJ447kqoGdWi/Kytn f8S3sllJ2C2uRvhHsHZG02OW2+MlmqkIPjxbUicGvsu3sowSBAT+NiIku+/2WrlVRwvhIUL+GHOU P3mIhtn37yqDL2X6DkiJXw28vUAF0r1Tt2FkG1Lviy+XDv6VdZGwHD76ty/z8z6YNb9K8k3MTvYI iOxbXQi5i86Zgjro65iikQzYB+YaMO6mHhnoMCVz6T1m9TxdthdWj+Azw/EOZZVbr1wGQojyjPzz jW5sMT8zNmQEgGMN6ds6Akwe+QgruuVHUzCARWsiLHVaL5ZxucmmT2nXitrXSNzCxBmGFS8WVgLA TmDCxIMQiBwlioyneinS4eWNf+EK48di5LtAGO2iL3aNTsi7Az3ZnPKMsk1tmKdCj7cLaUcuR9IS BqV5ODwAa7/ZsA1GefjaRtd9Ma06brRWpHuzJzo+Ij39dcbmc92IHBxOAcVPuIWq347AJvUuiMHH WSbMkCWnF5Y6lbF+8xvQfi6ew6FrJARV/laHYfYWWKuR85QGF4TvgwaZwJDHmXVuIGUBOHzIanJi eLze8dl5lFIS70+gzj3MZjKvZrBa7N7afgyj7WWcfKwzbFnPe9wFWDGrYPgd54OMaMh1BRZuJ8wb +el2MvYCRPHIJtzSY+vvxOY4y6fGNHPnaItBzjflc0NADjecTLqs2gqhc84XPM7n1/++V5Fcdkpn oJjsTyVC9732c9a5gGXInuiW/gyUwtySY6hSUsmyNpZvsuz19Aje5XAvJ8tWAi0UPb8L+FL+7zIH 2qvKKnEEWXiIRjHLgPQUWYmMUngwaxx5FF2LU2j5cV/lLYZIqz5kSyxZRJrQ9l4VeXnyIgPplHDI Q6o3M+09o2u8I/tyUv1iEoYKn0YTs/CYcBw/uCFnAb4G70AiVUQ2zOhAzsoH2V/0o2DjrvIbdlUH f+cOF7qim0VafZ9ojAte6fWfjYmKZiLlnQuSXbXo7MrBfdBZuOETtaafVTAUFgN0pmT/HsO1ev1Z lBGIq5Q7BRqk++2D7kiBApyT5PERnCar5ZZCWqJO+U7r2CBTgFPAxvwYNaScJC/jmJFv/6DSYRjI KZIBAjTShtkXACj+zezySb7gVQig8gRJQxIjJeH/0udJ2eUCAJz9waJXy8hyxRlDQrlsHaZsrXDX WWJofIi/MlxuM9bL3Oj0zB0KUZCSukedSjcuH526872pHH4zxMPoUsmChaP5VKJGiPd8fS6VWA+2 YYY6kwJVLZSI8uwEcYKQee7YkctdX247AO5bMCsJr0hlj2b/qDRGReWOrKLi9OMbD1ikeHrKpDOJ POe3vO4/X07Vb85QRy+/XlDS8Ff4Oy4G82412PqIUmnKhJ+b9XpnLQxrbQ7VggF9PePBa3tqxgjF M1Wc6+1sLX1bWhhLY/4+obF1YfGbQCt45ylXtZfGUOzE8IeSt6weMEcWoFdzUJAu1jFnCxCMiuVJ gJBZOv8+p6Z8LWRIIO0usg2AvcE6Pk90YPhM8/jVZoHdC/Q5EJxs/tD9oZJEYyuIVCmIzQqihVIN 06aoNSL53EtNmCrpVkkaOYKsQXIRbfKGUCEOrE1L9jYGcdPLysV3qDGX6pUsuiEYGyzzhm93fDn/ MaGdBgKLFF9+IsdzVO6GdXCZ6kDfYepqctFjEfwi9EF/f0PHetoRYMjTS93rO9VI42io8zMHDGOw Vel7qSYfhunrl5Dm+xbGK1YSu17AQU4dlfVVjEX0/tQIwn+8+FpCBJdsR/d84Hhk9Xa8fPyHN6m3 Y1f2z+GomVLi7+N1+RPVAFSIhtxR731G0O8WFTrBqak5ppc2h6BLDqHmj069aWnO5Tt8U8exehyL /nH4xwCLMDPjyS02oL8tzROOMRNdAoho5LPizFzeQR/CH1WExfwuH6fdHb0IaJSLG8DYtdNMO+EA y1QmDx/JZftZzEYWfZXWQgkNQsRDDf3itgtts1j4vuWPYzSZmN2oC+hln4DCfz3XSOcJIq4MEcSs H4zlFnYnMMIng6fL+PCgAcqVttJ4WP2J1menNJQA3yNQGjTZeS5kK68X5fbc5sFtRSlS2CeS9XJm 0UtCepIr2KTgxoCUTl4Rez/b4QxIYfeYyhTGOZeq08FIR8Pl33KE/5bQOvDeQfzEuA2pOWr4toH8 SreuSESDlAna4oECV4YCVbr8uywh4/oZ5di6vWAP9e3pbHNtT3p6BWNWTi3TeLE3ymS07k1YhGXM 2uyJqcxmWGNSR95ElJZKr/hexuVpWvZXLEwrBumMJJ0XzCCiRMMaX8Ds2tvnQ3PzC6fCyYbm2lMq bD81xmgqQJQocfThhKK1OdSB5toI0LlLgbQbgUBthgnryR7Dud4tS8AyFFpk+8/uoESc6fi+pc75 +fn6SMljo+UB1SPFvA/51CxdT+tCKkGp2L9MqZEHdXaiU3xZ+3zqvxdSky1CQaYqfg/lu4TKBiil Db4yhdDbVYUb3cQlZRwiwQlIf28wKcJqkVyynQlUprPJqL8ZbdIhpDANVi4HAUsQfZ8Vr5729/Ea TeF2YQj2aP2rrRyrK141Ie0GPW25nddee4S6r1iYRql4u5Eg2ck6jYXivMxKksVJDHZ7MoEPNpQU x1KNB/ww49FuisTvP7QGPbUDG9ekugjekXKyr7xBqW0l4Og4/kKt0LzsYB0e8oUhBSKj5dDoikb+ LZTQaxIHEOdH67JBSOLNnJ68kY+i5BfHZDU7T1tmWLw9sEOOqoABK2H97wo0YY/dDCRpbqIb0sFt SRGWWMyV84kMMdly32b3jtBtbL3PDiDXYzgUKzz5BuAbRtPrrIm7+LqSlwlk4stXTGZMkS4J6OCU +sB7DsikhQEsLHMGKN7+v/gYw17ZQ0roPeLfiA1Q5W5oD7ro6dKm8zeyGVVZMYEVJ1agz67CI7Q/ WZRb2VfH1gvJ3WBDB+v8k6Gi/JbwzhaClJcnjXj21nTwgVbLUzRnXTaPZPyISTRba2hYntLsgjVw od6+63LiHCc2jSolSWg4BH8SVhyFPrvECHtWCqJ5W3hdby2+asvNZHmUz07G8tM/POxG9AswTqOh M4WD5dp+i6hqXPBDZSDol7O7WP3ZcxTlO058IREg8VZQkDLkEBHo0z+GjEkjr/uktKEy9n0y+E4v S1bHrTOz7yM2rnWmAcfn/IzbTgxY7GaBoraocT0PqxpcK7XsroFMW0l865ckEaBDAc8s5eUu4/aN N7xQljpil+tQciI+PQCUmHAmdNKIxhsrDvt3mwydvHmztrruawXeiN1NDpuiZzjQNA66K4RkcCo8 eePgOk9EqJYzwY1xtPkiFXfz6XBa2rsAGl9e5IevT8+JPgC+rngRUsV9Tv6F5AyNO5w44paD1dI3 baiZNB6TIzToMPDUoIG/JfbJUH9xbjbsZ878upYCXddCAC4xRWHDlXuZwXJACnMLTwNBW77l7lKb +sVmgj+9iA420qOlCSec00ydl3+B7/g+DgbS4z8rcqb+pU/lJ4QrJ41jEBHQQxsayLBR/znKuQtp 9e5vrJQoByHYRSd0QGTZa05rA9/5bbxJNZRqpKOOICjfSGEvvlJ5+IWYEzfJ+EbMoHiR4sHrTH2N 4wSDU5NbVAzEj3ahAjdNwJ02lCTCzThV6RAChG/ccInNT8mWWyxdAtghvR2+2rzF6JVcj9xevy89 SMXXe4B4Trov1YCKlEmOYuzLSRNq5JRySUi1EBqn+tV/lytSsg4UYIV8rKG+868MPSTPDV2RNxfh /rKOMm6BsXsbHDmkUgNON+SNAb+JooQIhfBSYyGCUunY68PeG+wucA0no9KfwwDLPYenHIwnMnVu p3eJvpR5uoS6WC/uWzasmk1IM57XwxY2CbwTCD+0hQm9FTQt6Rvb9ATYgT35/ndWWmWUQQ2Xjpmn Gu3tWrUNCriD6nlYKIRCcXnkS2DzUtv4h/UrKKOO6gRiCPj8jVHEYGuEFxh6h50dQ2YmEv0xZaZg HkLJ3xNLC+c48xmqkhcps0eVcUF9mFwfBmWMUym1Ao+/8pK+vbsbcFLMmMdbNrFVxLQig4jFRKyE WlHkcwQvqE6lKfhjkYCFSPowR50YnwMfBSOCtL0R8IwD5xfBulLXoxS84t/XEiI7765kZxmd0ie2 faH+41jM0p3VPyaLWcTdreqh3yJjax79Sp+nzbmUoN1pFirtMp2gS/172LRyBnQIyuGuGdXbs8FZ +N7tTGla531HBZRmg8S+SN1Qj4o0pBPGKt7qXf+qZz0IKVrA/rLEqrK/JVO/R6r10lxWQDQl3I/k PIgsfmOKxu98QgW9CqC1a7daD1ry8kjhZRSyJjVQNPCQ3FlpDqPDvyqQzlTZoFJiX7KpBlRYJFm3 pdnfEony/dwfEvngmcxos2R5bxo3LyqamoJx9Vgvd8joGqe4iXWysOk94LLzYB2nY9NAg8nSV9ad 9eq4Y1p2TKsYHwYzP3TqfmY9uoE4JJD91BF3zzUjhZVTDwg8UEfUxYKFFhTg4n4EOlHmFoTX9nqX H6NiRbmeOqIkBTxgmn1t8vsXjMtWomnXFS6K95IGwr4VC/UYYLp6McFsopyCxRMF8Wzghgilzpj4 MnNDmDGzVrEmS+gABc29LbxokC7A3fq4MFn5+NVG08CNdk1P/Js+CGmOKlGaMAcGOty9BhorYv+Q 5wRi0D5ZhpIScjDnzJKkKVoBwfZ0qdR/ZAlNTj2HWX63DyR4sSnDrweLvHNd9gtfoTgE/beb+rdR Uqo4df7tyD7mPhsqy5VHcTsiXkbEHQmVQqksb0y6igAaq0xsoTcodPUph3XGZeaPsqW2mxAhJvtx WDxUgDoRNc0hNItj8vBlitThBnVT4edQtl999TFQSdt0SXrcm9jdSCpvBcaoTQyeNsVXkadOsibg BMbiWpaOsUKJuTLNELWbNmUpRpRvGE0SOCOB3tPO108mFf3XRyf4RrFHOzMqQiqAqvMNPHKoPf8U OdkQZSjwNiocwRsi55G3tjaAScDEWZLIXfTynW5vL+Eaan7lUtvXstpLupmT+j/cyv9PImyOS8QN qsPu+yaRTuEVIp7M9mzB+2/Wz6MqqfyZ6N8qaRiNA0x1UW0oa35hgxSqQvfVqT2EFalDTkrt9+p2 i1OpHnW6OhlTl8MO+cEOPro9k5rF7R4RnbFEH5BEUOUjndEGHOmaRKwzu3bcV8EiLFDIVdEGSkg5 QeohWxpLL0XkqB9A7ZtgNksWxnZ516kTkJ/27OYh7rI5dIg9S4vgZKUxqqaZhBYb2DxUHrtfHiuO RQEObL/eoLBldnm0Kgk9wlk1FWdsvoEsNG1OnOuzCsmr1aqhiPtrXFEJ5glx81ZlHanbwpNW1vPf wf6PpfF2eEgQ7TmvW+ltMQ2QmT2cvNPoybd2w8YB9tlvE0JxrAJvWDC8LkCanVVkUc0Fy1lt9iKb XJxGg+hI1LcvxYVu2uy5hVEqS7aAKt3u1h/JmPz/8qh7fhJ1ck6p5TqaU5PcU1sMfHSYkj3GSaJv XJhJBoz6vkEqzhAarYrXeUnii1JVSH06etkko8e8BQIvx9oBfbTvjgUrRXHs11tboHOtvWVW5Rlw BdNZH9d8ZlhweXnZJXCXdDIwbb90akC+r8++CL5SNUJiePYJwJLFdY/FA8hEbVI5gdHCjyVeZ0np b6L/4jwNVjt1danP+fTMa9XWFrXkNj3kXoDwxiLLGyuJkzYaV46jNTyHHVKU09S6NDnHDB0IrCeY ED3dpwcSE8Vjj2qO4B6Yz7I1zqqxbNnEx1L5d8B/okNqYL+K0WEovefGv1kiAjsSAKuQwo9rJ+rz xmfieXCPFCxVGX/9KcFjSgNbAgOaTlWGZFR09A8GyWwrRUC3N+03WGFpaUfre1CvpRQPnEkrtyC0 Dy2ZUPGVCvteBlCWwPGKe1qTAQ1Z19seaohZEJ4oE2c//BCXiQA0sarzHklO2PbXfAeJS/Fyr4eW 9rJ3Ppi56MyVxvARVpba8qVzxPhMjq7yS+P7T18XBI+rbrXRb+bLEybwroJS6DjJD/6Ot2xRP17r nZfvvZXKlegY3Go2NgZimtZoDbBb4xe+HPUwkdOl8zIpyG/UokRhiEojRSsctKY+tVitfE/UxEg9 PQRtKBPtxIZY50U7EFmqoFEc2flPh9bWpzzT3zxaRrJYVjx785ICsuH4ppGQAgwuDN/Bf2RTHQZ9 ZHWDSmbzVnWPyhgwFZE3xZubEWpOnpS2sOvjAP8XXbYCqfvISiIddtMtjEDSiDgZn0w4YxXP1Vkq wVlxxpD+Qa0Th37IkfH15I+TPd9z9bEBIUtcGgulgMNfbL/PgJwwj01oH90Goh1EdMSUzvqhu1xv EHH6VSovDHPzHYCJHBweh7rr/NQ6r2Fiwe/sPBue10hNcP7cz5qXyyAzixyATxdbQJj5lXJrdh4q 4P5iNEH9hP/NUDIqXhHGYMrpLML3tgGqqfCxD/xgQS1wZhqEsQ+8AkUY2N/vufkBrYILwNuO+izJ r62cZT/2ZYMf6o1sFMVimQszRROEq0Lf4gWyrd2PKsO3VGjfrpoNaYRL2pIH8EDTIupWBHHvOx10 Qha5RH17OHDZ0pWS5jy93/tMe0SYuJ4YxHB2f8GEy4NI0osA3q0xZNEXHpWIvWFy4VGaYn32zwDm DOyZNpdOUePcqxwcviYx8j6hT6b1G9Km/gsqJ7DBsSAKD4oXuOUc4u7nKq9eJaWKtgHq1FYyu6No 2CjTA2X2bt9/zVm50HAPVGl/TUIOW60jVKyfA5WVLkVv1qzgUFxUlrKp9AlWM9m/KYc6SH93olPV Q7UtzLC3nZF+0xfVLAwSoJDa6Gl6nnf5nLscd1nt5omERYS/F+0rv01yBgu94laH+M4uU1f9lKyQ iPZEGiOYPL7IUxA1SYM0osVTDdewEKiusJIyLWCPpHcRAOF1YtNmR2Sga+8gzBYl9sBfNqRn+/ty pMf66/sVjiTtGfihErGfl1QGZnjkEeihKPyQmp9MKr1Wh2FbS8YaBg8Ti00iPj2floYVO2MLgL3J OSwyWAOScCLcFCnM5eJ8cYE/YdePsi13AA3iKR48+sjT4VMZgdF/Qamyf5WD953GyVF+gH0VlNbx oNmR22gKkdyL7TnL10JLyCwB7tGk9gF+5ny1IrNaI+PJycv12fZLiwBi7dD7UBcEerrlInBel5HI n3UeLbPF4G/56rIdibxcPPDK0TAvInVZpwYtugY7fz9pk3CfgtryaljHRc5NTsAf0qOOaOPCYtwg FUlTyGtRXpEFar7o5pkdXgMBKXSOiX3iCfEs6PrvJYuo1lUgS690aKdesBJtWYi3tINBLObypMzp gQRaB5Om0VvCQQizCA05rQzNdFO2GnEOULfXjlQifd2qv7z6M/oftrYNRd6yKGeBTVMfbJ727ejH SmHTBl7Rl2crHjaWcJcS4pI8yx7VX/xo+Ji+FRu9PNuhDgb+FqN7gZInAmOixZA/+N7KP1pbTTEZ 5kQf+b6p05VzvfQcaLgqcC6o38IqO7aOX22enOuI1DeWSQ7F/53zce7wJWDzafNS+6vLRe53s1Vn rMnm9vD42F/m6mJY0x+Asq0h/GG/cjeTBYuMsmvACnnZ7bTvW4Cx7hCU6YrVfZzcyVMj8sJuxx0x b4S0kvLeu2RUj5Gfkvt+6BeejUHuMLlpONBeFYYW6zBTUE2FhiqA++fFKDYGwdNsZDbr59D434AB cbMouOUuAMmY5kVRQwT3AkcRJABgVpsqR3X4wv4at3qmY3nnCorA0MN7LKZ9CT1DXC/pxzoc3FNT uMtNvmCKLRx9tc0qZnnZWyF+VAsMjXrh3XzCLZIAa55cZ3zc3c0ltU7I3+24It2Ztsx8tvdfmusL YF6bIS7dSlkLmbgYsZBDtbVG3Qph/BGtBk8c1eiUoMV/ccswlegciO3DZOSxbfDduKxGWh3cgCjx w3KQp06URKrmC9FxlVJeO+WQ2URwYh8EOXzo9QVwICTw3vrVGAZVRe4jFUcF2/gU2C6Fgl4krFM3 YVoXgZUhPpzSznwLmxS1M+tAAUcMZhJV6wTkPNBMQnXxwyIVvdlMD/usQ6C+w1k1VO/o6Eojpjvj HVqtsWSTKvSNSAMRnQUmFrHNPKzQJYNqTOPVGqCznrfTc+uVZl0y4pLWGo0syBbgAFrMwD5by+8w bp37uMhFBA+nZpJXCge1tsgp/ccAKUaiPzQ62n5hSlsglM5kiCGGV+NK/n5Om2IoDUx8TQdrOlOS mCBRS2Q4QTlETMVzf+baE1SE9SJxnxU6/L6YV/YReMlaIuBFXnEk9csPmTygh3MBcuxw778BC/eB sozSt4BK1ICGAfqTS93llVsP8Az5RIZnBfd/nXMJ+ICZCzFkE93yixbGVLUplB/Wb2SLc3W4KWD3 46dKb1+qZEluEKL3Ikuiecz7W5PYNJn0tVP2SjXdf9PTfeYTzdEIdaH/3pUn1bkNp5zHRnwoWp2T q6MRwGgc7xisJsVsuArSfeVej0bcdOsLrQYhqHWd2U5aE6FomG1khlvu2sItlVFKS3Rp7g2E2DF2 0zOl16/n4aV++qAyZ0ET1LAWC8OKT/9TXQt0cnrGoIfwB3GPAedGli9wNQIH6a8DolGMZfItd/Ow 7dOwzaYUXrM7w1QNp8SS4L88dgm8WgX1QHfG7ulYPWCVnzvMCP+ky0D+Wk/eRFKZDzlboTEUy8bh QHIjjF7nLncIqKHcdKmSsjt+n7Y6e9ZA3wcH1Ba6kg7GRr6k9aaTC2AGE90ztfzw1e9AufmINo5a wpix/pD7DdjGzFQlDnDfYO/1aNauw+1+IKutpGhX524shtSGb/Zo7EQP1FJ0A5scAVO0a7e/TBcT TCy73LpvWFOY9d+k4E8y3h+LkSCVIygOk8TbqPeFLXcuEycYe2HbSVcRgkf9ux9XhX/TyhaPeIHd 1/fnkre76EA+vyIuR7Bezyw7aV2CrlBy8oiKb2BwiqYOWmAk/NgvAkQzCPJ1+jBfnLbUULFjufHe KzBvClfkgFk8m0Lijx/NBeXs7Ncwi4hnOPyKmO+fC4OUWKJOWG/hz8z9aweK+5+CBicCXFO5ZQGZ 9Rn1nA9aoHYxj44iOELuFLJWsHJrMaSxRMKddfp5BIHD3/+wPK+0CU9nr3G/a6n1rgKs/JVV0Q1M YCkRLfOMTKamX46aqNKXeHUkUpQvuodRUMINVncMENSyxYayEyruOph6+jUsLoy8IXfMZQ1hi2q2 8g1abgx1UTqhIy2dpdBSN5ahIq89ilzuAeqKsFCqG3GtqQBdNav+ot/XGhd3Mbj+gQGggy7Cjx4K 93VwaTd0gk14HcTUlJsLb6jrO5qFaQJ97nVIyfm/PZo0UUzkgNvLC6G1kI5uYUptN1LX941IjIU6 GYfR4XWGXznYI/u1/Ks7zFi3+jDG1BD8PPcLz4kdFCpVudlBN9oOz3AQSpKO6/rkzA7KzSOrcc05 crwdeTOpe72pW+bl28FkfAzGe4DAjq0cyNLa9aXe/zPZmiTZ2+a0rKcawGapqscYrvPszfsACKj3 Rw3uxRn3tzr6ZHWe/gfvs0HsDvHLvKgTlP3ISgoChDQ9XslbY8MjUA0EcaXVPJVHDYj/rhfTdEEG 51wGzP+igShk09KUjApcgOOZPSLCp7bg5qaoimYLl0wS2E16QttBcVpuWFmnlyAP5IwZFbEEl3Ls PUtntv5AtcRdofNagj4eRax928U5nOyWs3Jtm7dI7LNrQxWP8xGfenMTdvkFK3arIC01eW/mlX9n 5VAe9Y8BZIBhDwHmkf+MFkgEmnn3y01Tqf3LB4jF220WxV52TrUviZEp9aXErNMtAScyk02RVixK S/479g6KZc9YF1JBkTzZQvj8EXXWKvWLOwEfp7sqX1UNW4xQN43lsATSCXzG9GrPRAH6BDmlZTWo CuN2Pn9Py+F2BmchjxSemd6POwrp+zsEgLBWm99HlSdd55m1Mqw1gzIlE2vyhXRFt3b16bswsP06 OIfDIxb7cRVpPSPjbbEjxFOI1ylsNRDCu3vDsMSko7mRjJN2RauD8es/mcJclwl0MvG/GqeVm04p 7CA4lC6KGeBwqJVCE5fQEbCwWR9TLoKAgLqVd7P8lSnIW++0k7zm6bKTblLkeboudMcQ027C4aKe UVkKrRtxDuHoT/B77FgmK0UisdMzY61vcmws7P/qsTNkHcWneLtLWBsj3N45keeL5PmThOq7iGky N2slngcHzJhdf2OFJyr4c5yTqzf8J0xNXyvBNhV5WUzDKN3tow38YVcfF0J4S2OmuCM9Xftq6bYX sZXM3frDqPLXn/GRbL5ofb4PQQTqhP1q34XfNT/HqvHG7+8NLbzEtSbU3I5xSAVaagxJ8G46u43/ CB3o3oJ6tL4AJqBd1qfTkEZNmz2oz9ZP5rPPnbjZrMb328xqg1eFc1R9ni7q8YW8dDXeGpSyKzus HojmMbtuaCqS8Fp/ARmUXZNjKYm8XTrakXuKJWksD5n5ew/vVaZpiC9iwLWIrZ1q82vZ8QafMp8G t9t/qZWaumkiBGmsjNR38ZZHBdzwGu8/y4ETWFAHDK7p4/hsL2s9Ya4jYgToYF2rf7dlGp1KxnT8 j5bzop52hLYmi5vIDZlugoGpsM/HRJzzGSZWS7uO97XYpnKondxG2r8klECkwXyzFMS0IElgMPsd YyQ6P21EENN+gQiJeAha4lQ4T4NfNXzRAPK/iNoGsl6xWHMgZKeXwpxAgPuFQ1hfLLz+p9pGW5Q8 XVlyL0jxCuwZ+pKppGXut08WBkrtORiZrqXY15+UqXyXicqTN4esXe6ZtogDo1DDMtYcWCgS04Kd 8JXZDHj65dMZxLv9ENupr+ndf2RQoxO5juvBdFfd36kYF6yr0FHdEpGdhMsuirzBZju+DPmLBAI5 IUAiFCva9xOfY05UZ9KJrTgWMbq/BZe17qFgkVRE9vu77gJ3FDznuHOvc0SrzMWHwoor7T13fc4k C6s/N8tVdd9whwRivDxUMqVherdyOQ0BKPBOy5hBQFpj2IGSXFNHcL+pyBzAXtPuRhwqlvbrLOyS HogYyj9JoZldNM8zX6alUL4vkP57MWfNWlVfpM1XAUhu7gEgwwJKO9wGwrNlcc6OH3I8P6chtYND cCcV64tjIdupVh8/fuE1khZzY964JcVTO5pTYkHIBD97tTam782ImVPaNfwuAyOqqNkvFkLWIbDu hFwkBxI+o39Mwnf44eqY0Nkjq7HPA/YjKOWWbF3CqGAWGt2qqgEV6qmhiZs9J/b/9jCLVtIVmnbl e1EAxE09rMbYXPgU4a3iwUi+Pg++LPQXmHEyCviLUI4RDQn2BMcgfuMQwzmJmViV/IZduLv8MN6y MzAcIb98B9EpWSNCinTaoG0dkddtlqw0jbaF1rmy/mMM/p+BimkvNM2fZ9DSn/7zXoxlNs3sPwJw erAh+51m3JcLV910H0WAY5QMarR1Xu9d0bEWYLook8t2DQP9esyoNfKoxzjZtsxpzEiSElk5+k0B Ub0c9tmVyr9dOreOJkEbRjmyuIqDmmPj6jPEks0c9l4r50ThmqjDsBjPiOMuymfQ+m4+jO1mhr85 Bto3h3keWJ17l8Yj/tkyjV39DYoMSqSJRYh+49SLvXEGGAZBhYdpJuTosyaaeSbVU9JC26w8r5Om tMXzDqvorWUF1vuxZWPDSE2oa/iMN3Qb1qotlibVNKdzd1v200oe8cEWjiRtM075EBDKDZ5YtMLx WGfRZNZqotAVgxo5qMQ/ijxmWNhZsFhkJKovOCb6LvjHXTWYAeyIUNA+7TLopWvZKvowvLd/Rgi7 ODi9jRxL4lD9MiqS3mK/zh2pPqPvBMp4hwmWqWs1zeoptGlQ7cfMBPKI4j1LJqzSXn1D3NkITEfT 9f6YKktgN1naJfO9mAoepzD81si+f7/79dJeuugwT8BFSIcXYBCKUN45bkWxS/Z3YzgE92BUvs4S aRQLY7fjvGRiG8QUbKQasSi5eBEc663rOlCcLZYOFOtrHnWOed7sirRPY0b7+o8ynbl0crLQY/7G JBX2qOCJbq+iHLAiWyTzgdB2QFPU62jjQk62E+/uNP6Rsh79r6vItompUzFqJSlGVH5A9bFCBHmZ 0qKhLOQvzvNo0K+0euvySxrpJGtmttFiTb44iLjxCSYxEKNV6p+XbqUfwtI5rkGKlv01fY/wI7Q+ y4LIXr09BptbduZ1ys+tdHn46zH2yiTic6r+uqi1XytIrQb/fT5wsmuvp1xPl0jxS+ZzHuHqVZ9+ hfz3/jBo8CjQHJSrc4YBuu6p8fm8bn+ZeGv7eOcgI81zxsy+oeuGhbrfQ8048Jexo3eLsacJI4kE 9bYcMoKpRrbZlYfTI8rg8rlWqpU+9EBJr63x3WIi/fPzbmpwGHUoc+Xjk5xL8mMp156o+ALwSNpq t+ne9ldKaswTmdB7MXfZniF680xi9ksRunOYCnQ4N96E3IsFDaCbiVrnIGFB6d91ETq0xnkKBsXx vOdFWcpqbstPNa08JIrL/M/nD3NbjISZHraR6I7qyV7LIj5NjNhAmh8okmCFKF2VDdbdEz5BgMnk 2aAls4LRfeZlooU/FtQeZjjkbFCqiRgviTNh+YVAlcNRQ25vIkuqrpPUvXkR33hYr2I1iwVPV/Hm Il7162zof+bX91EXdvkJszOyUAWC8FaHwhzdQsnUGn7CkhwlvPxfGONEeO7+dQpDa4L3GxQYwIwX 0kOWMyWNfqvjUPRAmjKEyLDb99Wxy99FDtMEFGIJ3ZnreNHrRxP1RPh3Rdirm3yf/tLe/sJR7i6T htXD5e9reIa4dLIN5zwqSji5ykPDV/90mI6co8bQa1tZXIrQTcjeUT70A9BnuqioZzoUqWdS4VbI BRnNWt462aGshAiG7Xo7B5oTueDzNgPrEvj8mEUltoRgTrHT0Pckse37xecG9UBevntaUG916fOk 6nuhrS84pHhmCVAgj+/meH1VgK1pkdaFmwNf80SOklRfXpLNwkn/NBs38jun/ePIzF84o0Mm0wXf cl122wFfJgtYpD8xdOJ6wv0x3+KbIOaXNkSQfQ/dPUEB9CPGQGOSbZSl7Opqa+CCscaJpv5bCRpY 3+w84+k0vQ4N8lwmoEA+cFF9RusnQGizzZXL6YEuAIhzjKzZJZEaMqlGhwXKYsf38hUT4FTGGkSC FvEPieSNd4dd2qGo4yehejpZTZ10FAzco+eScPJE09IzN/2nJFTWszDk8POvHEoJD2KWYe7z6WW6 yCABqHTZI1aoWdaOMoV8n4B120iq8d5+6cFvRJLbgI0TEFXS+MON5TS0BMBKfdi5dPLPMehZCS1/ AH3lpYo5Vjr5irSW9jFZpUrls2EOiil/1c1D+UGxknDEjLkX/hc1KmrDQAXwsJM/y6owBmb86WcJ 3/NNQg9xSKvKXSGbVVsUx/ccaiSAjWYGHjRiUTmORODBMkN8cfMZXUbGQreVZZAPJ+L7s2xfilrP yCMG8meoURn8Jl9KCqFJNKkB4gWemtg70lDnCLTcCoUDoLRj5ii36nSUvcIe/pxS71kB6y5vi69Y zXSns5qfDmJQD9249R+yXKSI3Ss67iqtW45n56gWkkxSOLIak3QG8ZMsQ77iRSbWtMABJZKSy3Zy nyGuk6+BeNgVwZTvwbsKCux7bmgI0JSc/zb3XFBSpnlp8jYScKcYAjf7+pIKB+xf1QBMb8tMmCTC WVyD4YW/5GAC9A4EpPWCfNtlhyj9bLZC0gldD2kXA9gcsVG4wCkKwIVGu+I2mysolrg4lGQFGpsI xzaN6aTdh0ORY99V7k++xZEbarw2sQFXb6gXiHXRF/8fdApnpGOJ6mNM9zJNjD574XvKDNW5/ZEz eM/E8lVJqdez2Pv9gjdkkNOTIfsuMWwrqKshvoSv3rou4ZNfz+EyCPG0XgzqICqZa3/axppts2Ki T/Ocxlmylb9DGl0sidx5z6dJqugBN9Jm4hd0pSXTJmF6SAmBEZkPzWtx80eAA7dAW4mzN2X0KkaU g8UDGTbFfZf9LMfkyonPn55UE1rKgJ6GuXqQf5HNWyzd2c5b5YeQom9fO9+AB8bgPTY6/Fzk8uJU vTjIMggHDUjFM8ZsW2E6oCfKqSFZCHF8QBy5llbwA7eAeZQXyQ7w1K41jg9p/6CN62b00X6e0/Ql 2QWoBtT8Z1crpK6vgD4B9ufKcFN+o8miMSZHvEiVjMlqqDvWO5xGmAqVxiczDIQoTWKHurapUH5Q KKoHT7ZgNJJJ+gTlQhIdMhENjAWXRXNh60O4SRFTh/OEuKCvAmHpersiZ3jkx+jcSUNjYKxAeCCF CeW54VgHjYPWeggwW1PLqCJUbqJ99Zj6e6TOHQx18WpWRPcNJLAp14QYbLQxskV8/YLKCPDqrJ7P cWSlfKzTskQoEDRjKppA9poAEuaoSsW45etxEUXQKAvTNoiYOexceJXd+mqmLTxOTw4SZq7faZ1e llEP/CHngQPgKAzPBx7aE7KZRZOCk/CsPpIPxBNrkD3jAU/lgosYaUuENsVvvC0++2gHWWkp/Pnr KDALd+iPk0PiFlmSd/8fnpLys63SAWHKf8O2LY+of+qsAbnNgfvjs+Ae3IK0mNHi/catC5m8OZHQ hFv3EmxIlRsKiY5Kx+QW+7DSu8VNQz2it+iVLOXAvdI4irm70wuQqM+lK/4uio/+bdOIY/IM7+T5 gi7v5DE/5WG6LxkCP6qEnjmHc4d+LtKm4cWqQAsp7RFjBOPmAl+CzvPlyj9MBfi+Vwd8XoFUfcAt hFL0mfkgi1mqmVrMpgS0CJpgnqLF6m3sJffeKb8Ho3oEKlUwdQ91VI7u897UtQ//88b5EsnVXXkH zoYZEZpXTYBFVNUQf0sifNODoR5x0r40o46ZQbwW7UAQAEqaZI7LjZuEhhQPt4VEg+wV5YWatlil 3uirhvEvnrPjdU4gFGLdwIO2YpRfUoeMgHqCsJz8m6YLMoMsiHsJcyCpOf7AuSwAhktXnFv+qPKy 5VpaOJKKgi8y4f0wO0n8TQpLgU5UlkiAIqLie7f6HU2F8/RsAIlgmjc4T38HQbjr7/Np+64wFdGQ GC4/dL5WQkxAGbwpLRJdtcuLr22jiMEFq868vzYn75dVE6V80YcgmUTKNCbbnDEvqiebPn9/SUuQ cs64cV3hC89k7ZXuuHwnZvQ1U216Je7G1uO+aV233Gx3KnN16xR+0Z+9Hy+DMRJSDMmE3wuYWsZu 5FAMcXLMnlOVEbe3lAcBOrcNwMIicZdmUfnNU2QMxTUuJlbr/AGam82DtNrt/yFqpazhdP9txler ZNlcrKPAEuQ8bXPIOdhhBDxFZc3EWZvZT9zmpmMapgisUzdAAZba522po6uy7BKN3VKpeGS+KnmR Nn0gAVCjgGjxVZmgHCBrWvUhBCjZ/ckZq50btQhKMaQB0k/C11zG1N/ThrkIA93ykXgczA1iClHp 9x+CS45fiH8z0jVokG/syN0n4Ff/+86Bbt4z4goTy7LHHrB1mfR5QGR6iSOGvp8F+4s5DOdeASB8 xE3kzSl9Z6FZFhtMzPNjU6BWLehnsRlQA8/Fd3N/dOICHiOuCpuAVxCVTrzxI8n9Vl/NbQdBYg1I jby/76di4ytFPCCK/LiG8Xdce19ILn22xaQxM+eBA+So3SB/nJHNgFRV2vGIJ/vQhQB1XccXO90/ HYzpDKwAzaEtTy+ovMjESExz8Iz0J4iJkjmnf6czuvF4I+qOf3E8ewjDbJcpvVDudNxck02nMeCP o+FYgr0Is7VxFONCosj5o+sRq528EPp8UdKNKg70RFHdembhITBhMCTImiJY+CGF+5RaF5bRbuIE sX6fm94WVVZXE86S1+V6ifDLSv9y/eYFSzPJc4FMA7M6zGqbN8XPclmjhfbvfqmz8pw+u29ZFtkG Rb977xaVhJFzqrG7tMzdpJdT1abMWYt0l5D8UxtvKAV6zpiBkPncgw5dCtNFSr9+5+HC0vcA+PbO MUK/wFaH/zRvxRHGTQipi+onvFVGIm3icJM2c1P115El1x5ophkZStz8OZvXfm1PPp5X6zufnfza iaHvdbb7wB8aBdMx6RS6w8rnUDIuUTo2/NcGsiJX5koxswmX/nM635EicQ/biWfgVBHF28GVYMnH 7BUNq8C7B6oBSlHiGB/EElqFuS4xYBUYbf0hV5Z4i2tQK09zRCVA7AQDty/wht+rWVnlVn1ns/JS bu5Rcl/RLVXYE1nxcvHO0gfWHyrAw4w/jQhMEE213D7yeEyq3PmvHZr1qZo870ixHv0V0+WZ+ANc Qfvg7a3Heq0nPPEETGpL8/7ME5fYZ2Q1N8735ZgecHkcW/Uu0AFmdt3EtrJcx/QfWA4WJpBjcCtW 3BwnIdXCm9b5ywJ5RRp+S82GxdKoOi5DzLdh/LAONQd6TXRRdPi4ph2VWxtJ7FB2SBc6ZMVkyKcD g2T09Yg6MeHppXVd3Qv8GYBCgByJGoJQ3jpmKvlZnPB1ryFcycBNP7V535VlhmvpyCk0zeiXI2gg bxNivJm0Rjfm+KGqfNIFTwIs4BHZg7/mt4sMZ8o/mJVFeGNcwdVIXbRm0WI2zkzOCkH3MNNDUUIv Z2MGV9SwYyiYMuI9NbR4tCCMYxQuJgRMluIVx3rPKAVJg8syMif29iR/goYlHWTHLD43FPuekjDR P65H6lP9fS01HxlZ35DP+lTlKXiKbSmq3VHa7oIkrKddqVZWX5idf0xafjdCWRSVtDWo1z9JX7/a LUg3DyajvER3j8OJLU+UEZf15285EVeMcJQd9XoJGgkNr+ZT+i+1cQleRAJsVmKQLj+wBMpYTar1 dCIeM+Ot1/ZyJ5VAOwF5nW6Add8IjQcta7tgx8fy1lIxgrxh4j0xuPkVt+h/8DgVaGegzBJ8wxic 7Degy+MxwmzzSaawHwcrfbXXNJacnIUlv4j1jmqWhOfwV56wmhLzYVhvaKpv5SkIM3n3R3g+j9be R4o6+4hpd6I3ZncQ7GWb0OvIBluaeRS5Fq34QauG+Vv3c0mee4Rm6zXuRZIhksZadcTh4QT+LFi9 hC6HO8zu7D2P/72AoWqzkoUWt1xiDBqLoEZ7S0PWQg4s8c6ZYY0L0yRguYrBIGixPxkJlDmwqhcw II3YUdlKFEDw+yVBQJoOHgAMjlQ3ocVUkY4stz+Q+ytsor0nvkpTf46f0cfvMasWK2lsIGTjYenA 1HTvXauVXdJFW7J38qMSGnkDEXFf7GM/yFpeWPYgmWFjWMltsZOBH9eQvSVkpsCC72ZkXSzohNtn KRIw0rf3DTSALMJ7GI0ORaHBMNZWj9e79ErZAfMqn1rWN46OH7GzVfgUzDi7SINTqpBNK0b+aUdP jd1kbIudI8hmajeYRJCleCHGOJ1pRN5MvdTaqqjyhxyMEe2HXcNHND1Lx6UPpapQq9fI8dnYzuQd nUaRassJB3C5vfe6Ns6rWEK6FKJRo5wdvpWVVGGiPDk7CPWngJpiFAZV/3gKRPM+XkCKFGTVH2PP 94XXPgPJ/snFMLSFPFYxuUtTbjwfuUdO0ZFrSQor40J9d2eiUz5JOZIyvfu+ljW000lcLpp7ZUgw ErssQNrifO2U5kH8YxDh8/TGB+VbcBGbi0IW1LcsL0XGWS/5l3G4dfYMh6wr95LP72Jp0eGlxGUP 829aIqIalDUlrgdyi7lxi3VidJgwIxF9G0fcA+xijeTosUn5m5pUL6vRrbZM067C0WWWxvdos2PY EubnsVXkEO80A3APCFSeDNvzG/KHnUcs0yr/kDSbtj5sAZv+8dZw8xYfzQ7VshQ15tqJPOGWdQQ9 k7TAr+w6BmPPjs39EVPCWWCX4FOGplG5E1Hdi2UCoclBLHnxd3mODOfmIWhqkMTSFoB4+UMWj9qK khwaCZXwTqx3czHriJ3Oc4eZesIcga8lsGCyG6t6zDspXDnN3+3Zb5dUAryxPQCN4/TY5FGNrMtn 74K6JDwgDTJuENqmG7NpLVoA4xqxPelrUKLYDipeFPitz/lAfteFqaW6gB5lj8G8d9TjSG+vMCLC 4944uf2E0xn9IcM0O72kEQxaVKT+oaIF1s5DXEhr6eVitqOVpBp/qnJJOda5sX6Li8ZbuXzNx0aa zon4waKRjjhKtjJ8YBL6rd2oj+Rsr5VTEkjfeK1O9zQc5aFEV29RW28JSPFOS2yAWoEHighnnA9c LgZyy/guNbdYvDXtPi9Sqkps5O/5t29w9zYNczimk2rOVg+JHOA5uxOX99xpjQGw9x+3gByHtxD0 7HQXsqj7kBFQk/3cifMc/MGglJa0TO1BSRY+qY35dm9vaUvUBu9JCp/fAb7v1FX14poKEBegFHc2 z/7dAsAhWjB9IsBjhtbj+YN+NGnkhljpt7JexK4FwYiNCE1Fo7tuG34Ke5jgGyEKXPqRLeA/bw6d U3N6q/uKied0Wk4no7KXvFv+F8dW3V1wtdN6ozGOsPitycRBQ/NkGLrgRyIgXIUDeLjztTpch03J 0k3N7NZabWoanNuLK0ku5pzwj7Pz4lDZsHd/o4HAiNsG9hAVs1mZDWPJbKQRMgKe+blHs6Bp+SNV j5QZrzNbzHUbWApyTq2sP1/AYEdR26gQvOZxz7RLE5Xdsf9NpZQmYJVdDxGtMan5TdN7k2bDGzHc BqkAIq4YXcPXieKATzGMbxWbg0YPOyDzVm7jnkA0ZI+7aRvuWFjpt/lorZZ2t/QHYg3jyWFbT0Sd YEe0KLr8LRbfGe0uyjDIoijELTqVpwuX1Rha20nAyKuzUdp29DkHa/pp4eLDwy3k9PMZY/JPrAD5 dzZujjyXKMe/XR6CpmX0qX4ytriKRFKV/sHrTBiO02do12KjHPEn+39wbBnV5WZvMu626xgX+KcR 4jM4TP7dz8C/7VkQX+UYxGm2hhOfmFrCV2XVr9HEfO8ox22JI3/xoeqL9VvDHoKmHi7VAYtZecv6 e7mMYeKRysXdyfcYS/fDxTstZhOe60G7s6gPfWYlU0reci/lg+DlQtymS50Cv9Gb7T2o8tzzUEnX YPtAO73xfJry0pKGyt2f6eRRxjHXTJhk7UMOM/QCT78Wg1sI9lmbLd1nWCT5Qx1RGsRUikdEoP8Z rBsqgbOrg2jQ+pPNLDoe0Mly/ImTBlFyG9EWTSUmS4RRlkTryvS5gAz5aTR2WLlJ3f/YDBdfSy3P 6e+E4DCykkPU4m+MBt8JTt622W3+4tAFIoo2GZQwFdO9kDQnm3LGDQECHIECG6g3Y8wK7fTN/ywX YI+y7jCTgA4602zTK0drdg0rTWXQMUqSmy8chL5yVIUk6SP3itpUxRsdy0ieLp6e6eVkS3HRbMc6 qQFAU2AIU4/dyrC9d/wjXFiRdy20GLk0ERWm/cOO20CK8TmGyYjJlgHDk1p5XS0dtRZ6oqhiCsGy SPvTDGcEPyKTU51tP47p4nhpfUjixY1n15GYNs7RdlVY/zD9vV2SgNi3XcOsu0JuYAOo9yGmLsRc lzP9imjfMTabiK3kKYVA7w/0H6DfWgjhq1dDZG7CbRsn5cr5h+0iMG/ZjC1eLxIFy55kIEEN2Eqg 6Ysg1l1tzkif7REffOJ/H2+/CGHXu3w495/lVYOID7erTjqwbvq1HB95OK5WG7CiDR89APaozVmZ AEYBkUzRVmq0kdTx+CHQIWhRXawxX6+e7/QfRAgctELEoDYUAiEGhHrHkgWyQ2RspA4Jhn4dkXLx GBUMVEyCyFMfZiFAE2R4izQ0giaZnc+v0a0tP/6yVy3CsqrmMVQ4Y2MORZyzXDCbo23mHyg0wDLh f+Wi9cftGXLO8v+y384S/nw43iET2kYbYFI+9bIIndqX6yCpJK7nv8QtKKenJIHxGjW65ACnnyWD S2yE8w7SpzH4UgeUhM7F0eJFDq94Ls4CKOv/4AtIp8hQcPNgbwVSKKb8s2r+Nn2hjT8f9g//AV4a e26njSVROGctM5AyDR8CNzDW1YiXRu6ErRC/LppKyvsRJla+RiZ1V8PoqM0cFjPL7inABYY91uqH uHMPG9uTPFTk39ic3WE5w9b6KLGM72g3p0IuFqC+bEGKrEx7/7iIE0Df02QtAwYuuhyL3Mt5wkwL lXEVYjlUgNCT9nefswHIUVxvzWDtJ2tWG1xM6h5zpiM1zJjkfx/7BQ7kBI1w5KczmFn9yaHnPH+N kfI+BTmkEhZuHHgSb64V9RlCyU6X23lw/iSIkXvwl0v1MXshD3TX2ZQpSjXW8KyRbrQ5mvlZPPm4 pUqxm9P/L0AY78/8/kK50zWS+0yKSJ+/capK/vSUMNjZBE4ySOUPllYm7k2ztRxD2YId+ZGA8y82 ffASjyfQKq2H/hoIej42FU6IsLNTpnX8rPITQpdp38KZmmTdS49iGD7SPM8+xN4CdwzmGWLx0sfN DNOa9A5K2N1okkbbGDFtF7JZhSxxXNt+leSSHMrt8NhAlkapyDMnShf6uwJ1O36j1b4jdLIzejhK zYKq5pXjdV7nxwIVGOOeIuk2FWBaXO75a7c+oahfvWuhznsBO/Zyc/IwZ5Lit9a3Wqvd99lC3kvY iQlHIVs4OTk6+dA7771jF+hCxqrf4NN6SULp2Ui8kvYroxg7uUAGPQILGyO4axOoxA0iGwnWC2kQ /nrOOva917XjRlQEBD9wQ81n6urmvrY+h36tYUuIy2YiAiE2pt3jKAh7rkj22UXGFD5c/0CKCcrH fRf6gWBwOL6n013cwAe/g8KtZC8/ANcF6WOuoAYk9nR1faWxSXijVHxqXPGDPndmclMYw4vk45FK d2M3u9rbIownRt9WHem+imZYeDI9Jme3eewFpvWITkc7oCOiHIADNSdVMMHMddUcD1rwaRkQ2fxW w2vPv6buNGBH+pop0MZTYztBJKm38zo/j5g87p+dBvpR11bY0L1Jk8uzuJodsWTnII755u8dU1fP mFWZpvt/NuQxjAMHaN8oqfl/IAsG8SsAeVnfuAGJRolo8Kv16ZT1FkwnijTZcjVySNcCyn13Jki7 87MCr0/UJXCqGl/C81lzQd9dyagqhf1Wxv0DVdflUQljapKZXohtQIIk1ueJ8cGjdDPPx/CxL/P8 kokyYXDY1T7z+VKogTW9Co8ya2J3IXUuf1GQIl7DbhB9WZ8upLVmwyo4RCWgUp0byKgRoRaAwukZ ktry3ZxZ0Ht3lk2dHgt3K7Jv07gbf19oDO1CFZXJGkKuTIVoIZVtbnldqD9y6jYaOariYvhvoho3 WsVpEecM9cfujyykFuK5JrpstzcQx9KT1CcVWzzPIjxFST3fSMIqCyTS8o6vmu8HIjM86yErRGVG BHXQ2hFy2ycd4RahBM2UKkLXwXU+bA9WGBHDe39/axoLFs5FAdtcj0TyLLfgP7oQTukpBhohiPoB yGxkeCGPKOnIwX/SbXid16yMrXSe9pC0y41DugEvA/+4NVvmpNgs8ou+vyQA9AQD/oX1rWXL87Si WtdHGzDAfNTDRC27rtMDSwb/d1AcN5g+v1BWJAci3JDdca7V1Cc/p3Xn8aD9g3gPYStRSO83B4Es kfWZgzJIUjBCFww6RsyufFCB7XIyXmMdm+rEHp8zaKUUkhPqeVSgsecsecmFQscRpUNNFdTqlGoH thUbIEgkFWQRtmG1MnxErtu0v2zyiGBzKvSuhM8HQg0bdvKcwMadFOEdKpu9slrV9xBa/w94MUOo aXknONGQtmHg0JSkJiMpq6YlY5tfvuKvXW+83a7QLoJNY8rHG//Em5rEv3+Yw6tnhHkNBKHEWdfw QxOjM99hXIy8ktj8ZwJm4TVzIf0SX9tgBkKX+bb9XH7h1QkI7FIJXU39GfcBFCsDe5D1DwIHU9ic sKfXhEzk5QHmSdBHnK/QXmIJiklqyq/J/1ijdRgaG/xD2B7d8GZG+f/xYqrFx8AraCdAMZj9ksOX SrbaNZgN9GoIap3J/Jwr394fYi7ArOn6CTFaMehI1Y6Y9U74L62qHUnyzE8vewrvxO8XWy5b2dpH An39xuOm2BtfZlat+KPhuRv24AbGPduKOGhCh2zVEbbeZAAY261hVdLQaqv3XVGCZK74KbsJhfyg EQ1x8NpNQ/5gD5YnVn89DJJVT+7gf70xCcP+XVL31+WZ8162cq6xEnm2kRHiCoSJ+4ArXBCzrGFx vurnbCw6iUrh7wLD8K97KkDyS+0SjRFqaRDVQpiZR5DeZrTX3u8+JMex4wrkU2a01WlXMO+4gWZ8 +cXjuvHxl71cHCyEDsLb83yIZ0qbvNqh6/Pb8jhSsjfVj0la4dj8w2MunIKYPuxLUbcen0m2FIq9 a9AGLNSpLWni4H5TVWtbk4ww5JHVv8eVCU0B22chqatIyn0JWcQ2frJyhWa4ibhYc49pVB2xpK9U IK0h9xEQbKhMbtVXum/SysA21Gp4qaxNn+d/d6nN1soQPDEE/x3EQtg2ijsgu3M0Bcm7S2UgxyI0 rZbbuHBiQWeFUnHPbBsF6ZsCD3ouxeFvL0pH2BZh4b/pLGVqpL+14yOAqUuuMc/F2sSEKoSHco4t 4NqfvKIN4WySYE4Gh++3nGFjOL+QhVen5j2g61pVxSRyamvQe5LmokkVACkeoov9b7S7kaLeuCVe IR9XokQ5Ab3StYWeW83CfyvVw3fX+jCmw+17lPMGozxaVFGFJ+Lg97AX/SiL4CiHnXKt7yREjYPy QrZRzi350rttt+Lut2vtHgdKqOjX8dyDUO9EtEP/lzuxJu+Fv0aB+mjSDeSsc4gcB+JElohF5j82 3X50I+iY8c6Y0cJ/p/pcLGqwaC3R4FENRzhKrUomrtqp2XmWrtrwWnMTWzq6wlrqz2YorDylMePU nmHXfu3bYeVH7tjWBmbg4hHqzPKl/dSk585dG8Ojik3w9TD5rXXPSn6Yk4t16oSgQ0cwG1kzPaFH SuGAB0N/pGBJeZcWfun/TGT+blLE28ReXlNKR4BgrsDjC0YjV1xdkJCC1G81qSNvZVJguun6eLfi iteg/dtJ9zvjxp33qufIPCdfwGeNjJ1OE/HT8xx/RrbsxXwuP3UtTtxCk2KI2wW7T7Xcihl88LVj Aqt1caOh67vROdIdOaYujCGhBqi29vnOixzlsUY+BJxaJ2xRLFwlxBCTYLF9NvVeZ7PuyPXoN4y2 uO8PQWFpYr2ZnjVpWA4t+XHHANh3HYsZ0i72gPnB8NFgHo1hlV8NYib5Z3KBSkV+iMduiMgve6lg qwyE37eXWsMftxSOVind70M28W6hdrV/uqUpQToFEbxNcWzjVyaM96lNit+KzGPiRGPq88RlgAx3 yJsoxotW1j4cio5s5ooODXHw7d6R5gk7fKduf/JE8qeikGyAEzYtbwcXjYW+Jpoaeh2uml1TVjd3 Srp0+pM3iVdq8up52wUBp8DgjC9XzZ5IMy5zXadlpSKOQ2Sh1F8tKqWBe/5u2Xenn7p74V3koIe9 1ei2iSy6kCZsklNc+3C0caJy2prE2VlgLwj38C7Cks7GOA0JjBvu+ZEAyOf80gXLnHfxedr0pIXF xzSi9URysFTJ2JZvsF6AemnU6YBI3NJSWfoh6q61VC6qmzXmo0V+fhUo3FFVrkEd9vRy7JDKo4/N dnNfS0B7uqgxgRkLcVySDv7qC8KUn7S15hhnwpfIdrhDQ7K2o3CQhEXOBw2A1SQIJqJ5rcLgQCaL mAXyjoV+ZY2V8MUjh7j4KUFzYI4Lp1kZfhw0gW59lWlNbRYc6SR5+3c+X3iun2OE/qWSnl6YBPxV JfoXtFOBwU3ZuPP4omr5btDgF7qnUBq9eL3dYgdXgkrwtc9t3/e9XRcgLQlPHsnwdIL6XAz2VRaE MaZ09O20VhAtDHt+PbOXT4X53S5t3qJpVaRE+LrypUIAcKsG5an4QQGhbmvC86ZBJwY2emxG28Kn GQ4BnJR9rvipfPtSrVEk5bUkTBAzlCGZZr1lOulHSKt3l3Y3pl2Cjsz1vHjr0oI8a8IdaH2loYOp oOuJ4NLNIAWomcfX30d5aQE4A/DnP7etOcMW9Bx7NbQBj5vgkUuLtcJRJGUn+JoHduhkr2ZZqPv7 jDVtiliLoIO6etryQMYPvEFr9SV5rqZl+beUkvvYx5ibV4ItUMkW9anepqg28PjxfraYYSYSLHUN Z+oc71H1d1u1POJUf0d36i0l2ZBdUye3zEk+xnEOimwQxIU/crYgr4t6HYm0cFiOVONVCZGkZA+d iiN9blnupe1ROI2IJmMrIDSsi69siaZutwms/IVcw1uRj7E/IR0INqLq1O5KEYcNDqHHOK0hzE/d VOY9l7Iqy5oYaE0o/hpgtS3CvAHKMufe1vwTSYmIJ/q4wTc7lGiPJOHTVwXQ55uhU4sQrApGrhNv zKYrlvvth8gejLidC/h3rtSU8dzsqRv+G4oJZGIOZMxzG87BgDxZcg/8ZwgdCWmwBNv5ul0XucyD vMiUe/lZ9ZORkolZ2XAetv/UHdBzEyp3PcNbSiT1J8bm9gHc6Rrov1cgXRWWRJDWeSxuHTLxMVdd 8JiRSHGx6QuCjzPxhV3HDbRh/EyHkjs3UDfmI4asfKDrY/8v9wv5kKltb+9ylOZ9VG22Y7IwLWZI ArmCw4Vs9AGgX3MwHBJt4jzLJIHZRzSnP3ircp0dTGTjfaFGVz9j3i8C/yf/4bmzYIXrjTwrVl6b vnvf5AoUmVS4QoGoAzy/oWsa9GCvJG03jUTyvO1IHeP4/cVXjW30/MFAG9UTyNpKZM9CenB74r6o R8gRngPGACJgDD+cYH7mDSOA99oeY8UhIEQbcw3m4GXFs59F6zbUSveI+ak/nDI7yMAQRVv+p4WV Br2hN9DgViqgWD73pPX3Vn0qpbLa8B95ltIsotCf315wI90fM1hkkW8PAEu9vQjNY6nk9XCclRkL c4ON/3A+ghJdOsqT0Vw11QordQGqSSQReZvnAGH8msurkQbu9zK/+5BnV05pF8hHmScldaBq87Px l8gQGKW1K2xNFv+TU0GBF4hZ9x7q0eL3Htq9hC0VLurkwNnxWfgjKu/2Ada+HYIteCxHBhDULhW4 iIFH0IqPrYH3kmxFbrOLfcAOAfcWXM3GEKMsS2eLJ23JOET7zdPWlDLvQuZCS4F0USkbJoi6Dbgz wD9LO+Vv1xIltHUqUM/F+oFCb3cqvGFYNVf23wfr2mxzHoqdspTKAc8W3FTGJQZFa4L7NTjATbC0 Jb6o2Uygh9vyKz1woLfxkcj5wQK4kc/9SoqNzEboNktKlTniT6emWbTvgiCgjq9z2u7MXYVOgWRR XrZP6ea2WiOCusoiP8Ab7a6NpBn8vy+HotTB8CPNCgE9FBv59tADWyR/u7AFUhmVe5juUQp3pqs6 yMxB8Zw/rO45sYNhQ28GpGIkjpE+eiAn/iFZwCNnZgFOsIVzwZ8eK5ZbPr945Q6eELmGRpUwgKox OIBXpMSnwVdjc6Rq5KuBzdTbB3goRtlAnuIUG+/IhSFJ4pfrH9NhasCX0EFsU5/0XPMozQ1IMeg8 iSN7qCF43A1OFXA3cF9WI88JNeGomJlXsRk1EoGmpcQ2G1TQoBa5ksIrTSXYpY08V2Bx2Djcidx/ M7McYY39uPqoxbjQFSLXFxV5LKc5Yv9yl96YNPSt4v2gL87u2ikl4Yau+GJi9aqpAEFlIgYtOtPq QZjhvX4cR0ObhfEomOPje2/jg2kMh9awoWPF1BA7aWoyMvPE2ZSaNISVSuHDlJsjB9ctNoK/pHYg rhI3m1HX7xAmqyK3IN8eKQ5TpZ2L5XD9ShLc4TmwEWJWlAQsO9RGGCZbIwyJ91njGcOKKnN/Cr6K H/zZNoPUoCfF8xQBqBv8aGXnzpWyyjq8nI0Esh9Tl6/H04tllI6883cPrf4tNFqo8qwm4o8gxTRX Bn1fhdOmpjKF8M0ng4choVl1V0jdcaoHhR/xtqr/8NmIBi5Bltn/Tr8wrTIwXFZrMXXttYu8ZRe1 QaOrkJ1xBpPKByfdSLcoEtbBE4yJ8zh5ox5XKpcoKc7460qRF9FqToZypIgmUcX6sPA9uU+/eHaZ oxx//tpJFvwR0n7y7T/stbLMrOaJze9/HBFL649jSwLR+/HNh/6vuRBjWr7qV0+0nvY1GDOaBJu7 UKY2sEl4Fsr6F4bhuT5Riste6QV9RPITIgyqpOGLKhIZUtWNxxtm7IR1ag2lf2nENX0ub10En+m4 RE/1hIMyvqctHH5KxeBXBgfRjTc4iuSjZYXhyWl8hjmSVNlUIlLb507cw7Vo3xPzGTa+MNI15V5E ll6I39dSOnkf0md/LljKGCYnD4ElQj3D7W0ifapll25MlpIJGO7wMZBT6vvHxMC+yPFgpsR3VY2e 3Px8oKFms/0YYbTjMdkDJRjbXnGG5DP87pOjbFanFXeZOLIquMtl6g9/Yy+dmBrr6cDTovCmlvvt aM3MNfqMCDmD3BRatKOvSYVXxKebmj4FqqfJvQvyuJsWyeHXEZ/RvkE4c2hSIiVOpkeoyJeye1Gs ZIvQL+pOHgjlT8Fo54XdFDDzu/l5YVxaakUqjgFsDaQ8xntFtx6SZsHIq/4iWj/WxV055HWoDRrK 6Wk/k+ieqzQv+uJuU5DXyn3CkfkeGW/66L7txcdXOYxm5aFCk0Uezt/ahpJYpIA+SyF7eu5MQ+G1 7EWoqBYRfm5PdpECo3ZIN9+UwXyz7g/Zpr4olnVSA54PW0gyKKHNjf6xeWBikD6tb+T8O4smCcnm 6uGIorUoP4mK4T4yFhAJeCk+SrhPub9Lf5+ieVOn2Ygx0dtwHyxoWlX3Gm8u30kxb1ze/y//uqmd bcUYyjwuVQ7accZeWBz2qJzRCkwqSyOhCSskL852Zvm58nv9bdcte4GJocIVSdAcJNOsxWRALWWl IDpAofgMF5KbsOBkKp0fWA+B/Kknt/0wypPN40o1G/ZsSilhH0sCVxKxDi4B8WrBAx+46WCZLpQQ iEqVUqbM6x4f+sz1jM2pFbvPJvz0ecLlTz8PDxlKpaCxoFdqos2muaQeRQNAoF8PpkWklPeS36xy zm8VHTqIWTGkaLenxPh5Yu3hVaPDFiXyoAAkGohcW2M9ijQX2zP8et2Ng8kKjY+dRh7s8yC0GGiQ ZpSq+sddPf6Qah9Ehb+4LhlhtQD++bggyjXQOHlxYTHjp62kIyG34VagbRXZ5Km7O02QLBKHoSR1 la3CblU5cQTjibzxXTCFnJenFXmsXYufdPiFU5O1RVhblfAnfj9tq3ZkXM5RCi2+lipU8s1E+rKF wDvSZvCHjM/vjnwvAd4NgTjlSKLRWSz4upQqbAl3WqgFbx/zMwMvCZVmaJA10SPvFbLZc4uPBgV6 MtHEEQJXQbscolHdJQigQwy43FGaS7wAD3XechPI5ea4XiFdCyd+XziBeLAziYIU+ksTcIg4pIiW UcTjPcUpw3PVmz1HGcv8/kuorLxEcWOvUK1Qh8DUV6Ji/kFq8KQ9J7vxfDNobfaBC+ZqwrgzlEip MrTYc/+uWoF+E1vMK90pwIBPoYFClw9+EHUMtI8hA5cnF4a7oV9HCQNMywiO5oy/SGc2Zh8knlOY zn+cW49G6fus+tDv9H82WT/1HdgdApn5enyzEMZD39R1T/tJR7RE777g45mKDxGmMZX3O89ZQxcu NVg1cEh7GX1fiQjWTlUbArNFXdr/y+BPFwWew7HH8gyJAySOIGFwB4DesT41UWq71Y+bqFOuCZuF dcfpELcQEhsFowOajcOqce3mtAgxZdJgKg/TLK2tTdEb2xEq3WNi4PY9gQxgSdB6rGbjvqvxH071 DzbS1h2TpbK+aNQs7QghSztvZHFWDE2ZaX4kTLGtAySgzI41sClfK4gaW+Wwh9Ax7UdcV8Hv+XdN jvHzDk9GEF4isDXBRpPjvbB9OOiMs8GlO5ZYcfbRDSWMKZ4Ren0hqMjN/35X7jCxVtKkrF2sFtCP 2yd5OR8MLlVrIJsROHmHYKpYivhXl5Fw/M/Hf+23F7L3hr3l+8x8rXLOKOamUCpdkbT0qmzYPCa3 nhLHM2qJbjLb+pKKiBPXjBS6qLTJQa4HMSYlczis2B2rrrZN0DaqzvBs6HoT/wRhlBa9bs1+IrGU ybLatOWVtIhCSJAJwWi0R1tD6vtMcJ7vYuYGqer4Z1RE/qePu+jcyOe4ID/ctIYrGGoKVs8xrTKt PRStdJ8CTGNhAfIi8Px3wtmhLTDB2BhZ03R2wrX3ufKK7r+PqYnrcE3autwqWUSZPHeKHH9h3Z7y +9m3F2UNWU0cApI/O/xbdb6lho9QVFXCrejAhangil6f1mMl1LWUAvWncazcaLV/olJqPqxbHLFy XOhtahvCyQd5CYnbQDDId0PJtybIUMdQj8pyljyFFp/dR1Bxw5EdmYGSOB3FuDVTg3dFsLR4GGXo 0wWz/TpwzCwwr7TnnQr1NgAfIayP4x6UzkXm8p+VvgNHEg0ca0ilMMwVSoRzkrnmG4U2l6L6Zzpz hhnVm3PmQO6fuOINfroSLWxlNzuidU7rPDKOOvV0X3wH4FJHCrjd+myMiJDcDAyR3DCID5wusy5W nPhR47SXC8+N+BZQrkDpYqbmw/ydEiVXBLm+rqAgKz4mJeWgd/w8UdfTuGQ6qD37Z/mL3e2bFao3 UtWwQxVesLBVlHumdBs5NkruERj4ideVvjhFFe5q+Vdr30S2PeWaNoc1ErspKa1jr/rptbJz4YuB kh+ESZKKnYWmvyobjQWZw9A4ozFY5W7UC6fM13NTVQFR1jMQkAZHGDaC1XA+hRpztCBB7AY7A2tp dcd82EbXIp6yTRTlDOjxQYOAI/j6r20NU98BnLNj+bK5a+RmJqxWMgmIwb/Cxe3PE2KjZucyZ/wt JOtC/OtpBGeY8OYQ+yxSNb0TX7mbW+AQS5V0QNWScWILA3mxrEYsNLnJlPY8hd+QM+nRKc/y6kLO AczTT8FZeNf8RQMaoDIfSqCtN3fzasKwDSZWL3ZySfFF0RUBOXZJhrqI3zzPBQzCMAdbghC6KclR VF7sxWVGNiZNoxB43EqhEyZrYTCm4xi6LSOCv5Vyph9m0gH4g9Or2y50brP5iUiqHewv9IvvRPI4 Ginq2MMfQAhJt91HSFKd6e5NkfNX7YqnW/LuIAN+/ntzocmsCXZmOWYisA03Hxi62/onrVl0ZAge nH+SrXdxq7/vCS2JE10UmfoXRhz+yg/lZY6wrZyaTLywpHVli7B10TVdQwhflFCEwqLP7+14uE9H aQuKF5UOn/qMZ6xllJHODvJMn4vJfopjWDhu56adbWCkBPZrDR/FX6Cp/XNj0AFcDG1pykYZb0Q+ yp2ouCH3+uZnA4XCTofjycFgAFdct7LpW9BmeFKbAASCdF++el/tvHn5QSspj7OB5JOUEfVFED5q OezAUA0iAl+2TyWtEsNYpkeqsVyR/Q9NdwVcJTOx5wCbaLU6hmJhoYu6UWZrknl3egZi9ygE456X z2MG2imZaL+9Ao9sCb0t+/8pfP5cU3Ug+qGKQA4+4nuNlcWmbgd4qxMUfvNlhqGC+Q23n3/+f6dz De4SVErJzdK491hJZgrHMKv2feTaIVisccqYoCwW+ICMw1HWQZq2g8aKNFwNFIqqRyc0+QJsEN34 0O5Zjv3dHIp9O/X3INpQ42Hsth3sM6upOGNNRpoBcSNQqJJHNJq3FUk6hanQc0RBedxNtFO4wTRq R8gdZh9dMDwzW8pest8yyZpF2AgF2H4wxRsnSwnToG2hZDLCDy16VfUILDK+ttloCEI8vC8Wk0j8 k6ieqxfrLWcJBuPoTLh+LzGyVEPWjG2T4PxNFAM9MkBGvi7ptoEH6e7B7Q3G6CJlxK4YM7nyczJT FrRoPnGGMz4eyB/iXMvGV8TptehOH0L4l/U5YPHo1YZ25Y4W19xKIbWPk0HqcZxXgqQu3nhyQypI mnDaw5lV71gNpbKT+N8aBuoMfZrirvujlk2n8sp0NIgkGBKeoGWlHJUCyw1rczWpb2Ys/85M9+t8 9ThlNLHXid1RqNYw9SedidVcJCEQHznTeIf6X31/avp2tHAWkJyHCEfSGWl5pCzxMxchVCPddXKP 65Q0PNMgfxpLaLmlR+kArohr8tn16PKtkSEj8WDbZYxJF2hU3TofAr3VxgL9b+cJW7exv4B4E0Jw WChJTVNuUMVBor/5YXW0FjGhgl5DDNLSqO+Ysm9l3CO0y5NZW2SovXrfSCcLnd4x6jPupa5FiHpY 86ImWnyFmknYeCqtX3zsL6udMFk8h8Wuekgpl39Jr79PENIMZ0bry4rvhY8knHMAdZ+ZPXa+5p6A QtnUd45VTRpMpzmSMhpPIPQznVYudD32bXCUJAnM1kGceUMgLZVO0dR/tRkrx8x6VR5WlBT5UNlj biJzm9HP+hOANn9FSGvVXak7IWbl6nYgc6bKQP66Gc/suNfg0Xq1p9cRzvd+F23tk5JQKr68Hvu8 s1NdTg+OrUgQVJ/SWXnoY02rCaqLrDrUUXKZslGM6+70ET5IYdWN58rTN6xtuhRsO6eO5wTQgKEA INw6XTPLIczOeHXM9C8g3YcfXRHYx67zLj/maFm6es+uC1C+pxas5zZGAkyQUnxgI7kpEfkaYBlW 4ovaCBtNvkOoubxWE4N9Kw/p4b0An2w+KClaQ3mDn8GGrgBXfTOVoYbzHe0WEeb1/ssw9hk3iuR9 n6OsW70biRbvyMFvzTOSsKmxQOMh5mwThoMgWaVdNqljFKSV7/qYajmbHft8btlrbPNB45w5H5M0 C8SH99gPCAbTQUWvhSk61DAbTDRRtkrbaLJzcMGO0XrRmWgMZiI7OPFIcKz6BTCTQX3wMbOAJPH4 kGiD3RMx68d83l57bDWC7vVkVG7mqYxjAY5kBp0cVk5FKLtun+N9XXdSK8XGyKfrupcPZ/2/+m2R XEydGDG9MWS/XoafzGgohCvPhVbjNoruzr6AndLvw4P91BFLioCkEZYivqBEFJlJGRtmGGeYAwYk /5uDw8PW/D9YrRD74h5+heE8R2981/fCSbj83W97TxzVvK/J9hQWd304iLynf1cEP545klk4uKNF M1zVr+iJxD1MTTSrwUlTVE+72l2MYaXfANJv5CaqRKgnxqOTAKO3ozsARG60neUPLySAGfWwUOlp IhKOaTf0VA+VFCsQaK/DuqcpVQqxGYbqkBmxw6KvRsRoBcS8m7HwUfVeMzxv6EmsGauSdpfSTvva dXSboqiEBeboqOXAFVcA4nUbbFIZiVp6QBiERpt6FWXvdhG77rPISl60Pfxep7Uf8uXpETmgZIT3 tLT9U1dPdqXek2W/D1fRwC+3IfQPIlD8HlA8FFqobXNXtVWjo8nVLDKGbnXHu7V5bCykVyeGJO3E MnoJB3S8NmWrxHcHPatNoX4m7HPWxEA2YgcLG5gZCrRVZ0wBMs4VmLnjP+CKqGjCA4Lzr5uEaKQg IYwBnjlIl1SUQ6ipCX4SANen5Chuku2HIMInjBswefaCvVQPLoR0781W6MM+vExul52kr8AV4CW0 bOKLuf/hNYxaZLZjodcByTZid3Apilv4aOysEHLUUE+xeamHRHigdeuaEl4Sjf3/gPbS+HWS3kDj Wde1NuOV0ML0drBl/Sfcr1ei7E14OylWJ/FQMaFWU3y+VxW2k0GRO6VZO1upbLwdbG9WYMvKY+W1 I42oA+0l/0nc/ayx2bOEAc0aLuLWi7+8iiOrSSPDqDFHaf88dDmRA3k9r11d0savQiyAfJEiFegZ tmmlh9Dg0IVQ3uz++olXSDPvFlPY0NKfjWq5IBnh5JpKpAuaQWzil7Fvi/UtfYXQlqVSI/oW5dV3 qXA8qFI9Ek1ThsI0rKAokfkF8PvSJcy/bzUsUERrwk9GECrhgIuKwokuj5sV02cW77+hLAjuXTbm 950JtG5T2fS8ZhpU25BFQ0Zqc9qyxqtGHPsglfLwNMYR5Qp4C4JXi+jD8aGERzHXmKWF+k8VtWUM sGjhdqH7ZvAjBaC9g9mw+p4gBeyu5Kyh3y3n13LfFlWs0MYKrnEqy7v/W1K/bZv8CvqRnZYEF+ae Q9hDdJkDkc+vzi2SdUAl6s9xvrSY3zEF4stLngR8Shk5pJFzs9ZT7urRqVhlQ3PD3oIQZyiRa3C9 8ibz+wPJPY+tCPEHYHTnWKfWIrEF8ak+sY4a+W7aA0x4CWURWgtWewr+R2+Jo1EFzWiamrj1dau/ ig7/p4EfS3hBGopPOCKFuLXIb6YQ2QMKbnvGwcr168ZWQerL1e2TyOpXErSPRMVAFxyL/jh4K65E s8cp+xJTn+PJJ15+MWa71HWeZFV7unmVZ0AXTrMeTdU3M2XDZonMqr0gIzdtHRFn/f27pvrl2iuQ Qy4ocFxVlfKgCzjCPLvFAXno6qg9jQRpSj25M5oaJ6mYt+lmfWSlvVwblnDISoGBHuAig0lh8RCU 3LglCfWIkf7+1guUxUM/WgdR9clnDp08uZvuoYgWsnjXIc6dW9hsQhtogxLM7tZej5E6wRS6fazU vR8/4xF0M2VXLhAPJMaiRUin/dVgmzDVLGp/R05S+/WOVEpELovYS2DiXjr7LMRsr7e1itxiGpU9 D6ao+SdTi0g4n7z9fOCGP3PRSV+ViAuqsr61EVCuXN9VKmjyYATH5PkgkDVwnnf21Q+ae5NqGG2N Tr0+nGtsYc4e+ehJeJQlcJ5fIayDgvQ9Y/SfxG3tcEXzj58rPEyiMpX7wapPmxKDt3R0uoFj1tPS xCgRYAFYnFDM9/Yh2j2MiG2QX00uxB3rKxXGepLENGevITFUtxnqj97ypsf9L3O+noavCKlDzE2k FjttpjvaYUBmXEqMJjMX/i0SXnKGXGEwT6LwtB9mBs6B7qUfvvvRlL/9H3/UVtyupvWM6xkCgFq4 /4FV6dSyKDg1rES0ahNshNSTKvtZ22TcEjhTbczPe2v73W8p4hCid+FP2KDZKYlvxSpLHKzNShpw K8UieQb8DkGl+C6ql2xZYkWHZLhrcmK4cBePTsG0//hGkRQc0cB0oCHCdfoHIhZWpAsNAyJO0RT1 Vp5mnzJwtOLU3nmfGIY1PnphjC29USt6MmvqS3T4J79Cpmww8OHge4ITzj4YuVR0GJT7gnXb+ntv RhXMqJLw2yWMpiO9RQzD+brYBOPf3gKN8UOX2/cdu06W/SNYrl1yqz9buPHBmlTKtYMh7LmDAaUi AMUvYlDcw/Bx5bnzEwKAaCerY0K0b1VCr6OPcDRhnv9IhKSz/DFziDDnRlvGXb3mB4AdV8nbJX9Z cOYmow2C6CDLziul6492NBnSfn5jo7Ijfa7D4MvFLjFNO2SNTp2zIbm6FU2EF2pg3xTxdmatpJ4Q Od8tKHpqKGo1VEMIZW6gPWzFVl75bfPKVuVLcWqY9awWdIbIftMpYAo9KILIgpY6BD/g14TT39dV OEhaGhaZUTnyls8cQpzaq8qf7XuW30Bp+EJEcXQjh89m2D4IEVxPWxgnQg1u5+VPdl5a4U0WlCFE lzjcpZ3sr5fO7Rqy2+XrrWD8OZ3kqKs7UtPVQpnfM/FfWlN7llADYtBARURqUbtjwhsMM8O992Ri 0T1VmX72Yw7Vk2hx+UdrBt1C6I8MNi5W1N+4npw3RmH4U9G6qu9QKKcgGkQs3RHVNa3jK+r4JLR7 8RcZWiDE7iqr6WaHhxMtfVGZw4dMOGNdSJD0ZJ9C/mjDHyp9xfxoL/s+Ugv/WdXItqqRXq3+AmOR yhdSQRsWiFHQrqrbQ2M22EWDfSIIV9ry3oKTjIK0ovqVdjnfZ3iN/gJW2faORYc9pId/zzafDVjS BVlcwzT0Pf43JiRLjgMBCs7nIwxrdgKSARtxGo/I7cR4JKWgka6MLVsWA0+8HxjK9FYEmoVWZkGU sbCgD0gONCqQygnKRQavk3K45H0Rc69dxM9/gfzr2kaKDI+sLQliH613To7xb/hRzpevfQ5egGGr ciKzvHF4xU2kIIYZVUI+b0ES0fVUNfIhJbMhIw06FePE3V/HalhzqjFcgnjx6uN5sLcizgFcG2k6 P82CMLpKgEwdT/ohrq3WaVAifnUm6PoE2FjOk4888rYN9yeu0c4biAGMSOGOv76m8LHZgKa9QBaw jA6EjHdOcHLXzqy13UW34llIKABq01Fh9ZU4gXAQhqTlJ056c0L9gULSGaHmbmyE4xOE/Y619wbm dA/LSkhdQc6KvVPDoYBLre5ulKVmG9HZNqH1C5sG10+MDLd3dIC5FfV9KDLWarmRYsqFVf+zWf79 aQDam7wDtZgtCqEB3KFDjQw7c1v6/KvfPVy39ukf///fECYF46ajAKbIfxIvFm6JPA3aeBcHfhg0 eb+++HRhfwVqoIdAYClmnKy8cZHJBWRk7kTlBvtbk59i8C6XaCkVDpoTv25q9IKE49NzpYzVPfep 676rsj4BC15Ak1cyKD4TT048RkIofAKOhpvDrzgHHu20hiSfHUK0SwGChnk7iCFN7tXEu0C5uudx FCqs61bJhahJmpelOVWhotkAV+7+AIRHudMFUe9ZubwMwdMX1MwYQ2jbHVquQjtllpZ+2Qcsp5Jx wbW57fuykR3uTj5jPgPw11OgdJanBXBWq7RPITGy70/lmuUzp9iV37B9wgmPDispaWOKu04FzYzq gQhOyrAdgw38JuR7wpYMTRbrQnWXeg5btF3FsTlfJpo9fanBi4j6zDncLCZNiQ9i6e5qjlSWUCQE SFS1GW2YeKEKOaMA4RTauPJ5epmlhv2qj2P5mJdvYlukshPP+4dinkL1zkSD7hu1Q4CRNqL2HwXF rnf9sIKpa5H6PBHpEoeZn5p/2HXkuCYaDVCJA7j+n9PPJAS38NLnOH/VFGdZRYcK9hrjFWWtGHrY BVz4XtIWCwUN9FQy3uy+KVWAgUPlJbyvqiNpabTV9du/lvjNOMba4yBTeF23Fa4yNH7yekhFlJN/ wHqdUsyY6npKObcFgUYSnUtth/qoiaEvp11EkjU4EvnNNhskxO0XIE27iI8QaBOEuw/qZxHwQDm8 vNcJFJEeBi7OXD1CXjZsaG56QbNO/Wj8Syt1h1Wswikizkr6ixfsrKSY1wHoQz4BeHYG+J9oSuv9 GE5DPXi3kdpMgKtYHnA270jm13ZHSZGgJS5wFk/dujd3yAam+K1LSjNVF3N3XetvaqTV1G/GewOq BJTLH9eZbIfgiEGHYNS0LZWFFrQiqItqCoNRioBYQfKFbFu88M16qy6KC6P6h8ZuAdXKukJdLW5u Ck6qOlqU6q8UumD1D+CZa+vrBTCII4KecHcCQQpjuVA7FY6Y2XqELT7CjuCabdImxhweguJPxbkS P7KfU7hYbqSGXJk23eXHVIusG40DCSHm1muzyZew1Dp+ICVyCzyXjO+5KzkgxTHJO/jjEYJWk5HY 3+hZ74eMo/Qy2p+icYcPZDZHDUle8nUxL5okm19n5ZFenEJnS2wYb4cbLYK8aqD7IZKbbpfx4daM 9IePGWCLf6y6usnpM5Bm1lka4Q2ocrqmRkmKfli/nY3v1prg/yXtGzNGpGwBYnh1tbzC/6C8R2D7 QBDnUG2E/uG6QSptaDplFXECFIOeIvQ3XWQR3px+UAdSgwDRzBjZTXUnCmhvYDooLG3jDM5j7abn +IEFnGH+PD4ksrn51zHPO0IVs9bHJuBFNu9JECLiwbX+0cuzMEm2wFFyQcfEqLzt5eLvlL//Zj/f 0FVXkygx+pnsWwh8lYywhlcZv8tAf47rPk8khiic+cYw1elGzUR4YkQRq1diMb4VL+LxBXEPyyHj UvqzAgOXBdIcCh39M2J5A3HlGFNvgME1HaU3GSgWi5T2PBOjDdJqPxL6xy4tDE4Zj8E08m5KjOBF s3vgjVr0qQ3eTJP8EqJPL8fsRkhsZkehHqmwqCcDiV1uzlwkPK4C2J/BroERuhMr3pX7QbZjLCjb S+TqX2/6zLxKGLnvOl6BDf6VuNHihYklz4ugrRShMvsQk8BB5JvJrevxgFHx7M9AftLXMfefzOHx VCY9R4FMUg8K+TULwoEsgDlMHIdD8imO35IFKO82WHBQRuwb7UolX+/o6cVOAu/uNG5ctOTzk1qf X7PJzt2jz/kfjRx/H8p4kjn3Sc1Ykgm6jXgRuBdcNWWJ7LjiZbBGeaAEXhC3yKZOi2TIeWgNIXcR bRYKCvcpjUYxJiQpYbY0DScMx/Q9rInlbAjni27jyAYp1ywo7QOCOHoHuO3whceKtPvuoOWtXu7+ FgY4SgahIHCYVtod7dDpmhNjyJtb/Ae/Ipkr65AEPMv8rpa6e6p8NO9+CkgGV9fMq/jEXzkPdx5Q 9WLVfPasynoAgSC2RlMWNwM5kwqrzlPFVP5RM6KO/Hx+1QbCG+l1U8kzGwkwh+b8wWH0tlOmQPl8 sGHnP41jzElWpQ3URi8Vfpfggbei2BbJSmeJ2A3tvpWA1WNEBc0cIHWhsTm4R7UlzUO//aje0Za1 utyq7XecY/nyRL4+06lPjGe2T8G9EpRi1Fm4BWd494u3MhoT4YeZQ4sgy+BdrAZwlL1yedDVUnYJ dvsdsZqR0jVkLO5XKKbEkldK8FqiVngflo6zttwn7zuvExk+E34w2ruwIKx2uCYHcWQX0msBSLwI TEP07V8LqxZLHtXIM++NJyA7E5631AaSbVNiIcRYZYGvn/UUt1eChzs9cm4/qn+tf+fE8czQoB72 JBs/rhCxKQWxGJwhV5JlTO5gcBDdiUIAy/9thycrTZH7xMP3+BiNeDqCGnS8g5TqoZHNTTDXmKfB zqngYI8gbCmp4nmasMJgmJfCggRbFVcoIXr3fcZJfh9S8zQoSa3+Qt+THtTj3C+W13GyJEuANSp4 HGOrhqFvdy9ChHGmnMeYxQJDe3A+UQBTJeYM2ee2iivF/04/LowKWmSqIc8qz4cOntDgEcT4iqkr 4jLEqSXt8kew/62Lr+u62W+I/zUS9yvyrHB85mqmXpJjkWQqvb0gvSqaDbmRVK5EqYl4Pb35R8UI wAwG+4QzXn3CmGU5hq1NXF+VqFssEb/NU8QEJpEGcKbvs1Zb2FKYva3ufRPHdv9nj2It1/BbzQSb 38mhAxhAF1ln6izxWZ90u9D5/ezrMrnCjZwUFwxbbi79JralYkgaRmfqCrC7xc+0IpuhiOFuZI/0 LZiR4GoImfCcCm+sDhUAXBBL+RPtWExjGFCFL4QH621zTMeM0vvOGP4lh0v+GfDHIjXB068HZXPM hvzrQXHcw6YuyGuTcRBGAW8ID1MWqv3yp3lT6LS0USRTWaXLcqv4kaIYlLWTwkyQBtdXvRBYahuL ts3l1IAY7enFswbEpRznM4n57tIGDJQtLWoDaWkikK4qAe+GXsO3Wh2lEJyOummesTJmC/gvEyRr SekANManbP34YI9kupP325rYEN9zPEixB8swt3CPdEGPySyoMZ0/UgdcwU49kzQQhu8B1EsHaxnN FP8W82i7wq5BtxbPlm6VWCtbNBhPxCnTcmhgBfDx7IWkmyarAV3mIiCnKIxCPD4r5gsjlyGEllJz dNablOo+yHVNi5GXJWRgaqRC25V/z0OAhVQ+VUINS2ajx8w48e8yjHITEVp6xBlLf0ukW9ZqiUeN SJoQDehnEmvEDBjBAugsYMEyq5D0Te1z3NZJYGiJF8gbiRSJ5XXkXzZa4r7qgasa810IAwKD+9yf 0iZOPmOw4tB/99nByxbh9BN3UlCk/gPL6TNLSrkYmrW2Hs/FrcRUjs3IwvPys2JCGDo9lQ2trYky WrfYb9RVB2LAfVTX2jCofbePklOKJaE8G/OyCLESYCC+0lR8n9lV1yEdIRZhofR6Q3M5n8nRs0H+ G4vhdU+Ahe56otqS0EhdmoQtnBEWeLhcx8jzw2fBhRpaPuj1hk4DnGjRvqK7DEg5IsCNJAlX9ECG sc8reG9hgGDIbZcZpzbt+tzS4AF+4f6n+GFDsQwlo0Op+/2dhwaOsXO1w6Vuu3HtQFUowYH6su7T hH8h5B4RRFQwfAA0L+zHlXGv3+jEE+PzDU0mZZ5sz82rAFqs/fsDp9fvXUDk/XTaQUQWLdiWEKid DaMIKel2IX0Mo2foWp5OKC3/783SZU3eOnpiUIIa4V6R5w5YzHU/0xTb4vwRrIxJStkjiGh1xquO 3iWib5hJJqdLgLaPFw4JbHZthdi93cCQeaxSakMGb3Rx/z30tq59KWmQmS6uaci5OIkm5G6fm5mR 5iBb1fEUyCUa7HQr0iOk6TBcemgsU6Ucf1glhgOB3RiHeMTZ7wNMIls7Tr56GJu5O92Iul3jmEHo gBnqC1B6SyZAwv4HHRZQBOxaXNuntLLX5QJ13XTpdHBiZtNXIKbAh/bEvXVW5Zj8Hvjeh7P+FS1o wXWCjHTQJ/nu8H8RRuFUW4ThBGqjtKLynwgoW5GqgKG+WefggCKbSXZmj0rFPrxmloOYh2+5mU/4 QfOkMxUeEPZTt1usTYAwYMSj259Y/iIs6XVh7ydvvxHl9XasjoO6tdQ9y7qtqY1B8BXNepEphpaX /mfcXjfvQ0Oemyso/HZw9HUjOHPW01d2qLPSUMSY8lr+33eHV3jzYhskqMEoiTgSV5fp7fK8S/aT T3aXSwEh2jSmBGNFdVTMSck4gr/Ft39tHbr54QbSK+MjZ+q0iCk8hTvzRdvigUAtL4uDpqzLOGNr 10ErPyhBZicnAKvhxud75ZyfIGQNyrn6Q0Y3LJ9YoW7V4OwGOMqantcXKosUUdLDJecGscYcK0QK RFVID6Y4og+h9BJB6aSjWJkxxivtSSXAPWEyH+g2OtLKfukizR5DfhVO1dzOYQ+4KqrjCKoUZqE8 0xdAR83Kmnz+6rNrZb9NDWBQLwDUwOMQSArnbLeDp5yix5GeHi3IgT2GZw5F/+iL0/4VSrnw5tVu d3s2FsjqgD/Lld2jeud/OiDZUZGwpN9lf4C3Sxlzaj3QkzaRleLe3w7RvN/KZm9cNvaxZEFNi3Do eOZBMQ8mHkaVXaGMcCj8CnxJS5nl/dD+zZDLvIxSQCmaXIKneSY2/txQdS0Yn127zMpESisjy9SY MLM1P0Wpmxk794gn007aQncbpTHe02ygQ6OUyZKGeS5QFgoIkfbIpcrbIZcyKqyqnxn9CKohcxuV a3qH0o/5zkfyBzEG8e4yTEH2d6q2b2PuPO21si0fSEb2hZU9D60T1BA2MptulTkSFuDioTaSQf7Q piwp7+g2V5FiJ2FmO6VpO/JQ2WBiHVI7QzbtlDg9QuGZy4Fpm+Jj0DgDSAjFJT5u0dyeh3sowALm zbQRM5u6CbF2D1IpgnB1Te2d/Cf7WlhrtjEaPXfgfu2bfwzYWHOUHVjFyCtt/ihcqIqcTDIE4Lfa mNTqfENbDyDZiVS0QMV+YHaUZ9tMvS7+9BqJF/e2qjVZxrsH1pvugvUmaONr57I6H1PWU8xiZ16C yaSKfBL/YmK2onWSLp68zt8TQbOzBmprWtOUUjHgxPDc3wzQXKaWJS5K5PCwP/md/LOumiz4Dhsl 1MdSGxrtPA7NV4hoReS95Bw9hqz7vDXyU/27ZC1RLwXdu5Z17w9vK7epkCCd3EKi/C1IjOIF6yQz QcNuRcCuhsJK0wgAHYuFejAvd3/88dCTcoKO0yymJDSLnZYiPZv5san2en3n2Nij6zytqXoIJ2RQ krRd3MSX4dIN5abEfNPWn0odbymwrzCifMY3kaW/FwZIAubWwVYOQuzQh5Elst5zKeqkpthBIo6L hz5E27AwMkr2yotmXwZAvZdREezhXQYJNQBZbiQJw76yMkmEyuavigKXaKnQtneAGMD2sAhmVThm gQph3hoDz56hq5pm2wqpJICH5zSL20htSzUta2t4abp9zbJCPJGaAAuZhIhA3Z1LrV4L641jw6nH KzchK0lCoSGehEfmAwbrXhBvSV0I+uetvHKJqM1HNyKpLBUAYaqKTV6awzM+plF5IAGZzXhDOVLO c86FnbIifWryQ5LZ0KSPiiwEL29yLUzS6gPVSHmHPGAUAEFw0fdyX5QS+94uMrYeZQW3KVR/1VDf UzDzr4hYJocaTVuCSCuyfKQkkcPPOBY5r5ne8QunFZKSu6cQEOqDuvAesQHHq5wnkCmC0SpWb55L 1ykYuPNfKVJmi786FZhYCzgIfRqAfdPNVHK6iy38UjscYSIpHR7hMASrp2recNDwwEUl9ETs+wl3 3NW4tgOpaGwO+W0KCZgavymN0roHIAaFu3XZmKdp/q6MyDOwGi9DtFPX4ZfJxMvWvzbCYDoeNpBW 6AH/A5pHq9qaLAj9Mdgf2aMKdjjEZ83dbmeHqQWQED46Ve1iDVOUsKvbad/Vk+vngDN9K3DumIXx QyK1GNIbgIJcm6+ccstSLgvH2py4LCNTO/+MtoBoH+MOo7lMrr59bAD6e59pp78NgoacRUNSRZDQ DHeLdJKu/qHvNnnp0jzf/rzxnzh4tM98fXdK/Ppz+6jSDhxB3n5pZqhse/TBO4Ng3MwRMyIATjf2 V/ZXmmiawNLnJviRVOpAuF0B8MO28pes6WvhrinVS892XM+5LpJKRM/RNmf1iAC4e4+s72j17ts1 u80yL6iLZjkAMrhUJefDFHK9Tgk/TaRUNc/S/sLxysolMDX/sUHzG7xuRVyp68b9IadhB08Xd/G5 Fnoq566zlbuQRSdbMlQMCXDKeu6fdGRjijfsn54tbHehy4QMGRguVIuj649ofuK9l9F/Gw/xUiDE 0x+iQOxgpZ7x4bF/uu0HSZdUm8QCUjl6dXI9VIOqQZsXLZkUAG6BXyV6DeelvsFBVFicIIzvMwEQ scpjAt1T+0/E8hugHGLNNxcA6YksoevRtFlB28bRaNPW5jjG5ZrOtkR10jVGekHLE8n72ScNLl8S xoF1x3Q1aKNTCO0vYGV4EZ3reZ+pTYKsn0OfGJ/FVxuVgIwlab3Lg795C72cQYwQv63KdHNukl6z eRuYmXo61PsJEpdSuzagEsthXfkeplL+b7177qUVTEFDu1jZ7WdQdaQv41ZCj2+6j8qSX3XeU3v+ qqP29JzkG0soo0zt3KKdHArYqAPrzgjPCYF7SeXXV4r40w5TEvlVVnvh8aZ00AeMWrJHebisOpyj 3l8RG0//BR9sppkhdzX/9pT6E8EHsJldgpt7Zin/n0nLYE2nsp/erQIr+USZ9pQMGGj/Qe1Z1J1Z sSYFNZp9z+pOV7sRzrvTST/t0ofb0fVaQbziRgrPMc6XLbjXtwi3IltFyuFNYPjfIjRHy/u5ZTWa LmLuGzp8+/SRm4Ph45ODE8XORqUtUdDIJNyGNe27fy40jvVWSPOmtQV6U+MmkNun1g+59jHS3Usl ebShve8D5sH6RBsSkes9WO1T4jcIThJV7mCyzp84tIrIplu0dSUmbbGhW5oNPiYnCJWotF3ySn84 HSUexksV0nze+QVRuefUbBARme/8fx6JQscUqelleTRPOiMIU3VJi9JXUd37op3Npw4+Ajt0Mud1 t3OOwV84On9Mw8tSV7m3w/wP0hsZcbpbQ81zf1PVTPcWpcGDra0f7XNe8RfuGVBlT7n08fKmJpuk EyK6oCnyTg+lp1SP5Pt6Tjj3aOk6Iw0W8U4cpkH5S+QGJa6kGnHfYLHtN2kxxGtq7bey/md7tHTM kksyYsH6fSMXYBFNzc+F0LkYjZan7ASogopFA72e2C8hYP9iFl4DHg/GttLiCQWy+S+1fHj0qWUH BDFPBKSncDUPnJG8gUn8jPJ+g6HxVjmmWvE0yD5Wz4I4ourp8Gsr7rA8PIxi0S7Ii+tdBBx6RtHS KDZrh4aO8hq5iPHepxNEpgS0UP/YVOIj67d3A7CR5bEPHWz8FhjMFQRB23cznrBzkbcHnAdZsouU VUOQ6n8wZ6XRoGPFEe2VigCVF7PR0yNKYrGno6/dv3WLDVhZAsiHxNz+GyOOvK0SqAqoXgarjVWP dTozJSGwqqbtG8WxTzCqFz21snmrKqOA4EYuGMIrVnNyEsJq0Q8ossMr1X50mDlg+q3dTcgKLUXV kJHhhH6BM4WIvss8bfbn59+oUQ+xsuexT//DoMz5KCfXMWD9R+epA8wz/bMFlF3CtEEFl9pYoGW6 MnErdzye+c9zgDyJzBX+hD7S+ymioaVE1/A7lndoHOXzHWGk3v93HmkI9u/qOR7zqrg4Q8inWiSU yNuj34EXD4yOgXSdClADr42dw4y7RWW8agmJYZScnX86CPyxeMqpeFP9X6ct0Zot3gn0vQJ+tyZt xowWU7g9+J8voP2WRC1yAino9TDyt+HpUxUtvHEE39HbYnFPsQcfv64QixpCHNGQOPtjjZI1RGfG y+TjzUEmfUrpT6/r3c3WVG1cqTpqVokmC7on6Arg+0IhbErkIk+GMitGbZQcbFvdW1oXfUGf5Sqq In9SOYFgrlU0rkYlVxuZhIjc/Xsimy+b+fRZ8HhBE2orhhL096l8KMqa4WrC57cereRN/LZ8pv3t v3lxONaWM6FchO9NTvSMmmO6SC+3asu40xrMGk8o8EtpZTVJO2Cxx+eOsEFPCweBYILazyzZ7apD jBk6dYmsPbqZQcHf4rQR76m1WL2hVON6g2mgewi6J1QXgc/Bf9T/FfVfT6EkKonPe3mHE7tRqPUK CzqOu4Gyu95mQFeIiUvrcGgOJKFLHrYBcz0wZMw4wppd2DOJq0PcG7xG8H6RgBP6ADfSPb6hotDF vHanAcCdX5jBJVsc+1csjQKWunZMAessPeRBeWAPnM1sMDvlZdhlkNykvg9xvdFN4OgysEH+WR9N m0qrIh5A4TvrzTCdkwFEQSlnHS82Ah6gb1EIxWIdzxIM9stxhDGMV0krLqFrQwU9FaAn1VpUTBoj I34md62y7H0UeaPIaigyZI4L0BbtuNaYfFlpJe9UniaPsUoyUU8yZhXsw/XMmdq2dWtzC67RrTzj ykoycHmfOOIhRfAFuDcQRZmua4wDxT6RlrT4MggA7GxmYOvpcTwuOsim1mrNz81JClF96NRg9W2p /Ckkj2Rfh3jl6ZIiubYMGKyIiAkALpCZmzzQ7Q0IiUV3z2aI/ChTl2T6EB7K3A6uaH1vfnn7UMHu BVHB2S4dFYA4x7MLSAy+/xeIPnHE37qhKD1Aj8zqNSFMERbSTy0Rk2wdAOFt6udiIZ1DAcmsJKNw G0NoQlPbBz4FZ02Tf5/yOIZwXpti0Hc6QYDrxM6xh06D0Fw1RqdqH48N43rsj/OuHPqRWruMCHpF V/idq6+nRNA2kdrGlY99b1ECXbnxj+FBu0QXBWlppLJ2hnkk2FpWnyHwHpEmlN6tRIxKF06xjGss NTmMhqhYt0wBP2kcq49+gwH1Vi5jBGq5eWFOUw223697tqDIvO2S1n5QLdNzpfVpf0zausQPRJM/ JurRdE7Zrk+RriW5XYCU90vsqDiCG+Q+kGF0pSETKRIPOH2/y8yRMYO95U/eMmtrkqjgSwTRw/uV bRB1yyM5gTAxhSHdCbXAE0DiRc73bja4unPf+cMlicJVlztCz0zte3081PqfB6v5BSIKP3uWGlSL eU3WMyegvXsyJMwCf3SeobGkTMg+iEYIa24AGDH/5n2g9q1zm89PlqQC0KK/wti74RV3QAEo7Mnb jcy31gMKLefdg9I+qA7B3sDcltQEc+nYBwFjYMKJGA1kY1FruaNcUdoW0SLJlQd2ICPbJL+66Sia R1nxGAj8HJsWs4f+2YB0VNTS2ZF8xCIPr2MUCkfb4EEiDbDrLDTsfs0ChCSwtHwm4vUULnJDy5Ws m+hOjF6ANuG4mZ1hoj7qBIVUHLXwoFfAR8tQtf1XMBxj3cyGoxC+4N3ewBZI5VHoz68x/mVfSAht vhkIB6PEtqfoGpT/xY6RAGogiEKRUWjOFjnN/erY+i/fAmYQJ1eW+8GUKXS4h5sBSsNR7JFib1UP Xh36VDihQQMEW/vF+KOVkPyhT/21KrVNpUJcG1YQFeaTkP/O+asWD2upqsp+0f7Q7Ajv/OvKUQ72 /x/i3zuQyXscLR7PfMXYF87y5xWPluKRfHoSgDWLiboGndcgdlJ0CsbS36AYScmZDojl0j9NYpLy 6lqnHCJYgXhok6RM3quQoKqw6lYyS/+MTaQLPWQpDTH51R0IE9bIMzad+6D/iijCN9jgsHD4RhAJ +zsbpHe9Vty97jQF8SrVcJolhZO67XxxUqa2qmjJ5X35vVdj/tOPi1LmQ67Z7ttc4dd4IkWavoQi PrO3eUGipNod9HTgnYuDmCbo+NS8cysKac7jzf2Er0HmvS+RY509WqO2awJNNoZzX4J8iLyOSQc1 FXxLP6149lHjBoA2Og6sy0M7yQH6ZzMvhfb/HOcw9ImEiSeC7WhSh1kiTATxFE+9e/NDOTkbyjne mDXdTPV5CqhtSb+Y9Ab9kdK62aWuvytUW6H+HXkS6KgfF3WF8sPmC0TFcaXBSG5XSi1ymZSC4VVZ ILdFD4SXz4OjLkoMwWmuz+Qtwa/eoxbiRiFrSFzimFUr1wsDKBSxgRjHqIyf4oMRlQL6xJiiMBXa o/gun0+wV0s+RCNAxOZ7l5kfrFzJzQYVeW6NASuJRNQNDwYX4dinzaaiN9trGsQ0RRESez/fsQQn uZmqSkumivfd6r7j9LZlDi0ADyh4J+DibohpZPdqGf/mICR+OSHAkMGIC2uVEjKc+BxwCngt8wSv iNu4Uy1J0Zs5NCY6zP026NHdUqyxExuVb2aj+3Gkeo+HcaeaVf76s016+Le0Gi/ryIShXjmliBw4 GNv7WZKUH4WcP5JDM0rxbwExHcw3/aXvQMG8p8zErA3WVuimnd3fF2l8RjOHPgyE9ExW8gO1etnu Kbwaylc2TahQrOQOIQFUS9zMVvPHzdUWciEbV209VmGfQ9/F+kLPhseGGdEg6ijComHO350HG2HO 9rLCql4MCk9Hobodw95ovWp0Ys3kIsMh5zqiWsS9+J8oFXyJis1+Nqz+IiB6FHPd1htL/hjc6Ciy Lr13n8qwRtkYJULENw75SmyefjEv6F5hKzr8tWCuVlFTbyZ5VUBc4aNyYpOk/93LjSHdclmPZi2B TOIoeKJsmA3ZZXj+a+dKh+bXSFr1Mf+8ZgezbFpgL9c7exeAutVe6KnYMJF1xGhm3/isuQdZHmgK FftNpNEf+gZTVRse0fGaSIq4XQjgWfj9ywIuTgvEyIO+Na3mKukvSU09vZkcTsu9mGy16ZcPzOFb StTbhLQpsgrt6hzkHLf3TecTiesdst/P//m2jYyOFtBqlYzYYwZC9J4UyM0rUkW9lnItnbOKkP5P Uc7yJ3N14sgtHBJ4vJNkVUTIOA6FQ+tt5Uve7OhSYzGsYuGB/Oty/2mtmZ4gT5o6QHpWDumnqCOB kYBwRR2lJzfaG+sV9gP69iwzqwO1b7JWUulxA2e8u7+y/CjYCeKbcSzrrMXEOBZrC7RsXzzaoXlz 6Zd1G8xeqf5vmiJ2P4pmpN2ik4SrtPSLGEA5FetwQKC3oj1cP/fLmD/1Ruegdyus68T/QU1cSL0i 6KQbXHURsLZYVip9uy6Pf6TIDc2kQTVT0g8+4+RxCZAEuwV7q0Ia71dgsDmp1O+vsBGEKZ1Q7J3K DLPCK1VL4QK3rXwQpM/2VoLPQcMg/3N/CTeMBDfQS7IFMbpJdYdFinVhkGi5JmzISe45C+aNugDH FVxJesubAC1czfqMubNVNwP/eV0tZxWPTy4KiBxGETK7YEAX1rm9eqxYTBhWv3XjaBj/hHx90Qkb unQwEEP1XZc62Tkw1CRZVMATVZn2tIsnWpGfr+nfYzn6iO3e+mJJPp5cSZJR3wHfr/td03iYxL/L AlPgFakGPbIhp+qtGlk7Mph0bYbwQ3AIL0rJKppe0+JGTOeDMi58XiMquTyF89nBMxY0/ooyCTA1 51nqj7MUocuiltFqd7RLiVW4r6NxPUZJ/J8hNEgtwBDPnkaVywUtfPwRPDZivyGwF6Z0I17caFoE JSg9uLdYq68J2edtbIHZXIOO9R3TsHRzSjM2FkDUsy3afTEyvWdNDQ+es6I1l9P0nlwGpEUQHtco Za+2PHVX7psWmAQB3swxYiZeg8gdTHuj5bj7p2gOEVV+wERz/1XsVQ9JFjgvG6XtbbtissC3WNGz coDzhgBpKXM4+Mh9PJvVsAFoRJXhnKSRcgmqiW7PokP0hQ/+s35inZ7G2VlCzkX8U0K23nPlSdoK hAy5Xgx/fyQ6WPMQLATujpH2ZlWqwV+muUO+asoH8+awNuatdYRPn5C5Z4xIBH3ZBKNI2ozuF8JW 9VE+cNJHmjp4quzCKkkfA7vnQhHxVcSWl4aoCobDDMfq7FKtIM9ZvFtpiZl4U4Vo/08PGnDvkGLk Yd6Tp7z3Wn5dHthroLgesAeavwfBZlawU3I62ef64kRv9RA2v0YteMa2BTxcWYu/QA86iHZrJLit /P+rMorJ6m6dpfesoona+pWKQygRE3eeMocDB+B8KMgJ4sjEIifkkbPPHS0jyij9ulKVPrKNnj7l 0BxibUbw7HTMMZka2Dog1gpGdWhCocPC8hCLA6rUkVy/NUaItnMeIi4MDaBzV7rSlufqtVuwJgYF /LmIcjZOMffcbov7XHCiEuVoyfqtEkcP/cf9LjJTPjh+JoNWc61QeiioTvh+2f546JEbchj5j2WF T/j53zHrHrq/Yykyon7SB69bhzscEMqdvqiRHRTkHtquAmOSW7M9s1lZovS1LrDG17+J5biWH+Ki 2gjObvRUpRR0uSNzTKgs30yfgXDut29J1zUChRiZXWYYjefa48VpzSnoPvF6zOY07yeABSZXTdOm xXrdvD7+HJPPuOUVNUdh10BC7gO/R/OxcRoZ4rTAreH4rtpSRUEBQmuOt9YX+Z6o/bSxl5XLWHhK yFZwzKIqL1bC0964/NFiB5KSETugV/R+XrVtbWxHe+ri54t/p0ih/RhWjVulUOfwCywiRMC+F6ir S4RElWwSF5RhgSs6cAGO5ivtDFYvsRbs+fyvO2muItUiymxtCphUueGBrvj9uJXHV6XTJlQ3Kwhr uLW883zM20uoKf/V5SctLoeHU5E4hJgyV+vmmzpn6yhr6osnIEP4IH2PYXSjC080By6yJC34UGfH rEKdq9Mhufqox17BGtADxAfaymO3sUH/iXyMBvFevkLjoUZVUtPtvuCQghy4zG0bg1YfSRgsBPOk zQJLRFjhBcDdcgPogktfjLtXhXsN3SZRkxKPjR+uapPoCYQESFUNk41Wd4sft8kli56C9N1F7CmO fCWjL9YyuL6eJ5TCiI3Vq2jZbMe5EdI1xToxy1IKytBbO6RhhXm9nE560DUj9AYGXZeGxF/s8jPv vsdAjZcPWXzzzuoALsjZ1qPpfYpq2N+D2Axoc4FDJfSv3uEfCF7lXvnr+2TjjbDgEYZIVxz8gMIy aZLEQ2MN/SG5WYmzbZLFwIupyID4gZsvCzNZnPboQ0nn5qQfny7wLVvXfw9+ENbSLsfTCa/pkF0W pGJxdZubl7HhjKycaeAOV6k8M84vNZ5yLxns8V5y2OAU7JFurK5ytfY9FEeCdygzqn1P4uLq5Tvr mHGpWtj2mruFzyKFGa5BHyzH0F3bj9854mAWooW9sYb90vn0cXKtuOyaM+vqguVjfAUtRvzdcuOB 8VP68y8t1bop3P5H/0YuyemZHu7t2zu9uY4JWnfp25Kx9UEqPPlfIdU2XjA6Avb7P8Ai0x2cFKk2 VHIsBUA8VEpILzPElSOSivg7rNF8BFGE++2iRoM/X2MpsxcbJcG0VIDl4DLK+E+9DijnIcVhrqx+ R9jgiwztuYRCK0XXSEUvx90YdqcwHfAVQqkUq4GAYDZyf8rIzSKoUp9T92l6mEFeeI25Z34Bfh2+ xX6b13/SLbJ/aKIXnpax/1/8rbFaZisq4yQRufJ8caEpbTj+qCuuvZkB0VS48AJNMLGx8MlltZoF xuRWiqroNpdM/GsakiL8EF3/I2MABvLtq6zgcqJ6ZCRdNgwSRDTmR8pMAf0pUtKs93I0UBm7ChCw ICZ5ScGnkj54XsJLNCof813pZn88GADGsCux9EYyBLxQgo7Fdz+5O6LFYGlaNO1YYi+PUUJakjzQ 2s1JNUkRL7eXtT+7BXNfJMlGtyDV9m1yfMkzGHxgRuddhDiAKoW7KgjpSDOS3R89OwzpxPrOOjHT D0fj7oCA/8Bj4f/FBk9c1yLlV9rmo0ZMwps05IZJXsY/2MKC+q/Nezdm4M1gn7pzcCImv+LXy7L7 ExSrvsD1cd5bF6Ff2IPKByNdQHw1/iCYyyI5jeqg1qRs2Uu1lrn/PoTx1F8QebX77pSyvZw6Fkum tL5RqFYsqMrcMvIipHUn6rL8+tYaNvr0Q1ZuPSAuHnOfjc+AJkql9IgmCQ7vbnKJbEFiNqh/H1qQ OdJaLC9uFOHtq71UaVzjQUE81DkBm8k+LOn28PcKNmSqpnZtTA64U0BJIS3gG+dXBlTA+PfN4DKn 8Y3EmDWsF0K4BSssNhPRCmXTs83vc9rb2ONmdaj1erDuo0/RlP8pbrDUd2ob+EZ4WBYiTuXWjupW HCVZrGouUwoZaYyQpyxdbe46va5EzhbEADlYD1B+GTLhNQNKMBMnuAUrC8pxpByThx81PGnS5x4W hau9ywcQ87sld8jqMy+9sMGELHuLSYhnEac5UIWVeio6FoGd0BTWkc1omw7CXtw1ZD1ytGoQR+u2 q45TIly/o8qrAmcfppdfHpYUqIY7Go1CzrvV1uhzEmXgcUhDhm+tu3v+x+C2o5lRBycGPePP0B2L ElT6YwgY6K0IAvuVbwqPnhuJX85oOOfKq1kBSWeXq2gsK+Rtjmov2JZkdhWH3BQp4gibbNbBXrBF k6yLYPNxzW5Dru2ntxLECuimPxMnoAcSES/WPdNZNwmZP5d6csxotpt2aFijlu4Um9pNBUPphTl5 VduXs7ET61s7YJFIcm6Maq2xZlZ3nIEUbBsmrEEDc9i8llVOd4cFg2Rh4LSZjoGG0G37/lnE4YZl LYYjPDNwtpFMK9zUi71bTIgqM9C+DTzFCiCbhp2UQUwThJN9IhTYHMy4RLtzLulB6g6s6QL5Rc5D g2QqaPq7odw1/4w/V5G8xm2+ljG85VzRrCdr6NkDjvRx+c2y/JodDf1noOK5ICPnAKnX2BoxoxhW c6YvM4RVTKoNVUwpjaAbQGo9pP9WhiQj7g0p0HJOBcWAhpJ7R3Fb9IG+i5XeKqhX9/k7UAkpMBtw sPCpG7y0KInuObagoVbQx61b+qBspMBjYwWpVA7v2rwverUrdVE8jYLCTl80KJD0i5YmO0Xd0nsX 5oK1PjeMUFc7++2tENzkrYwmjhjqKngF9mQTZmMOUAZ8QVELAsALZwDJ8z+FmZTkh6cAmyDzcT38 6DUzmqJ6F6qNrZ7pbwzEhdVp4rbvZYFDSEyJPBV6n5lE/+OhdCx56QWZyKUUxVXGwfp2ITThwTpQ +iKiwWF7YyqUTh/ihe/HDXdevjEqlf7ovWirj1h2czc0G+YBEe0yWXHBSU27szAJQbwb3t9lBjZz f860/ubm2yMfAteO8ic0GB9CrMmIxA91ZSEAEydQN929frJH7Ody4kySA+nb/cQ8khmIPY7yoELN jJZX1wyJG+QpKrO+wQ/K/7NqZKHopFtv/HtJU8fbT00jEaSWLCR4d4a6753U3+POt31OeXqPzI4R qkWgUP/OlknwQ5vp7abbDjtLtsaoOe45G9mTWCokVuqQqtz2JgfinBSWdjZJmPt9+ldMHfy0qq+9 jA5zkosBiQk6kEhPBsrK4ZgXUMbaFvLgAqbfGMAHAV5hbUzSHRkA7ijdSFgfrEJt8NmkYa1o9SJD 2X00rPyrqc8nD6Luxqu2qkm7hpBhiD537ZCraGc/8Sd4JZ+lio6jw3U8pCFf51ARTCIuVx9qldRN ujrHL1utZ40TZfcEeEzxsvF4URxE1J0UouoJs6zJn+4je7hLssghf/Minx7ROjakf6VnflCmZGtc Rk+2oBfOGhTbvnFL04jp3Oxh4hlNA1pYayAAAOcXrocbJBak8FCNBNqvQ+RdEtq6ODE7xo50SoBg NhfP6RHjDinWGkuO3Oh3CxT5Vvtl0Krii4B4IkarVSqhSud91vUBUtb/xF2cvQ02sr493ULuIbau vDC71EGef4/XZNb1iQ1rqFfSpxI/YFY3Nxm/yGBYdDDG9TbdN0BtWON0jXLhu+2yIxcxniN49JX9 pzOPdViz9cUXOBN3XYiwRSg4VX0j+ubPLNRBnuYb7IfVxjXRyTMqEbGK9m07Q64VQvbZroUHG7d8 vNRrmoqGXTyq9NbNQIDmcbj0rR059cOHH1ygJnWiy4kjrKwsaLDkf97uttxnOlBu3W0jiozYQBUH SSKhXpW8jwO3JcgA7U3lnQYIuZZmNiNYmxisg7J48ZbJg2qr+/ecC3aE9E9PNtuxn3zCk+BMO2MF h7cAguqvE4zgZwjsKHP4yPK7w5jan5nEnTBUtDzfAcrAPQqjq75Z9+NC/LPk6ZPeA1e0q/ZYRfY6 VWeJUVDi9+hhmmLMR0cOFd7gWg8OOTyl0e2AQ/2WMUASpjRTYHIDlVbljezkIDN9tojQVEXVeIPj 9nSls9DAn9FrXA2azMXPRnxnHdqAOqrZ5NOVQinfDSE9Lr7NvBeOKmp09WKJzBb5kJLXaG3I6KoY 1WMRKQmq9YugzRZf0L9gVa1cCLe6TVuKDKBouV09ZBzifeBMo+VYh3LIx8lM5mu2R1LXe4qC3UE2 or5IdCT9w90+hFkhSend0VkXZ9BYLsVfTwMWk1jmYdjNUs5eKwpRGGpaaHsckBANjAIhG3lDlbZ2 8WzDyMIdohx8PtgQtAbN/0LLHL9kBCWJV0lF2L0f0rd+BIpYYosVpjkwYElgWwk7CNkdXHY6ORez DK0+dY3HQzgtYOsPRcir+JpLUfbD9u9uJYgWq7RPJn14qebHksmRPJTw9jxvT7CfKJLChcrJL48T CkNV/FJNqmclab67jJFd2GCKSgEnV5K8tcdQzWoPtIqjHYP5wAevSj62Y0nUn7mFKk4Y5d2yhH8o brhtHPvLKwRMeTfwhd2iFMpO1H/xJWx7ueNDIjp3F/rtPj9JaImKliXMEqK70poFrO2y/griuhBU FagfkTJ8O7YYbrPIsFBl5/WqpnSZcnYvo7vZMZ2zY73FireQoK67FDy6KVHwii3w3U8Y2eQwQTbR 0Vm9/0GPVa7doVR2dQMNBWXImGrXcP8NyWseUAd/PrGPJlgxP+1MA9oghNR2ZWga5ClKbVNrrccT Ma1MOWcBt7YlFmLiFnq+m5tlKxOuz8l2yAb9UwevAjBsvE4ksql/FcDUdajth4JE304sAZ6uJYBV qLvfLOJ1K+i6WFD7w8EyOGgv7lo0WsgfUIit6uRre9YPtSRq2QrLscmEghrBC4osDQ8iRlaJ6Pto 5hIl8pbrPT6asNn0EzTcjg+YPcX5xdKDyWOIztG70Pcv4mnVIsr7gxiX4QnDWCH+Q72VgZ7cbNh1 Lkaw5RC5wKR0nFhay9N3YTHG1o+qNC5Ag5ZSexEyqivJsfoNqYa1EdftMQlDGhLkzUXUgKcUNW+U RqHc91gA1bB9BNZhrF7CpPFUBiKC0pMADRM4xJXluJ6MT8KoAWSZ2452U2Tpr1no4O6jrXeDUAFm ak74pB2h/5V7Wxf9lbYPirZCttOt3cHUiEhKObF0eT3FsaCvORRIVWFg7kykzNg+Vm2ckY0nnqxb Xq9QdGaxhQg8S9uP8dAOK0ye7xDIHhnKWg0HXz1nc90pmm0Joqt12AZoidOjwqyaj5FrIijVrWpf A6v20VJxSVZEW9HBjvPBaocWQht6xa0u5hHJogSjWUconcYx0U2LDipo1DV0HtnS2mJHMIO3HPTm cW/qkTMMz/9WlzBXBj/zyX4vK7viS2WxiKgyt6ZMYsWoeavEEh7e4ZjqT/tXiifhW6dUUyXo+LM/ rJju/GyO+tXsU/TK+MSjiXRHjaEJG6KrHAqVmnlCOIUBss5+k9MyACogZSKPIXIAvBB1wD4y6dHE tSrVl4fg5I93RkeN47vLTM4UTp08OBpP5pcorK3tIrISFP4+Dpkahye6r3N3eG4P2Hs0EowIR9+b lIPayEvXf6rt8R+xg8YxuQNAY6+BAbvSy9hTM1U0ngDe4eyDkH84f7ZoKneHS8McOf8kEoKEJix6 xOC+1ivakMUmINVB32a2cL9MH0/r4M2Lwhug+RD4+EdHr5mhdSDnfrvTsUe3AYeTyA3lgkP0LV0s xGhji7cxcL8zOjuC1faDqGW9BWCmcksDT8OHizZuFMO3/mI1MD1p3GBYXaFvZIr3dPMrKGuYQhto NgXUUyOhJ5fDsYQdI8iUJnuoL7b6SDlKZeeF0ZDCmQ8K9dHt0ZwhW8xh0oKf2UYPGyR/8fqHw+qv aRp4HQhllYFatE6B1mvfbQMUgUgCvr6HG+uWmgoHcib/rJCvvQGRoZ9IpLU8/OEiWi9VtOzT/Oat t1GrJRzS8+rtrvcXbQBRGGz4dDUjkjcbwQQ42p0uayrWmJ+9y02CEIFep7uDLLOMmavmjMKdQsmT dkiDjCSggT34wz3VYoHhZD02m72QiNlXiLwlhDS3zwT1cOyezHF7TUjausQDv9RkaeBL1ozWm0Ea 8wwmPyEUL7as6ENZ3UTDvFO/GixivcS6AaKVf7zvlljpRROgRN+vdmkI7Ri4KD8rrDi2S2VtBvSz utXKuPb8N1Nm5C/QtTM2jp1Dc7Ge5B5EKwRS2mWyhcedI+fURr6DDIt9SJda42MMZebl7LwQvybV 5QkJni7PaYWnwFyT9yJYkzAF+uW6bWDE+ZI9Fi4bI1B5NrAZxSN5Hsobqf3rPqEJ3P2h34+ptQlr CiOqs9a/EQaqzp/5gWpIXY6tGlQOtdYYrhYica1Z364sm5z+FZNWKNZl11NyFt0EAW3PiLcn4AD+ jF72rLD2IQf0OXMj+rOnFoifEgA3a/14EboJnhc1BkDN+U30/P3ffgTxfnHXuMmBGi8TDHgr2ESf K0UJT4UBZnDjQn3IdQWoKcCEvbfeIXkHrP6XjVHI+icRnBq+vs//5YD5guZpLpd9Ffti/69vcsp+ uxF77YXcI/+IFTjTTsuxWDYybimrWOS6sXLLXB15zpT8j/8nD2wUVouu671Z8UJUKI6cmfacqAtV QdThEe8XpM/cnkijXF4lWzmavU7d51LxhQC45CayX25G76PQKR+kLIoSzHpsHMiB3x3oBXvE13hi 6K6bYfbYRh0kLbckS95MtYoIeT/D2LL3CNyBqsHqcVPNYQ7FXWDu08DAqF6uzJJf4AljepGIBJeE EYwwAQwxbcFrdCDYhHolENwxdoXwA0mcKyvtJjSifVIK4tR8LZGc/oovs4WuQv18fHylPnyzUsjv w+YEJ1WYxCXDG2CxOEju4w6QVx6ibwmsorTkGZI4JibIg2wr/WYHcofcRhzAJV2raIuLayhJc0OC VFTi29B0fJNsnqp3ij4EOBMMeZ/kHbycWhtwXPD12E2FkWFPx2KscNDf+/TN0ABuOHU/u4q8uZzb A3qVcScjpZSh8cc5gMFmJqOoBJCLeJ5dMcjZ/OswDjhnf2Duo4aU9DPWk76M8bT6hZVk2deChZ5g SN3r0gxvIEg8GsB5WeaCUoBZ4iMg6YwGGji6rzlsWuhZ9bMrgxIXsaMAb6ChQDwvtsUiTspFroDf 1uoPdQ/1/dI7tWY7llZ4iljDePW+TtDaq2vZuubmiN9Txe9IBUi+nLGoaRo6P17YA1a9QjcVsJCH CmSCoUwtqfypOE+3cndpKubI8CSZHTxyc6B0yCENiz93CECAmootxcQBl+2eG1tU0aZgxqyvVDS7 6M4A+RSXMX30dYi/thVEYEG+o9r/6/e7/mcV0YD77sQgcXpXyTLJdXiIkuwazd5WhUSLIqcXjk+G RtBHqdOQqSssAjU0+94kfbsvMV4K9LxtbXhdQRZeCruvXVZW4ma7ebZkSpqgwldryFueD0TvDl9i Wm2yKiWiTphxpKCZmbIMO8p7bho/9pa3G6jMqZPHZXwSup/LLhItAb9tao5MyAgkfs53FrVh9hSr SPwIW9+VI8kFAlmWTxXM36SOkEVxdicmOXPdP3hcTh1le/JQsc9+W730YfLE2c7iIavdxSvlze3w V3r3wetoGNcCcmlhzsMB4ISZxfEHgVqyQ/btLqcZ6YdyR6H6KwGHDZgrv3mN7B/hOBrLKLDPekTx W3WTLWLgAS8kYTOCE8AOMzVehE79AF/W8lbUf/m2S0BFLCgIO5+49DO0FQDfx/Y1/R8RNem+uSOv 7a82aqRQ9AdxeUwsiatWeggxoywRScO7JOjwNt7zFzsKWNsiVe/xgXsi+WeJS9WRRNkbu6d4iiO7 o3Z8Rl5HjVFgQtH8X3UlqBctEsElf2GBfmoz4U8S664415EXEi+jUrWALi9OrpZ+Bc9AtBnWocVN y8F1H/gsivX4jQz3V0vC/1JnZNHFLM8HWr9GQddH+DZJ4f7V5DSxS31m1l6pVbUR16fDzkx+hpkq nW/usWwwr7sNhO5SI1u4JjgOqcs4grJ5GYnPrXNR+17M7PO2GpquUB2aafFEYkcjMptVFdU9Xpv6 bcRuygkrndgQsfQtNXjU8WOiq3RwVTW8iMKAfXOs8awSOfyF67rGuMk48x/TAiVOVZ1dJVJw6sm0 ny1xr5wjxK/wpBhx8Kf6/EQPV6qHeESqJ48VCLS3JnQXOedXsUiM0gzfPCmx3ckSctFAZQPSMbYh fbY0MrJuRo//rCyAsw8ziYWqS9tc0TVK9rpnwEJMb4tj5zA/b+Z/xSsPLq2dH8Qi90zUxtPxTSZ+ H+AZOY2O1PabZT4Qe7uH703pV6hbVFwoYOWMRA0M2w0RI3GgqoJw5j7vUEm892rVJ65Wa01QqmoM 8BhwQAY3rMJVHCsi0Ut8bkG7j2HbsJjOwu38QJee7v+Uir/itLqolTZpHQhsQL6iN3QwxHBID+eE d4hXS78DgA33KaRCp3EysLGFx6icK73OqtjUlDeVjJCOFrESBVA7iqI1VbSnJ82mmJJp4HnAD22z 1hzJtpGV59EJrfT89HZIDVpzfO49ITW0aWKZcJ1hX9qMQM+BMoL4oLtSMtt6pwRk7B7QBg44diYh 1f9hCsG9/9lx6ImkKMxTQngXKYx91hdxnh9A9SnxuD3CNOYH3Quqo5EzyXncbbgTiH9It7R4lz0X VNQongvPHS0bsauVSFsAD0E+5CXrs2i5Gl+O5dom2EDVJh4rdqBMGrhcfJ9djwFGbSJ5dficoRh4 I52FTIpNfaE6KUZG2bpvDV8vktBzcJUuwf+Us2qo8kiZai+Ay8GEjGox+Ujm630UguD9Y+YC9VDF wRcXEnI39G/yUOHiP4WUfEKHlhxPOAEUR00/sYtdblObEigIERYms6roDBJg534L//cYww4QN3uE Ut6qAc+MuzvLE+9W+DQ3WxI7tj4lzrNyxh0b3ZRX0ogAgqToJ+yqrpKIFG4COUxJ2XtuM1iiQu2A 9D5j38RdRdgOW7MNOZx6O4rVxQrEtFRIH6TTY+7aRBK6b4NkU+AqwPU8w6MXAtTPupRth7fEKSwp yzXfy7gkA/PjvcwAAPsdPOucbgx4QkgCcao8OEYbzX9/uMYC1Bf+J1MHf0N+9oDLSalAvqbdK7P6 hgJOtsViqcR1NDJ4UbD9SJ2lOcsSAu7LPMkdNUwr3723hjoj7flUW61hiAyjEJ09sUfP1W2a8ce/ sddT9y7SNoMQdUodsllapOEoqnkVak1zfL7X1l8xvbrFyjAmsXpyyHty+NEI7VNVoMy48VJ98J/b GYALP4wIOWysfIrlkuqcIlm/cbT+HnLaizIZEUkpDeA/h5VpQNM//Lroku1ZVGqLZqMU3fAq0T3A 2c5EhYsIRxQ+L5mM8h9b1l6FlVXauz9R9UIiUkE0Lge4XTcCY6a9SISaNLr0wvE0jF6VwYJFbRXa 1qzeRn6wJfguAteOp6TR0yO1w93XJ+S2HuELYSHW3CAEt//wYRfqMDfV8V/WnXRSFqLZ2ygI+mnZ cTBbYYlW29UDirFZBI/MM3oaLMjPAfaofJc8StXrtmJ8Q2IpBB/Jdeh7E2156sEt1FOPcwQ/O/df gQBVil/rIvVTv+FS2NEVeYG8bBVeKuZSXELTUz3Kx2/6Zjr1SLKmhnB35XALXM13g2X7eT2KF58w LuEKOA0DBAyf2U+2FQRHzV8Hxjh6gKEs6pVjtnlGbsTkQfL2QlYGrw0uroXbXeklcWWSKExBLcuQ /YRQz/MhfjtKXIvd+qO4K8f5BfWI/0yLbX/G4H4HV0KuZhvu+M2pzSNTLWhzWzOijLNQIg6xhzOe DW3pukiZaj97r7XK1P8yu688zsSV9RP/T222RgBuk9pbr+N1X8SA7W7suOzgLUYNlL0q9iKdfq/h 6qKJtxscaSjtwD75x4ofs3zcAr0xeoiEHjTQErMfS2ddYLCyIDanc+7ZT5SGRXbkSWtshm1W4U1r jk1x8zEivaTNS2TisZq9GWkY4WH9A7fF0lv9BhaE44ZpBme5Ax/GQ7HfBIRQxCcZkmp1zsRBnvaz 1iZiMh0b9ORIi6EU/EQrdaq2TUGGIIS+3XFpYKUdWRq/3l8F85PTleIQb/Vn3Nxxn5CXRgbxOfNv sYVGJhhhMLx3gIqgon5xH7CyL5JEAwyfbYZxdE2TysUh4Glpi6mJH2xuJ6TcEAU23MJ530jSj06W 0kq2BdZYi/ElZV/nJxPSpaA22fRtsERlzZAywjtg82XvvxH9Aaon6etMjE5UIi0bQhCQZqlKmnMN 1npZ830o8OWQZf+XZFvGRsNrvdKY2LCcNJdTEL4GZIvkxCo6b65Ooqe/SmvINopGRVv3s9PDIb3V JhvANtwhl7gqZXkbsKt0XbzDXzmyPS9+TfgmhQh5NUxoKYGT1GgGg+fGfSUSEfY4lP7olSF8Lyjh xMTh4ZZhI1UQc2sJrXq+CkFGFqo6devh53oUiHTYNh4VARIqlWqY9TPOw4Bp3V1uciwznPShPD2R WrcSTVvHeE5Yxwh1ZRPUlQHjoh5oo5Kml+j0WpjWdZJxmfQP0eVSjCbv5+kbpV5LWryIaUmuiXa5 NmwUqdAeR6UoMVEGse5PavyFJNKPlV9OcwdRIqVZnSRAKg+n6dgIUnlbd+wfANKug6fYFT0skNVs Xr9anZ1UsMsUgUNVCxeSfQ57QZCIV1DU1j5k+2xJbgQ4pQ60p0/hgSgK9CnXCqGQFr4Um9MyXy3I IMrtb/aPS4MYsXRgcqt/8mD7RcDSQsxCxdqqoSjWJnBCJopdZxTqZDpk+4SZCRsFhldl7xRbeIbn mQmVo8t7RtHrEOMgV9G6SuyvnEmuIJcPhoLPJp0mWmiZi7m/QxHzXauVDi9e2VsJqt1lFCZ/thO1 yiNvnLnpz7Rvm74jXKJE8jMmN7yQbR9qtQtZbtidzbW9GPN8urm4Uh+NrzUqKBBW7MhLubgLsSoD 1j2rdlhtObEk2CwZAwvxoTDqyqh+OOQYLhTzvgBRf1e50bYyo5Rvn/LS8RBb+vBBjX6KDoPM2OM9 6Iniz/PxHRmpn6TQHpjEdFgMA8CwdtBUhAbNEyCwIPNL8yuJyQRw586BdfA0XJt0hyHEBSPJoRZt g0wCC8JUHqo3xQ1ayq4TIEOW7Zw0bHZ0y6gs6tRuWERO3qe+Apb9TPVvg4x2VEnBGH8EUBdWpOcj 4TRSuM0sql39DHNtivLAWIGrFFJZlryIwHaDDg6qUu286AWGOY2Z6404PtEoge1+yskUriUoHQHy lfhRaQUylzdaSadFB/uSAlNUkp5x3uLowBjrQSGuU3gIbE2F6dCogZrrjhee+yh6IqkL92xXWL7n xtwM4Mn8/ZhkUbIX/aDVh3yGQwevdsKgeoWsUAN0XP4fDlQVYBoqh8E5LTR6USaK64xTxQk+VLk1 T2bOdgVaiDhUHbR/rwlj6UKmoXIh4ZIsPkLGVckKyVQcZz+q9eSq5oYKs7Y5aSgfSLRNyIn3LRZO lvmt62UzVwoquLJItnjWip6eH2EpGihP2omkjkmQbSQj1dZvx/UCltvf5X1JMOb2LuxGIvxvgP1J X+i+371ZS5uiUVJM/Yb4ZhyaDFmNKhBIsAW/nZomJzshKKNquPIJQV8Loj95HeiNaxlvsDg5haH8 9aon1RAIOO7vxxxdXc6y6rqYP00nNfitYX4NEJXN5ZVySTIKvBLeqdEQUVyrzFq2wVKxD5eNg3E2 j9qVwXDYxqYwrSIfuYJHyLLH/WvPbmZZBtmg0bL7zyUxKyKEZ32s0rZ4jz72QC5QsNIffNOH4RJD z1hFpJat0E8hgyXX+6R3r3jkKXt6pKHfID/CUVhx84DzRyQ68t2KNxZ+Rx/l+eSTzqHFNeqgtO91 gUK2HPLMtAAqrv2Qk1Ti/2puQV1p7pHd/1angfl8I1ZA3xgLLv7rukXlL2GD/cgpcqui3fM61GAS EJdMhfkSxgbhoMm174xsUzN8q3QAJfYYaL/td+X3iKoDUTKTVNsWxMFJR2jGVRR9Q8nuOpMTKZN3 8Hi1CwrqVTBdLVZCfSQKvQ8Q3NUmS7efcG+gSu6+jEZ6Ulve7PbwxO2lHYu7hZqblyHHRKVhoRqw IaKwuE5+0OWSPis63Pui8403zwnbZqfUYEhHMk+SKYigADP/YAnEfOyLuIsmvtoZpPCiEdrxqHr2 /GOy4mdHYFyPDuiJ/06rf3rHDhEWZVatB9ABjHyAMD8ueXL3NkVH71mw/SjQWeeQl5Hhg/TI9rKb ygWB1s40OrWla4IubKBxTE1Dy/8354xyuhJqvPDXL1iXLIQfP6vEcWd3Thg5NgBWFlrjOfj0ECMA NgFoY+naJcVmUeAi88jw39B00BjRW+Ims8OzN7qOTZUKl/RUOE8BAqWtULIHq6FzrKBBvcu3M/iD BXKXy33xwIErVu17EShpTEF9QDRlT3iL92p1pYlH2qRmhxmHQBapABDypZ6rfzVIpZgFHg0EXJj4 jp5slN248+97TjLR9Y62AVqxoRq8748lOyy1m0RVwOOQKvZxGKraASMPgjK9tPrns7zEhub5+lAK W/qf/S2V8OKswSWWL6PEwbgrsDvvOIB56DWxctV2zs+Mpm/5sCzPkq3O0g4KP7H2obrom++koyCr rvcIAiCiTQ+FhKa2bIgHFxc3bo1k46TPMNh73UZ+4PcBl3nuWFIsXrdD30halyz2IMeDJCAT2LbA oHXA7niJ6WcxD/QAG3LvROaz9miP6ybKEZLFN4BKdYWn98AKHg8tLrVHXEhtVvr+Yr5aFz/2aAih d1nQTHXqZeo3NStCeYTG+Hj35lQBoPZHIJm8v1HU8/yHYSRsmgy42gxp/lDcKwLuJ5jD1Klr77E8 AnpH/f0TerbKOFDJ4Bbpl+bvLYVcM7KHojGEDsknsvxM2pUOm9Ppsy2JCe6zxTxSPP0iROLC+hx4 IHvcc3ZefJMR/kn0haIXAa4hCqiB7djhcfGqRp2g0Dz9VSTXE3ul9nTRMpAMTaugcZfMAA/5sjUG u8myc/0Qq7L/npeIvnUjyxFQhD5ssTGMErJSJPc5pPOHUjYSiiYB5Q/H8R8noFpg15yOmVajYOlt oUy5tKK6okGhx0fq+8GNuIJXG+EPeq9WTBcJYkGJsZ0OH5j31xdE+9jz1NSdywrS5XSCWMOdJJJj IHyEWS1N21IR0KaP5lezgvzl32SJSAYU7+cHMlAJgyPsxfQS+5Ju1Ve3Q+5LYUdeNUryW/lpRrxd PrZFFtJD4FtJHsXl+RC6Erq6wN9vK7rMseO1+2iegoBdbvQ2raErIslcnkxPUYsfuEn8XO+mCZjW qBD7EXguTBvblg9QimGt8iebAuQU1eIJGdd/R2sO65bwh7wbMG/7noZPWXueMpIMuQOmXShWvS1G 0z6ZrMcRRs+crAKrNMqgaHpHJ3LhwQwFg+C0jW1V8TsgoQt2dnMTG+psMdlgkuXAMsr06I1nW33g 8KM0ZkaS3yFRSjQerWuILEJ78B3tGZIFSBczJZhwEFyg84SwsDIv6ry3HtiGtFVfFccqc0S5+Lj2 SSV9DXqIQZM3TwN8IZTBI126r4chSiXVgKgAcIk+chHett9v1plViVCdUChttN6DgZRkb0wDRNWE hOJK2qvJw93i8rbTmoQZmq9lBSdPqhRIdIDKmXX1PMh0ZHVCuKxodcjjGKZ3k/elOunkqp4JW0wm +jsXhoVadL7YV48HyqJav//kFTD5GQpD9ydy+s77sCj8aACyQBxWym98LUCnpCgAMju5KQpvU9Xj UBYpLPZlktr+KA8mAIVBHXWzMYxzQarsVB9oXHsIu8CFLTvBVqS/rPrZGvz203yFsFzhNIluUCj8 OYHUh4JTP/9t1RG4n08f0P3gAbooBzhr3ZEf4WbP+jM6DONanU6kIfrteoSKBLpG8lwhqrmqzeci Twe0ZlidEjVlrln7EgFHXtPZE51bl3yEUrAezBENJW2PDeX4Xk6BVQYsLEcvjjLADMMEcwwQKLyA 5AM/xsZYvWGrZRNsm7ZE43s3BhCp7GuYTVYN7hG0VlAUeqp/JzVOVaWqePvFVWmC2TXcD/OBzQGL 2l6cqKYwbhyAw4AbQNnB3DKBOw+ytFjt2s6/EN+DayC5tsIzIWeimQrIRe4c5dHGvZqD1hcqb/yL eshdmfD565uIE3iuPhgkvgfgytV+mdtZfUltSAa4mrE/hiC8LARjI6+Uxd+cGXagn1KbM/VBmOXZ kRt2AHZ678GLfrHTo8A7w5r+y3eKxlpqaFxavx9J9qlotkRG/r61OmRbeps05YpjbVZI0l4lzYXI lv5s6d7sVqG1/+um8nlxm158f5XkmuPGwecG/J+6U+OeBUzvZDDXuur4qbLnvVedOK7tdgJEgIS/ bi8/AvlFD0Gm/eAUDo6YN0MCxaDTrQmQeWgPVmejOsIbylTt5aLWZTaT6ywUE0JS3cODdHYW+06P 1xKtwCThd967DIjJ55DO2FjjoznEd0vZS46c41BPEAhE2WSdJhq6lsXHm6j4DYnpcIry1M1oH+In e0RNKrZhCsCSQgqyn3B3D+QG3QqIiBt2b+NUb6rKeRSdSb3xZODE9gsRZznWfhLuek72BL7St5Kh vLZIBe17yt0XdWPzvClUadWncO3ykIjNnaqcYCu6rnvgtOiRXUQ/0vOzA5DQDUgI0NsYs4mDbC6z oJ26Z+xmQFKA6+TaFwLmF1qG37aXXwdstNBMuSm878z73ZJu7hcvfDC85Eagb2Sy7p1BG+hj5uR3 gK8xpsRIgsVMuHF4wONdCUR7xoaI+tSJ0BW8lwOFOzIartGV/69possDTSTcV/kNcfgVrbyHdfFI tB0A1WwFcNgedkXtp1UO6ujn86gUTH2WdBeAnl2ERpogAJSV1/JEaDQ/hn3XC8MQtS/VsWqL7op6 1VeHS6OPpYLr3nf8n41tq2+FBZMOBeU6YQUpKJXzmCkyybKUl8mKP6OrCItCgTtyk0GhIZulBBwY U8zw7qNFQvxuhec2B+2ddOkTtYq075Hat9CgGXou3Tu/fDBaU/NglhUr2KO+XG3kGZB0THuoKnNz SZcLyQ5btyRlq19FzxT9bf4zhCs7v0pDFVF/onncOkbaA4ufxLbXsDs2lD8/H2i+TdXCrE7FdIEc Dok905Ydf6ExEl9ipQfjcTPFCwY4U/5pB20BstHwvkDtAwe4tCv2Oh50wkfJHVClT1FNfjinnjge DSSzId13bR//KfRFamX24NFdF9Vxa6hKHwgHX869HtWPoQMvAUO3aTgyguOnusZ5WUcsbXx+8TZa SjNNBQPJAtxziF46tWBtCw5UA+GJNbsEKNgIAYYIqeksbtZ0Yu5QjplehU4oRmeBWRGEjF9xEMWw UPbX0zeSSkpwHMrPYpXIcG6XjUzRTyyB/QuivGKLRTZ7v9rNGmhhlT7gRPp9Y8TIx6CRlayZomTy hN4gWHPEbWdeMHvt6c/OqazCOZG6iABY406t9h50Yd1GTgcwr9Z/P/f0wHry7LHJtKO2VIeoVVMT 98f8+F2ZE5urXXFSGgMhHZYIY8j+ZDX+xI0zHrtZA+QzIeAtcrTk61+XhCZzd7wANvv/L7HO+WK4 LEOSmZF9GfoFj4QrJyUGWCMYSaasPZgLLRupV2mOg0juA0bRQJOUH65hCofcmVBbFq9NzaUGtm3F GwMgPw61RBtsGf/pEMPEMn0XiAaWQy29LGCaw8t2/qL8XzbRgqp/d063ddEHoCKGGON6dHAtpt5A ZjR/4zmNhLl6O1vRPOf8FxymPsJbmTQY4/SzKTfJnBJRD6g8klz9MvDKtWClVoAHfXCN6Daj9E6h buPk/smpWMQJt/jmV4LoQTtCSYB2kX306ObP7CFZ3aKFTeDu2vGu3yBnqTS9/GD6QRYVFFgIpw+q 8otgJcchUXdPGiRPrHOX6RF9qHg0UkWpkG4YwwZOeBfSU3t9hSrArBMbv+68DMMC8pfwjpMNKhwG Uq6yn2LUHYbb7AQ30mrP138aLpYo6RZoGAwZwfypErY+eNinia+kfo8ngDAlXyiS6NtR2/UzOmw3 BZWw9kPePmS0zEqs36MwZLWGAc0RjzQwKNZP7trzWuWmHZIntRCdLypzwG9bUegzY7kSrldw3Kqy KXhyUClPfSyG4NlDjnGYW0/oYHnEbAhBZlx9laz2Z9gePNYpAwICGDBWtWXZptH9HwkiH8rEE3sz 4qqEiVXbKv/rzSOzwmriX7+Fshu6JBbqffvy89WgeQX74ABcFsx1wGMxbmpBEkeMkUpM2KWfJ2vc xJ8VZlqB8MECsG4GtDlEVKQyEQVwlXw0dZ3Grifk8CrMPn7s/16nQ2b3ctv1E0MS1sqRJpKtvJ9T nrRprdL0Mp/R3pZ0t7Ab+MeFoY3GEJTzA0xicOoeC/SzTD/eVuqtKpXvAsO4C7Gy6s57QQQwjjFo e9OTL+f5meWrKbuQnQ3ekbesRmH25gzNfoqsvb9beaSopcStMTsQ3i0ZQQ21pP+L8sS5FSvC4l1r QqwAcDuUzxtEmh5HwvIsYWK2smKYZOWwyye6bUYaqLQl1y5cVmqdH+6NLDpSlfvA1INzv+Yuympn hkepR/vA24h+OYjo3hk/Otw1cdHBTIGJbPFU1j7v64QtSJ9BKJzXmIwM/lqsdVJEdVVb2wqhJzmY QUmJFwVKIprB130eNIFyRKWEN4Q8H8P8ZpqA6qtpPlv/O0tDY8MhNdcO5xwooVOtclsEBwvTtgnZ ilBbvgmVjTH/cpAkbMLm82HaQhclvXh0cXXwM7JrFR8MXeC2JlDNWOud0haNrfw7ywPMHIy52ya3 olsd8vuS+DJ+BqDklQGNr+4JSKvxOBomKzlemHW0ZFyqRFI2mNoXkG/ArrO5b5C+/sjQ/ttr7Mdh oPdlnWhof7DVartZjF5dYMaQjaZnRAqpZe1Q24ilpcCFCgTlFlHE3IdXWKYUi9jt9T0IpPQ7+MUK 0SeP5BowttFq5xDaNSKOa7ZKJ3SJmP8tWDcL70Xbld7Ola4D/TxjxtPv9iqiBZujQAY/mWGuJWJO RWWUlOHNmWkxSzYPzJjaso4E+/JaNUrBOft3d/7otwxQqqntyEwGNrhUTHyKw+IJGAscn4MbSGpA x9fSu2KV+NdApSUuc4wmDSR69VaKBrTxLBsoN48w6ZD1AcHv7u4Mma0cKnvu2civnrzGtNo6BTFI +7oXTDv4IvUShCxRC/wlcNC+kVtO+N+WF7IO2IM7yIM1oN8CyFhvXpFzhvICPCqIX/ZdnNmUxBlw F6rS2Cg/oucb23h9Kyn4O3UDR0g5dIW12ilgCoTD4jAX7Va4hybt8DEbqD0P9y6SMBx5ma+79DOD 5VB+9NzUFlEYeE3fUfs0zcqxnnWOix/h71IDCts8NlSZdV88k15CK1SCHHW/f32qzxgAR2WYeA7p GJ7zCwmYGKuLQRn3qomP332dToJF1jyyH4XKalV2zbmbXRG6qqpq9Y9nR3QrzfQFhmX32XC+oIK8 I+gyruaWP6ZXobGFsiHzr6F7PpiqOxhUllAfVBqIUdeq04pD5C2dp1ahcIzzW0L55N3V3HRypkxk tM7T2d+GFG10P60YX7jp7ldE9eWRop492tH0dCgDgLq7XtVDDGEHCwMROCPxxQlA/1zdtYNOGytR fvR3C70AsGepdTOhy4Rkrg+CV7GM0Pq+m78qnrb/7OQgeF4IPvqNM16uZnFhtqDuTLVGB4hWYHf7 0unQcsniR7u2cvY0EVNbBE/a1tLgm2B2bNpEkgxvqwl5V5UYIIZtQLGVXMahuK1+bNCrY7KMIX2e Usj8J4ZPl0LWGuKNNEMGl+/qgtABD2pM54k4jw4oafBlx1lx+iCSr+Ds+9KEWDbXZY8LAng1PXj/ XNXbFxgF55SWLm5pyrtpHmv4DuDELQZPRh1vC4zP8Z0P56lPuwA7lr7I9NfRkmhRC8NaeNcAYk+4 sFuGyP8BGmvNdtIYOULGIG6PEf1cJ2084MXdJbya0Gthfc8RdtR7liyKbBuMdlr8SFXnYS1q/tRX hD+SOTejXnOnME5NYn6XpaNdSy1trRi2S1bSRlfvEhHc+WXGxtjGHFAdgWKHL2ho795h+zFIjg3w gzoqzGuz+l0GCpQsS6T7PvKimr4Bw5C5o+2goT+tYlZmyy1iXumsyyQwTm3TihLWv6qq1Tphvgcy aXyQwyyqytuYBTKnkWld/Z+upPR9Q+y2tlp7ELAaANDJKDSW9o/k6VCYfa4kHwacBObElJ02Wb6e D5Z8NOnxaCOBBMVTMl/ifdcQqGqfY+rHJKoYGiFhzVeurbikXy67N7r6ohi9h1GtMpz2p57SXYgM 3L0KsuzXwVLlkg3PPGHztZszWPvhezozNA2WFBemYhcMENymg+ys4VQhQUT+YSv5vvq3HBAUekxt G+DYseKOyfdL3ayrfewlDt8SPHMgDm0cyux4ohn04O/pkszbHFQqLlmCzPEgaaNDJJh/eMCtpQID cRR04HNYCI4IasV7duJnVVLDQs0m6hAkhRZ2xuBA6I8H4aFaky4DooThYBDgv1YSTZOYPbVyp3aW ewkzaAclRrp0zcabiYToQ6v7U2R0hNOgswn80PIZobb1hX7rHic1aErWMUanhKp7sXBW0hoF1Op+ MXBDp6C6OWXtaZxoxvSXKyctUd1/iIwAP0Bj08Wg3ZNNuIdArrlIKtraDXMakCqT7NiU47xyfB47 Mw4Q8B/Xl6Fa8W1aVyNfMQue8Oa7MctogEyQCP/OTZsk5AViXFSKIpAZ/DM2T5hEsOyzFdOqkqQH q4AMcWrGnKPrVu8c7iaw1xuiUnT6ncR7KyYAWA33aF7eDlVokDA52ulbrCYS5Zy2BvCWuuN5eugr 5nosDDaSWjCZ8e0SXqQgSWehOsjSoqLNbk0DBLD2ui0yOVOv9iJiPG9BCNFPMk3KIS9VIAZs2DjS RR5SNHFYOqxGHai77hknQFL8jrW+ii3R1vqdz1WaBhA5gjcI6JfTT/8AkZF6HaHIpLog9J391+HS oZZv0Qc5lKFq1TeaLwzKNml+uuRHIm4fmPksR1lg0TGylIhs44WZEmjlPGSmb3U5KrxcPMNqIA7m dXEpSIkdkZJbCNVlgGAbKGF3jo9WUn6eCW6GWNo+wtP7MqhkM7/6Ex9WNqnTi1LlYZLjg8wKLv1J mfLSm1WAoj61PU9cP98xaKTnFkCMxopp7Zp9XTUZ4/QifWojtWs0meDaxgVdDrBgaZmsohZL+QZc NNx98TwQcFHCVFFnnwMiD+OIpERVoRvz9yAcbymbnd2QEK3Wge1XGVfPMirvxyhMviY+B3rP3ozr C2rvG3y4qQ1WP8D98oJEZvC4TCAFWHHVMrVEkMwedustOyj+H5356rMWH/srlpzPHFnynpfUdihr B8GokyHdLK6XN1Aw4yovpgeTK6Gg3cOVFh6SbR3tFhpZo2007koZ3INNdPvdYJF0vAcVzrobB3L7 97nYpMy82bY1eRafHHLbEzihHXVb0IrRfgmvipely1yHjgq/o4qFgCtiL41X0taU5bytePH3Sg4h Jpf+wWXL/PyN63jIIhu2pFGhwW632DzfqrkfVAi2Ejce4Xg1fplkVv+iSqnDcY5ocNHkQO2vlFyR vVmmBSwFsA+7v0ClLShagiVQpbgSnHCda5kR4YUk+DA27vEoymFsshQ6A3CTDQblWH31BQXkqctp M2xUu28dHjeEIEDJARvL4g2qdUNi1RaSClRxWEfBNkECeinJd+OejR6oeC3eELNFr03bHj3BY7AT rVM7VFWOKKVjjcRTIRTEe4APaLQkAMxQG4sP+++icLh2Pa5OuHyCpyNhLlZ/G4KSoDxCWsfVo7GT 4j7o0H0fGTTkzuvuc16ij20wIfGp6oLNZQxVnyOryJYzixGSnfYcYUpdlRv7glhsGMpRKLl51oxr gh+nR4+/x8c7BUPnab2eHJeYH28bJMLgBViAig2HUSDMijQDCS2Jmyf20mljUqWaOq1Vmljm4MoI AXb4clthtHXo5HM+IeLsyG7qshqLLxd81mPQS/uuiVJGXOXxXJnIgykJOVFd68b+mwsGLSIJGSPR xowyhS3UBKZN/LFKigJuoTAf1VKLIOOlrn8Ndru+gVD7fG1RgUUBGK6v2PfECNyhzEjvjXCBn3Ko uCBiyBdRPEsbFUNcF1UjYZ6wN27LLxl8asRwDXGmGUrVchFTkiL+zKMQsGRsorKm6qF/wMeOHHgY DTBP988h86oYnIJ3rm+JJv+8+I+9P7ASWHOkZh7O7e4DTWgznsfu7oXVZfYw1EOWkyykOyvwlfFZ HxBeBmU4qw2aoQAY8E9RPXjWg4kCCFz8Tj2nA+kKtajZ4MN4iHD3JDwR1yrYGhE/nV2gseA8d3g1 v4M0/PHyDgbPTTQeqyPI22Hix6k1EwTKvZK6gucFF5/vONi18cD2bzk7MJ1YY0IVR+nYUSagzLvg tTMELNTJw+OzbvUlRTP27RCQ9ECOfkE3PdJTSLLiKYgsyrmhA/Taac+mXoz4A6y7b/DsHWWsyfvM W2Tn4rHmV61YqpXWm/nT/bzUavBcBPgUU9CU8OQ7RBMH2SknkPPtp3Vg+LXuZRnuGrVESpSN92EQ CDZWs06vfXMRk2yM6zGu9qrS4hV4p+wOKo0jYEPcnN58Vco6tKaos7QsFmy5w77BQ1EHA/g6XZg6 KtHjabdXFS0glaVY2vC91tUWdb52cihnwuvID9uxiLKytj4CIEPftnb9yikmAUOdtOpnvMQh4BAE lQSvo3iWGmutCc+jCo/NauJgZPhxyQOc40kocl6oriXb7fTOPqSPcvYH2mJHHUsJV9YPzd/1S+7g mlWLqBGFPBl5vm5dZctQqsxsDEqUTniIShpEOZYFsX/tNoBM/+05lHqnRW3L6PNN1wd3Mh9zPgRV NETcShgjA4N0M/KIs9VS/YH65Een985qNtDtrIgV8NcZp2dmQryQqn4wmO6m5m9ESSFUpp9Gm0cw +mDP6uPAmYdflzoD8A2YNSHN5r67+YFTqkCmArjVHYbbxa+hkmA53Of3/J5/BjWA/zCTQGZXrG58 2UzrIDKBBREDkGBtYBUqRr9kjdg6nxceY/8WcW/YxjIKJHQO/V4OsR/Ks6jnHxQpgVijEVBbV1/X uDMyysrtA7mFxIlaSjEMuIkjUboBMOmGB7arF3cENigQ96cSsD/fkHRtg35/0jJLQTWwZ6wHV6yK d6GRrZ2Ui267DiyZVLyQbI/jbjNhW+/epKEgIqlI/a1mSmOYv6DORRZyRuh9eVv9uPaKucbQK21h oFF1+NnIpwpzqUaIsKcsV2MGRfUaFLaIg3vEr8nvbiHAua5VEryR2+CeNinrIkQiEVtseURVPQhB TZSCWSCHk2fzzmCAS3mhBk0ISpB+PExMiBL60ZcjQzPbRVY3mWfyMQ6P7TvacHeLU3qi/TpcoNz2 lnS6sFKb9pWdlRXgTsv7b7YBW3HhRm2b4wK2dNUYYYwQrWWHRG2bbbJIsKyNLsDIuKx5b+GjuYH0 v4GtlLclFS0RWkPIU5BSgBt4rR38nCKZhuBLOWcBcE4qBnktbWCZRdP7iRBEfdaMF3EV7F7EKEZ4 aJ6+262hvk8BTPDeCGo8S8Y4d1irKL46KQFNhnP6S301f6jCaKoSgNB5PLGDUwOd7Kk/PhEiJ5Qq Ungqh6VuEnWZzjNs8il51ZxbEkTm1R1j8UFjnAukgC4ktRbkKG0De72g5jsZtD4hebCWCoFMbyAp Kz8PnTbV40R5HxoR6ChrdEejWBIuJ864EFATIs9vcoUAdcMtuKDQRdtAJzopTLLFWDVOe8jWUHhK yPTO4Jg8DsEolkZ5eTN9vsDsRmQHMy5QZ44NeyqHRDPsNIrA6WKU3ItcjDntpHFO2BndDpEl3U// m6+VvPizIYohUePw9q1Z62JzHcciKMZYrJmtk2MGjEKOUvqbgqXcwTQFigSHSJUofK5nd2qAztLx IjOwYhgWDIs7/lnfl7CeIz/jU0dFiEFN2F1PE1JWyJKh50rPUeBLdlStuy7k6V+b37ICpe23exSu Iy8cpU0arRkko3reoRAk4g4by67GV633FnyVklw3ML16gbPukMATpcn63u6/lggS/RfXltF8Otwp bfn2sB979VOgL+5qRhtg3n9ffOY6W4TZFV+NW66VZd2ruJ7b/FSPgO5UxH70UBRijVz7SwFl6B2o sYQ8tn3lEGKiDm/imkUN9dRRjN6vbUNmbSbuP6uR49jszMazi0bq1GgD/imPbl21tFsvow0GYIjD N0azxbBXLmzkfGwYYRhAa3RauwYJX5zRFq0711Bf8PdzC/Pp4pJ9lUZyZDpIcZmxs/2rx6YcwHfC DcKGe20pD3oDhCR+Dd5AGzvn/TSacJY4EmiCj8MJRLCUPjJdipPxR09oyt/clQ+1XE3wbfvviWyG dZEbsMI53SKBfC/Tazlw6wbNJXUAaFp70uNq63NzStUMRhS1BGAKxB7fPVpKR8NL/oS1c2DE4eYX jvdg5Tbhhg3Q21LskyCjENowIaSKvgyu7HcvBw6zxYe3oss0ES5aAAeNNRiNlVQ3+xLepqMFH/fe Xilq5kQpR99Dx9/lSa1bmtYA9+gmu/EtYPA5RqN7wXDsH1sRQRvXq2B4pAqcDXnes0V9JBKA3QwI NbepooNK4mPstWNysfYMMJ3zo6faxv7+HZRtA1f1TLX2nKlrrHRfMNb8OlgLaWQNZPjLmJVMRowE B5iIxDFE0tolvba9hWzdyuvgl6oJs1w7VB278kFqJGi/0uarhaQKuNhx/7qZ809tq8VsXO47boJJ hEGOA5s1IPm9Q3dzq/raHtkFmAfgaRo3l7Zn1BIBGuVDehDX1gUdypgJ9qhYL1Rfj+LKtXDs7crL 3Gm439vwO3w+89s0q6VHgAgOk2LEbYNMccuuviM0qfUnMQe0HgVCgoC1nUR17sCcB8khKYCntZKb WmlPvP0qBrfH871mw+x+LCiCaJBa/WLJNxQ7kHvBjtLrUY/MzFqkSG7BPXO5SWkv4ltWNthnZe0g njsgsZaz81GwUQrAnW1+jtalLRWjD35Pnhbxpam+yuLQfzDbx5U1nmuM5XiwUon7KPYxDDFd5USo TOpwlIsCrqqhV0qjP2kgtfDkRoCE7fe45BILx0fqJdSzZ8vwn4wVZJvPOT191zVrPN01xNAst7+M nfT14FmZ0OT27FF+JMdnLX+5g25pmopIvQCvJEZ4xi3S/j1wNpePQ+TXlKhgPOvY3CYrTdQ86U1b vAclqG7tbAcVq+ZLCd1wUdDAhgnkj2wL/rNKdE7EyKIKwOFAfkSwWiyhnEDGiT7+1QnIeO5P2pZq wX8f8vvN5MDpCPwCukKM343F73a3MHn7Jz5+LAe4pGGh+t68ROnQoklyzhkoCkB59HH7HZqbX4Mw AMAFTefQXPHzzVfTRkggOMvZREt7P/ywyVdAtqJN8Z/u/n5eNM4O4GOtIl1mUqLy9+0Ol9/g18B/ 67OaLO5eSJ26qlHZvPNUNL4644wy5XItNw1pzTmbR7uEWCSwMYdX5KvUHwFJ3VgAMDTnEfAP9BJM UvVUlE2ZNt6M0p3fy/b8J8T+ra3zpaGNp0iRd5FDzeGEfv5PRUOk5vRY+PRA5F4d3EbquPmwu1bQ jIfHyK8H+WJWDGfzo4rGz51Jwagd9M+O2D7M5MLvM8hGlxmbClUZSTaB1i2oXg6O0k4Lw1/0EAZX a5xaDbjKogyS3ZHWvwonwgJbKirltr0EEDEGGQhMBXQNAA4w6VPiX1GCVEQYkfkNi4C8kRM9EQk7 6AXjAqNqs3mAjJM6wibgvu72QfGwzu2wkfI4/XfLt/+iUpg6pe/Ywa0gZubhgFCsP5trI4EBJtbo gPH3NqQLcXFvwrc0rSnb0vOsFLnMhQ1NS77HCotVUYPAJgL6Kh/qid5soVaJtkzXMmW7orx6bTx3 yVLPT2VJ/62GaviIYL21H5NCXYlE/UzjNfsJir541ZjzntaQD3glMj574eMwpisxRQWdJEsJborr OMV1yIzUoLjXftWD0jMMV4+lEMs6W25Dra3acvzy2+FXbJ6Stn1YNFzo/58l87Y4Aq4FngkKscSX nhR70MsHH/tsySAKB7saYQ6Txp9I+7kRIg1tQqA88KvWen1LeMWys0JhrCdXdZe8Jtv4TUBTyfea TIfITs+ki8riOn2CY/6TPytdL2lqNcRmK3NxlvvZFaXab3FwMH67I1XdHRy+5RzNyNXV2PD3PxFp 7gDy99y2hGpRkMv9dZE52NEh8oIUpnOez3PTk4NYcheLDT5JIYFPHbp24Ic4z+3xWnXBVOLQXd5T V+CPbIYKHaLdpTbNj2RPPh2YKPE2v/DfSGxfttIEN8URqCliyXHfF9vPE1Uk1hUDtAkosdZ4VDhl Xgdlowk4VU9Yx1TF91kQnJjbQiAGlxPLySozHf+dOYL+/Ys9YJkHW9wRJQqcuwBq9vqWjZLRpJuG kKSr50K/j56SSGb9Oi0GWW5kxmvx3uxmzGXMo36wHtWH50hZRpr0q1EoYfi6DRxwvRq2QOnAqHue FPuHth+eXc2q6V1riLqePQR6lMPeNN3awrjF1aNgTZTf2LnysjfTY3sgwcdJJbZcVLQJSsbJFgZu u4qxB/iELwa+d1RiOZLfCmubVDerdfjtjxUZXCWa3ole2qzsGK1BuFTi8oXPmgJdvE2m5LYMTY/j U+d9tG6GrEiCY7CIo1fFtVdVJVB8Y0y9i6YHZ8m0aL6SnDsJ91BEHCcPCDB8KNBkRuMd3pzMFRYv NxvrUSCkofpYLESGjH1dE8lN1M3q83wbhg1+YEwqKdDB7j7BtNRTrHm6hsiqkSVvVfk/tMeopfzc 1uk2FqHyj21VZvro1vJClKQwuNMyjJ3PIFVwpFsjhhYs2BJSU4K9nHJD4qauKoLkdg6YyYjZPzjc ib1rFro5UbVnJ6oek7CWDVxtk3qLdb3rZRq9gDPVZLRDNzg5CunbpJT9oVmk3su3Y/MnaFVEtUMO 66f1Bhl0rUvFl0QeAXf0KVkV0KWVDSHUm46wlOVflhoHlqE+wyQCPcDZx7FtF+Ie7b1OSguZTgNj UJ9PRJSFaRrsy7j9jKuFujzkhFjXKJkyRqpSRO4/gD8KbK7S0fVeIWRKrxGj5zKSNOrp8bMNlTzy R5lNIQtQV8tnw2+caVwbkdmXctoSnTmLr+SI0amchwMPBRlzmLQr3+jOC3T7He379/uRgNpilUOO 3ySGpKDbqUXXV1SZI118kEcGaGzGgyXpVSsgXdxqqdsGsA5uiu8rpYfId/aWWufnp3a+/mBNMbf8 0RgNn76J0K5mKaq2wblE5661FW50nO2OU9OyJi0llJyNHo6ZoDRm4fM7lk2dxekiBuTUZDbEPsNn 57QUua6dNKROduS1bt/nQcAtYCjve5/hCSxJFuUKUC0J4X/lXM41PEK/BCUjx7UVYr3yAnYKM1Bc B6d9edY4AKUNRl1UIbxqoItK8oY/tI2uWaMmLVLbJ9oI/mbw6x2GgbDF6TuULfp3pxUSOavdKVaj 0pYDzoHA01hPCEBnbwsRf2WNuc1Fu3yXsS8hXRHXQneYnZoeUx62g/4u2xtl2Rd9b5RJN95rFazj tILCS1LLcwUdQh5ioHW5BMPTQFF/vJPNVLwmX1v6EWlWrqQ9gCoNl5e/fFG2gFi+p5qq2BLWGg+1 NVInKFAwUIFRj87TN2kzcVFHm8y3Xa0ASz3Hd2sVBjcJLkZkisQJD+9iCOv5ojTMtOJkHt2vv0lN ce/VACZQZQYaLJcw99RnvAf0EEz+3Z8/PecFFAyhxP9nXaLfqaBb+/xxyUB+4paiUWjeajvuqHa/ AE7uLycQlvydhLGhq1LICxvDQ/djor99WEW0HJC1J78E0HpO9nM2M0YoSEfZwhdp9jguHkg6qibh PWUy7Ut0n6ZZ+HR9Wa26J7MSlWl0ojFqy2KMMiClvMOVFUllyWuZRIFG/QzrKz1l68V8SSAI31Qh OJSKad57L2a+xIUD8wE6QehFRYDql91H7+w+D+7V2ZcjtF8zRiyDVlfmB8gFWEKqX+B+jJIZDsG7 bKGePv885NTbAVrpG3HIKHz101T754u0N8AObqKngbVN4Nm8PWY4qD5WkxiUTLDm1S4u5pwP3Gp2 rdS8T9Citcmc3HcBhS7eIonsjoaseexPdETCYW7xN82HxQcaANWpKtWWFSVtZp17DY4AutTt11Dz A2Ww190pmVMfWcVjDtt+mh/sEoVzGhITbEbpRxEn0ntQKi7f88x1TyE0srWbQ1sLSzYmY0vu/nsf hoI53bGN/90ochBARzxmhSkvpWXw/KHOtiHDEmjqMY8sh7ij9MHIa+b3cWmpfkVsKqi+6kCUro5Y EypmOfQ3VxJ8dlmy0s5+62udH3f0iRdk5HyPTvPqING0gQYL/nTnzIhrho8W1lEWAgW5yPqBpyBa QCpPMcH4JnHFNGaMDgsIAvtylkTSampwYYgvehwt+JuXKT26u76UBw9nXmKPn0/CFOEV3+Fpnnw1 jXCNFW6+OuEOSMv2bqFhQ98bSGLm8my607IKeGID3FdfB/ygyadqg0oY67ASlPUh7aRQe6pJFd+7 Wqvp/msA8hAiQ/kGPavoS4DqOMF+g2+mw2l7sYA+eibLcoe7y6PdtCPax0sQfdR0YFuxfmkxg4/O uqakKZRWI+u10R9/gdDpXWCFzZH0mgwdrQ9OxiAzWGQWBF8Fx6KDJWJsMzoDMLvU59Bjk8Ug8zEU N8812atdsS/bgMJkPDawBml0nMiYcDmHdHq3kALQc1pU5wG0dhCSU7St7RTDEz7G+GAHOobp0tYA kU56RrkQq5njTjjyPQpIRyBGUKq5j9MOmDq582vXoVRYc3/2+sz1AEAgZck/rR19mNhawaC2q4YQ +2zHLsZTPpKe4YXH0EL+hb3GeLq2EETMnCuED7mCt4j4hM1GiGykA4otZioYoeJrCg7s1ryq72me 9dNR36v9fZyDkmALJGW1Y/zvmxXKKfSyHuoasiJQ+x1MJHcpgp1ZwnA4+SyfxVSgo3aBLywa+69u acFms3WBEjXpB4HmIhe4Oscl699z17pabPZO8INqk5vdQpzPOoWKhVj0ACUWj/3jMs8iflx/GthM jkX9yicM3MpiVs+xJE1L6vPKeinNj/wAm5Gb91Xx27eu375Z2xWJzksSnJNSaJnksLGSrtrFOeeb qXLKr9RyMjdm++MHUY9i2zxjRnPX6GrEQ9zPZ60rDZfExQT6dDoIIkutkuHtP5l4p9agjS404zmv I77dSSK6J+loooC24QjkOZKi+uu1dQ/maLLrHuKD0wjk0sXRcFOek8VvIljYntoG2kxEUetkqEQ1 GbKu2hUPhqAPIvSKHiPWl3S18DrTt3AsWrTXi/UgoOTEMd21M27yJLRYUdCBrAI4pA9MtZZ1j1qI Cg9Wsk1GDelJelS2rKTfGJr3/Fj7jK8YXpAnpdXgpqjBfYImwhAuU1fLJAB+sFmzNDRQpjMajUB1 6hMDp/VEDy+VYrrR/rI8bPKRsbbWJZnHlPwMH4X4mQSy36cSgGay1892iVw/4iWe+s2vYYU2IjXP fHs0IolblsUiDOqZYhUIMdHZd42xQwiihR55BWmrvzWbK5CXnvvmAAJWGLqfpRih4WI35bbQqY5V zZ/22dG7ZBDzXXXztWyxoRYqDgLsFU51D7I/i5YAqX1o0P+Y+/xZP193kES68xJAQnDwLDlXrbIh PuVH7CicFDr7OxpTlyqmlUo4x8Nidr+gI57Qz6lvbGp8u+f35kT/bnrzEg8exr0hV78iKvFxnpB1 G6G6W9UT4msz8bzBaKvi2ycrs+9uCYWh3aJTjWhmir+W6OaEhx+QNoX29dXTh3TgHnyK1nCc8200 4vqsRd8W+iiVp0pb3U+YJheOXb22WiOjgFnIfI3Txh84RRTHemqsa5ysROMPqKkFozTnqR0fv1zQ RQ6czF9FCh8zUe+6+pPOBy+uU6pJtN7LXNIkOzMbZEwrmZTwA3+TMKx9lnsJegD7ty3FcxBAOsM4 BCorr9ShFWBVLrN9JtoVUvOOlkQDlrEmawuECwiVv66TFGLO6kmLvOfmTaJ4DwOyeOO2vsUTHen2 jWpWwJzVeQur/zA41tp6LQ8bMiU+wQdybM3g2gdLJNnhdu4ephXA3BdujxwEkj7NjumMW8F1RKbb hLN4Pi1GCrG5Rnad3ST5iN2uz+6w6FmY2Tvg+Njk5t/MrE3nMHFTxELhkCGiLQA11vPzEgeDbulv UpTHkoZSsx6Q0QF5uBFY/8cgYjY6ZAK/rE+b0/mrzVfIKL5q8ohvmo6yASB5Ta9zaxiYsL55/KAw /hlx6p22PrY0RMNW9ZpI04Q6B/Blt2SuKd02YtoRPv5XWlR1yX0TtYerSUC88+ixpia0+zzqB4av PA0CNFo1MgDjj8+G83cf4nGel16zuCX9GZtCnfhTz4cIzpI8uCfi3iSLKJ2Dsn+XJe49R02ddjCL Aqnxggf5w4WF3kot0n2dXCXPW3TRVE8HqiaaMGUQj9QV48ir9pOpmMPhZPFo9LVr2q0FtonRaSo6 Cpz6Y+v82/ZdCdKORyTZDRU+9PusNakKB2OZiz/cD+PomQsBsdmRT+jJpN/FSFic2uwtm9AyrcLt F9YSiUa21YjV1lBX4eVCDgZJjT8dpzViahlXe1ZpozL94bBOYY4zNRP4VaLgB5fx41UuZxhNl4gK KjszrRYkqtB1lFklfSH7BstBIcdOxA/6KLRmy/KGlYJqKKQodYhDdW4MrmwQbw0jbQK1wCPgs9Cg JPimbSmLawdwJ8mzLY1+9yBnMk9nMHBoVvJEWGkYqge2My3c/zP2EnEvJVumlPx/Q2/zm5FFGPDu iVedFmW5SDby6HE0x0hrco/lm6wQCoT8MC4JWv0ZPmurT6NtO5mzEvAilJLJUU6S3irU090HN0OW Nu2QGBBUXDDovLpz5OYzpjgeApu6ZK/2+j7Auwfc9Z0SIlRPA28ysJKBHoxhoiUSYRn9p5N5tQOm eWfq1PhHjxQuhxOouxDuq+xhDdPXfhby/psQnIYQkaMlDSZLexoHV2zSmv+Mjn+Uz9KPtoIRetfl 9el0ot5KD6Uo/wtWk+e+gKCqQBUfZp3nuQMESNDgNt9h1yQ72dTxURxLWyc3Meo2uy7cuqN1d8an Trl4X0P5QAAHKyyWIE41gxA9qyQyJXocoqNM7OD0fQR6i1ULAOr5qZQOAYtzFxWW84OoEn6ZcR6c QhrtkJS9t6aXOV5LWPzqrBGAYltcFHs/JV8sAmbFjkx1b3yydTOVvDHC5ycPKVoi6iH2Iy5FKNSp WtNx3yA8F38pMa1SuZO2I5RBXf3+U3UoNQTkTXLyWcLR0lRWigWtrMmyQHC0ZGze/UfQvbX4oPxH 46wqdfwBA7qJaufCeErV79q9PY9Vk4m5b0te23DFIY3vbCemPU7b280smne8N5ai/nyJNXaYAo2m wt8HFcOlVQbW+LRsjnPwP4YPI+/dA139vCPAiFjMYG9rrrJIuWH+SZ2Zbv5mDR6vatP2gMEUywDB QN/x6XLwifE5PMwnDHhrplXfWYEAQtgLg2nFWcOzgYGLUiwqBHAbYjhdLr8PM1J0FqVZcLgWIwWh 6W61tM+tStHxIYy0JfrDJmPZt11x6ycIH2fnQRkDPHl/buSoH/rRKpmdvXvSPL68n0nC/v28y2Lp J60gBnxZX/OxzUUoYdMT5VxbCTtWXjDIXPyxAJH4iXRd8FzaxLqEJUaz0+sa4hSCBZDMnn/19d1p N05mg5GN4phG0aKkS10v893q8ji32VULz35rlyaOWnyJgRDoGhJa+39yut4kCC2C4wxJUiAB1899 OHKlKX+5P33ZWQah3EhppnfGyXUEJoVEnMBToYI/C7sZHKHqP1ZZs4TUn4kfaIjmZizH9Q+uD80k dhCyIwa3luHH+HYSbG/A/VxwKd3UKxre/LDGXPcFzfTdN+CaxEcqTYMQyLiXDXMbD0ZntgduZQvN QLGpQJ/oMl9Zn58eTmxADriDlKXEaWzuVsSBe7JI49rhH3OCy24N5pNCv/p4sCIvoxrCGJ8PHIJB 2h5QP93iS97hQKcQv617zDoJ4CHapK4FtQ39MmGfGCHNoicawsHn/BtmfDUS/954ffIJegpHoneP TW9Je5p7iwBt0wGRYayuE7fcUm47SQi1dF6V3fJZVcdw/4OhvqACLOrqKLgcQJRfiFp+xMQx6Tnt tB7J90uHXWtMyDezVNTIXaZFyafxzgG6ECSRhGU2b5on5wgka7KbqDEr9ROB1p+vrhZC6VllRlFz mDeDy3gpwyfuNiOY2IOr1jJ2dZYS7UlAlhwxnbciwRgaPc8chSOh++F4vZo8Khi8IqD4Hc321jnA WKGsrHVe/SG11rV/QgNfliqsaaAMY5oDLVoJhTafKUxiBrDPUafJ11UjKqcjZc5Kfhk/uV+zzvTr CO3HZPuUBdrorriIGibRonUOvWTaj/JTaLlMrdFV/mVEtU4O7lb7yfxffSXRlpwUJQ4nkSkttffg WTOcbOpmh4dUJzNpXfxZ1pP/PVAjYO2TJUdzYOQ8684ENVZlaHsave2zB2BRyOVtBNAuLdNBLBbC jVGTnXjzwViqtF2Zh9UCvpkmAzdcpmhx+f9pDAWC9p0q5nUDFGHJnopTLf3tDaldcZvPx4zeycx2 /Nn4AYYGdJ9yUx1+GNt8CmObEMheo/wJuGQl1Ne0R9+G4nUo23KpI5wxMxE4KbVtPwNp3UgOUYNd pY5S9g0IpFO9h3CBv2KCIveRMySQG+3n3k6BEqrKx4xnMQqUsxeKWocaqKY30cyrnGgkPcYJazub vxRuU239NsFMK39q/t+KQ/tysOoZO6Bwt/bDpHJ68Z2xENQCA7CX8xiRXLCjdfVGgxJ/lDIa2V7J fR3ZfYwCyvz+Vgd1TZO6pEW6QzyLb97bddEvkHVk8B4linAeeUeqbhgb2WqIyDUihoKv71TBgozf 6XOD1rUIvDMRdomQGs5EmqDZrf0yFW4a9PMYz446ngWkeemydSPMdFnxvRl2qq4XGZpzOtpiiI4Y TQIdbQgGaPnkRBKejvJAIjtQlL8jn4ZwtnEqexkvgoBXDu+9ycl87a764I9jRITu2n4ru8H4x69o m6uZ8SsVgNza2s/l9PbqrGucw4gMi7s+JU5JPy0KOwSH1I50k4hsk02PiIZwdMi7e2ms/bgLuUlU YSsxwG7TPqiSytHsShepnM32VufxHcmmna+j09lRanWL6MHH917ogBXA4RrI43eIXUKFva3KOjmZ F6VvizWM28+hbXFuR9Bo3iEJj2eaXXeRQt0kPokf6YXyTxUK1yO7i0NquO1z6Vuju3LPO+VKtOpe O1YQ858rJ7uh7AFFGgQye+XZOc8nl9wEcXJIm+O7EO3JLPAYQpIq2UjTWvSjiXJ02gZjdJEeq2el vqkDSx2xDpJsM3OcTyiAKafWJw8BWJRHcukC4v6uQJkahCQJ/B+xOWLtjlHajJ1itUAnLFveXAWd DI2X9WzXMPTg6JgMLiDjDIhB7zWPE0SJhrJSsmnnrqxmTW9JR4CPx/PotpJ+yU4aTdbASmL6IDk+ teKQoVXWRiDT5uvd9lQm0FRW0BD+jq2VayQlvGZ+7Wt6DGwqN5QKfp1c84nPw1UBou4JtGUZ3zyY VF982ml87ooiJY+SxRWvOWpNSfC/0LN9u9rvgl6UX0kHDy2kbKNSHveKoPrfYYoQs8Cf6vAihpko tFkx/krwL7w9UJR/P/iy1oZKQ3UQaKcSQkOph6nxvW84lVfP9UVYM7+aPbE9v5jibvtfsHzPpfvU Nf7eO6/8BL6tjhDHj3QzLUnFPayW1ftHCm1CJjNdms7ybH52hyZrHPLcHLmO9Px/TQAI73QnEvGw zQuwKSqVx9vzqxm+CtcJZgK0hf9Rmi0aMMZcV9wEqw1VIW4Znjg0w2ixfKtMZK9o2mJcooaYhg/7 CKpA7Uw4M+Pt61lys1f3WuOOFLJbffywa237faJOfw2ndb4clZ4JPeJYxQURXIN3B2naopjocddB lLYQ6zN+ggg7eQ2r+FMKuTtZD9rhjoOYUIodTdJLU+QsTGHsWyYhK4P6aEzBEj7XYlgu2ME9TceQ 2qktrCXLXbx8eLVwYQ56iExsoy6SUqTuYuIQAxcpMOkymjemNpAfv+bZUpGexUnUMyVxg7lVwl5n U/jOhQQzEARp9/21bS+Iy9PMk/a1xe+7loUheOBaOa7RLgUJuezHVD8X4AmlqDCn8XWs7ZyKWXgW +1hZ8BaBN+mOAEQLRBYdK+xlI3req1V3ras/Z4guiY29L7H5A6Vl445K+wuiDlwmFnDGY1lU4ZV7 4xoAoqp6mldy6WKcG2Fsm4nKvHRC7PguAgh7gESS9zbvWDd31uLXI1t5Z2Ko/xUXFQVccJyTLboG sjsBlbeOxSv24xZkBOdnjGD6KvOA7XkUg8X1HZB/0Ku6le8Hbm2xLzaUCxl7n9rmTeypaQzQSM6f nRH29uec7PJmgh/NGFdlSirQ7kGcgIRifkVxOH9VL/9JNDCqw+Xcye5wIo2oyjlNMgX5EezNMr8e kyD+8gIfq38ja66gvoP5JX9FG8uvwHljHC5FaTTfzVErQNGLPw6SS2WhVC0FL5SeILWwoVOVvR5s SnPv/Z+5+YdeDIy1ULr+NSf3y5JJhZ/bx5nulJOtzhTN/kia4c166BGBwXk4e9AjRYWhVNXqDKNB /f2l/a4lGwk1yq2Casb16ZSF+68At5jg/RYpayQJLv8IG9Qhx4gNJviLARq6PDYT7SarO1jUu7v7 UsRXw8dRvh/t/cvuYBPqOwbNYUozIDvjlyV3kIBE8i/TP+aPTQ8VKbMJLNcE50oERys7KGwgIZSs z7nD++fRL4RIbaOMQiccffhmjtOuR35TVHCUs1xgaoAxJ5hn5adfdJ+pOYBx2ewqTvc6/BPklwqA jXzBoRj7oeFfp8tCk9D0G4K9c96ICgiUH1lXbRYQCyjUvFWDZHyQJXq+WtoY7JmY7GJD70fG4+Xf KKkHw37UoxU6G04GuF2YMh2x+GnwIf/rnTtgct1Wf0zevG+SXFAlhZPQh3xu9zVy53Uc0Cjqyq6N wdvj40pif7Tg9uG2V+FA2y38j2oBIrlLtjtTZ33VsXcIcZ0fh1DOMIyEXif/XyqBDr3LmRA9eU/u F1hxiYBjyZKj44yHu41VyNONcOqDdT26dycPxEidB3fI425XnhQNU9k8pXDzrTLkWRuiK5bo9SCu PYdVx3kuszxzWX8coaPFbCq3HMHvo7ZMOHIC8fhPq3Y3UFsxCUAJQ+xBOIBDmQgmenSV2xDNMlGH WxI1vdE7Jrc2ttpx1vW7KrNPrpOCY6ln1qSt7qECZT9+5acTqwklL5DsgRNuVo3CUqRGCqiAMMAq v3dXCsbCHUO7NtnR1drB/zXAFkdW0YwQzCONFEsMY5MX+ZgVk0W0YW+HhY1FDq70/rnMX1e4k965 TyKWRlDsyAED71ukML7U7gxl7hmtAS0VUn3SY43XfXPft1pte+uC8+wlPlt7SaxwNMu4jhLITPiL O8HFuI6FJ/r1Moo7yi1SSME9lI45sE+JBlgDRZ2IQ2Ep8Vzeu/E6HGsD633U+nRU8mD6Lcn3CWEl UxtaXr/TNwe5+hLXNB4sRxwQ6LHKJdCwdPH1iOnXJkxfeGJ/cheBZABPqrjztp407WqHzguYp2IM N+v0UELv3aLbtw9irA7evUpA955hKHGw2JMhNglj0RKdDh6DCf4DNL/8tVW091POleV6JYoDGgkX 7vlLpkOPcLErLuKAMkuI3MYTC5LZZR8gJNlzH7SsUBMWZAL25pUN6mKLNnC7ec6Avrb/3QiW+ILa +MMbDZGqaEB80xaC58x5csX05flm+RcwT7aQOqBrwcThsm9Oen9ajKFB3gzyMqtxKC/t2Kklb9z+ AhicClHSlGyDpH9HmcNyNcOP19dz9jNlrVXcG50FLDlXV6r4y0xADBOyjxTdI6Hzu9YwSxA/0JhH u0npeg+zUefhX1MWXbhIkxECcuKqLINjXm3zx7i4qXgXSGZ63fx+sZeMvN6MKaiQ1K0E3Y8yF25J /9gRlKt/qaPcBVLdTe1M8JDU1ggLpL1vul8lwJAYqhQiJfOmTwKCUXEUdb2Y6GxZKghV91olAyhW z4oLUJoOdfFVZnHLCL8yswIZvqrLCconNmbYMA8iD+n1gYn8IW7G0eJvnMz1QC1qfIxS3xHSPPLU wMpXInMmqsCNghOPz9KM4HVxBIfSBzueTpsVE3OSrlcGs9ULuVXy44+P6dly5iuVLV4Nhxt27V/b gtou5uuqoxeuqmeBiCOVLMzaVPzwTigCWsc5FACNmwTw9ck+dBSYazucHfz0bOaD/aivilyC90pp aNuUn8FBel97yTRoC8GUJu1LoRaoscN1Je3O1ltDZfq1PsAbeM18USoCwMVe/bmDg3k0KPKteqPi fKL/sX4zqAnJ1sbEdIhzfl3WrPnOJJAX3nwglyp7n4UZrCihA8UaKUNHXlRwb9LnOAi2o2bKsXtd r/KOS5gCezm9k8weNSwkUMyUT1i+IY2Qgpaom/l3jeJk2/IbNiSPtO0mCofvjbPV7Moo7z6bKLZt PVXwMHuR1upW4JWpLjlYPTW9BwJopsrajr3ABWKpHO2BTnbj85OFhO5SCrRFzOe5xoQcHPw+nltX 6RPe7Yog3ap22Mmyv9DOH4Rp7V9XjyrswHxjE/cSGoYsx4iAnQDUgcD/AEOFiD9GHFCZj3dr9o8N kUmaESvNJj/6BbSb/7S+Haa8Ze9x8nwBdcZyFyV24HGUEy34VrBSpw+E/DqUjVWDB+onfYsnSPiv U/fR7PPLjr56qALgPeEMpE6CGIWdZaM8OQ6pLf/FbG8d2Oxsrt7rvoL5hzqqFf4c2b+96LwMjW45 O8Gg9Zd5ocS3YB7zg8e5nPsEgubzQ3CGK2u5pPrAinpHV53qy1adtGU7gpscJob0juDU43/GUEQg jCLHqHw4szHALm8+mw3OBVkPO8picOu66lphMyCJFvO14K79kP0BQLDdjIvrA+kpDvNtUaODbuBH hQz4KUj7hfhpK8PCQxfzRZf8Bc9FNQKNdA2VXfCqkGCNumeI/S2U3+aBv0H/Y/kECvhF+LGf7jnS x4NgClXLjc5Ekq/HoP40ET5uCth/rFx+K+3HsorQflYqHhRduhwwB/oCL+o2puuoCWVGjfWqMfQX 8GuVTFmWuemldIrRQ2jOPNLuxsjmnb0JcD2IY4sfUt1Pr3G14wEhoO9iItBSDQ/xVsrVuQhwhFXk N5bpGkk1YSXnIYhQU0Nk/b0dVHQx/sQs46QxeNSw3t+UbG93znxrmkFTiv5w+7IZZkINCWQRfGmH oQ+TW4H6uu6AO+0XTUvF4jVj88JFUVV46SG8fRBe0a48USOxSEqaIUt6zJwylLvj8GG0/wjdlZvp L/n2POXFipCX2ZGGUO/rlC6chjKJrzbhFlm/qUkIXRvydKuhZbDT7tPGGoiApwaJvHJ+2mfLBfmD JXHh9suiescDEnPzZcrhM6xtlp2wc/KeusPpZ3c8p4VmeSq6JBlX+SDj4tVB9ujN16IzCPdbHP1d JCqCVSDTLJKyxCOv4RUGdcw9PhUw0GvrFNcoC42ZjBh42Q8xCtvylSZcfYG+EpdkvkTMGks2PMnz 0GSAAoRaFM5PD71stEtART7eNw+Ndj2b2wj1bAct53e3lFjqcz5VB1PJZN2u/3AOsburpuRIKuUu JmGj9yQMa40Xr7cdl5o1/IHD0O7D4+nQc8svu3OXgOn75xvwh6zeJPPylidzMj8xW2O7HO71Gw8C WWbX40hVzwg4HPncOiLqTRNV4x/TD29Gq6Wxt8oLy/ODQmhqy2UVmaSDljDu2nn5XOomu2eFnO9M Ts0UdqeIhQkfdtOxZsKm8YN9wnKcO3OsnS242M5s4JseEZZyNjVUmIYlgfq95W/FpmcsBh2xjT6W 5jj5y4t4jDcV4u1M2d9Y02GA8GvGqVR+bYtU0K5CxGCAROyZHw8bXpL9lPLm4AhhAtj8arBOa52D LcrUZl/SdngJw/T0C8vRku8yv0w1bh5RCYkiydBm/5clqedGiJ4edjX1YMduGsMZEM9ka4tohZbk 43fvzp9ssSIX/RftXUfDD7E4qDvUBR3itM6+QDJx6onX3dJv0VE3W1usX48BNXsEx4Pvq+YpfdvY tVlGnUHUVQkfeH+DPZJAiFdNOo+yrw72G75ltJtRdJQgFJ3rcVB/BFJVQvmbgXXTKozX/dEKPGaL wqhZHt/8uKnPR5510ewCXL+rBK3iI/zZDQK3J4mRzvrs6SbpKrpIVBoTeeowop1vvgN6p3Lywa9a dkREf21QO9ANVUv4SwXl57EqZgDtCytekX/Dcq6IazCYYzzrK6HjD4fJrROjN/G0D2TxErzmegEe NfUWEvirQPRvjIvOWHt3Ah2brQ8mbPl5iHlpAfaui1y9cs97M8BM3DVfkC2eXOb42LqX+X+rI2MP RGhspa7xnIo7wi4Zz32xgL1qUe7QMzWWlPPcFbJK+T8pV5qpyMNaWZ0zcrZKCJGjlRVWG5hLlB8b +CZANx2iV/ghFt1qxBk5HlSicj6fDfcyR1BYFpozVQukZVHJKaPJgBEKIa0nS1GbWkibM05YMK7Y Dlsk1W5rnmt6zeOO1Hw7VzlSNC2LX5P+enqiJtLEC33WHID4lx/kNhpWxTbfGgItXNgsuga0H76h lJoWerj0U5zM58/xRVXohebVr2QqHmUDGr1/vaMZSwrD/7spFlobiUO4d8nnIxykDKJTVD32LF0u wNWxq7B1zfkHaJIaTZEdZOiqSAJ0nqJs45o7A9BVE8Itnl/mj8PUYg4RhE+OsAxFBjua/AlhC4nt oXEDNNsPayFN9VGanz56U2tjRw+6kd/LaRKiXe0ADwQNs4aRC95VFly1EGv+MpJKHcIjBYOdyuEe C+TvC1Re7fc2FhjwpoDhVh4grzKS80VPe7BFt86xIUgZFQTAChYS9dNNRz2iRxLiHlIiaOUoshDQ uX2M4oqxsIOOxIiRTKrOny1v4fXJbxBUGXKLs4w0rOgVrDiSZnBAA7bp4a4O0Mxta+kuZtvBYQbe OkGcn99a/7LDBHZqoEZ/NbqS5VcgAV3ch232yNIprqd3Df8rhesHOJ+5XgHum5rFMaiY79GfTDzZ iZt1TENE2w4/heJ32Vr58UOR5fGhYgbdnP5eleVmjaVZVF6VW+qNyV87LYZuPDenOJsMmzIsjeZk S0prJ2DBRg2JRZ3JVkhs8MATCjei8/N+xeoLu6btA8AEMERCtGa29kTmUpwCNe6EbV63A9GXanK0 f63EBTp8VhCGb6aqO2ZSqrTvcnfRanl0XsG+mHfk/fLcKWs79wViygR2Uvp34qtm/maVHfS8Wv0r LzXG8oIaJmId4c5dUvzesCk4r+NvzGK7IIEqxpwHp7p09hsT3BFKk0HqI4TsQGbFkFITEbVOXh+C BJgvzzQ/tjMLg00ET5xuHnesmdVedMJ1kitKzub4pSe04bHCC3KGlrXwdF4eMSzDDR48o+biiOcc wJoEnPJhVcJnibRn7TW0XyPyiZTA817a5bRwzR4V/0NQLxIJZ+m8SnnmwllFWUFm0/2Vuz3BpXd8 HGbrOKb41W6ggaAccEUqaMH7UXPcobwn/NXWWMujyM8I+zyah2MNwoQg0b8DOCKsSW+qE5EupXRo d6VQaTxE+Hq1odvgjOMYVSN8ty70fLvAxEc5Nl+fKkx87UOkiEMBKX2+B7zXt+Pf3fuLJ7NAeMfM TR2Yr/7Be+AS3380UtyADYOp6hx8jAQewp0/TwOGMe/LTRUsq/zy6+whCTrpZ1XPhfFnUysgEHtc ith5pYE0NiCdu8JM7eSIBrLKTtNqXF7TC7xs7PAFUSsOgUnAGKDR+byAPnb8zhf2kNlyThxXkh+E Ao+6Qmd9ESsXbxzcGfGU+7Vr3Wz9YQL3f9lmtwFKBcIta+jCDb9FbUUwI/PuDChcpI4vi/QzcSa9 3EAdBg6fKqKq8GvSJYcC5slHqI4VrZcGo0n6nfcz3EBWDCcDaYEQsG4GgBQnaRSQ0nOdn1c0dSOY LMp0/sC6zmFp4twiyXNJSy4EXqidNgihZNgU0h1xxa8UY8/a4oEuQXmSVJ2j4mZ76Q+8sjiQ+9vd 3vL7fV49lED94kzbOTTyT6hn0pkXQeYnyh0eyfj//kyZOeqWy4mFPYYGJjtNplquwBEWd0DyO2EP najg0anXZmT/ZDrixnfbzc89qINrL32DkSvn6uWRTgNnqyjvtDwaz7bfslPpfRBtRocgOOefYyf0 4O1cLUv+VAk0esvI4z6JqP4xYEuNZR1a6vkp3U7+GMZXF4uTUvwtrjk4XJ4zHU2TxsUamq4qmxe2 VzUfGns9PfTo7wY2nySlypZAIcSqSgglHFJO66VdPE90zOQTk+RKw2YIPnEZlhG1n/hpzXbx7b6H ldXBVCgRc4TtKKNtDNdPXjAu7TveVZG/WS9FPIhrzVumSqr1/prhWVNqgCo5Siwa7uZa3ne+gm+S g9xVgmlLu2pDyI3eNfzXaxg1x+hH9ud8ivrfNeOnZYqgpMp397TAkRuNUAFziAsS7pb/ERKjs76q lB4ZKhjhAacRYdXnU4XXm0uZ7x5Zk2YfcECg0L/CXbB0dV4x8XH5G8OWYD77nXttqESaAG7i4G7K uEOcyEYZtVBY9IaIjVU64ZBOn9OSXByhkC6x0nhNOLqITZF+ee9zEL6IVuEILAG2/n5xxgDLjk1o TMDXnhIrU+KsuGfmjSKMqddh1rXJUAy9Y7zAqGQJSsFsAC1Pg4oH2ES0g3nYKQEXJLkbUBDnddBP hHdWD4UJOx/J4nbsBohC1opnk8Mb/T1CYV4Ut3yJAZFQ7fHZToe/2AYkPbjYYrnXefYeLciu1aFd Ss1uIBKzuzSeVMigLm/sGOqoh2DX3DQQyQNmleC+QJgFQ9DV3wvBwgeFqAu33dcluneGDBF4f3uW +HOX++EHy5KFC+ploG/aZjPb/7NwVOiWE75bMLK9ygbmwar/EjGbZ0tj+JW0sNg703lqOmvi03EN q5oxR4ceT9VE+YSg4EoxmhCTrcoZMcVE3A7U7LJYVyzIvZzhbvp38RJBe7QjiPI8DjpMKvlGVQM8 pVKh127opskkRSZvRWMpp8XaB0vPWcFelFtvK4K6qt5wKEiJ6OhI+ThyIIl0FbmsE9ZI/1SlFEhX +mqJndaNS6EXJijIkSKVk/nzzvPkL28LKES2lo5wASxuYogHxWGzvVhmbEzYV+Z986BhsKaAdk5y RSoRVnkgO6C07jIuFCur3PaChigfJdMVpnkVNiQQ9ToWkXDWRSilhpfZlTbLldUzxChaFJrheLew d51aqchPaaJDp+RXpn24S/mBRMe1XGeO5RHM+fdU7WntpUreON8tMtoejrFVx0sY2qoPCq48x0uy a21MjBy13wDlhXSqSJ48OQtPzXFiRhe3q2k3EpzgdCEhEkBUoiv/iHbptX2CytqBMsuSp/HS0irM vgvqyh2Oqwx7NhsEFySmN8QsXJAXMfQVX5agKokhEF3PWEaAX1YpalVjf3iyzvwwbIecLc70Qynu sqGdiYbTYJe+rN7fhOsCzlbtDt90nxYSyS7r/4ppiIAdrOHNPgN158zg/Zb8eYk6GSeHGkfgYgvv iw/K+ckl3bAXs5+419D1Z7Dc/rLrLmxf4qfgxDUcaOrvQaf8VF2LMELxUiu8i+g4Nnm7qht6Y7va vWZEGNR/6aE4qSf0Dt56SJaerVow1U394r2UZ9uxQCkSd77n5JwSh9gaNfVsBaB7TaMSWmMaJUHD ScCFajxJFsgFm4h4gcolVMyLNUT40EHGJ3xQThyOoXfTW/tJpSc8TiSVB3LWR5Au23CSmeOKW0s7 jgi5GhIESSg2jWmCOjg3R1X+Vv36TdagA8UUJ2Hd61jTvTOwl6aaYMt3K+wIqraUbkKrkWrbKE/p iDSHYc/Pu30OU8NLWxRLPJleay/GztwKf8CidsJMzZa5XYNuBGl9RPY+Prr2YVsxy4x66JvQEtt3 t4E85uCflAghnKObC5dua8B3H4nCK6jmhtVJ028+2n9Mvne7hQ3WUjHEkeE483VOeYs6wy/xDGGJ K1OvYbqQzLJ9qtDzXMGZBxBPIewF6IdXn84iHIbz429AexuQjMnMC9+5m9pniDNxS9nxfTE2YLDJ iGOA44gnLXoWFmjQHHdbCAydxmgzIEcs44fJAKJrNN2KMvxJuhVPbD9g96NmjCln/n4ZTXytBeTe M/5oTHPp7vVc6EYiksyIBBeWUQzkUUVm9rIW8oq/MBW615IdSPu4FTipM6bSpsRcUgS6nXJLNjW/ a8cy6Uf/KDTQ0b7kfyhmck8a/Cnl4DJCp1J5EaAMfd9Bhc167UoXw7sghPuBT6HZd3k6X+G29HHW UA1VNjbOYnpNyRPhyeh4PXACS6KeJ0ByH7KTv6noQy6HTxn7FTNoHch2XPD3RuDp8a4SviIVWfjY 1kq6LKKFHWyEm/Ck3jVd/pbnPSWTHvc3MULAzOOZ3Ui2CQRLUhwnWOHt169Zob/mIkXHPNlwj3p9 xHZYQ9Jr8x6MYPK0LMFidHIQD8aLPozSmjseOzDej2wIN+834caUWuPyYAy0OqF1mzBJv4c23n4O xpOHOkKxEco8sA43SZOXYupCJcTMdQ1S+2JjRwozUIr1PYOiOe5tGLmFGYJx6vQSlj0J0UJ7KNNc aUySjQZjeJwfE50VGOyNUZbBre3AgImZNrRSEWGEtsI1MadbI5wzP9vUwlwIlSaiPdTdPgbKvB/T G7INQcUuPMl7/hFqXAKQZokbjfosxN6ma0QqihC/T3PBOnxwBxYKVjQPY8zhJiWiOeLkCt6sRgsu TfuM0MbCJ7UZSLLxrvnHhnftCLcYKO+7mkDdiItE2RUVRBwxTAjQmrHKBoTWyBqe3rxQHE7GOnOl kJEb/QTx5IgW9qqJXgqZ6VPlDxbcAkM09tDlCfAwdlA1RjWUJOh4c1Ibr53CR1VvZm+6KPfPH3K4 KkWI5mYYgyT+BYdUyw9Lz5Er7mfLffSKzgxpfDlVrMh1cFfrF739NDugc6kSSSF/x6+3xP7cObNb vRnqPMxkNkBi/ulD0OGY978uSiYR+lTGul7j0IDleGrAfjgfxlRhZbBkmmxw/66Z8CqM0dK3GrHS ow8G/3vCJYZLZOFeWkbh7FFAgZBq0OL0HtB2fiMiTBc+WF/yfQqvwTGLHx0uonrHguNDpPysocIJ uVNqswNYbp8bU39x9DDIzTtbzHERyGFe3GqL0PHEP3c3ltu8t4Gm3Coj4B+oVnvpzR6MUXIgLwq/ +Voumjux9uyAPAo40yM6NKTZ2n4Mzs0/4a1gyEBtzC+68HNbWeunMTPq7EdHqFXHtsntYFifI+lo 9wq/D7moJMSbTiIZmgnpYb65SSSIuxzp/zDcTfLzHf9us48HtjHaxPzbBritiHSevOaCN0EWZzVc i1LchjbE1JT4G6tV/Wbd2WHv9ty3Lmf9fo7xVl/4griSg7/ay3rObtnABeTUcOQUxwE6y3jDUIho h1ndOwwtkI2vBE9ereJNLRRZVoRBvgrTs9UTlHCxi0d3HMOX4B7UQiCABGc98Fh2qkgKx+KRswQR JzhwPO7+y4mcVK3FYYl13dFDCTscAiWne8HZeh2OqvYghPl2b4zTvi3uB0zrys5A8u2YA0KtHU1V XHhbtCJk8g4VWpT2akzbPHa9Fff66MfaFTXTjM7vyuZYYuZwMklUPup82m/K9xmLKpSHs7NP8WfG yWFpkabOBi7M8yXpd0gBVuFfnXJWNlhKhukbciBnmRcmKuIMbL+NVDnvlijEmZS2w7Oshzw5pvTT V0JIotR7L39Jnk4jjGwi+JijWFZL6UtRx3UDgEBZ9ovUitkyRJbzDalaHqBu28PeLBOQ1RZDo1xk 53rysMEMcRvidQOw8fWGIphoBhOzC/Yy6QsdmDjmqlbdSZyvCf8OEx7dJSecZz6A6thbXWfi5iBm 6RItBeHpfPQEYTF6zdHkEPlWdKdRMEiC7g118IabTcNwJDgHhNo1KaawsLPlWf4OT1Ek9rLTMyKU Kb5kGmyR4/9yBwPj4YtJVC70TGlz5OIvwH4nlJoGwSu5vGqStjd9pLK1AfnfvXHc5jWx1jot0fJY j0Y3FPhrcVU1GCgR5YkLoAdSQyapiRh2We4eSP0vp53uTx120B/M07cIa6ncLX1FQaKNHZATnVNy 1FTChsdz1215KuCKvDsYbqxwMeB76X/smd3oYt08UqAdAOkWKysLiR0WaQqeY8EHoZ2HJSP4xRa0 PdGHAFODu5Eia+P9PGWIb7BiawULOfumiIusLPPOZ5fCH0vwVLC3nTh8chRzQJAEVlinw/FgvJ/1 h073lAabAjkR6Gznl50mAkbBO6wirRMUhh17GDw5vBJIVq3WEMRFRIFXl0IRAajHwTzFuLbAHZds M/drqduEAExk7p4AAdfO5Edc5jwLzcP3XKIBrnCUXs659FK4CTGXl2AuCLXd7qzK2VqBPjxszFNS Wto0OYbj1xumue743LsyIzFsbDxDftm4Z4plHCtJ+KVuXq9M/2t9DB0tCpU5rxoRdOU32DdQWNSZ Sd1NLIgwQ+yHwVcuId12JQCtzBTOciN9bG9qHmYJk27opu/Ev09nItAoMI29t9H2kHwcL/Lta2Xa 2oP7ogGa5UZ0s1TMTkounmed19rJ0hgWUdFe6FSVKds5aC6GE622Omow+jQWqlVcIVkfrQdNYKC4 teIEAwCXNnZvl0Iwv4Z3nFWr19dk09UbsKuAMeYzu7pt5hq+SaQakSwlhldXc9yIHq+3MIiSegL8 xCfdNxkm0YB5Jgbxbxr13+HhiBbRtBz7KR1JNLWaK+ZX05fpdOu9GB8Nwf1Jwobdp5T12foYIOXX nUZiBpv1B1wn0qj4VYN2KkZVEJl5wIpDfpNeHjcJ97AnBu0OyhLvI812OWJGhzmpO7XqohvLaCZO FisW2BqaGXefa5eaXNITJQ1zt7wFpp5iLvlLJm9vru2CBwckVVl086/SFLSlbNH3s3TFm/xKCVKV sUvLKgTj03i1GEwqVrHqXuYMm7at2dneTar9muAilfpFcn8NBxrmsXHneCgXxXPy10V6VoHcKj2G MqVz3X4khYrDzyi3fyhku4IzUKZ4QKgJ+Tk+MNs4EIjAXhMFQ3r1BsTDfqLoQk9GVzmRffuk4faH nGRGzc1wjM/mchKWPYFAz1O/nxgTsnpCQ8zn7kKETV+z/GksUx7Bj95rnpUArWmu0FCj5t95NB6y DcKDHaKQzgg7oaoV+ioXdim5sJKpDiZK45fl7mjB837hYjBDJn9IoTjyY0ARnqvJrca1uI3TTeBY PbPxq5HjOCLcE0AGwCXoHq0mkXvE7j4f7TJoQqr2W9FRFKyQrVsa9TkQ1rqg1MQcA6xyH1BVtICv nBAcI+zHH42awYOu8bPFZ6oRLGUo0pmfEW0NMib+BdfG9F9q0xOfllyo509OkUwNEHEfbfGH3Pn1 GqGK9kOpzMkVWVB0UUhwD6TTinn4xmU4nvdMUvwIzxVXIkL7NU37ljsHcSorXcfvZRSZkKqOFj7t mU7ynVyOwIkb+EKtNhljamhSuLnHlUib6Vj3g3a+geP3h6tjnie+lc7dkv8mGZI4mKiNAanuOFtB if6ILGr63l9BDEQVE7xyr6du5O3jHcyZHzpRl4m3kMfFuYQ5tA97XbHYQHmWJPGuKeRK2F7sE0je 8KkeWGormpVuyhwn3O1M9/MlK+GgYxJbZfboi4shC1w8eWsz3CNIb0fvKPq8u7yd699D9sI3lfu4 isds4i/WUrESDkpfAhTOzbk0DAAE057LucfFlsaAe0Szp55h/64my8/4JAr8GxTIxaXwv5F7WDdO AKQdvphszE6Dapqs9jVv/fJ3Ieh0k+y8DhnY5U89xW/LyfHDYJ10axYPq1u+MqmOon4Svjc8ZIPE KbyCCgb7rlo+cnO2rWs7MQ2iUFwyH0fFngNspxD+lglpXhCFzbp5yjMxgGKeeU5aFMy0lcCaQeH6 riD6fcepg/1XiPxFF/0bRF9gnaBxxJh8W+zvkEIWGsOmCK4Yev3rp1OlLE3w++kEgWV1SBcmkJl6 q0TKfQwOYSLKnlarOsYehwoBeiYW208drBaLyKTYVb31wzXrszSbfipqIoQdAftvdL7CbF+OY8bR d53A6D2FpJeOcTxJZv0z0W11tZu8n1bhX2Bu4BzAP53r12ApbTZuMmAftPM3oCP6kbwVS0Ui7J+0 o+qHm/+Ec5cy8BJPSgY9q1TRutIPD5ekM5rhug6dIFT428NUudWNBn3CFLjPyL0KepgNmzD+sNKs OIGxdyGOUMIr5Kyfj7A/K6a+W4BFQNJn9GERhEkKiUdkmEapgjJNbumpI1MFWtPzF8y/hk3A/m21 5wGkP81erDtwL2YPltv/JGkZg55r4f4rrbDXXUdh3XtBaqpHWHHjdpNei07YnViBtMHpcwsOSNp/ QJd7171XkJHo1GEL2HvoDk80Qv36VpQVs/ju+Rsu1IPcK/+L5ENb+ZgcC4OoyAgRpC2usfeE9HoZ bGvPhtdzp1/Gy3v2eCsCy6+7/rGIcXiXgzDmi+8esElIbBwPHJ8AuVJpuK+KPThaajGbz0yqfcMW eBCPO62EPXNWyHqD9PkSV8QrZH6VAuS7uoZp3qJ9yyGKQG74ZaYd9l9BW1dT2mfOM7FLEx3BeyIf 6NVeN9kkB2KmCbAN1iEKQNuA/IDOAqxC6uAN8dqyNHY4PAhP3zCZ4qPS/Dmc6w3oO5CqB/Dm7tO5 FeS2pFdT7dCK19g9sZL7XOTASyJMX31gS/XhYvplq2t3X7/sgPv6pbkegv+pAEOwF740ZthRWk2W e5u/AjTOOiT4comw3BqIV3fDrNBCQCk/SPbTj+uKTIxQ32YN4ZuAA1UDU6ciDvChz+3T8bL6Zt0x xGeLmsy9jtXSHPbqP1499onWpagcbccHGc0k1Bm1WO6w07pKWs4w4fKA1v53hrf1PqxlcIUyllyx +vc4wwpBhdUBl4U7ZCLTOR7OmE9udOdhc7qVLTmqGoeDXMLrff7sEQVacqA5PwHBd80COlNjzCbn Z+qYRpZvYTxLD/WoqT2PfDnULeYlFetcRU3QiTU2Y8iz6Itu1Exsy4SUIHhm8Pzux/HxT2/KL+/e 04W3vGVo0uCxFMNsdVQNy/V7uJWj36LFMAcMsV/jj9dq1YSeBM29PCdIYj0ACWkKSl4WBjK6RnuX SKLylDAn3j5GBOCQZ3qRSevlSWgX9TAIAXfHXgBIsmlcXdmyLOmol+9UGop+ZR/icfYpY6pvgUjy BhvtDy2OwO67Trt+0YrlgyBTsPPfPLH+Cf7Gq1LN95Qhk3gW6dtomGHRQcHwentGOJXslUMh4Tdd j9U9CgRjcS0lUgQtN0yE9E9HoIC33IOoSnf4Xn5mgRtip86dANvVSCmLD1w8EXrqMCyQZ00j0ACg Wr4O4lL7RXD9cs0B1RIl2EPnw0mFr140SwGoSiCFMmup+SCxtW+Qi5hCr0zFeXsBL6nsqFqRErAK dKTyK8I8eJaQuiGDeq854KGSb+iPFs4GeIyh2HFcIOeOMuiboDPre2/mjlvE8kxn1kIQKkZV/29H cSbV/3ZXh/SVScHDgMP/CBEevv04JFylB8nv6gWrzFooYkRLbgM7CtwqZFeOcaE3a5OYaiHfpLoy 1i0/C/AhYD7MEZd4BiUbWS0UlPcYjIrIo9bRq2dzh69BOcmWZSx1EZgAAMxVFmoBpIWsAZPP11lB n0g8zR0wukQWZ1Di1I6wvWjNGqlheuuFtRx21jhuHzEAZ7qkyi5Yqr4nRis2vCJyB5U2H35YCwWp k+NsiAuUACcx/D+V0pvvy2ZXJlfG0ZtRJAoAFJ9Aj2cHVeDCbsLLDrUIw/3TpOA3+sQoSdo2gKiw IAicckuonYBDtMlhjQAirC01s9m0zE8Nje42B6ZuqjBlgm5W8K9KSjYbDhUrUuYlDJuy+aen9l78 XxW1uUjP7rBeRBqQFpU5haJ7FPPkuWhb1elrUKGebisIqYo5HImTO+jmnS/St3mVD9bcIfEUjGje JTAR00hoAojefrvGbdSUVFxSxHfF0JiFLvS/1KizBARCMOG7JJ/Onmg3BHuRxhm8uIE7Znn8gT/X 0hSacQy+PRC5+q0nne0P9o5AOmmzPXy91TwYk21FU+GiTb7HDlhveg+VS038lPQc3tWPhKqAQTS+ vx0VIrMwtp1BnKZx7es6pl6ixqH5+QjMqvhYXvXMNOhbVtvp90D0WOtR6t4Fl2IIRudovlX9VDi9 WZ+dPBVCLBZUwsjW7C5bL5WjgWTSYuVs3zThOWaC7hOzDPTRXiKllylk7DwK67SIri0vzUvd0CE1 52/1L6vYEGiNjy3r0phuZ5+w9S9ohcvM18qkxXHN2ArhUUSdcmzi9Aj0Nr4X96QcIDEuAkU0QZCb ktVgUb0+d2JW6/z/d9HmI4nAtvZnOocqsUrHbRJym9ivafIf9zf7dcSAYkbteJNV3H2PymCE62oy R7zX1IKHXTRlfWdmYGqOsHYSXGxLDHodUQSC/6yc4M4z/n3P9bi3NPku0MWZUtfBa2HUj91528Mr kSJmwZPpkAy/IRMTKTozki1GqH6+b7cW1UxZuwILwdlQqvyt5ytusGhTlTEwCEOnSfC1OLApWTv/ QAdfKegQX8jbxIYiKvI/TlD/Ohke1h+be1TWfd5CNipgQ2sYugpCIF0tFAsUg24Nl1J9rPDvuzq7 MNWdZAliftLAaQ8drohyd6KpafiyoVWwxCJjxQUuBq9i9c2bmdRu/lLjIOISQdlgXWYiVxbC9N8r 5umXSrR8OvqDigZKyiUrv7rAy4d71AszU151x/YpzbGcwM8BKVhPbQcLKQ+iAaPxn2p//dNrNyGB KhlZ2H9pOvcgsAWK7c2g3UmW4RxG/A7CSquJKRcZCHn9FV/aCa6+8eN51n3GRQY0Bnaa06vkqE+C ErV6yya1FEFC0ZB3NPDXTzeuecWuxw0bG5cPPf/4MpgO9uBXCXFGfvUTxzT3yTmufkIMcP91ErFL ypGQaAhojkvygKzU63JxM7G9hvgjXneVe8oLq+h93K9PFw+8XSGWICqo/U2KYFL/Hkqy1pt5DYaC 26ZWM1Turve6DfMxX1bLqNfpudegqaDqwAcO1XVgwURFysh9q5DVAS3z7eBnhWvtuMmvOcGn0Cm0 p1BB1hLQLW2ig+//FqEhiWh1mfFRUktltlmzvTtWdQabYVcB8Btdq9I3qPEh78SfnfLsHtuGxxlr YAvLiFppWZJEW9vxecm8M0lZri7egT9y6Z1eRMsMXIGaYrWlQHzLGzEmj24Foc4VxwCayf2Imvto bh3dHO6fNd/vJEo6iHIBWqpFHCEnJmant8fY3Ta6X8VYI/F+byLt6YG3HesOc5hkrUOfdsPPzhBK iomQ4hyXWlMZPSc0Zo8mprKZNQVMBBdj3e5ualvBQ1kBcZHYbmkuCSNm+WL8FBur78N/o5kH2TPe 0d4T9td4ChcrHz4ErufXHRZaUkEXzwIvTY3601Adyl4KfhVvzzoF0mxS2Lgp7G3aB3BSHYW0uqmF rkdIlfnd2iIYLjq4RubyRgl7Fdg8pru4E6NmsRBh7RsJsB1o3MmO1qG+1+JIX8HH0ArLtjfPpfBR Zukiu3uyNw5nx75pSdSljveZoAPujBDEz+vSo3I+TKWLL90EpAjH19EX/enviJua+i6wmbJ6NF5p M9xdMydepHGXUcQZ6fRMVx0RE5kyR7kwj/LQDawpigcAWMUbJy3pkYvhCvNgBlYMOQbP66NwDg9V u/2GOwlgC2eAUNx1S11VQX7zie7ik/QHrZmz7KklcKQB31DagPXX/f6s3FwnxO14xXutTuhko+Ex dAsFo4iPOPWvdFk7idQ3G0yOmtnKoL0jKTA0tQFV6OgYTQeoiw2JX1HVET0MEx49p+EaLyljccN6 h/spUobYFi9Wx+dp8dJNZRZA/pqzcglkUFOEDK3ngrgLDjv7eK/bIgF1lXn2zT+7GUdPk3V622u8 3VMIdOSDo9/6BD7xVC0/SF7owWtnD3j3tQDE4jpXvksEGOMGbPFFHmBbd6kImg3pecNvSfBUM1LL gsjj142gmjwnjdXRMWB1AG/9znWOfD7IFpqI26Huk/K3RBFlUECQnCFHrvRrnN866PXHV+Lhi0/A kB4Bg+LEJuQtLSE833SRaa+1q2Z2ds6LpB6x75zGrL828oJ+Nz+z/SU8dofdPFUXQGN6p3zrmTmn XFM5yCll+Xo0E3rbXuMEEQpHfpLNCPUgkEDLOzxVGIghJeATgcVy9DL/s88gAOo7wehzWf+7HKp1 7zBzxPK78WKxXmxKjONKcz8mskOoF3l6fXfdaEN2qAZGOFpXRJMNfvRNYYZPngqVgARtQyAKfhU4 l/4IaQC1ENz1yc4u4KtOTQYNqYv8fkyh+3d0lgd2MmW1ZfxPOsqOAse1qydI6VXIcwJgqfvwcziO YX/eePNHcghhCYwbDsXmkpZzTZqpOqxuvsTx3dXriQAjKiyjUkC68UwwaiywhuuXpEwD1HHLCEtw 0qRPOzLIroxtLMQnezWwnNP+7kTL4NeALbSbK7hIXrqMuPlgRgmH+ZcgXmG3e1D5tkqBNIB7Dyac qy+Z/ih6NqayqyrMlW1P2tsAS0dg/lyee0rFxGL5fhQPZ2QO9BsZ5/d4MJ+iMscpr4fFPsofomz9 X1f2vdpBKUDXQNFUaeJX+hoQjjdVAJ6oC0On6IRzZ3sNhYPjUhL/JFi59nufn2+gyh1w4HFkHHZR 73s6j6GHszXeO9RrF+SHwiOL+ip1hfE5UXQA8x43Jo33/twabdl2zmymAwj8sf4j7e23wYeAyOLy utYjvo25GT6VQWOUNpqoCGo8N8NrF2D+WYpEwyzUMqpfdkUK7tK9hZ2znoZbaClGX++xbl4Z7Lt+ h9Tjh3LkI8evLUrZ9cOjP44U6eghnwYJupBLNtRJRZSOsZvpT8gsYpVynfoQFk3eC5ugNl47NBZm YyjDHg/t6nFHUQ9lZZBxKktyglw/Y0y7VbEvHjnD1iutSGov3RsrAZ1HPZaWTxPV5fgLgtBbX3hU Bax4d8mfkugUiP2wmwcpVDYkFuYDxWo3B1PHMnL2sJiSDljtNp76OnB/5QcKYYzsVJQEjxgqqspW w+1RHtP78S0HacbgGZEyru4yzmfr6vBI17oHByzEUu9XhP0q1s06Q8ayI6fsevAbfmDkM3TCHxZh Z4KnzgkEwSkEZZ6LKiZsJk5ChT8VCMvj6ZL3jhAwEtrZ7J8iQIP389EsWW9z7PVTNfnbncQlUwk6 ECe/RE+0P3npmPMcBOgxJ7dZ5GZuH1mauouhwwBGMnoIGGp6Am4P6bGwQAkosSqF9jcqYPspHpWq dYzwxxuv7/JDCkrOjKwkSoTDfK27OVrEJ9a2paKJtiu0BdeSgRVKULjO+e7ek9r7lZ2SLD6hinWc vkJgz0OFcz0ajZKImxYBatPhsdrLgeYxpZcqoDRFnfQ4G9fprudXgX2OHUKais8L9A0aS1X1Bi3x j4dfVgf1GzLaEbVzohXJw0st/FNMNed+UuiKW7hNr+861Jjh4Weuo9fpPXZNoqZcXTeDIEiVhy1D bdhHdMVDTHEd+vYhnaI9+FfWWZGUfg/PKiHckuaksqiRdDL2n2JkSgX7pcqDu2jiGkBYt6qxfQ+a LNR0dI3uBzgmeiqjGsvdtERm7HDKtCLvteXb87vpoB4gGoLgSjZEzik9lg1cCfOLAcNZAer7r5Vt ImnBu3oBTgDkf043y1pXd3mBuovCeAnvoMDkhNHUzqxfMhqzms3W2L8nGT1ZdSyB99ZbH+Bm+e5h 6hgiqQrorYVnTMWheIKXW/BJ8ve9Hu3RQ25BuXFdXbr+zK2qS5YCAm7NTVcoOPxEbA5y8FvWc+5T u+k16OHq0KunS07jkNrY10H1ttZ5ypVpS+omz5/vQ8Fh9iUr59HrS3hKNeJBm9JXj4dK9ZlPWLsI 4evO2ozw8VmNAjlD5dOwePaQy/tggW7KzC5mt21KeeBawjzW/rew0XwaYweEQa+7mddtFQjBbh57 JBXtBRnsbfzeKunWpyEZNjhLpYsf0EF7OgSQqBfNOg0HaVjT4nMNQHDZeVvXAUe5nG7vrfiK9sOO x6JYmfoZy9RslbAoljsJnFyCTnRinIA8N7MjTuYo+CtT28O75cWKKbE8yNeZM+o3TYlmzVWizplQ MNz+2YwMMvVe+l79hbN+F+cbcmLRfplEcvHmFd1MNHSYGY2+rQAuv8/bmhAlGj2yQbkcflM/+esW j6gy9TmhWAZxS/HXvi40BM+2itb1qeQCFSPkrKyvRt6InEQe3JXj0N/ztHypnV+Mu//XDorF9fLj blCIvYdxCD/Vu80Mts3Rr8GWEUXoaxE/n38xHUfSpIbUhMRvXn2/QNOqXQCVjG+uxJH++P1nC8M3 guJMJ3iSNLjQ1MV0K5GeGj0axvAsHvod/uXFrQg74Q/kl6H32rycOoHWPJvNOIOqFaRvwp9SWWvS y2X9XK+6L+yfaMuMPKL5i3VHJOmOliZUw9rtUi5U9YC/DLIKaS8sOpcg6M5ggMXQ8E/ERm5HLhdi 8XWFHtxjITSrEHAR2dUrQ5QnEy49yYlmyXMLepeFt4W/Rp1FNuhkf7t9rbsFLa9SPMscHiH/qxrE VUREZvf9XTSC/55XLhDIX1+3akGhL5p2Crp6eWKB3Z/6Fmo0WUpXen+cm5L7K8gym5YIhwyQzf8S Wqy0Ysu/XSqPyC2nrMeLPkrved+L4E70hWLo89Nnl3uWR/ac4nhuoayCWXk+M0a7LFa0uPN5l0tp TlCmaxjKmano2r++GBwsTuXgU6VNjSgvRekhxuxqWDWN0CKDeXd1sRio6o9OiqDI4qj7BmQy44TG /3K+ndBDb3urb8GjMG8vWlzeANKDeDhJLs6/bk/mCuHKVbnTqEseVgS+zC8QCwinpAajdI79EP5U NyU2f/6jBr9+BxL8X9diimdJW2Hwb4dWNB4Rflemh5DXYLWRqs2hroYZGiTBV3Y+t+Ih+ED/b4bW ohnLw7Nxb1tDXhphpJngELQmj6uN0MRyNUlNwehRb226+a+x8qTlcjA9Yw7MF/ekhBxSSAgf9lrp n8zwfQ1y5kDHMJoFG9Ukgtj3/dzU5NKsCLYh0H9HZ+3/yRwuv4UDvt7h/lBEAdWRgOA7vE+r0OXa lu/gplelsyj9DTaABoobR8Izy7jEgsRlrdOb3Js/HmIV4Bmfin+Og7jMdPFKRsYLIdotW7F2lUFE 3il2zoP/rke0kjRyF+0zUNaf6TQtbgAX4RenGhoJRAjH0D5yreXafIgg6LlrsJRWqUW8htG2eczm Essr1+28wfp82QGbUCzBDLhBkzD8YfPQji9ZuQoJXL41ZeiycY0APUImwRFctG8D31EaPeRrujZB wZYkd29Df5XUIuZvVDg+cYOiPOCobnIqUSrzFscu9yLWVuhf224QeqgQdDPP5rvWHdVQ49AMog32 55kEgeugBdUiamS7o5x2HaVC4+W5KtP2tkjJOt0S1QgGR9MqNWyMyaNhMBNKgYEJ8dCs0OFnS8K5 YHCjRXwx3cArKS7iM+E3miIo3Ly8HpaCoTTKcmqgdHTty8Xl+qny2fRBUiLEfLh/pvSa9ZFuqMi0 x2bjAnhyJdDN2dT2Gd4I2myjmk4ESz/yqh6aZHQSl6W34HihZkXDDr433ZZYKVihAZwRU5YAA2hW d/7AB/SFEc9oD+GT/BYjMQ9HFI7LDMsnfEInzulaJoekGRsGl+twIKrInVvzvz5Hj6/jI6dJ94Pj Ff6T0yw6E9ypTsijFjbO8SSd8I78CNWKnyN7aTZo2d/ZTpo6hlz/Xma8dIbjKWLN2vYhqAYJnGtD a1VXOUV5CXoXWL7pKvpz/LciX2aYMjIBYkkeustTfjd5pv1nNYrZE0rkSJBeu2/4xfu083/u4U2R aZI5sXLL8KR6GWhowsLddXDYNfciI1UXXPYSTA62ULaItuiUYlzpb4shSAonk4Q7sn1eVV0qzC8a o3477t/EvU7pDEgBMWZUJEgbpAsY01D3/PR54ffrls08Yk4Jn7ExGoXo+qIiYhJ3YxF0Y6V9M0T+ /cxrZEOPqe50nvYKifH6IqhiscT5B7IDxlyFqsKysrRMFvGflfSHJ7Ru7GX0no6j/JH1hktMD3qW uVTd0UkzntKTyVn//gVAM+C9KwjcITY1vpWepBe8UCEDrhR/be0UrHCF3iQ3eIPpPmbWEssl4oN+ X8KGJwU7LRRjYzjUcgO5TKKKTnDZtoo9iA7oy8ZDVQIyyD7Ul4T5z9oeF2KuSHD41qlexD8UDdJp 9/lqeO677aad0D3hYRnxuPFIxleEeNkADh/uF2+lcB1on4GWPAPFtJuDOZeyfsvB3z9DQHRyHRsl Hfw8bCE6lh9I26ElWD7evsxgVsfuhI3TYIos1MmvXCyGWSwXlUzsmhTNyFg/LJtMB3MKKxAqxp9J oXjL8p3ZpaPouDR82fygVc414zRwE7xoOsrcpdoLXrBq8hPPsD3cNzALi1m1oAJjndBR2VBjvirA OkYKJrp15JBGozaRwRgdkNANR4DLRWhq8B0EMngDcFeFkDbK3dELGAT+kBPftq7l2I+J6/hUTf8t gM5zDCmTZZhkXeNYb6m+qtdFZ4/y6JJnULAnVUgC74YlJ1xs2qYmY+HZYHycM3qPYdr4vtr1omFw 9ESWDY1dMPtD40EaxDS43Wn7ELW+PcVmeBg5t2JoaO+sofo6Z3WxKrDqlo0d5E8dxSVuGDDUlaNK zJ31qJtfaogtiilxS0uv0v0q35Lkr4OcZvx12KyD1VGhnay+NzNyY8T8PgOwN4dxXJm4ec0FvBqM 4oRzzPrpKk9m54U/SLy1xRxqKzAB2oFEkZzcemuHR2az2CyI8FM4BTVJLCwt07XdWFYEiiWkzUGn ZgRAf0OkH3WsmaGdyOytJ3Z8Jj3xR67FaB6ZMwAcLYnoU4ARuDLIx5PiiG0QyPkqGN08Qv8hzvlJ nbhqjncHEEC+lWIHakTGNA2FCX+9jFwZXnSN4rqqrJW7pRmTCaLLEWyEmmolcJwHCoOdcGfY4r0o 09cw31jdgo6rBdmDcyjSkC9czJXsS++U5dY49XbbAZy0/YAmd3WdjMIOAyNi4VDbvNSC7s2QqmBm viqvFBwi6j7xEHJzca6RySUE/5+1q/dOzavL5QiRjc2Jr346EiMg8YcbFPXhioZmI/PEFDLOrr00 Y88gRNaA0yNslkkYNbVQz7sdMLA8mdNVtnKz2uNxA+AqtsQkPSKmb3SlIKvEGE01mjcQVIgMsGlC y+TWQOx+Zga8wCJZ4BxLQfpsUy659PgY0F9+BTKiyrmLKuOKk9/J2phCiq4FdekIkNzIJrTGDS0u 0R2JW3cT6KJFCZ1bFW1LKbvqXsdyO9gBdL00p0dQu6ThvmFhHNooVoZ/VY6UloSF0DV15FTBTcTT tR2/pnOYgPO2ctmo7KcoNBqCdOmn6VZa7B5P/uL6W8uxg0YdPsbCTh+WqAvo3RbpaGta4RumK6DP HTdBprPj+VNWXSNak7AVKs9/nYybVoPSHXpAaWQAzHDLGzjnH9aM8bmDMcBJDKz9b+TjL1az3k+4 M/1tYMB/X9WkYw13Cmv8nhg/IUZIe5GPwkcPISyOyRummO1c9okwBgVRXQkNMWeTEdSPwnweDysU ucjxr0vRhoLWit8S+kgJBQo3a6R+QQGVjvRRlP0kZiyixucoSBu1M6WIDA8YK7Fq1ytO/0jc4UOx +XOa3sSnm+FEgMo2KRp7gbvG45r4z1ZxCSGhdclFQxYDfr9reKDESZWu73mhPAw6MOIQHQfNrnd1 RS1M4OsuaUskNM5cdU2N6+N7qjaTLUG9vXjvSShlIsDcAzQh+KvKSjx6bZhEWhYbsF6hZ/Vtw6tZ fbJdEjqoRSB2jttDVAhTy41KOqBt3z3l4M/hkGdYRnMA6uBDfqMT1y9a0Q3/0ic3RsF8PNLEBq7Y M/KTP+OBFw1THF4qX/R9ZKmqGfAt58+2Gl/OVo0RMUbNCQr8koRTUBIZJNOh7ksqJ247SqFZaUZG zf3O4zOEZHIBU9grU2sto/ylTVz0zWVuEAZEjt1lHZVaZe/rRI7Ws/WdMfN8vTDdUt2eI80TJHIw +nC3rnhUHA58dE3GmrpvVnnnFM7DQKkVT45WgIrTpTaNfsEUgR5Z+LvqXhl8m4zQnRVdF3/1hOO0 hj3sr0KgnvsnsP4bhVWzRU1uDFb99S1RwDBq4vodGvhzxnzYPgM8VUFIC9HEaiUbjHfH9dbLcmI0 unIhvR8ZQdGn5xnyFa0ayh5En/o0Qw8AHQ65Mv6EwaFOB785Zem9axWfefRR21hpmPOtgAlOo7sR sBck7b7y1HXgo9UE4YoUC3M3uUkVOCxk36WaXVDDCfG7mdi8mNsij3OHuc91IDWIQDAR5BnLZTN6 Qc+h4PW/rhLav4+rzddXWuFnGYNnX1xTZy8FlgzF8/MB9wgo9AiVbzuTxFiLIJSEKN8ILPX6ITT5 XbjlXrFjr5Nu76mCXTSJeuRHMx8ZVBZ6CYO0GWl8ks4KXyLwzbH4aUrMImTICgr7ss6U+9N7B7kk cg6IL5h7gODoNU1VRPe2iWYBYnqTxZjtiKRDxQyNUBptKGpW0scWj4FID2p/OdLspxnFFZ7AyCXv wTMNLU0lbv2mJYzCT0ZcdxWcdcMZTlon0pA7/qJcJ3LuuRpey+w13hdjE/skDpr7IuyZ17RY7peU Q4aI7Wpu3MdlAmAy5m8yvxJ6Q3vVIEl6YfS9HQkUcXLfET/erGUdD0uh3hD0UruCqT72klC5o2/n R3kL0x+soYoEY0Y5msBastEvlyZQumSxlcj1utSxrKvg6oI1zwbcjXYWSjiFmppCfS3WLZ22l2CQ xjcE5AqbGED747OxcV/Q2dW2Ped5gSqWeoENXTWAxuNo6jr+Qnrl7/nqFYUuzr3j+L0gOt25f7rh LAz2CmHxGRj2J/81DTPDoL10FB/cjZB+1MT+FfH01bRfucvucgWq5vxjFup982UF3ytvejOWqmMX QPOoVGZwus/PKWfwkUHF3tBgKHcD/UJd8/IGjKHdhTmgcXtJObr8LR7t+l7+2a5RWTllzmxFkV5U w9QGOhQbERySdg9dPv9i26+QHrpm5VzPVhBrUhr5aRuLVaxvRsuy/kRNfeQsdbMKfos27Cm6PmZ2 LYFlpHsiiNyzI/d++S0OsOrGU0lv8qNW9lIMiB4jRVMPIZvR7GS7rp1fccPDgroVeEzzDITmIFFt e/tOODN/fkV1qQ6V+ttK0KDtYlEw4OA8be1a8M4rpP3DskgriAylhB7kvTHVomORZzmlyYPAahvv 9aLZc3ib4bjph/MiVfwsZNxqb+LiR79Zv5t7ak/LI5nouq27fi2byRK1pqCSxGvWC9pGI4Mtzpdr tl7nsv8jPWQ9iL52eUJIvJcWcrLZ13LGOWJ0Gkd/QoCObKqUjcJaofJMq3PVA/VJNbDQ4p38cP3+ oC5nbGhQnoxioxjT1oAhA3NFbOLTKT1Mdb3DOLx3LKH9MsexnoAJFjnGOoDiMQ1ZKDp/fhlo88uk St2vVjJiPHdPkS3rLwWuLpOmGqjQ+/Yobs5/gfV1DDKWI6x6llJ23neuvo2su2+Ovk8H6wyOV53V 1T1nLI7ToltWQc9EMf/YwXWf4Xl7S2l/CjIGde5JdsjKkjfYm0fvvkyv2Tgjstsk2pLGXD39uUNd 4nRDs9uAbqqoPi38QQCLAlaEgNC1Dgw9dKgPgjVvTwhJuyfX1y2rdXbH0SSmqDayu9yoJes5wvFc KJsI9A8cmD5EqmHQLNW5iIx0oeKstp1jfIXGA+I/QmSZRBiHQtf7h/tt5CfiouwSJIgsIMbD8H8Z 6v0nlRyEEReFNApUZIM7kqzsvkOnWvAGNwMhvo8KhpTxiRIOwMzp0UYqrproI32Qu/BfK3XzJ/zo OJYqsh9QIA2zbA2cz70IlxeO7fYlYRLs5R5ofKe8HH0y63EBuhVZqdLkxCrJvEsTJfjhnHaIIcN+ rqHpTnvw8NMXYl76aXrOY7ol4iXO4Twyakb9lJ8ieLq7dncah7Uy5zaSBIxpY8mzq3ByLMEv5nWf KWjBvJdtBmWDTHNrpFKrWExUWXuddiGfEWLjxF+GYL8cfaN/rgRdfjn97txY0eQrIGQjf10tebeY 7G5/8XgGXiNn28klTinhRFIKTNxRsvb+KZOraV2FYqCHfW9cdvYqwuANigu+/OSjuIrg31zNiJwo TmJX7ZJR9wJ3BSPUKwSM15m3/QB9mIIRBAqw+cHvyxCqbt+CVC4YLHLSB8YwOmZQcyPALbL189S6 /CCAQhOOilj47HXk244oajgBEfCshK9VklUoMvJLNuFJUE7LalBq+1xh6a9Q5WQ+EbDZoUTW42Hm sa28+XIWqzt8/r1F65T/CO38uWkh/tcwOJ/Be5Bsz5LBfIbZlNutGOrqUSoguypJGXR2Sn8hqrtz LN37sjQwotldLGgPSRZKnIPKQvoc1Fne8J8WFcZv1CuvCi5j6PtBC+EK2fVxPmcVb9MNlbf6VkHg ERq90Js2vIFxlMDFQ1Fk/kb2yaKuOBxTkTCzNax5PuAwF2/NAzdg+aVAVDuQhG5NQdU+sdlbHUIm 8yNavfmT3wrzN75FHh3ip5pqzKM8iGN6i2uKVKuQPoXII4pncKINQnNCODbVfcRcirSZkQQoLeOS KLQKoDPVjss3gavvFbUgcoEt14xdwZrvzN9uI1DqteMJ2CM8qVEiYHn5ScnIcYzFYRwQB8kQj/k9 rCz8HdS4zYUvQ9tqo3etKctgVPRGBqrebJoyyTg6x83vzctneKz5xhtB0P7LqZAoPOIMs2V5VfzK R4sVGn38tNnDX4Q3x+qiUBQGQuUDsbjGmWXYCdYXCEwvFRBDIcInHDRby1tAtJ4ds4VjayuvBIaJ B9UGyf0HPV4l7zjRQXaUbCoEkc5ScRK8d+SyhhUR5bSTvDrzqtyUWwk36w1vJ7KaJEQG80qptumE llfmRfx39A8SVdL8K4DBeiGwKDV/wBk/z+EMTwlHqDPM73lFMQ4kI5tHafJbpSKI+B6wCGYFPDe1 QiVyW5R3kTjVyn+fbfKKBEFxEWIj5XSheQhAp/EirABW2IuBzMSlKhnOH/11qBeriJxjj5wXti6Q iNSrnx+4oOjyXFB3XAmZ4m1+C5guxkXbfaM/WD8Z98KMycbluQOIBdZ5D92yFo7vP+JyCuQJymHG qjbBjeX5AbvRO8yCVfzewa4fM8uI8LWgaAM/CButNK8NSQWPR3Ef/bDFdMKJfsOO7nb+jSOQTBdQ fcX/lS7ua1rsXGknjpVp+fcrlTiXlQPPwUbgdxBWogQ1o0u2zoq+G5fH7DYdeKgyp/5NA0Ue/2Ch GIItSKqyguKMFJyr8XTfklwrfnXz30vwwm6xrKgwmaYDkY1Jiwgl/kZU2G8qXqNwcwSV4VaJKwSu bkpineHAiJmvZtpJyWsx2fc9o9OIES8jDkY6pI3ep/Z3l/trju33SNpvyS1wGJIzJ8m1lNs0Se/B mQQZuPLnbZKaSHjL9AV5ClJRt2cmeIxVwO7fTXh1WBVLYu8rD+coVNzsS7ymSad6VKEPo7CPEPLX 8L7JBtxqSwUe8NqADG8IiZTqvuIxzyr9xDSd4vknTaCMnw/tCqNPp9qivOxrqUCsiVnW1NlxPXLp noZTAhXl60aYG1Pq1cs/2hBkP/BAI/bZfvfTeWCV3Ba+BnBZJvgXA8SS3wOe+ONEmbkxTPNDT/u/ W5K5vThSkEZE/PLqnFzsIHF120Zx9hFdGDfXr0NAhq1DYIDV7qIjCEbh1Oj9mxggvYBnd5ccwCaT R24mHAyNOtu0DnIT4nVvJtytugqhwUITte6jwb+B2l+jeP7xZU/dbzzWM3usvAiPqcBmzm4rktMF 9rrh9itxH67WolNfZmiwv8j6tpRnxb4/A2RpsDrLVXvLr6H+hLTIkwrXMb9otLqopm8YqJGCPsFe MHnYS0AtdQreBPoJYcmNl+t3qUrKAjD/KW4RLom9FqZsc1Aby3QtqDt+mg7UYR6ZsszTmyzVFcz8 3ZJx+iN6nxRrOwDIjNDSqK5Iy41If2L/nsgAKMOolhqs33iVEc7fmPehmfgLOLfvguQ8SyAnQ5Hq jE14c1eWZgq16BmHjsTiIGHEB/fwUfBSMipSwTr6Zsrimhlh6dV63hHAEQzBWucoUF/PXn4dY5rw M5L1rnfyw/HbY3wizs8krBRp3XxUqu8q2NgvdQ2CNjOlSeQfUD7OnigZyw13pvxDWuOH6S4OcaZf 4l6VHd72pAz8Cnp7v35RDFj5SRGkeOmuJKM5hNgFFE+tGu3LwUoDOen4M2hGf5InNkDoxmpDAXj/ tDzAa7vLD3TXcNyaosSFYv9jGhIjPrdG/OCUlyV+zu2ePI8/kyIoMnvswukGPhl1KW1qQMAWIU1c d9XrrB/AlsfR3qD2GEzsTtBfuEfd1Ao+LMF3kGDlRbhsqNrOEyG5lbF1DY/4cQFGm/inZrCfmeeU P5MEriBaTVvGNB25UVukoLnThlokmNNScTf1uCxdB5uaz7bvhb5t5R1yarnX0+66osLbdfHXJ9FB Vqap5fTkP8Tf/a9DcMyCwj6/xwkBLYooAD1Eo79mmEC4DyELsNzd+EJvUG3+T5sefsPaERWiC6z/ PPjbWB9kukUrIwUhLPDA5W+OZR/MNivzidgcN6kYPJ0Fjg3r6XYuDGVOINgnoqIsEi08Xmlpj40q IztboSICK1V7yMOzsboTe/9dBZA2Gx25ypQ8NUpivei56Vfp9QUgTy/o7EmeOj3BB8zSOkDFVGeD sFgosQy3CChG2RmeHaqSeJgI8X5REZxiUMWCRbGsBkiGi3H60iZzOqiu+LNQESnviRPjINJGfiIS r+doX68TtI1cYCf8eXuE7/TppzWyJdRestKWYX8XzedsTE9+d9FoLNoX+6ioSoaKKo/E+Z/A1qQJ V4KAMbVSJcdCBU5Fo6stmcCumrdBwcIJkS5whtf9i43cxsbbYnEq7DCFVEEcmItBxXrjU2ctC1xm 9/M+S1d2UB2gTAz667vE6rAUgirHMAh2yC/nWzLwohWRCc1a8NuBHyf2cVfFIXhv/STjtn/cCsxG 8lbw3wVlkXkqbGsCIOLU1Xpn5nGRh/JJJAm9raPNBnCuKn7MEwcH9Rc+Y28rzE1bE0qCpnRBCFKs vDRceNZktDr9b2n4z6MBttI6iW3zWgv9lmZ9/VpECWtAs1G1TVYQeLDIdjRFwYQ9nhZHsH86nEPa m2RtXWFscwBIxrVZQxfpyP1GRmaNCaUL7cgdGHaGxWQSddkEpNqBMXORUPGg73x4MU+ZXvq36vof oBqlgxfIGD+dR3hVENj45jkYPJLRiv8wOHsco0rGXGEDM7sr1yW1WB5wHh72naVtx3AmKjQD9Wyk saEElLwgqwXGNaXahCZgyp6duXK1wPZ7Jtq3G+gWrWXo1AcpEmwCDWaQQ5K5VK4l6mixX/FMEMcu Cjih5cqiRRfEbdAlZBRllcvlY3wDupNgHyrlDXg4lSqF5k2aBqKDoeTm9PpHLDaGqpeJg30W+1id sE9bUDrUsaDReV3WXbAhil+wK3PAW3g78LJ5hDVPdkLcHWWpjW1jsPc37nH7L8gaDTcj/YOgtUEG CjPhpL1cqYCNdo7Z4UZVYeUbzHHpbBp0XmXcIFVSRHde2Rvs6hinGk67tWpxs7MTr2JemR3ZS7DY iTHNx/037IA0H4UmKcnSKY6j6V4sOeLQpPVg8wmbXQkkO+pKP8mmrH5Y+CqkYnHJGpkA5Q/nFVfU Ucq2i9e69USGBRaGLNiIO9+6TB1n3//ckHaLUm8qWqPgKlZITxHmvnJfA2bX4gzZwSSlFeb1wIkD obC/5/+x9wLNXuYBfOXCCtaWCvLI+ByE0K717bcHdrk/2qg3pxHM0lFrcYlWsfO14wAl32SwY9C9 ParyFLL1Q1Xl6Ogi26VKzmAEP6W01u1/l8XBHwwMBXZoeTKGMzzvlvt6LoBYMn6PtLiQug+jo5wf DkpQRfwlPrEX9EF32rT4qYEWYadovYM8NM9K5CXU5Naw+KOdyaRLaZXLwYSE+qaEEaid+QhII5gU 5IAQ7ZtkUgFO8Q10hlJvJ/Pt33K1LxHi84nPtUSYejvozwTSyWR5beX+UxaDD5ga0sBOEsLbvWqM Vnf9fkbTuPs9AYt9cA0IeK/J05u8qnmcdsLUC0ouD+qxqh0mOc6k+cu3hg2Fo9dPGc11q2VWv2E5 /6DOfTbak9EDf+nk6xSqzTmudlTn3e+LRIJPQ+3+WlyN6iJA2KL52xrh94YwZEvOa/ghhd7LYm+9 71OTzp/G670cDI11NwIuRISrRS7S6yv9l9ZOvFVmSSzCFumhKlnJiDJKbtyZiw5vlp43xRXVADtd R28faXS0rEez8uHx1ofPpqilyQrBUe/ze0TlsKrS8aslS/kkRaMPobYdaJ50IvBG0mlOH2+jPWOe KIW18E48lezcCaWFpWBrJZlK8BSiPTLYGH5Ac0rKwjjBIge/vxUUo2e10srvUx5Vv3Z916nOi9EZ YSZtd+y3nBkNXNeof2h6mE3nRq50XJt73DMJYRAONoBAGxgtnu7zTKDaMgQIDcLZhPpKh5bSvC2b sFsR/R0OyUddBFRAABFgVS/SKS6TGhEVeS6n2hh9K96CKaY93xdJi5je79Tx/wkC1L9Jxp07DC28 qTE+fKc/OCaWGW5CxGi3+Jw81E5mWWGqzN4DKTCtBgNhsUJ5NzTt60Pqa/+gik/r4YujBx5vXfXK NhWPPtjEAdtmmLQZKjM5gwmyKE4M9jY4/N5jasScc8f05EZmz1rew6e40pa8vVFAvMt36y0VZR5o 2XI7fhB2qAUmNw8dVss66aaF04+3PZj85X6MI/jqMqoIPJ9ad7H376XOt7CiIqfrwyN94UFGjR3D YBPVezQARQqvHF32At564IVLuXgWZ6CWXWblpELLzoOi97oWFW4HvZZehGVx1MHFN5WOSYmFxsFn qYcVFD229JSNwKStbuo/X3zPQIynGB3O5TjdXtFqbbOdr3Wo9tDGn618CONZmW1QSQ1xIEdVwcxU kdiSv2XwC9CPnOh1BGiX7w3zDzu+e+yv6wmmD/lWBSCILJRUVjCqdiVuHUpLoTNXZitbE2QNVVRV ruxhqz0nEdMC88ItNjOd8BXGRfqSR7bT7OcUwFRsTCJj1B2iO/QtgdDexSREdoAtyu94DdNOtmeu VcnneIjpqDZI4bkzqBx0HwwDm9q37ggHGRPHMHdVg2uADBl/wAO/ah/+Qif1+uVTecR9r9nimxi6 XxgA6HZUH8LxwJKQl6grta+9y06pai1mOnXIWAUbYxfqmI1VmZB7bu6yao+XtyM+syzZsw9GtRPL CQOivqa8a7H7/nIqtGtx/gYHc+RspQNOUM2TUfxtYmwQclAhqL+xTRLAjxTsJVSr/WZ/i7UiKOPO ZCh+P6C3HEGG0cKCLSjfn2AxcYysiC4qz1dNdYgYD3KFcG+egBCA6kkyLegZMaNNrQClSLb/dh+g S5LYhMUt8K4wOHjKizUHWyoJjNONZYDvwEjgvbZsYAEqzwPhXpNJL0/hXsp/b912MKr93Ff9jp62 omfpPmqxeBYPQkx3Ba8YICO9a+Fqrm0S06N0FdCRpfrPkToFQ7qSOZy3VCKP5S4MN+wxUL0uISTQ rr9B3r+rQzi3+HFpWrEEEE+C6oV9jJZcKMf02q6XvkYxietq5+2qJGo8oA5FlFwAUX+W1rDqvc+I tZPrCYt6n8c+PWxqU2Raf/HgyE3dDkmJA61UiNmF4DHqC2acYiiPZMnGSefVtjWZ0D9SdmXJjuWO E3i7gcRVsA9lrcE9VX2Za4qtYkyhP/GQshA0VoVSGHUoiyVEXnMgwV+kMLILHgQkZM7xlUZbfxDG EfyVKhjUBr1gdvONSPjhDraPzmSk8cJztvr9YjA+geeQfTvvGAEyM0wkNmtU82NzokW9lzfnZuL+ d7zJOkzdifydmiEDtkI86xwezowLsMU5wATYAD3lZ2xaAz8ZDGXoyzRFg45utu1vObvrsZUDw88t Gxi09Cff897rHcFTd8MJ+4eeTxo5WIItN9yN2hG8AL9rp3LtTs9nkAIDGtvWREgY+cfKTFg/AObi wMCgmVzqe7pUZlL0Vn7iepeZexxR1GGy4Eqy0ae2NHimmnj8kAQXtdgEkJxUGBQ8zeFsfEBSvAfx 6Y+rU1ODgu6JJG3VVcq8lUArU1eJGjICBRDxqVqeJ9VdGti2Ylg+Eg8Eib8kPrSErtcEc+6tJWFi AsK0wCUTYwPWmbWvrZTpuZ463z1xSFNsxxRZSEICTkO4Amj1rvmRHiLtrPzITDqOVzJ6TlY/GR3h nmb1MpTQ9BjF7uoJCJjFVPbuDIlT+cEWCtH1X7BM0QPXGcftM8yTDCo6kU2knafYGOTqG/mpmUrm r2xhZlqta0MyMwq7xzM53yD/SsBXYpDLyxooODrbbwxIMUechTuT6MtBv0n5D7OEeUzCkGm2tV9Y I0bfkpQiyozXwbBfDXmfi29YpqsjqJX+n0H8cpgB9T0LjL6CFF7pK+MBsnEAZOyRIaDog72bpN+1 4e85JClCTxkaNy7IMvWcFlYGT4O1oFh4on656D0davRD1+Y4/3WvANL3RHudFJ359xkEPl7zm1AT nrvd+l8j2Pzrr0+yqIfei0Z9+DITUzv3ncd0QrmGdRkOk2Wmtfk1I436UrRgIgjEn8Zbnw9YhuPX ykpEStPQIx8SFnFCEsr11RHECW31vGJoovGSQziK+A96dYAb9PqWByKOyJqcl8XA/0YKAOSZpcFR 4cJsWU1/gCmj2d7MElMrZLTB1CfEpYEYjdyRhFlgc/B9SJwx9OkeUHKMu5xJJZ2sDLkhHVmWLfJY orVDAnETVkkn1WDpb6zIDedGBb88NTBCpAWMba2PN62XcOWaRww1J7fmmyD89iKb0cnExdfGrBEu cFmsW0N+Du/FdA7/dxrtrfxnp9huHgLWduK03UenZQtWLEmCEAQmytff/x64f4yazrIcNgaLySCH +F0TmvU0Ix0yIaA8dAU663LqSVn2mOQftmj2zMeuZNrqAiOUq8QVPLNngTXQ50ciHQbrgd06XyD+ vprCSTKkHs0ezNDTGxeAX2rwpJ+1TS5UhvO6/DZ2ms5BSw7G/R5HTetwjvzB+LkoZ4z/dv5A0xKx Lb+htl5j763/pbaBqlZ+Y/qjGuPo8qKh//apym3wFvlqXvtLvqmQwQe/74pyHn2NWk24yyMSV4bJ vsd4EzmMwulOXgNtW5N1DPOG/Y/SDPPKqyDmGXmF0jvxBguc5wds+FQg8CJbe0eSIDvc7ic2jKjB gsL6ijuAfeJLFh9LxHiE65ZFG8pw15jGrTEuWUponFPyyNRlY6lreJHpYN5uTPzn3q9XF6o06fWj sCrDwBy8Fx9d2j+gxUafHMkpESlNHgCKrIBQSUMsmTEz8mGzjPDHaGUfuN1yEiFH8DnhaZR/QWcB f3GJPQ1Ok6tinn/RhrsnnJ2402uA740pEYzI0PcTyhZrFOBSaQ5R36oL4c+4bUwXtkkXzR7EDJaD 1zf5vMU9BFkGvoDky3BUETcowUBD6SpOAFls79aVk1dSzdqvkK4D+vxnv9iCeRawuM3HlmCm0Of3 aXAi0MxHM2vdvWWleallnowecyNyjspFQKvTqZXFpUwYHgVlU9tNOll4pSyyRQeJUeuOOxFxYIrM KzOcZMOsavJu2xdNENRymSbpBBe1d6RgAWR77/FDYyqbyXi1v3DmgFokoKDUMK7B1PrlgPtsF3KD 1KffWt9WxuBijNkqsp77Jcyu6k/KVdHBu5es6+tBb2q/sfAxgbbXcFJpnqufP1D2ForPVcV85GXF zXAQNJGAnQTKlsg9LB52hsYxxqgbLWbA/fzR/DPqKR+rTuk3Ak7mvmHNpXNiUHukDwCMbC1Minmu hEaF2qZwET4UIJ1qIvFlBSPsS12gSK4Qh6I+kVjSseJbCucx1xCZYdUmFJfo1Gt9xdRYbvGFuQg5 YhcYpz/akcTYcPPFk8PBS/Y/XO7zeepfPlLsv6JbxWR7xUxmi5IMeGLGKzvUNVSn34JASFqhbCDY pl0ZRGbhjv/C+uZCJx2WPUqCtUZMo7XQWsRk6H3LhN0zqbdxDdNevg4m5M8XiiFrtigNUGgJAeem vIwo1uYMlv9QJfNUt9aS2GnLbLEPSfxdETQgeSIVpYJ2DlEZaAaMepMNFfE1NZCMgeYo/LhKGIfi trOpLhRHcoZXScNoaTbCykoANjKIsLK0/vr3eDZKG+JIgSJm0Brf8SERZ1L/3+1GjVXxOiP6v98X Y9Bsu0Pk/I2G9CNo5upXAiFHgRCdQwa5a2coDYnaULqlTw/7IsmpFc0+G7n0olDpTOtTJfubo+IA TOSOakRw5KgXmsBLLXmds/3ibZlOGTa+E2bg184pgnUuaUf6Q1fVvu0U5vQI9I/X8gSsFdwrNCic V1Zc40HqIdO5lRISODGcjwaEXZI/7oH8bOOx4ILxbf4uAaQ6QU0CRrZUF/QN8y2OCihcoL2MRnAO 25B3WsLMQJD8zzEIy5SLZc0JvephgDzic8C9YhtNjaGjvWgKpDnaCvg+qkWGbawxPeRdu9f00T/r OvW3AV1V9warTvW8SuYXH0BVQkpZVAWhoMSpL6w6gz8p3iNBZ/jce/e3PLZLIRs5DjAxQsaBkkux 9I6LKv3fF2JrVAE/cTPOiQ7CBb07bAOQaMGp2hwqDGKtpk8qtTp+jARITJar0wDcY557NM7g1qIL +LrV2eY/jyhC1qqszcvGICqXNZL0+XnmUyJb8LoUXixa1sU3VmLGeksDT2V5PFwg+XdPqF4FFTlC qkxLdGX6cs6tts12jFU7EeVuUyQoR2KV9wMiTflxskYZk83jDWkEFg0y3SQ8StnGP2Q0iVTK5Y4S mm+GNoeiO95bwHQr1+AMWf8H8U9iuRg8uhRZ8A7A4MbLAC7ySD1GLZZSZ3VmXLJZyEFcX5PDIMK+ EInEc+GtgeZN3J2wzvfZSh2A6RyrHeiBZWYi28LRYWJPAFxIk94eelOwsjq3BuBmNckEg1pKscMW QlOOOV61gkg0cbJFGZVCh3hfkHh69JECAyO8iqV0jRo07zIoD8DU0L4Nw2XsXY5XN/mUrAlrsEW6 z/JvEuA+ORh5nlYHDiL7f+cDEGEDBoIfPsLgy1NDWhl/+YubOxnIDdgHd3jWvP+2oJCWa03TkxD8 Ox0pLqt3phmky80y8NG0HxvpC69ATgA7OB0KyCfy1mLPPl3+EfoieF+rWOCMEndy9ARBsF6FlE3f GfDIzkP2ouxsjR4uUFSqbV2R+FR6/uIiSoFabQi0RrKaY4DA4J4nQjZnak7YH4KrCJ2Q0778aToQ giCoRUEGkEJW6MineYuO3VdmHoW7iVR4ooR2bMRz1JlV1QVRIiQJvFn5YfA7qJ9ixUeJG1ImBwIK 2ypWZO6bWJlnRXgIRo6aubIHKok/1B/p/kyQ2ZegwxidHWJ+OjDm2n9TO1E5EFy0vF5cJCvekzrV vqmFW4VujNIJ6bGuTbYgb/krpsKLRBcIpvjxeoJA2yl0sFgj3lBMdvWniei8lMpEcILvW5NO797X XsBbLrPfVz4D0NU6+qQxy8M0cjvXwK54kj1fqlIqf4tranqA6zeawT8BTyXr9eB4Irckf7+d92VT gvdcOEotxI0TngjnI13/x1MqiiMT+tcxebpXqHFatlKyekbxqdx30jv7SD/a86BaqlmyM6nhwOmX B3pCbIrq2P8Q+QU/YaKklepPHJw1UGM9lECn4oVjSetceXcZhGtMDH8WCbWsDKhUJPJFyW67Y36g tBdUFATAj2EcW/NxoyFXofc+YOXLaPkwUpFsx/Uri/FQjRMXc/8Y56JoPDwp0Bv0lej/sln4zeYd RrANIHbPCxRHbnB2Ucn5Yx3nyaqTysu5J9XIJvROCgyX02UOhZCuYN+xK7BxJkiHtlgCqG2+017+ 0MA8nxVBtTNemJDqdw5Y/WKkXMvbnGXvRFizBH0sTvEr/CsdX+gsE1y4zymdFEHVNTrs8aBiwTYy +vrTAFZxVlb5ADJdMh2Va+LrWMFc9wb6eyfy5tf4fVNRkWoDQdvJ2WepMZLLrTdytuvoWLG9AjE5 Lc8udFxEjzuzV55ku2/g7/mNJndZ+wCYo5dHhaYYU38dsfpd+SwKGk13T1qYEmS3UFf2a1B3+lW9 cmsg0+CNHhlwgK9GpOLEFCSlKgZcVsSS1sLpQ1rt+dln+f45KxCMR1cFQNuTpvmHqYjPaOki6Tg6 wVNNbUL8IRFDaGQkVhzdxn9IYozYQt4b3ChJqecZYutZVN6STHdlLoJ1ZebdirNZFh0KPxwQK6Dm S/vcEQyPA5VhgEWPfF4cXvuBChfH0ffC0PadUE6xywIZYE8lL5deEZ5bAy4XPfc+wOkD000thuFl OQwXQWdGyDt+zOc60FNUywyW8wQt/oKNnc73ET5cES/zVL19ma+bWm4EYGxF5ugwFPivGosaudxv Y6HlrN5wZ6BEagB4effzBK/egNVKna9rbfN12znYuY9ZoFY8oJUmx1HhK4CyAQf4imXlrjJ28mUz LPuJ0sDzC/0qwAahEnLBMSN53x79vEw18D71r6GZhCaF2Y6UqJvZM3Fgi0IrCIrm1QH2Jme04r4F SvM0UHjX0oCxkX6jGBSxiRQVFpUMvXkk44zKygTMHsJJOvOPEA86Yg4asdBWPuGjVxzaR4SBrZQi OqpaiuPCdD0WGNSGmpD9xVlJnpDtpV2cYZzaj8eCER9r+Z0MNOz7rlwhx23EZNhoDw+z8oKain8d DPTOzNnPbrsClZltxAQzptC8qgxHZKg4qto/CYiG1CZsnn808DbUg9qDRgVpWBsJjpVidinRb1KN 6DOILet1lDjtwP1kLW0ADsAPAX+C2+6Epm2joSLHn0YnIJBA8WDya3rRwpeVfdLRbHGLF94BxLIm Cs6DrCbLzEMzKi9k7WGo7+CrOJTBtmV47rJREG3klpgkDVGew2fLAu3d5ptHtnnkYX979BcO58lu VCKSXRN98Iz6Jh6NCUlEibJEBPsUfRS5IB9Zy8IYU9AppxLzCRp2q0O6xmI4mqBYRCRqmoS9NrJ4 t+URQ+0lz0nMth1hbqJ1Ms2R6PEuNSX+5J3BKLfBtBRWclZY7LDWnkpHPcVgD+B2i8J620gb1VKm gML+X7Id7aaFZCNzSxWbGVyIan8gXDMMwr1qVUKQ4zT4dXbs3XJ7xLymUUwr5VgLFHPWu1Q3oxEe ANNnAxMvVit6mawUOicqGEQeVIftN13K5bKWDtRFDsHQApyysY1GRv/Ucg6GaxIi/mOouDFQHtgQ TeC8ZnyPOilqOV7qwK+kKSjYTkB0OhbFwEaahFexxt3hX/NJZH6MYPkqpmZDeq9DwFlCAJgsUYAF Rq6gktPx3HLlEJj42ncSreRm08el8Rus/NLxuSXZfMjb16Up0EcyHLsfVD3ExNrINIkxfxR8jppx I6r8teKEyXVNUjqm8zICw/E4oTjl2RgV6s293Igxn1VJARMyeL+6NrlKRtu99RJEW/ju0c/Qz3RS Se5D1NtlMBeaaWiWpupRzCOkM3o0yAcwM08NiwrVlFdvcltzkSYueR9K+Na9VXdVc4SlssZcaL8A uTcqhhB869Ax+EAlBZUo+Nhmy2lCTPPg9cetkx+Qu0deTAlXvn+3WSXqqeGY9uP4dWAegI2+jR6U 2r7jZ2sctTZQUzZFk+265xWCXG0pa/uIMtldCtxRBzrLucvqyp/3QrsyYqbs8OD/da58YKeT03fu 2Ax9n9AKPQ+qrmVenHp30SwLkPEwf0ebwltQDkPxIe0zwIzNqevJwbGaOEXhgY9pei4RRth68toS KRyZl4CGVUNjAxMIjW2wA3DLEeuf9mIwU499Ubr6gEwniIG5CwXyXjnGvSSgkuLmJUt88k2y9M1C UyfgknaMSsUPyRleZ8HA8g8BaaOEt93J3mhTueKlVCqDrpSuYi3Jl2N7y8hDiXI1YbrcMMIElM5W 4QKfuUZHHXHePqlS9hn9xBsehGlFn2vlQIu1ZuTdiFbm4aP4W7EjoHKfuv003yQ+rLYEtOONVagT wBawFqag5o91PuUQdjshC7X5+Rra8E9ojct+N0WQtzapLj/0F2bFvrPuUUHQhOzC/0U7KUMnE3IR Sa+zjWQUDHsSXr106XIm33ei9DtqDE0jCJZYH67e1mhGrf6lEVbZX2nQwVzzasdNwTusF35gg6JN yS8KSBfcKHdRy0BwpzfGrrsYYdBDBUmmOvxEfdlw7fg1Fv/MKtKQcr2bqYRi2+Qb0hjJQxGz0RMh YFjWgyRgcIb0yuevRJSwUPQXG4fmC5y9hunGhrLZ2/rAPvmkcCLTAV8kHgkxnK8tcYPIWJr7WDbj i4r+fV0lp/5Rck9EOZQF8MuzAfp/JrR1UGKF0AIu+aTUhEzm4hedgwVhYR0t7N/CN51lHqr80c0c 8mQVvLjrESCuHq4PB9yv0XpzKv8rrD9uUYGlxgBcOlbyzFzvN/ygpbQeJwNERfCV8jvl87Yl8mXx /Rgg7r6MU774iRKSJ0LJ6f1D+CdpFfINZDBK8JWdNtWXASAOFmwBHNzOiq51lKiO9h3D936+NRai 3Grw0ywMMeRBAIljes7Kepj8bLFzRvlDV37c1DOV+NNba9TMsGEoNDwsgM1SDkT5tGFCryMsEUVx kA9X4k1OSBUo2TJcDsKtjOD1hDgLNBAb1q2UV/TGl2/qN2dPWgq2fDJWx8bOgpPqY1gbia4SyHbd baALayHUBUFgimEMjnrF4hiON6GSMPE1Hn3gUpn9W9RO3SXpWCnvF+5eVT7yHYqMii2TaFgfZE8W rxeZiKjLx6e5NrDiLY4BYkSAcCKiupraAiy6B7NfKgFvlQWUsVi7BjW5FsqRwvm0CQ3f7Xjc7rxS OY9HqluGMlwOoyLdNNJtKjh9SsLvZNB4H0skOiUsWG8WSmnk3GKzixdeaHphkbR8AYpM9CkhFkdU YgtPuLFZBU+RFSyQN+gbZDc8rBRPcXoP7ZYa2idTQg038YR3KDVGyXE6fO1XH4auwxBHms15enVD d2Bqpan//9SegGmf0qktN2S78N9Uy0CdXISRkhyhRQ22BSwbaUL2zapq1a0fNr/QM5a03I5nMoev t0N7V3C6DPATQ/GgwhpP4zM39h3CgaRmSzI7xXGvy/CDf4NQmCNOAkTnamLvpeU7At0b+h3gWw7d aJ6jRCUoBeiixor4gbayIP8zKCvwlFcP6YXB52iYQ3LLaRJrnq8Sx+48GcxSOvckQP1TkCLO9bDn nzagKJqt8Fg5JitcmuumKDJj9iuMzU/i9to8p++VtV4iIjX9G/d56GfdNO4ObWoR3fUMK6veFi7l EkVEUmR2AOHK9P2UabS1H1QxHRdwIJU7JSMZcRLFWBPXbauPLfU3OxGFXnEZI68NQWFN7vTEHwB7 RyImFwUcuCNuXxxcHx9hlwp7psqsm3i8BPvT8vb05F4lTkIDo/zuk9LyaGqiHrtW5r7XtPBcI0wH RRqKAjMSdyKZGMLILrpRdT2MI1N+Lhn5xsBR8O0hZlA8H3JX4eYv5bqn5EB6XCAXhbp0TrW4E3bZ Fi7WpiQXQ3J7tXpl7fJgG/SQQAVoRZU/4qZgPuczu5aADJefSlnY8iUsMx09t//HBWvcAhq40Jgi 6ItB9rGF4HE6oh2IVYs0xeqgomH35UcIar0E6h6M3NmWsNCb1aG06jD20IIgNVBzfKCJlSmbp3lL J58QbtfdIbtgruBRM6pHrBf9bhqEcUEgM2W3kcVLGpCH4v6Tk/u6Eh7AlXrnV+Da9GhrObr+6gz9 2ZTV9wxipNBLiWS+KKWN1ZN+bYmZ5s6kXAd8YolpzV1xbVWyJ6E0n8EAjeoetZu7LiiWEBpJVBUc BP7icYFb357ZeLK7T+9EC2Ga46a921aMXKZpWXvbQDFkRtbOjnFaH3+TuD7RcnnNPp+DjcvitIdd HZDye9OjJp4qZhmZi4QTKNCD/FEP9FMAo8XXD3vcT2yrQQ1My2XXRO1UmJLTSoPb0wSZe4ye91IK k6HGSQNWVuOxjgYN5wgS6IXw28UK+RDbEqsqjGZYclaVf5z9zDIt7WJAU1aKiSLLLPc9Ieo3c9G/ B2mD1TOg59N/89J60BwtCwq+TLfWKtvGa7RHJTgLvpzKGENFhYX3GLJbZRe1Mnc0Tin1gfXDmWn/ CZIs1FWsUSWNgvR8Km4egWngg6luWNn08jgnEGXezozdXr/SOxPHrceLG5NF3bOAOKgkNYjwLdLl x8GKrTM4h7fxqp3b0lkiDWsY0KPnb3HtQuHxE/SZc6hgzFDXWS8F15WcDMp2cuEfPKyMyYWv6Ffm iEligy/XWbnoi4IoLcPTT51yzJXU7h7j9MbKaRh0G/KvTMZ01Z4MM3NCBcXaQSqYDY0C2TcV3lNr HpvgQy3pbPiY7YpUizQZYA7USmZvCpfAZTn2nHK+7MWDjMHz4+lu351nBag8LxMoBV1VCk1pIb/C YOHTIoQjxcgaPI1CjNHYk8rUOAa86eQD03EmvjwhR5juzUqaD1ecpxAmS/m+f+rP9YntbG3D72HE 1CvMwEcHyJaIjScwWQvpWLSufNGzWnu9Kser+lCALcgVext8OhvvVLLiRnOUCiTRvBpnBtuMa+Hk G/lKIcE8KIWMTDZzA0SDcYNe2qq506g/zHUS4SLd0TDb/pKgcjY0V1Ads4dJJQikFKS3fOln5hv8 mjybuw1RPA5sXXCM+LGLphToKaK+ZFBMKIZl3S8W+IpWZJaWLd1384TM1X6ywc+x+Qew8U6vvuTU DVQlMNgVlxj5HEAfEVj2jfbQVrQB5ZQyYO8sYTfQO0nMDg+N/unHSQ00cDuVHIKvAA+j9HcGh4Pd O5fGUifhZ0rDM6WgUMxuUnfQRaEDtrCND77zeOcqQFMK89UtVnJRI2YZnnk27Q881aViP2RVgYlY PSA11Nd30Q1HrSxOK2J3w9X4vqyQFSfARqdLRkxu0wCR/zvOvg9v0NfHynu72eQ+X4kwTgLpIQKO wRPsXW1JGPWIH7aHx4eTclXhWO02YxjdCGBC/vLJU1cHSHVfYU1TGx2iRhlBCXTh5nCUdnj0y+sf AG866wAqojfpBvj2Xj/25+u6qL0zyUDXNfhfwAxirpurYJAkuBM7jhhHTJkSjcR1MA9dHmBRGlGo DJnnB+Q+KhCHCmci3hJDFBjP0t34wOWim06yLnKPjFMCa9YP+5cIcbHRExPvAPIhCMBYQqUIEk7f smKFi4PXfFbwt49vH+X+7CqwKFngntwqFYEFKp0sngoSxx2LIdNFlOP0rBDdZx1daG/dypqy+llj IK2NdHewgFB5zNGLgGc1xc82epYmn98tjFoaBJdQrK987PevKAsgBEVc3UIZjhdKDIPxh2lMm/b4 DC5XFo0YQYJR45nUlwNJbAxPMfVMpc+3QXYabCO5yiANCfqX/H/x4Ee/6RDIdm2uQhV98nMTuNEr UOxbEHltqlk9u6hjCXMoiKeXvv8Sx15tVtaCTIzMvqxq7E1UwFDSoQtvkua/PLzrALOPrPyd0vsv erI33UKxyIKFNWTMe420po0BUofeZx5sFdvy4n3wLLHamoD0jWCXBPgQ2N8PH8EyBJNr1WdoqYyi P6Etu075yqR9rLuVel8fX1+zvZVf6ipRmP6Rrxx3H21bDorCK6L48Ha6ZU4YaO99aWWaD5Kf3vm0 WuUuqeLlU0xsVNelROe6JQxZkLhJrneSOEcXYqWjlJuXPi3HLgbF1yezDMl9oP9CpI6Q3JrUoD2w 6rddS4tg5px5Rn3IsPncZQ1ugAzmI1aQ53CvNov/FC192lITBOIF/7Ii88+Bd95m1KBZ1rwEl8bG him+yY11MMA5vFLBkW7ez+X1KkU0NVs5yMLL3MJd3eGp6rDRoXvmeI7ylchUUn2JBid6Ul01ASbZ UOsV5PdhUEVT+8XXnX4R3TXpFf8iV1R3pafopJI4uebBTh32gu7z4H5h+oIntcBYPphdjct4v/go rquID0aHlb7yS8WYm3NtXJj0EjFIHDG9bt+tMDQOjbdSDyoHn/08mVAnIeoEenWXizViJC2RTdXk 7SI7Me+X6fk/wvRIcijiU4JPoioNdfC0pxD/RkRH1P6PBj8gmJMEeyUT8K6gRPndCrKH+klYvyZe SKSJsV/pJm37f5TvWxPvT2OR5nwsv8WB/NEYYbA90c4fDEjqLzudUgsSzOCOvXLZ7qG7B1skegr6 0/+1zUjDKahDrdo69xvGiMKuWhZaOQEL75HJOTW4XcIQkwBVkgtj2OUBU+u+CAjDVpRfs7TB6CZ0 D7dx4uGZO/YV4xEe7wxwsBV+t0hCpo7wnaM2PC0L4ChL/1o1aLMs7Xqrtb4LQzE5dtwieqphogy8 54t8PALbRygIXppajZT7jgTjrl62Ug5HQ9ZDI1Czzz/sz2YJdglyWqH9UmMPJ2AX/NGBDS4eR7TA dtAc/QFN+mn7mxUnoaWCK8Pio/dUgQMqujclZxbdfi/Wm7mglBFD3JQAXuC4ZQHjtPceyxtYCJCE aQIihM9Ey2hUhQAtdKOR+ceglPgW/Lxe57Q/ApKgMlqAFClqqcKijklZ4/oSpmLUo2hvixGLfijw msMzbKVEU17RJj/EzLemVgICq4ksSGDD1MoOAZteOsp05PdV9seQGwckCYjk5eG9HiUYKo6rUJZJ Ql0vnSyZcWmn31QEvXsWVnywD6Z0tR60YBGuMH3K5telvUUnwK9QM/B2E+aK93mHtp8/2ni3RoHL GPrwlOxKQK5UZUrqnOmHMvRzsEEgZHcgvTEbnuvS5Qyi9nOkKZ7B31Im+B03Ejq+M6ktv388Lqbk 0CWsu0sg5MY3rWbHaRlAppd74gLyPT0Yv8CZjQ3hUbHshjFrqX0e6S1X5D3ozWTPpYAHeTDDDLCb c034AYATvAbh7N7Lz3hpYC1v73fDnp945qfbSlRpXXeItAdDgdx5ej9zUJXImbmRIxdSXuQ/6H0B 5/xoqCYOvOizP/NUsJoF82k/vYkMU4qhcr25NWMelCK7MpeTwc4bnYaNqmWQQ3pUhOOGnPw74U9S vXExz/f+9mqhcIf7Hb1n5YtZs1BqMDVgobE3RPbFNX3sOLL8DO2pNixJj/X7l4tSxrcuojsH9ONU Rlh+VafhsGcCB8QTCEmSFvUDLRllUdY3Ir6cxIZEqufpDJDOzguJavhcQFv3tSE+JZPvYAGIIRdd SuHfQSVvMneoCo3ARfPykG80RTYYNb6Bq2IeOUX6u3agBjqJAe4mB7ZbYUw5hS1lPdNipNT8VlD3 dNRzP1zST+tW+XOlpMnZEWFbeiwbtrRU63N3AEmhNVVHLrH6xHNQEmB/GPLKm/gKw+BvDf0Cjfbx PZ/3QGcvqYAVy6QrkI+p2xEpw3rw95or32shLQvSZIGlBRCUU0Uy3tbjyQ2QX1zArWu86+OB8M6e K5ygpkoWEbkhxy9yfqfKsG4v5JramL5tGJW1HvRfclHi6SLiaLOKpwtV4KUjoaGDm5Ue9hy7c8L/ 57H1yovSn4co291wYMK0X481iaCC/59rSSvtWsaQrIWgBIOeBKsFw7nASWax0U0+VtomwV/lqEhN SrjilQRxPGF84iVtw4KMVCQ653jIhpg1Tj9yOOpwvbPg10Xxr2xSwv32YDbHSLH9eb4BhFDkep6N DEuHaX5sOcoMvBhpW5WWHOZwyaY5RDiwDHIe6HYLTjHbincKRBND7v1jQHUBJIcW6l1VXE6+H1eE vWTErMQ7M0VE9U83O2itT7Im8q6Vi7JkwRCbspwVZC2Ac/dmKhd0tjUFQKI+n5hl0QLZDmPx6Dbp CIoyxxUfh6w/jvP0JcGp0L878T2C38w2XC51T6E6TMOOFrCZFZMd5pZGfPMTeIb7ogsAKpxdNUHY i2HWAAV71Yni9dgE8p6/1rNfEer+Lrryw4TOecGfzYMpdgLavJUej17gEr+v9nbzz0ADj14uUqgT gIrUAq5dIKpB349veSYjsyIqizGtF2i0V9iTs7MbFUWSn1K2743IE5n6uVe9Oh1LQICbo197suHN nuv5C4mC1xmhpl0AWR9iumeKsasdZwab2oM4quYmAJ3x+rgwVntEQs+iVJoRn1jULcV+uXvoZiKB 14iZfZdpT8XaIBXx4jUm1zYP9wS1EOO5sqOaNwkFGEOH79zosPzwluw8MnlEnFSamJwMD3hLhZHe pPpWPGCUnUWc5VDNb1XD5RBmZKUfDKADSiG/L9iJ9VLJddLnQ2HgXRvtH/JU7bM+YjErh4T9Ze1e RiwMZKOwk1J7FcgRHvMozJ0R8LELYml+PxxHB9N2+jqXI1ytUFVQV+Bo3A6qixI2EyxcakNyJ2Vt 7YYGbR4Qx8A0zriUiYI7hoe4fi6f1mwCfFfp9KHPRhFBfKW1WkEpXeZeYq/1GvEdeOuzRx4GrXhk 5FH1005jWiu/Az20YOaKmiJ+mGhIbEfHijGZD89awObKhIO5mL6oFoUixAktXJ1V+c12Pcwf8dlm Cj6JOCgWfFulkY1QEEl6GQKW7qKugIYA4xpUeTZl7vUlKdvHbBYF1QZZ/1hyg5mvR76tM2k5uBQi SKfVvEkzULPBTFHW0r8LnGIsDSADCJkh7Gy+GeHj0nhieqBLsZuRbobmMhPN0LZkOZ56jruUnwwU TocDKJch1HUjPdlcJsLfJbgR2J0HJbEMrBNq2VunF6Ti1HDWZjG3IphcdbWy2mvjqQDZ30EgvWxz 699mUIczniRv2gvjz8nS/Ze4bL/dzb9JwmLXzu9GfKFc7JE8noiVj/sEXYOmnbgaIXppEphmpy5r 5X+q20+SKutcKY+fTyWComQjCa8vf+KxcPOmysHP5diYleYzmg3cCVg3ER1hrO2p2oWVcR6AKRVF EEqx5kiUyE+ua5jNQULfpF5HjaVqdXM2W7yy4BQyJuN8i7xOR+o0gPIqlZVerLahh3NmKJaia1FV 8hjJBMPk0mM6q0bcXOsOBtNCeXRPApeCuP7LBaj7Of9fmIeyO2N7ir2mF54EOF9cQYytVeX8DzyK 96/GHZHFc/7eTy1zWJf3fn+I4iTdpueULr1V3i40QjJ7LTEyZdspQd6Cmm5Y/37qijbWSBMJK0Pt MAMm1rXC8AWzdSPIx6DpCac0IhqTCG7EQlMqmNAlDk0ybiAcMiYj+q5mVNUX+ZqJZk7iTdxHn4Zi nfaEGryhuIrzRVXX5Q0LWtcBft2xpVn7N4GY6OJyj/XM9eYFjzCPTQy/k8rOvLeKNBWiWytAQ/Yz fiswtlwfMBmKYNn9ampi6jTDTtBDF54v14+nk7fk0DCzAwn/TOOTrBAm8HcuxDmrvtJ8JBrjnTDd S9LyzzNPN77i7nWhcm+LPtuKdOqfuJdAUZb9ytZmTpDjqaSsZZdYbd/sD7U9zaYQOj0TJYaLLWXD 7Yfz5hFqsErdSfktbJzhsLJ7yr82i62qZ75/LzcVSH/GdRkg8euvyOV0F0deMETWCS+9TpLJ7Bcx 3xVwTyI0yD7cAg5iAqyB0uoJL2xAR6VS3mZPFxnaHfU0pOOvzc/0wBZLeGeNGkE2jGyMpNUGON0z N1h8ducawEGk95gadCzOnE1heSLOJwM5fg5mu1WbF/ofwjyrZNiPWd4wFe0k5IJF1JTrZwkeKQXZ tl+wcwO1Of/GDYqVrpDCEPyV5KFhhKY5TGWJP3p/6aqnbmnSS+gQfwmWbtaiv5vVGqDU59fe7ywX Ib6qyTERB9Ax5ja81DA13qStGg4vw3kyCQO4NQC6toi64YXm8racqBGUdAFoh/J7+/0LWP1Tw5Gw WElvMewyo/bdKWTpIGI6MmuGx3cPnJj2kpBXYSQJQmUn43p0X69zy4fi4AXuGHiwVvMk+fHmkYSP ft+N8Kh1HUV3rE9k21mJa9UjFfpjPIHcbuezsEyVODQkZQ39f3ZiisPZh8GSnJD6EoMAcoc1pGaP 4Jov5ipxAECtLpj14xVegIvBOpF9spVU5usXnxgZ8Il8v35f4wLVT3XyzZosKz0FZ6MsaJT6MAvU KfmqoMl6jWJHSl1LRKATiX+iBMBtGrEBI7STVsccs8Sf0SQm/AAxTICaodfboc7aH42KWTaooQhr g6Se1BW8kAzJ6MRcJWXX2E86/uZfFWMR3oJhLwTE0etBVJPSL6Ab0uKT3lfYaLI9uOp5hkH6ZggN mbu84jy1hMn17b9FlMt1NwV1U9vfKC1C+5qn8vizZ4mC3ukbTXLUiK+JRGoZNuRTOYL650UOVbmP Ii7XZTO03C8iDlphPbsJjsYb8YlBytVbQMuVbvcG7jlr1dUCLF1KOQPBkbiPYFIveH3DtW5Bh1Ct q5AgD72jXVznZ6bmCopQ89PP2IGuoOngwoHAqsT1h6cBvwhAUXwKA1D5jTqKn6f+UmsAS2P244Qn 0xdCTV8Ax/bUl3ErvylJq9y9vI01note9QukRiUO+htVGbARJXIbAWM4TsC2rLiywZSnZAUCl5fW 9+ZeOGk6W1JJAvTa6Zal9BOJ3lRh8vNaQzjMGNj/9s3yKWZtrHE+v7FYaQzBU/9QXBxKFs54VgDS rZGcwE4jBSagLErGoMTc03MfiEC97gRecHPx/O/zRsFz9il0vM+iVEg+Kj47A0G6hdz2m6odWgor S0r/1BzjyCceL6KWwumQsFd0ojo3BaO/9l789/owwRr2pz/6EKco4NbUhWWT5A1mjBJ14SN6wK+k u+YbMhxNjLI7bxJN5e2cq2YmdICEz+SZdD50ZxbQyNVXxQ8FNto7EaFf8Mng+ITGw0YrgU2DrT4a 2c0UwCyzl6L4jYDZKW8rvN9dHk9jCnwrSlXuHHlUGY9cXkmYEENRjpOexeY8Ki2CWm0GHc+61a3i Yeh7k5hWnypWDAP+LLnS/goC7tlVVotK3M9f0Bxtc2gYKh85VZlSGkqOzLVGBMt+SsvVSp6s+jGT g8WUSzsiXGDZFzoQ6895yvfCem3TVWdNeBfCPP4bACniA5Jmjrv0qiH5pjPPIgUCSQHk8ijse2c9 ve4B/v7Pit8+cDUoo3HVvdu57Y62ZVwHxHTsyCxnLxkJfMpjDw/dhctNv9btzVcHzh2YC/jz+73g KcdePYeE28gBVgHEuURJRfB/fZM5hvSd4mS5VUJBCnlH/mkg3D+aSQxO3yMsSfEbPoQZFY9pdeoq cmPZdO50RmiydEv6R+l4JYBh4pmyCNWmKtJDRaWuL60sEe/fTKomXk4n7fVlgStVjBiJDNU/HlI5 6aNYWeUxNpQJZABMNgnx+h7o01EtS2oCknsQtPrvZ9B/ac11gaGS6DxQLXjYhvwTWmWfQ5UE84Ic mHFQdoo64biPn8DjDzOk80e0zjO+Ki/6SDw1FavKoLAkMmckriQBpLb67eECqOoA5o5oOdaqEb0T nslJNYAh5QkDMtQW+swrUlj+nPADe1mAhqGESBOB4FNjrCiiFI/nmiCniNrqus7Tha79C7y1l5xq +dWWd6pBYLb05ZRPkctzeGT6D9Fo1X08Fph+mB3hfdP4NXFWjW8fv5H+QTS3U+qGvBdmVSpHOg9X 6Z8MQAmhjwKdiQ5/8K+Lz76EKjeBtPXNL4llKKwP4P/7XbyWd61hWovzhRVVFcTxC/zoHN4SEBcH 26OZk5cQkI2WSBDk/oGFg7NER/RiaPcW86MBhL747h/oNOMf4lnrWTJXHCGW4uNSoA7Uxbd+doS1 PrRk6k6zAuT+Kb+HlZKRbGtTXYd0Zd9/CDXUn8cacqC6DDgDA7+wvvRRRYcKldpgot4WDGWC9EA3 Pk/sEXuX4JdMbgeCFfOaMvuSZ1ocHaowAqSLaVbj5y5iRslfcKd1v/ioxehLj+7/0kh3Hs3lkXf5 pjw0oVPi1vM1Vmdyq14PkmeUzQxw/j5cEyMHORTBACVe1GwX8hmIZb0blA0ZsMURnWFbR9iV3K1P MTnn6UHVSvbjWLInLxSTcifrgk4AtzcVo/+AYuEr9YvkhQJXPEYDZqF4NGY6jNo5BB5FUAQOiwPr bb1bXYGnJoBVFsabdholgVTCO19iyiU+zWVbCFxB++5knJ6BeKeskElXwngTn6nIaZxw22WTRNS0 Nek9ty8HktbNzMFXsqu1Khjbz8cagL2sG/v3BpID2J1xVHhMORrRv8mz3Yrg1rgSYllQlr/XkmSx dO+yhAduSIVaDrhbun5Sh5qvh3mM0T2QBHb1oy0sD4IpEhO+cm0DYfEdtMKjosvF672sQmAfYycG sr/JwOFmQusZr6vNJ/yP4n98AG47SBngG9hQQtfThC8i6By9iZ+yknOmtrRLCinlmWoEAzidPE8k zdw5D6zGpjf/7Bnuw2H6o8sQjiOuTxqEZgjL1MOgp1BgZR+L+IKcR7xR5D29oabhTKgbsv7rJNvG U33Y4pn1VMHtG6pd9I/eOkzzKTGKBVUSY/t+DG4fcuhVt5wcoW3V6saKlWw7AfLsiPxi+X9elW/G kGYqW/oodkaEisbv8C1IsaiWQHufcZfCkYeMtWYMuFd8StcYfmCbT+AwU4z5APPRE4Gr2tl4a6g+ eN5GCiS/SlvIgCXSWzaW0exqZliX/zrwaECHEnMODQ9qxd8UUxoaaRBXtPTeaNR9GmvAvGu10mAe ROwdn4hecaBBFxtpZaoaXmmUEFws6vwgCbIJ6QNX3IqPnSERIzRS4DBXxnKfha7tkejGx6dD6MUu a/eG6ldaS3dN0wD4vMTYETfeCxJnomJ3awWEFGwVuf2IhP9c+CmIzpx7f3Wyvg3VdGBcHKt02kV0 EpUds5yt4697c8RStkME/dUxypD8CxgLuW/KqI4s15Iu9LxyzvUH21FXg9UKiUwsn04Z6ABZsO/v wMjWaz26lUs9t6dX89Ivc6oLan/vhsKCxAUBVkPgJkChlhi4t/VIAbeB0eq0EgaEzF6nmvRAmr04 0qItw9XZh2QH52SwD0q2bXLmoCruBamVZwm32rhnV/jeSdq+zL6tCEY6gq5ODHuxgJ8KeDLyuxHz hKoaCiA98wOULImGuNn1Nw9I0K1eZgHV93OI58keFaeXtnDJIEFdolKyHLPiKd9cTDhEDxFvgo/x KFpg++J1yNO+DHFjIY1J4xa2YCNi0mT2dmg6NwBmUu5WtWI6PCr/XeZWKLArIeNonNsysWwOPKn4 KwKuAW8mx+/IJv9oMHmkPVI/9McIldZ8TNEx7Yw0CeRW8d8pAXJaNbiNWLBpZ0Xi0a6QE+lFqdyO V9B9DD/9YxHT9WXv3QLFqI2e6NdiP6wKnrlWQbA//5teR5b+PjYgD5LUiDnhnB18x6fCtJeEj+EI tAtrPRXQ0hdxfLy1FAl0HPk3L5AAxyDbvtUoFB73rKma5C5kZtNxr8P09aKwV9peLI9CRwmD39Hn LLZgRULUc+IIejCET1GfD1xQGZO6QFZgmVhu5kaGAIjs7brHclMcniQ1+QGGVG+yyQqvY6XfYyqm JvhyGEvu1hgjegIhBrdfXNAkAqCGlGi+mXc02MM4pVRmA4VCatgMOu653hNJ/IEvR30DWh1mZxLF 2gNFykI6aBjQlAiSwMcOILyJxIsTWEUExvrrA/TNdbLvCCxhKZVCmTqQS1dZ5Kpeue2dKPlphxtU G82RWvbMEIdqXErHwUcuzwYH/4lG4mkZ6RKllRN4+IJlynaPk3iD4l81A+NFQlx4VemfAJHaurFU PrUPjdImS80fvPGfA85iD10ogA09xd1htclJatuPWl50Fa9tmjTOfQSNmfmHZWJH+rl22VxGzp74 8Nlc86gkPY8zrMm2GWVsK5JFR2WxRZ3bC9xBZEm7COxRV7HgfxChyzXsVEUNh2D2LW7FQop8Jifz OijJaausNn8YFToko+prTCGWi7qoTq6Owgt2xm53Z03tODg/iwTo3ZLOijgipQUZu5sfYMA2/HLg bUa2rKbhnDF8M7AI5XPOrSeGntnlfXNqSc8O2YQQC8dPJX8XUuTOcaVZD83VGiJsv5OTIVx85cxt 0yetTPpZfa/XKZb11iQlBWGofS3SK8nLVsDhLDq7nNbK7iy1xoGonKEKaXL4zVzUs/PGFNrUO4mW tPcGdpEPKFLNTYlwOUe7twLx07/C2RfrbAHqBIrHlxAyD9AL9aQtJ24DfRpkoEDCnWEhkMH5f1v0 984EJlBQGx/mxOimPhTlKB769jgI+cE8+nd9kFFTsXuXF9qy1i8Ixj78NG3HUW7lQa4keVGyJVXX k6DEWsM4sfnJ064Reo0ouGU6xEAJHTsBpp9c/M1OlgaHd6a9ffNtsMeePYnxZzF/9nQP6VMDFvLw Er9m9hMxHC5sY4Igg5fTpSs8y7mE1BnV/K37CgAqrydqIDO3sRITDzfYgcPY5yXrNZUO4+fQGSCn ZeSDj/L9Hj6wQntzl+RnuL7rsP7uBt3Hy3Kh4jy91sw5vdb5ipRnsQjs6n7AkSTU0TBysHHU4cEX HJWMcrIee91gemeEIVERmxlyXUTYSyTNclgmBCE2ZlB+onsAUgBHemApIFAoaJl+2fBFVz5Z9lzM VErxGk9NUn/ze8mtPFPd1pIJ+hLzNaO8b84U2MdUX58Rrhh1PskcyIk0Hlc3qMcXYW9BAwju+REY JeE/T/1goRo3mMNgd5x+qAOlknWJpR+NkatU0soHtWIuRSmgORZVzkzxoImCxaUVeInccjfxaH4q Jj25Eb0LJEnfdelVA/JgivfxLlholvJoca/CEHw0BJAAm+ebQeFqozGU6d6nnIc+jDVagu/FGIKG m7tNgWpRfCQiI+aGIWtd730vZao+jCtp6NIzmxeRjsHjUjyuJuZvbmTrFpshKMLk1WNkBB+U7n1q sOlW3UM5UxLwh14WeuNETI3PsIWM5+7/9OP9tYj6ABuZ63hhl0Zw1dPz1wWspB8JjLU0qR+srHr8 uqFAMqdIbECqcoE2I+V32qw1mN53GSvbH9HGvEhumt5wFphe4lsbehjXaSHH2KCjDZb/Dw2ykSsZ 6TZZvqPKlehIuFXXBloZYupb+7xTGNsM7UkeW3CaqpNeSYAtwOtiYG3dciYFr/2XFN3+WssQDFCU ttp6YzGjJyJLD14q8V5X7DJ/luoRdIvE4uIefMSgblBtbId4vvnYoxBN1IxO5EJ7QfpKpVxYwSgW q9zmsbReaKxqyQiO1Jfn1/8OGIgFtwVpf5OXhWO2vR8HnAWDuiZ7fOZXFXf1hTEugGV19HBuU1bl RBLZ5pRmGD8D/I/xwFZYy5pojDtt9fThzjhMtBkG5QkdAeViuovo2imNktJ9xj2F3PIqaBFv0rXP 43rKZv/mfg7WXDN8rd2vBhtwzpJmBFnhpt2m6Nrc795ta53uYOZV7L2N3X5I3FACPLC8GV7Bka12 7OeXN3rfqYK8fbGQva1pKt+R8ytfvlIA/7ZACo4NeEha/HaK/5D1UkANwnSX6v0UVZ+B7KSVIsuv x59jEEoURFvgKzlc1zPUZv4JmktBhD9+omcbWH4lW+egpQRTydVfgCVPBOuIHU00y8xn2rek3MPL 2ccCv8SY3JfAraGV6IiREPxQPKQfelWyCZMZOB9RQVLxbWgTyKcAcskJX6yjaNuarbRKjatjIZIa 7/6tB2B6E+nY64hBih2sZedUUapfw3dVVV9TSv0Pw1F525FeFwBc2FeTzWbKBPhasfv0cD08Xr/R wIsmPb8YppMF/N0c+aZOivTFPRMKKABMThALh3sRz/XweEXkIJr4zfaVUpbBiW8CJBqvpFbpfDxt uWZKL+zZdv44uIwTTZUFXMXyDZyWWFZKXsj8zvyPc7HsMVOA11nccpEHMko/CK1rV4RdiI5r1udu HLGIZwlhl/FSGdDihSJ14+LhP+3QhyuTMxVhAetL3tCTJVPmty2VBqx3R3uJLQEaPWRlEFx9sxO/ WOJer++5khNExlKAKIDCH5P0fwiNGeNoT/mEgkdnYbTYQV/z23qTaaIuhZ9YFc8ijnxp3f+R4lJJ uP4/tx720IVozTfk48sADeMp0TzdASXwq+FTPU8eVPDIsnkUNAwJYeJrTPRlz7979caoz2OQTkEX XYsYxFnVtMBYGiItItyUZekmSDrAt1JHyxMC2t22Ja+0+iTtlqlcegtmA/w1UUpc1vfrVGWB36SB /l048p7L9gXHHT0YTvUZsm5D4kPKfbS0hO3Oqw3c+NkhDSRj1PG7RuLBPOjvA6bgXiorBJ3YC2np ziorCNHxsup7bmTdtJeygDNs1cT+HMOZfueoR51mNLUsO13+fcyfjTPvKYdZHJ7J7KsD9ZHZI7+V EYVBfZZaioY/In1iVlt6Ote0OXtdcm114s6vA3G6THrgCWBoLRk3OWLeeie/jRrjlTC7JMwEEyD8 hSUA0ddtkSgj0coZ771LIi0vLpmS+Q2Tf3oTghQillgP0uc6M5adukuznRjqgtrU9nz5mwpLAmPj xuXuGDbJ6IIOSUI33wjxhducIn12PRd0va/xoHAqBv8/A4EMZ5ReFsne8vWuigakVXozdFYk8CY0 JqWjCN9r0pr58O+Y2BA2ZnEuezqmacbbGjuC3d7Gtd/1leeu9QQTAK1pfE8ECJxDhDSj+kK+KmoR YknA8Z0A5Jghmukb6/sD5K2oLdM/LxMgSKFxVOVR/EXP7FPvMhm/e1swOtx1UxmgAmeDM7Gi4Mll kfOG5c80vxQ5ErEp21u7bIT/0YhrC1YpcH1AuDtxtx3QXsSDb8w342e4Q84PnMcrwrK8Y1hePAf1 eJ/PwDS83ypOmQqOrm29hq5jOKKrD9TZW2hLXMoVXE7TVs9Whay2l8EPOyCjEARasl7yL01AlWGX yTONcedeDvSYyDTloszfRbeNSRA1aV8FKN34PdfyHqv1LBddA1rVxycW1gPHdusBUPLHwNMhfhK9 4oCy4Cw4Ja5R21X0O0iVv3QnYGo/UlnWqd90xpQQLNFYoqDB6k2oHj6xu/SI5UpP/0wW3W8l7XR1 tWhXIgY0rMfqnEdIpwaoHXfJMuxVL1plND+6t5fc0KL+wlC9ImroCilvKN7U/HTBH38gppLKbTYb v7qTS6y2Xza6UUAww2qgZi8NPT+RTa2T/QyF95vWP4CclRkLlvcYiHL/RtUvO5FXTATBZZRunRCu chvnnRk868UnYoyuKWN1/cyDGFZ6raeNuWwoFO7ZlCuxbEYTERCEqn2BtfCPlgh5Ae9Itl/3XZ6y 3/HOQsHvpdMPqr8aXY382EzQdHJOIsWNlR9yaUayVtHLv/TWHswBK/9qoc74KTtbKxpB5gL0mhKm GLwbiz6PjHVaOieZPTx2IwJegOwXZbfFK/kq3hB5WVvVuww3fxlPqG9u4lwz7qcVPFtnOw3QeL9R epiZ3nf1svaqKtF5nDm/0oixBM1S16scC1ENy1df4Vdq6s7bc1V5oOpjcoKxpC1OeWJhQuY9hdhz 8+WrnC9gSG8fM2IUbCZPXtINrA/8xeNZrDpt+MPhBbJdtr8HDdLItOm+UQWYYlmodc9JIfkFEoeW 2GsJV/O/jKYnHEJ3ejTQ39i1y2Yj5oK4u5m2jNN1wnDXXIve2SOxP5OL9k+C+yRkLRwiT5GbXuPp 7K3fJI4cJvNFBugqoKHYwQOTRuXiISBudPLg9MpvS2ykx9n55IsjakS0PkndiCbtpl+bNv9zsTLZ pHZv6MaqclJY2RihcKX2luG+RJDq9Rg87xRFBnyvZ7piDi1x9nb9iekzOL1Cog/V3/DQNOJBB4eA 1SJXWVV6kS6auAuVzrU67gOxQ9ZWZnmUTXUKWYtrEyshHYTLUKR5UbIXh7GaNpURPKapGqvO4SqP /EoNYNWObrX8XOgr62TMvtOKjk1G8kRxKDWD5AkrJuSOogZI6jE74E3y44zinXq6QqgqrRIS7B4E Puou1G/TDjykhTVueojvw7QhiDQgXAwzvHMf800hf79PNJRxN2IgggtELTPVglRHhLMRIHsFRr8K QfgbcAl4BZ+3HlQ6+MmOZ2zpZugG2yRYK52WhNZBlBF0QINs5GpRa374kShZtpCFm1POIgKGDEej 8wnA1+q+5igpURdonedaZuXBeLLnJnzrUESdwbsmGOSiJEBS/aUdX7KlPAHq9N4NYfbUSZoJSvRy NLtL5A4jTqw8TI2/P90yv5BFNjFBs6TwDWtR+ueacJ1pqIEMZ5g1jhHW329w8XC9Tu+La7zdEKwj TNCl1ghEtKg63tr/F+12Hf+UL85ejLJo5PAYceWY3l/cbM4SeP72i6wSyI/7gXh4A+ZjJF6Hl5jl LM/9vL8kz+bNNWy06cXam7Vf7muqoU5EXpBquJgEMiyayDzEfpipvTFi1xJNB2fcrEIKDV2k6XIC S5FCsojLmYYUZy9FRKH/QfT3sMslbTH9XMTaWzmNjnuyZCMNGBhKmZOdyK9ASgr9peMiR3NDx4Fu sMvKEMioGS5PlSFOaDsjzB4OQNABPQDDTIokM0QjjyKU9AH2Ve5qVaTP9kCxnfjrDhtOsby/9XeL XnjTtBIpcmPyt0ITOPRTjP8Eztc0GAktURbYePFXKFrk7Kcm1TOKgmstf7cVbuO+a1s79awlod8H Ur5XFf6qn7lq5dJKa2+2pTb5AIr2mw9ehceDTmAxTjcm0f2u8QTkYrve+Ql6D53Jdc5cLUJDUD2F 29U4cTYUO2Cw6pgd6fyzVuRKlIICCDqOuXB9WhrOZ+B8u1bMbbSOQRz9dRk7AM4c8nAWFK535dh5 nRqt6TorarKq4I8zBrVqLPhhD9vJV5eg70KlEOTkiaI+TviaEiA1tEgBnZYDApiebef7ggAEkGb9 HpBjkk0KvBRUNTD/6hQWlyfNV0CG6VfglHWgJQc+Kz+tyrrG1BdYLaK9n4+DBUaccW0HHTJvROc/ TKYa+B8361MRlGp/IBUT89REykcDiVo21Ct/103QovzQCBUrD4ERrUeUszNYj7xspVp3ZQ9SZuqJ h2A58Ao4gQxkLgZYtYvt/4pprAeliEfSLFKYEb8fVetPX7I6RK3cXKyCoNaCOujw+GQ9Vx98NJjV ZXST3jjrhVQ05CUiYo9L5vdd7/3eUvVX0NMo5mHtb+h+YuW0MbYs4eHrcqTsR6YOmOwgdxZ4DWql dV3i6nCvTlzyt2EnGi28a4yi2GxEyqLiIgRG2Gj8VicRmHyj6YAOjtt9AdanXi5xInwPbpy5Fldf QLIM7hDhOdW1olIpyqF4GMF7gpN1SpfZ6IS8MzdWF884EP/w/faMW4iP/tj/kDfgrTLIjPG/a8LW sYQeM1DFhlD4Dk1czneYNlF0dawYNT4XhBZTGc/hvb1knoEeOG9ILrzAuYkni8/YKTYTl0/U50Tr ZatuR4P/ixmd5qqqh/N2/JhGTs+Ezio1E2gv4ceAC9d3cf2Ha3wKD1SVv8aRa2FWK+X9Pwyo5kLO Llume+IuLdAtP6Wz7AvlG2QFqFDhcUXSeV0jbouIrPHREM0QYKXiYOtt5WLqSmFvMX89l1BUykjt aJUqKQGcqqY7VAjn9nufbbIH4lM5Fa3sxt6XNXu1b4g+ESqqvs5sr1BwunZZvf73fmmj2d9oeQuM cv80eGUZFhCb+dQhg4NoprRThaOrbh0dVQXIvDzhxSiNGpLVqdU6yLtq8oYit+8JeD3Kz/68LKe2 NH1wx2CtSMUSTBkCJuabGYV6gJ64E1IqqYlCNgZGL3oNqQkDhQyRunmEk9/C4Sy+R8lbE8tzHv4Y 4z7h7uDEDE8mnCftq8gpKL81udQ3tIYUZcIqCMbCeIYxlDnf0lAT2LD//zR5EKro/Pe7zLZAqJC2 35EAP5JiiKI2GH/0+BXeXi6Xr3QXPwRZ7n3awrNUqAQ5kwNWvRd5RuTSqM/7ejcHB2UswwhkieZ9 G23UdQTd8qn5cfvsFYFy0/GkT7hYN4MdL7FOEW03tUTFO83oRtPBsODvpAlrwSS/uKBV79vZimE2 +zOorP4GQRaGh3DutgZR2Cus5KJ/W5PcmB8czxlz3BfgXdvcOdhxw1qqXml6f/sSC+E0xgEd27XJ XfumhN6BO7S05lygGTDQWjbJ3i6/kej5MCAvS8Jg9OkckDjcIrSWFczexZ4eVRCYMNP88baFznBx xh6dUgJBX1+AzJf7NoiZIOY5qbC8gI64xgN9MzDtrrfnoPtbdoFAQEq/PERJn7i1XQQQf1tiRI3M bzCSIe0Xo/eo90XkQbR774T0+kyy43VCWTbln7SHCyr35tJ3QKw4yGztImqi0YKALIkL9+Y9AIuc Q7z21mb5bHK9Y+kgup7XpzUeKNVjK00Tb9roUFYb272oetWcHIcYJH7DPJCr6catjuZlFMtDlZK4 Y9hAuNod7nKbm+EXOmJWruLBUX4ua6NTbmWUTCY/Bmnmsonqi5UDDK/u9KQ6YtOZVJqbfS0Y3zRc a0gZraEO2fjoeKj6xxPEFPoFXdt/2FSQHZfg94PfygUTJtjZgENyTErjQ2U8WAqwaSaI0EWV27c8 Sl4rjv/q3O4y6OdhOXQpHsxlo02i/+YH+feeM9dJ0VWhjiWlBV8RbR0GOw3bWyAqEKXvxKXyXJC2 bO2NJg3j4eKSm2TgAu8UchuBabWWclPRrkByBjSPEFY6kd42CqOo/YuH71sY7w8FDLz1wSccO2C5 P8BtAixq9NOlHzhv1W9WEZsY5BlsOWNlUxluTn6iCFaQBd18UugvvWQhprlRRtmVIsg+zdVXHPjo zMImMBj96oPYAryxYwd2YNa4A3NKmPm3u1N62ILCGjmtSdrUQpolBXu3zzMF8bfFBInoRQl8aMFB qg/Arlarzdi0RJHCelerD/bsEzk6D3/bQx5VyDNhY7eTnNvTtiDbxPVC19DQivYBlcz3wT0MPb4C 42RnURd6Urzm8dEeP6XUukpqa56LymJNyLcFNHSEirzXThzE6m1lbsIheN+DFL06gmEd0tmHBkIn gjhz9GUZr/TN/PPYfabQOBcmQBAGx9rZTC4yUdh/r77gjyOLT/kh2RX+5xZlgGtso8oreRlsMiml oTXkcf76mMGrvgg//2IQBRjEHso2/Z7nFC046Gsgu0BXFfeie6I04CH6HuuwGnoS3jUOAwbQKrHF efrJUk+2FezFkoDExxNetLAxeEJ1P02ZnrlGPi1ZyqM/MuRF1w/316QpgSvFZm6rmK2a9a4Gy9qq HxW/IeilNBwPbEnNIsjBAapzbCuGCijXr09P/Wc7fltHkKSP13jBjsor0bflGqP0uQOiWwqoRmI1 pS18HGo3OLUoLDre3T1lz3OkaxOvDkbYo3av81vjhwkVOxJ3Y7z0L8Dj8guJwqkOxwkdayWXKrY/ 6d2YZ93FQ7qS/UEp4xZGlvW038RgTwW55Lav4iA4KCErJN9ZUN1I1SSaBsz76jgd0g7bH2oZfOCt Hh111XdF4xMaU7bS2ZGRGFbS8jrbf47Mcfv0WZmBo0FqjU/pd0/eyuwFo/3bURyoK1khmIi5kHnW E8AcOGuADi1r5R2jb/J0VQDtGSeTgeCun0iEnpVVfcm58L+Jf12Vq5NHdtWBQQlPEX5E2+llNnDW XgDjmW9kEvTg8WRTGHRGkfK520z9ciHB5EZP/IB0I6tOiPrZFOxRVv+bevDH8pFCmJ6h9HZE68PG lOaYOEM453DAo6HrwSoyN0d9/4XKM1d2xcvW29Z8EfnQ0JhC3sVaONeErvFCbsrJctl7qdNf3+R6 GXqhiL/k8ANafJRhaqnHfFQInVZ3gGxHEob05rIXZfUIt8RJUhMkbhTyPYTzxOBeKPsPCn8XNGFb /DY+FDwu9lc0j70+7OLonFcFuFi3/Qd6wQ7v3UnW5jzT3+4aSYv2cUkAEQ2Dgg1dzpo9k/1tbwP/ i0DNWsIZeTBdQWy9ud+HBQlxyzKNEuVMVlH57FTVr4FzjfLInSoA9DJcqGpGELdUyH+LA9qm6kT5 lgbh2DXiZrlMHXOsehqYGZsAAVxgJLBAWEcwkrfrsTOZ1pEtqkK+rHNCmDhItZRSXndBjCE/JLZs wjM07CwGYypzTizbWaiiB1v6gc1Qw7yUfFc8WRifM0Xze4ZUNALMJnFS33Uw0HAyxe9H9NqtRDyY goQm307XXLs2XzjNzlowMjGaKIgCHnTsRKz6t6UKewubyCZg9Vri9ka9tSmETsHx0JatgQUVERfJ PP07VPZT6v24bnv0PEjDL5NgQ3bwLm+nA52tApoNsod/phYD72uTRPpX4HxyfK5OD8NJnogxggzB boWA14n79F0GJo2U3jom9TpY5KUxHUKxXtpWPJU2lA6KISuCuNuhfjOZEyOk2RmHsQ89mDtv11ys gtN52PeIYX/eb5cZsNSyai0VTix40ZcWPY/9ymGNvrLy9ohkLk9xYjdUBEZhb0HYHILSDb27M5WN F0mRfSl4gZHaS6GPKrKDViHlOyJ/JtjBKGVttrUC3+A7Tm4/FBVCtCUhCns1mx5sq0EgiUAERyi4 G3+iia7yFxC697znvyzFY3B6ALls1zLIQ2xyLsfUvTgcuPlhVmlup/ulPEBi8KwbH82j8RXGr0ZE 30yzVlu6WLHKpBrzF8vuYVRFuPf/vhNHkHH5jnc6HYPfHzkF7eemqJuZ/IqEbK+JQwlZtLL9LgjA aa4IMBPBLiPNCSZ8EzsLxzEeaA/2M11dGZrKlKB8EOruiBqp3AHk2VCDULuKDJBfIvKQ31PiLsBy 3yz6d3IIhsSvRoZkt3PdYX/gNV13gLOW8DjEG8m+5TOYI2Zwg/2HCcRuH1OCGsSUGcBlljlhqDYG 3MIwdwI/qWmzYxs7UYRQf/5/1z/yu0TNBnAYzz9Hc8NZPpRGSYCYBmL7b/fFeMSUCXCP2mpn6esd xhq/sXqgRrl2GOyTy+6q+/0MOoa0MSlcEB4/sSf9K8Lg+xD0JUSRXRYPdJiwj7u8/zYQcO17Q6Gw 8eAmlTPq+CpFPgd5dx9P6TaRvftMInyiLAev3ATnX0mc6Iwm4Q5rl+COv7NzOfVlTLB7d6FMN9Nq Ri7yW0qdiuCOPAoAStbsdxA+ArXVxGMZCVAfnTD9Vn0TQQVzbTKnSADkUoZDv4jOlhzSE/NXOo8N xYDenttEMVw9c5PlYmNWtuWzRIN2scNHdlz8pBQOBN6tyVKxqWJYfMXDxXrJ1AllrbW61Gjb9T69 A4lSngxOCQEyy33T9lRcXSmDcmLhb1RM9Z52CEPHVDhl2OznyHGBk3yfvzD/uby7jULOrKX1lDM2 cxzMN/17LCOlLP6YoffrYmlt/4QG7prti+OlScp7luSbN3zQGALZQUaAlTNU9Vka+zvCNN/8lUHc EtjFVSDFLbKYju/UmoPSnyA6dR+ErN0KEER38aZB1WWZ4REGebWnOumMUT5eOe7farRQH/MOBdnT LVp6lCMgNe0KvVOdr5iyYpkMv3/HVe7KLgFr6tbaSMyQMSjG8JktpV0MZGXs4UYj3wkMk0osPk++ VV1PqQisu55Ct/x6o/P5jDmZZkjVqO62pChBam6JuNN7nBnS/3aieXlKK/AOG+maU45G39UL1E9C Mc+DrGUV2s9yCQ2ZiP8d4r4px4Z+AmJJqWDtINY8svW5WSOpOJ6TAujD3LhtfldZyboRnP61mXtu Ovdk/MVs2qTXUZvluls+3ey35IUYproDyQthsCx7wSl/2S3bGHsFwpIyIDsPQMWz+qtS/Yf/8rJi p6oJPqgyIliqC9FUiBg08CGOXd7U/x76/9XXpU9bm7j4BqNog7xFrWaw8/SpDTthsXrC/TTR76gr GydimCBdycgFDV16kx5HRu7sfWFYUh1zGxsSQp6AH7FbHAFBhYT7cDQlUgdBueAW28ydpYpvXgXL qdWLCbKQDp82Siu3ogQ8Ait7H4wPXk+kt/KeqgHGT5/qdaCjpabdPJDZiy8x5d3uc7uPd7lYBbSB ggbU9162VD1sEd7LldSOXRbn3bHk/Zr0bQmFR07CzLqug+f6jPFiLSXq8A3pFaNJk55eTcQBDjgE xrgLrv0DczpzXdQCguTKsZGHos0/IJo1A2h4FAg1JZQHGIEwnF3lqCyYz68d33ZUJCiEloVHWVEh 6jTqtesmRJnQmJb/wn9RNg2hl5WSiPcAme0EO5kNgO6Dm7HWAPAB9W0OEShlB0h7i/854GmFL3tE qinuUFkQ4wJMK33u7Ids24eRoxz07B40I2NzGXMYcaAFyuGmBPOJVTA8y2VqJJ4Ir4trF357qW8A bZKCNNn7Gpq6/R7PF26+SGOJxdWXY9rhyMCqzMJ+t3WAXDUJ8UKazY6woc5lrTgGgcU6oZAgXpSh 0KmDIds9karVET3lEzyCQRGZkB/2MHSj5Sc/5cUIQNiuCM1qXRJiYlCEBlQe3igewiacNqRht6UV 3kb/O4aikVR6/gV8tQO44Tl1IaPsWdu2YEfdzp4o08w9DxrlURtY8Mb4nNHCLey0bINyYJk7B0DY G4rFlTk5LlMbU+PSYPIu+UzSCzJ8AJULcsoKlDTt3DUwfnHCSCvC7v9hYqoT8IIT8jcmOZOZhiP5 b3daJK47Sl2OdtjUbWq2l8f+pdk5z3cy1BwOnY9ZMoBJjoxC0oHycWCASMj5wEbOlBhV0Rj5mCkF qoXJrhDP+GduNzLmJSbLpYUho7upJNtBTml9eHyRJ6hAXJv4dEf1lNg68UVjxzqZj9P5AP7+RmOw u2icxpyDV82sGO/bwGlWSZQITltnE1RqlLJJrTVsyEEc5mlzRCb7zihGO2I6UTk5fm0mRoLqJBcK OLrHawDt+TFRdUdykR0gmQGIOI/pbeUL3kGNH2axjBhTKbkQkrjDgSuixSbC3168mqxwH+X/ZAfK EBC4wN0AnIKGv216dwaAIV4z2heYLN9BBIQUDOQ9z283ZdMm2hu4w/YnQFAS/b5eBL/Ly6w7atLv AIjRimFlqCju0S0UAl2Z8jtBzMoseGeYPT89/SxvLcQman4nBtmwcJU+Bjnb50A++K+hW6RypiiH U83SjQXLoeYHRyqJ0n5ZPsB90y0S6/5hjnTbbxYakynQkNUXEhNTDJB0lpQc+u65C3+m66e4KX85 0e7PVkN/8NbNy7uLvcvIdr/PPY1zkMi+3bkwvt07QEvH3GGvhf5uYuyxnyZZ3MRYiU6SYDbn3TMZ wcDOGGb1l7jH1ODlgMdndg2cpW//FmLcUVEPfr5TXfWjotbd8jUGNuqePIUpr8VJ4UPLFfrZ+vCU Sr40dgl15FHV45NfXKS/sSG2m6jJz7DOM3Aq8Xn0NjuU348LUIqkjABHioREnJkxzdNTv8kC1219 Tkz+ZF69iv/Knzu3MfOl73oBJJtk3UEjnqYOfxx2VTXDg2lnF7FY2tn/P1FLiwg8U+d6aEL84kx7 8LKbAK8vil1mHwGhmNgsRCDa7Q1wEBd+AEzHsT7JtaNt5qdoAuCu0UEc53FUeD33LD7JrRhKxZJf m/V4bjMwrJUR1+gBzuo7izTCyYZibKzT4zLNHY2lsmjmhSkedSnZRVvCFKwCL3bdTEeCd00/CP9g wZ33517o+gf2YS4Y8NVFbNSeLETqIRBV2NNNrCwUQ66gSgpCcHveSNmfR25M+1FiPEspCnqPnT7T l7DoC9FyF+1np0JmCO7KSblsuPnwVQ9++TSb2ex/VZ/fl4BPPxG2MUtEIGpS3M+A2Ai0WDBFCcoI ItPcyZOc0DA/g293PtFAUJRAJxviFGJR9piGW7RM2wp324DiHYiXzOhXsfrMuRjpUhQuhNur6cQ0 9R/JGJFVoRWOeHPK1WqJg3F1WN32EUnOOmW2jw4vsu4SNI4YxE6QnUSUaOpv+PHt6Ib9/03wsd3B CPdm8NLSQaP9205zr7+lsvPPu2B6K3QsaX+PWsFAZAZZ6b2UtR48lCV67YWsr4LooQan7jyE/yL+ opb9rXOlbXb2wD7FUeHmO2lMhedQCCJLR98NjasP+WBVr0LUm9i92peTgrHo7hwWGK7X0MxpHb1M s1x8HpOTdgJS2hS0al84MfTLin+mMam5FEJQST0V32wGf5xVgELRhQjGxd1k3Sv4xS8CxjPwCwFY 1B2CjX0B+j7A5bPGPx4JuSDxRHF59/SKbWHh75+beHyQiTL3qq6/tSvCjIfHPGV5tgHJa98HMD2F np7Ecn0QWqjT9fUFmkLAbNyN+TBdPTCXGutnPUjJKQe/EzJDna8ZKre9ge2cuCTqce987MqgAs9K LqHUnoYc6Od756Muvlo6kPB2SHvBOVSIM98A17JONRDae2d436hEixUTLK1HKhMx75Yxq6SoDUxO QBrvS3RuYh/JjdBTyHvUweQJzLiIesUruKtudB/BtJo+nLp8xMkAtwag6TMNSbokjS61WAh3t20k 0ifgm8MFFVMAh8GwGjjOtWwFO69blxRr9tp1UreqYmb9CnPpcn7oorEgP2cxFbj7gWNfVXqPHgWU jwWnfyjI5+p2zYtiFPk+T384d4sT8QOV96+LF37xw6hXMDKb83yoSsxTWolDk9mxhIrZWaL6frFj +dyvwEhPP6sVkuslaKjRKmV/MyP3Rao+dUVonH/4mPhSiA/R0lQE63CVNotMKyg0WizNWdpwSoqm DpOjAvnGiTz0uHlHrRvheD+bvqkS1RTpYKMDOB6UJNFalwGbsxi/beI2CxO/nbPWow7gEUTDtWH2 M7+7mCu39P2I/9jXtivwJkc2DESFUw+QgRzxcjT6oXoA+0BORvJB49/tV4LTBj/B6UYRFrdId/w6 uMg1NhuhPmFS6NJY+Y1m8VXBcElIJAhJFV2+fR+uD2Y2RcMEcNhfDiGhjEv57lVkr/VGrN04Dr5k pZIeYVbmxxXbR/lvBRDux56QxNgtLEImsR/ZHzI1VlxBxiZkKgUgIyoNoH49cn1fh7bDmCaAdUOJ BGcnzwwSAlnueXv3WBIVx0fHNib25micTL5OcZgAnbtGSZuC++QFC1a3A1WHGeTC8CD6T7V5BMIr d+IQLl3yTQkm0D2PTkH6BGW+ewGDXmEHGH+3lno5DOcsDC5aSIRcpGwK9KjadhFvd+CnTmgbumKj WYemd0yGQbYyXMYkcveuDTaTTVgo+IA3Fxhwx/1vzfmSp/Ma+z32XfoVbyZ1o63lGewNV9yuF+uq 7JtlRlOwSBJX1Ur2a7tWP72NU2+1iXqYBXbgKmsXz8C4I448R0nrgxiX+mNT3EiIRA0Ex0dRYnCL aeWRxwFfM6L542xzYVGG9pqLqQzQju4t87xnMQGrUk+vSSgJVXbNs+FO9Vsii53D4PEA9opkjhRT wylOfBBY9jc5ix1lMsI1gAHndmFSHDNasxBkqdZjaI7BFQjt4Sgr5oIopxig2LhMW8DNnb733NXP JU9CM0DHpzcHEQjOBp9cZIjNchI1miHLEUR44rS6kf7OsJQansL1Ts2gSNOz4nICESE6lUqY/Pd6 PUZ/KcUZCH+KAQkx84oWNnJO3FLIV21DTGPboUBmGFeWHW8yH7doHBzMvFTOjOx0wpfRM57ek0Ue K9ILJhQjs66d7aSDQ8Kf19QrwmKKrSp8KcTV8aO2jg764LSjV8iESXjvfcpeI/Gwd3GlYNwlIeC2 zqqdGYcTm725O2reAMcIK1nezfOR4qBjnNjvbitaI2ClcLsNOMHcmjLlx+LWCYAu5jZrsmkwdPdC jTIM5Gaei39ZlM377Pkv9WIJQGeHVaqQRQT9h1IbSYr7SQu02qqkvf+tW09B2nua3DZa4YpE4tsE RmSYFEFkvhpgSEC6sXnxo6ZJJvkK7A1NtH/UcVfd2unjU4Wah8H02Ea6b7N0lT/hdlKTqHsDxwUV t39FFw+I3ZfCEteGICtlVnbS5k9jrVgxdqjfaJUo0VW5okOD0pfaejdZPJnHlS2cXMbKmymtpV/x auIkeYSzCriUsQF9jaNRG7dA84ZU1i6tv079UAULef5QNVskFRQikIR87DtxjFbl5+L2FvVgbbqH IIcDp+V+Ns6dt6I69AKMYh1Ovu9c/xl2k6GeYFaZDGYQTQLu7/VuEat2btjjimb2drSjF0xGDS/h NmVO419vTXEGffM8Y1nNlciaHX7MTP8S7FE2NTEjwkWssAr4LCCdaInwTdp89CWT9ATDli/00E2D gMIyZEbmkDnq+tmCFgj1JVFLdLbraPIi0/PcKYMy3yTU7OJZPmTOmixATPZPRNjKWXEeRe2Jzogj cONPhSHLdESe6yBXiROkru/XLskp+hy880iyqcwvqK3xoEIgfdMOGu2zn14YuRSHkuk9qMYnuTAi XSGFGxW2v/2RcchDcVSPu0qtpq6EJReSWjE5SRuRM3QR2PzohbPtxdQDj0VrMa06bC6GvBmb1lVh e1y0EYOQZyP93IwhRXtLnrATjr2ZnraDWAWTPiDfPvxvG8LiImJYslzM7LZZBkPkNS1SDSP3cwNc 7iWGC/X6QI2iVc/C5eDuAyAj2lPLqQ/cx6Bu4wn420kMOq0Yx9doAu3U/fy99GS2TScpU5LveAJ9 wbUp5dAsLoSfu18py7wD5KU959M5sXJURihIa0/ORFBli0MnZ1Hvf7EXXWdCDxGS02ky7ZUTpOP3 HeHqAt9ba/OFSeLuvc3UyWpBKJKvqSDtQ3SARlcTXXVvjYR/Ko7jgcn7lJxn6oVpV4gqFeDhjTwn adhOk0gMI5c0yNifXus1gXygUGVLg7RVCo7X1qXg40+xjtNoSHcFPm+EWw4R3tHewMEb78wx8Ega IstExVCduQmZOxLY3GWGs8nzHQ9tVdCS11RpoX3hUxFOncG3KKVUNdpH76/ArjGBTYCKVoZmOODW MI782HLOT+4W6EyGyOjp1bqkv9bmSmOBSpasEAqz3lvbnD6oS/oKl/CkIDiS9ix9RT9D+9odzP9w J0v4dmiWmR6fcY4dDL9QxjCb8rEnkFrEQpA/RUuErDNxdwvVjoZYHXis2gtHhq43pju4LdJl8f7V uP1mIB73u1co5rA2+5IfFsU98SPuyCbttn0xVVYgziP8D9lGYUTP/lld73H3fC7BFGqZKfL7jAOQ QxVCXmB3XePB2g/RhcL38g/5OXajSHT4CQmiX0iDjX0Xv9+1/zoXvE3L63qFLj54DU4b6N2H6Fj5 zh5FuNFs4nGOUl29aQhnM7nX7kqXOFTHt9nYGFghSPrbGE9Z3B0+ruzFQKwYlVHiuNL84xgs1f9c bgUXEGljXREBjw3q31ovGf5y/wiF7G9tnHKANSA9p1BWlHvoNMfb6zBaf3a6bB5wXVolnK3F2ZkZ uSGerdlOC419n0D78Y/hpEud4x3ADFUfQc1eKc8DlCu35T/iJZ5uqXvZyHk1MwPdVzi2jdT019bg HLcbVV3246zGTO4KxnmZoTA/69dr8tLelbxzYzr7BRsNYyVdVN6UJsniQunLl5hPJJjymxqalrXt BzVFw4/B06zoRW9hbxECM1wPTwGkCHj030yRpFQnqSnmEDUqAkFcaIsc/xRDX/30DIRh4ph/8CgY LBGMnCRYgTwB2LHN51K/+CcnrQfv8GzoRLVy6Tbvl5S+IquIgsIYatmdIb+EC2cScFF1U88xlYjo fl7iMbkLl4qAJFTobkMYtO1RYBojPCkA0sOjjJ9BXqNI5/b5wZyrPopEUPjDRFRlGj5Vrbu3Np3B ix7FSjYO178g261DscjKoJW00Alo6UnNsUk0tgcrH+V/XvcStnYzyqO7KKAxz36VdQG7AFLsGR2S yabNYuTvcHT6sWPX4Qlsa9hBMtkz+MHTlJs5n69S5S3LqmOkdRST7cNLhZEV/jNXBkZt9HFraEYp xNn8+VXdPwwUivep29irnnJLN0JmtSE95Q9LTz337/jDmTZkoj2UP8MdPwr1tmbcfe3fXFKIQ7NE ho/iezLZf9YVeU3zpdmrM+aHrvqwjRtjAwa8bk0bUh1257q5EKHsZVMBe+z6i+HzV3DUBkAFMhkL qpPgRsdL6OTp51zmcWZ6Vd2q3C8iMF8PzLz1L4Tls29BxCOkiq2CuftkSBGI+9new/ZE/18PwO0R sHY65N1knxSy4pZEDi1irdwwR9Br5wNO9jPyHMmJfwb6+D3gamnbukECB4Im0iioyONVwWWq17z3 xkFDRYJVlLhyFOlbnRmJO2+pwdO1L6L9TBiertSwJhS02a2ZtWIWn2TpQFKb6/DJACfcNN9f1mQp 5fcZZWWPbd4yhs+kWZh5XIoPyPSZRScHNNjRCgYKDZW6rSifenNuBapuLIUKN2lYGs2q+0+3GgcF qq1uMIHdFKZikzP1IFL+/66nE2tDX1xfFKPUiXV/xSX7IH/HshPlsi2qstrGfdljfM9RsXL6af4m njgWmZxuAe69c1eZtSpI+4FL1UunDMPCgygQw4Ykl3IB7NzbgmgH0RWJ8WWooWvjsYB0xEkvk63A 1yvGWqttnpWp9MJoVpS0kIfNjQ1H5tk05eyl7Ad6mqVH3OyfzXI+Of6rHwX4TkyYr6UOdmSM6NxD FLh/dRDAWqmTxEl9d3/0XOnXHE2dMfn+3aGTL8aJ1B76IvzSMbaPyFqDTJf1jl287nMeEglBOi/K 8mDKEqmufEC3qUNR91vdqzL2NbPtC8uvq5JjygcBSP3MA0wlPzfC9Oi8FCZ1gEBBDA8m6G3kXOkB LqkfdA/+pm6JQA9cvmflrTfn6eprph9LX0dQqTLI2xNxGuRAuSp1U++G5eX2mULZLnYKXPdfN+Yb Q8VifoVIqFDVm7CmfgrP3QA1YM6NSCcWLK2AEY4EilC36uhWDwGsxURoDKT34z2H76DY13k2IBf1 C6K3S7srGZOkrXm+ybk/AS+dBFOOuku7kaSsyHCRptSZEA8vnC4/QnQJqM7eyYwCxxL8b9y4X0hV gEYjc+uz9L0l6NgA7JeNdVxwvEpDBWvPPg90zgbIoLeTvDxCbfMdsXMStJyESJ2QPuF3IxF1tHhn QUvkj+zA3dU85GA2jL2s+fSfYWxgM8EO0Um9IhnTy2HEMf0oZzouoTFtUXKHHk2pW3TWFmAsE843 7NrMq85qUKS76QqRwAI9K5YAIWnUIUUJPsdxyvDNI7shveF8lUnqHSDcFmubJcn80sDBX+7O8s5S Xjxw8R+TrDbEvtSybTDVbiQKcqLaJqLk5jcasJ0EfcwMFoRP882P43z4cyU6PZ/QT8DLxF60a/Lq uCWt2eUZCpaCqM3tAbHtX28uicMGqweyX+fD7zWwbb6nEDnwgw0/Cv8CI/qL3+Mz1c3un1CP3EHg CgbaTU847ma1JGanldQFmeBlNQE9v5vH98TcbFxQfKdWt+unsaeGVZ6Que3Pf1dMKd/cbJLWUcI1 d5Ewr0xvNXDKbWWWM9KZU20pTWG/mVOQKjSDYFuiqQqmWjBnascuRxbneMlerN9KB4p+NCPJsB4h jgmXTGJbGVyOd1pJzxfuduofjf1ZHNm1ITT+Sv5SBa8XEC+mJy4sdpnzkobscgZWsB7P5/YcSi/q F0CYaNKQPg+P+i0elgSFDTTu/OS5r9a4uGTLl8fOqB3vO+UDdctwFgpmJO/gmQe5DZPYbQ05Nq7P 4Gg9yWBeFwUTdrgGYyDoDy5AUAB7u7AaIP1T24li88hKQbI/MpCJyx4f5eePq9VCF5L19KvuBKCM FHd12cIdIo5R9PtnXsEcVqlAU5hraAAxt8bCcW/FMsPA/0IMgFJ3NbBndaKZhE/ES76bfI/wZgbP vyYyshghBs90bic9FAKM+k6Yz5WvZxgvzXw7rKJw0QXzcXL6EVDoO6goh/pWkP+Zr6rceiXaQhax DRFVzAFOX+8dowCS3KekfLCYiVQrbc0lQMclenzUxFAq5fSZrFar+DWbv5cJJ+TOyS5WlAZpi1+N CWROOKqI8tJ64tWo0S3bzmiwtTtv67Vy1t0xm0JEBSpDIUat509HEznq4BAWw6UaabuhabRnj595 RG4ZkzFE6jJbY30v4FNk/95HqncoqkqIxs2hD6o/jFQQ/+Nty2oDXbZk0U2CecOAnPvMqsD+M1cV RNq2Wwu8WYm+9/fa7Ao5kWtDDuYL09ufKc/R2wz2cWVHCN2F0W/9HybRBcerZ42v5ef9fp2wAGlH +P+Cj5fs3sbzqM2CVh9LMHzcqvkWLm1uwDslLrLHGnpo82RX1k8kF21dqjAnaY61GOK9VdEvytRE IT+2RIJK4qJXCZlhcerEzGCdthSoZE8ekpyKiMD9R5j+kurwsH3HaHehzzxKGSWvc5eo+q7aBzbA jKLh/RvXmMYS7j4tQR+qoJu/13dxwqSr0I2euQ8+3DG1nbrvo2iYvKfjC1JUCSGv4SiFjhopIvH0 EWAR6htH3hnxnAWhUs1HGmx0Qg9VDNUeTm8PuTGPOc5IiFC+UmDg7fYXzjCmftEa/orPQTJ3hCxc IOKXY2k2dWDg5mbinLqcGqUA/PCTvi9pUCN7+Z1QG0stsXdQMwahFj+K1+RpfB+wOd+rb2kA+yNr hVbVRKXfp7DgnaARghoXrSmzt35WzUlqM3/QEH9Z9EN0ZQY3EhF+r1aNgsId6+P0h2ONGUvp2WXc qybD0/xAK3T3Z5lJlHlmto3+Ihh30+Z+IOQb0r9pzFR2o3On8yiueyfrK4wSoTaxI4gFappRzW2V FMXiGRcqpmJaAa+cvR1h/eMgrnO2wgxRh3qTZUmwZMCBdCy9AiX7gf2L3xhsdeac3mp3pvl7cJQw FrpHv80mYr00LO+IFQIVJc4+iYialw6fc2RUQgZgkMXPO1qhTXe+34is54aa3jwfDk1gz1oETLjg 616Iiv49oRFU1dO5pLjnd7OH1g0PIaw4ENxrd2fZDxcLDPYHveXeTFYdL3BdCZ9qImQLDIX0Ngwi 10Z8cge1+K1hB/hmqTaHdu9gsXSMJ9mICuwR+FEhhZAWXgXONtK6WsrMx0KtBttzXQt0Nnlv6YDX EhSoTDEd3ys9idhNSuwBRTMkspb/WWiLLmuKmG4MxfY7uPkzUjkk4Funjlc2Oo78xIq38nhaZp+t 4FhxOaNX/KByNzOh1HvuP7XffKzm2E1R7vSrcjwOqdI7/A4e2rGDfov6EvqHrmvgt+bq1TjwFO9r JNOn8tRQb8FxBcI30FAS5Zu+VByFz42tB6VCeypyo2l6Kv3qi6G0aZgdbEXyShBwhVA7MRC6fDRt kGVTUXyt+v5UOYuTH/cdz8KBHSYKxjWNsAW02rT12l3UlTbylp0YQnQbWSfrEoEuoHI9c4VwRfov emCA51eEC6GZckQGr1qf3SSnCUzU4YGmca0v0asvodyMxEDAA/wiMg+qu2fY2P9uUn0ksQfM2Brd 02+fUsUnnB75WqBACd4IGyVC9LVtJfA1KEJC+OcL3v1pwvNtCCqfKyi/eMxa9NHCUYQMowyLnv4E vZw0vXHJHJ4vvWYF1DR3ssVoJYxWyYtnxh+IEVqHOFo6oSk34sifnUp1K4o97BSi9mryEBdstD8k pJ9X5XPpWqxgv2fdk6he7LkTeh8T0UjdhObF/l72qxx+uvwu/1SlFwW0BtyA6LYkUUmYHwsi8Dqn l29qOS9g762uU1D2vgbdtmz19afA3lLj/5lczDz96Vfv2BYI+ij5f/nI+c7ZPsjYaN8ZiZMCQJAH oLaWSIAzHzPm5Mfnc+wiasbIjIyBoPudGGu31uUOwun4HTm2wP8N27DnBTeJwHeIMBjxnr75a0LC W3riodBYm1b4MYu7IJwGN8QFtOgrrAvgVm6zqmEfoM1WN9FmqupeboRY8QhONAwYifXrPaEajCzA c2sVEOcA5EdpE2lMLV+UQj5NZGz6B3aymjxp4XC4E9nioPs2qF+YD/hvgpFJMVSrxi0vGfbb2NaS 0jOD/IIGoh+zNAc4gs1ntlE3RPxwEEgdHLw3qNYJ6DtWxw6C0p2cgafD7HQRIqsxFA++/A0JDfql NJ6AoiqBPWge8CVLdbzVu4RW0YPd4Nkyv0FCuFFBELFjkudZ3VmMWPfbGeR+0vod4WKHwNN+dKF2 sG0G059ZBT5TB8JtgshtQfUSdFk0QlpZscgho2aJhmlhyN5mjb1JoZVcAo0F/fW//0J1hZ2/xHvF jghbPCgpJQz/I52oDP3qvbBQblA0+zN1QMG1CpOg2yygin+OYzKI8GScB8W1X/pNebDfPyQD//mV e/5iVj9+VzxrdLMoKUURTMTdmn4RzLobL8GpA/IuIo26wu597G163lS3/bvxXkcHnFkaelyVDxH3 gSoeyuh5jjwwpZPcnls+QE4sJ1CXymOok0USRUktXuPPUGUt1t1ZEn/U9Tw6brCEGz5UpwSf4vcJ j9nCw+qC3W1ezFzX52xgKebMVoLYAlbmvtuHrFCrhLw4oChMhrJKMC+or2v0VJkxBhth55x/e947 TsobHAh/c7GV4h1ISRVs23EDyz/WtG/Qn49UvFsT00TxwDYe6f6OGiPMbZkAHVM1+UMOJHQXcfSh 2I9YX+L3KSPGbtI31a+ESEQgFJ5CpL4sJBnIx+14B1+UZICOkSJpU7QK2IpcNWVJV+c0CbFQQ+NN 1Pfall9thHIQc36Xcpw9bbFAHMxr6j5iawffnJXtp8Nc4z/pO/rV5QCasWUEQ7Y8IjNOUw2GMCh5 OKiV3o2DD3GoTZ08A86v8aIdox5kpzaOD++hl2QiIojE8sjvMMuWxj921k5i5bD5g/awEjWKFn+U fxxdoEjHN6oA3SQW6cWyMDv3wkF1i3Vjhhk4JYknJ4Q315bweeHPbtX8pe+FDG1WWMmG0DFDTBKY KCB+NMJfOxZ0+xpAUQq/AlN2H8V3+b3X0ni8UCpvpMS5dlxBdZaPKZxA0aWCtuXCMKoI24IXasTS 78VqRynlkcDwVdzOaERVUlWFOieiG+td6KUCY0vnVd80MJ1FtuXlNEqXV22+sG+yDVbTaFGAPGo5 Dv1b2UZ0P2b0ZWqUMHQU2C+CJqd/9flG4j2HILyOuhf7Fgubp/hqx8RH2G5Y6wOjQ3Pi/Oaqq7/Y 2EL8LFW6M21L3Ksh5oGgTmg7otE5ZQTMetuhGVhOJ2ggNOrA+OMNZjkEWKkxH7KIkYHuw4DGqbqT 778gWpdH+f4emoclHtuSoWNbq2obcRHJFzzjh0+p/PMGUXFL9CyzrIsKoX42iQ0YYYrDXlBR6h9E wTTVM9HmnFZHzclCK40BHSbV6e5rdlgIi81p6vR3c9dJjlxO0Jwn2wmtIh9SqxPUj+f3l5DePgmY 68uChbwsBxo3agVQQbuSpyopYbzP1iv41ZSG96ojvIV664VtyiRwh9LpvUtk6IWoMzt/I6BMMGXO P83CU9KN1RbamH8p0FAxaheLjpjV/MlEtA5cL6Ga4Z75AemBxkaZRdQMgSab5D8VSC2c/9Ge8H/X uy83BsuI9ZAud9h3dEnD+D1C2i7mIZq9zMiOG15v3q92/VGP5URuXgrt7JeIqgM13nkMHKDvBIYR bDurGHbiAzJPihVL11l1D+DRXot2ExYsnQwRF5Hid5GUoRTjl0Qfjb9KnWS07OFKg0HPov63fbmL qkQiBYrLoFtFzeGrPN2XVPdJ9H4EIrKYJjIb/E7YrK6ja8tTuQSy2ffSZALs/JwbwMifTNYNdFF2 428pK0LcadrOa5AntleC1VynF/hNP1EP7LVUGtD+RUriRdL7JWeFlMIzG9Bmo9hitTSE7xMlMJxd L3q3at8BpltsKpgbfP6VYCbaWo3RLFNO+a0hMlH0OzNW8wPccyU6h//z4otC1OoJZblwYOsO0K3r LIZCcH0JU9QaolwQqX2u2wJzodl8qMOfwUdgza/5TrHf8JRs9OLqH00UcGLLdaXt8soP6/aR5N+B of0fJfEFmiQ1naAyyrjg7wd4qXdfGqX4feQq9PW5AkO95McF5XlAKlwcyVY1N76l3/3CmcjRPAj+ nsFOy6yHbFuYEjkWc+/UOyrWxuYSVoR2Kbtjmubxx0HEjqvNRndzNh5oqeCfNHN0bDENl6trRdMB PXHk7aLCwN2GVf+U8/UEsd1bEjlgfIVRRKYv1z0qmzdQJ/PKV0CccZP17lrWz+8HWvMYKXyCRWkl 1t7uLDZm555Nkw0ukDsdeCAOgdtvzUXQ/U4jfLDrG7LBk3TGyAXvEq6fnNjUKOV0Og898IDl2E8u BT4iTDO2ZHZJDz87IIJ1SXIgoUquaWD6oDUrszX0bH80A761mFPkVX4XOJGAtR8mBVgnFU6EJnPS eLuFr7CznG70+1e1ZM2JOR2c1Lr/yCQIoQ9/9ZnD92swmDMrn+x9vXPATFXhvbCW4Dgt6CcxtuWh jW5ni2xmrqo2V+hg87QK++Cnig6hPC05EGOOyS+FCNMJqcUTJX0IcS0lD8/zC1PTA0aWtw9oOLLl R4iOx3FbqbAb8EnIP+lXPslPEuSxS2APrb3DK7XP3UNHPDklJgF2FmLhg88Yl/DjZobvMlBFSHqV 4lmmJvuTOHI1vgK1Nvr/T4E2iGdarrCMfo+sTNLI0NX61TUlSxL70LhI+s6wBMjbnOii80gojXIq GR+6z5wCwxG5KshJ1KYeFF2V9WtozHVaZfUqiAmR7cFs4UYeo+oAvrZchy/G5t+e00YO0gDy9kOA s5GBVXgrW5NkOvQMNpeqPkczxEAY0AzCobWRSwTgJQ3paA5U3pbVK+pP/WDw9Tn3h97jw0PrSXTT M2fn1nXz2XffuyP4IIODOxN8TDAD10/u8bZjlHkLnd6t/n0jpJivU5TTC6THpWCNCWjU4/X5A7CG uuWyBA2RfBLm8FGbS1Se/wJ5o2XD+d31B+fzj604FMTJAGqXxNvOgrSvKPEIIM1Nsvp1L36Cp0T6 pcUkynRqfMConDWu3W/AglNUchfW2dlDAKxXhxgXeNWbYLZcZTZpiO8MNiTh8u/MC77uzFLuN22o WoJx2rqG9k2VlgTOzisPQSynSYQ+YviGadf3Ug6/iXN6quXk0+SvZ6lhgmDmmD3e5XUMiBq8/1DB GB7+G1xpFHRR30uBHtbEaX/rHy28ZX1d9MVW7sNlvY3RAFtk52Fzwm9YGjtup4Fg/DsK7K4ATjoG MWN5zo+ifGh60G5zQZ9NhUT5d7DOvPOM4g04yt/gvKFQr5F6YVcCVYQHQRCHOxUBuSheMckBALQK vshnTIFwl/23cnzvIUNjKE1FoFy7BcHtAm2BX4fIaWr3BYGBQ2vJGrvKzpnKTlGQNs7R80P5VqHk 3YkT/sLTcSs+ni94mr4TjA3CeFMK55yQi/vFcD2qMbJXQeX0C3UeDIXBo/Q914RSK+ZcPxjBI5QB yYqTaG/uyrq31RnufQIL6ZxkF0rCvuMX6doQEoWlWFNThLRi8OMFXefIb9GCG9lM//bdOSdZ98W/ r56fDotTyQiDB1tDCYaJXB4SqGFO79w2N957CB/EB+56aen8CfQihRxQpfyfsK1aiF4Tl2teWdTw K71e+8qHwhOWL0Q9gtQx1dHrJWw8AcCE6R49lIJjm2gIAhexUgDmTSwWQh5Bf7m64yvoE6oZo3qn HNg2NParFZDrX+3GoWal8ufV2OofvuZqIqZZTez3hInNY3y/c1WIpKJTsq6sO83Airkbw9cSbC6H 9kcSfnE14GDfg0LdfH75rIHPuyGt2vAlExR+MFh25ihH+Z2klE5xvvAZ56Uh91v6z8DM+h72W872 Y90FEPNuXyfes8uCcL/fbC8U1aT0Lj1tW8PJNVmRH28V3NJkoJAxzy5gxvFIkk5Vh5YPfhyfckdD /3lsUy1sX+Q5vO+LNumLKi/0wJRF6jg8zkqzP3S1gVSA/0fvSy26FT6IL4bSEHm4ORSkyZQnonWR Wg4TOnQCwBgQDbCR87jucIzkgUNXcbTOfQFSoIUftlEu7Om8CUi8wRIEKfhh+T6HBA8m3SzyQQUP 9cJUrT/Ue6xpXyH4mXijpHAn+NqtPy4mBNxY9icQuGihD1tDVkosAh3IifWWkLRs8cONVMR8txff HxTXmADbFpk7+JzUJerltz/3yQheCNEp9Dw5qLMRlSCUfH73sW3a190bAVe0rE3mUGRcRXwBBTzo 7pqHxjay9l/wvooLG4PvNEtmHoJD6GriHOHE+yGupY4p4o/NYuqJ1a+2NmNsaedgbiKuzZJ6P3BE RFmga8MuwU5smOIzDWeYuL5Pps68kDx1v9bM+VC/yAdOBY5aBLEqWYnmPbGdS/AAyGi8GA5lFpKI yS2HF6+v5JQ0OX3ESf+f6nHsNtd9FKuzNkZMQ0atbfGDCejj8SNTsYwPmPnO8aXUrzctF/m/KRXm /Wy4CjvneC8K2tKhnTgnNElcOtfBlO8u3Uet9HS/IOYiT7mrPekiFq/2/80734S40R/kIqwQGlM6 ugpMY+1n3bxMSxelsd6feyGsrVZRblvl4L5n8XVWs5PjD4yJhE7n0bySZnOSA4FiW38T9AkQbF3Y 4roR3zSlPO8IhStva/bM9CWxL9ihezGpyo0eI+a8evAAuHCr3qE20OtQlEChkM/SyDsffjPC8rh7 pk5tu7nc4ianN/xJyLRZ1g5AdKuXDbhLQ/5we88teA1RVxMbuHqyhL+1XHWMs/Dk7qFdQYTLLrEM 8aCK3MGQIXAUm+XXHZGefjpkxGhtUMW+VgYNTZMkeG1obMmBghf+AWgdCfCiS1a/rTDTywXZzFy0 JK6sdhNBzTBeoGIHKJSod0HGM69l8q+qR/Fc+o1z6I/piVfFxKQZOabXYMWh4Dx9Mx/NXkThk4+M ees54iylkh5a2syfOfnKmuW/0CEd2zseg230cCGcHCcXcKTsp+PGB3+FSmnYbkLpa2Qa8LiI1uww oJEi2bQ7GBqExwCFeJTfz9Mogz9M3Y0rE0FLeXhehgiS2KHIlMF7aZM8Usb7Fd6ITnN5NTutnisL duR/70EJHcPiOtCbNXtvjAnOXB8wkZfjbXKhE3/qJIaiD+PtJ7PKG1DwunPAYXMgbFzCVAXWmcjs Ll3rXBqyOCYYX9NtUEQbWmVBm/xRxI9IB+wcV9SkEYrNr+28Ucx+riamuFESmJeB3Mcxoc1jtWkX Ok8L9Z9zOBOHwOZ1KSSliB9LpAzMfq4CKQvm0vlAiIVR/eBuQidSXtIJR2qtk0DD+tdPLlJSU9cF hRhOB8oakuESJFHOBZqe6EfUjFWgxHad6a9oNnxJd2bJGb1ceCBCM1iyOuJULCaM+daGcTat1cEB LPO+RChjF7ud1vzw1lOi0NTiJqBELfw8B3Qzp02YgVN9j9a4+IbLtvR559CSSHF1mGOixsl/BzFP KkxEjG0LfNGOfc/6WTZRdqba5PqkokTJwT6V0SId4euhhDuHm/D6b+d3hCgePi5Ek+dgjB95BtRv L0nVf436Qk+C7VqMCGkCmjvlxGakhEPHHcRoORN7HtN+U5+6zM/J1jfSt7FVeE/CCq8jAbljbuo0 icWzFsdrXyr3yFzEZYlZK+dLcWULCNuR5XZHp63blSIVWYdS1C+kNRzlIk/ITdrCKFdXBULe9EyR u0B6pQfk+N3coluYT897gpzhvQr+rF3DbeLarml/vM1GheeqCjNrk1l/TnUiO+YWCONzWnfUzX4q PKCjM1ntX+GmOCj3W/z5nrstbv32vldn/ivsO6b9/mIhFmMZzv5Moz0t2/h2dpJOEBG15wBhMHw0 m5vYco0XB6r5gkrvOIHOojleDrhcPzcZ3L/MSdEbcE2r2xaesHEGY8MneNFz00RPBuzp6X2EtYdS gAuCj3ZNol0A7mpjcoDKdOzUgsmbpWjm1bSzC6Y5CQ6tH0tQHqEr4l9TKHtrlDvVldlGQyi6NMvG XzRWOTTcvq4VgNZguhIKaWctPwqsTcF7UGyMRDmwdLcAaLLrRjMjp6qxdRDuxMnY98HxQMA7412N usjwgT+7Zd4LBnkS7Y5NU/O8hEhjPW9VihajbHxGbyqNPIBL9LlJ9WmzyTnBh/BRYCbKMRnkKa/1 KhPNcurAm7pGK5B5lmSm6uzkjdoSQ9NbdrVtXwAL5rLwFAqidhtLO4ITosrkKcE+dInTLASSPcCj M3KFK1nlOBqgsgFlnTPDOp53zIeNwp+TmIxVErJ94tvJ6HQQgKJ4ktidGkcdxZMnhzLGTWUhNKqN DfrLoIWyP797JohBQ04X4Y12xXOS8tjc5TOBlbxl3wRnYEWmCdjnJpqRv85bFUd0ef0cBbNByY/3 2K5Zd6ib85exDkSP1k14sLuzdIU3Yvap4PDWZZ77LgJg+jRKcSfYDMeYQyQVisdlcfZclrQScP6/ JQJXSR1zNTemBDxPH0vSV+aLXthqoBdU4fsN3LQ3KgLCAecZ4nvn4zfyQP+Nvn8Lwu61pbGGEsh6 iliYwX6q5V5hDmjZQAp34iTO3ui9Swd3QDJ9Rp6FP99cXNXoQzrFs6POtmrLVRUe/bf+abQK4uS/ UkaesNOfsgyz7WpZxyvvc2uXs+HFda0tawMVB9f69ktuy7uZ8vgIkDm7u5LoVaF5Nv7DYq6INnp9 ZuTBhmHzHmbl+/aSUFCEohUZVdhla6IT6vVjh5V6xrwZaR8daQDtcPeANVZXGdR1J/wFg4Q3xFQ0 7kmYdjjppNGvJDaw65jF2cswD3F0KgGR/eDzD+3VP9zBk/f/wsB7U4rcmu52Bz3odUKpULuTiZcb n+5JZhpSyuSnEDhF0IvwAIGbp+4YV+/pz2+JOlAQ2G3O7WO9WEjiNRKPCovAJxZ78aN9Oqv4R7Y3 V3I6msddUvl6Yk01QkX+xWdKljb4Mg3RJcDUJGGCkTH7sJQZ4U73Hw1WCVk7yXJAeXzEGvIWt0EJ hRlPwNMKG+MHVl2/c09ybi15YvFe/en+GIm7XNt++dWUc7tzWtMjATIXMAQ+k5XEJvYUJoV0NDd+ VWShaBmDeRVsqxs0FL9rqq3x0RsoLXF3SYwcykXpih79xKOPldxm8NSV4wUcLzxYh8YCkIUaFZT0 1NgvbJz6Zx6frD5540FQ82OZSE6cp4nrPDsIn4uKVCt90x5zw/r+9elCw6V3gZ6H2HoSdjtJ/MXK EYEA75WhGISGYj00I7X0YMVI8N6KtSyEcnzFnHJ7dF+HJG2rT0p848wno7yOvONvVogpQDHXu9uJ ZluhUK6wAbYYjJEgxe9vf1qja7ThPyIPNbTzLle2lN+Dt62B0aVoBGabN/42t0Tr2RRILJBVGfyW nNyHM4T2L02lR8HKqDGC0MijXA1ISCG1Qdwrf/R+ONoSiT3ouOiDWQnIsthcznlxdbodjEb/lEzU C0x4T59FtgwuL6Acn9l7SB0eBZsLKlieEEO53QMUjh3fRo3MSk9tFGelOJrKX0H6wD4WIStdJYir Ye26ex7XVrelw9GO0g6SqBOGoY0AmBYedWcweDzuQhGFyiQbqlnDPOLGv2JyEJ+HXBgJ4RN26KXc xmcToHb0PptT1YvnFCMS0uFgJH5Sqb40OKNkLi7MJdrymq5C5pdFi9+VHlQgvgsJeZmFGLLDuaZQ 02ibTdxoH4/lQAKhW5g6MKOQEQ0dezT9jk2GqcKrH+EoWQW6bSFg7IQBuFZ5wELSu88DWoqVEg7o E6XNaMx49xuBPWKwKxaMRDmCCfoZdACMD8BmyYTR31OswcObdWQ1Z5xiq4AQ+KUx7TCF7qNj49US N6Yz+c3xrfW5HbWPjJhTw6d4sd5Hv8aM6JKyfe7h6HuG0Tcmo2cgt0MC7D35i5tLaTdmtM3MTouo MblqmXsD3tdOGAD8tLW/YA+l8XTbhhrRU9GWkUFXA3KtqCtTn9jVRVNdbqgitEj1LFfYt1ws0Do5 cHm3hC6QJvskSFcoJX5LdyOnPf+8DIbuRxQHOyHAKMukdvxBp+qPVw0R8YqiUKl6DRgB/2h6/nEf PWjvGMjrEoCCq+zCrIOZXorTvCps9Pwj7JWbO0wPfzA8EoatqqdTvHmmkZtHwQEczitfgQ/NvNbw sJUeMDy2RZfEyWbDrLvuMMRTkFo/k29bI1oh0BGLQr280Cj7O2IwX42KtJVzcShMfB/5mIlL8dhv Lhsqt9CRfn1nRX71xRh9qrDkM7Mof+CedkiCtckIXDRGFhIhtx4qAZ+BBaxEpB62EiguvhLisX2r 00s2MU3L2vpZ1znBDq8UVGhc0XnBOgTE+sZu5ujIl7SFUKLFj5AhtiXnouxnZMvcPtuTpMRFnqcW qdLElJNC/AnpdFIygNXFuaCEcDH81CZJpGnWhveaU33ba/CQfoxLYz5EwboTHOWdn7pphZfBG1vt Iai6/TYSQTm107DDCuONiiRX/esuqwYXsno6/KKH4KJtSuGvD92vrBn3YRsA5Eo+RzmYLewoLj45 FbJy8UJ2IfIsWa+TV6BU3TyM8tSL1p92a7RRGUm6BHOBZXNJL+SgFdFmR9DdrZuNd/Gkcv7BIX/Y cIL8oH5aez7TDR1Hr+AfgNwDV416255vrAXVcxYCa7NbnzCtXipsEeDtCA1D5EoDq9BVXHVY8IFn FVV5RwTi/oDzSEda6uT70s6AgtlaTXXCT0En21RbXR0RyjM/JSgObJX35BDb97IsbUJYLOWhsllz 8nBii4VRENdJUXe4y+J6DFDsz5JfayA0rBA7Wk3At4mXt4Lz4jXJnVQ3BP7RF7JXGLQbp1ef3VvF O4Tpr1X0WCFjZYQCGtHuuvzArBXai7nOXQ4/v2W18+NeKlGzWX/5pKZUgunhzaaFJmyfjcQZfozP ZVzXU/n3X/3dVV8FpNeNOrDLz2kBoCEX7d3KBrVxQkwUGUQ8JcewSZuVLh0DVPntc1ORdrZAFqVH tuQ3Cy6hSYlPhqChYCapOXqXH4kafv4zxzH5EZDyfkBfjSoyS4vjJU9dO8YY5byZWvpKlqTFbYfd WiPXvJmV6PTjsTZ44HL1W+KbIM8qPvAFZUf/QmQ1LbgZPzY4LCJGv5KT9ATtOwTixrm86nkpN6gv knkSEGysAFc2tP+EQDMBl4oLG5psyPlZPbgXHJ6S5HCJG37mjirEjmWik4uCNs+eZfth0QQ1ETXW h+m2CMB8cqh/eyEsKQ1qqEEt4TEPioI7MXvCOUtDyF7e4nFMBvj0fOF4Kyd+6cH9H41YdyW+HEI+ MoPuvqF3mWEioPh06hTaQ2HmuzKMjT+OU3h2LFSfvaV5K+1ywRQNbRSN5nIzOS4iN/4Dozor/H4T SGVdlYx/wcjJOcH272HpdDrmqTVYevOCeZeTiiRSKA1qluz9FiT2PfGCu68D2em/BtvxUmHCC2sw cT7x62CB8DpzAIxnUENCJQHsXA0/XOQT0V/m/Jl452JQYlnnG1iAOejRR3VtbXxk5G5oZmP8XUqy 0GDEIAZflYYI1xmwyIIt6Bng0USGvmn0H3pmVgdG14A+u20GC1nrXQbgANrdjZjApIm93Lfoe6OI mXVRdDUhFw0rI+eaU/5sCbRaZJF0Muf9Or8KAZNvpw+KAQSoF+Yu8vdq09e1PACm/8pa/ZjwP0g6 eAeIqzEBuVPbQkz3+Dihao8bXXKiV1Gxeo0XYHzqt6/B2dSIIefJsBjL2KWTcsJ8Uoobyd5JCrB4 kp+WnJ+WmQLCyEQAWTDw6SYvT7+JPKUX14AUrddkybfUagBza7+/ote9Pyd2H9t9nQl7RFUYUsbG TZcjlBizg8IwlYmF3oryM4U/I4eXZJM9RY6uCX58kQ0tOHftH4xMIqBMQ4+3Mx/JsgEOtxnTrSfy ZlS0nebthcNfYAq65ev0O5M5BNQwQajInGt4AWTc4Syrg/k182Be1PWG6+Hc7VVYTdtCq2izLGbP iHZgg6dpmR4q95+w5jVJuPraP9+h3AFTp9W7rC3ZQNijE9SfTyBV8DaK0yenqLPh+HvWfZAMLI32 iOdB6Uv7sKEAS7P05Mv6LMjhAQalo80YRLh+048IDAPbCQsTJqPVKqJjCM9p09BkWbw+rDeV6gMG UbCwA3TOYHrQCatLl5ipWOp/dYDJvatFBB9IafSs2jl28FJxWf8Wgjra+gyc3+MdUlJtmVyhIQEt jS8ttNZHxC/eBdTIWUBkbDBFRlZYOKSRZ4irvXjtb8PSbuhXY5SM4ryDVZm4qZtlRNyNcjJX8FdT zDV7x7ytH3HbIMrZjRrqNJA38+WJ5/eXgPVWVdqWR+3VYmuk1mafrkfrLJUHlF49fJva+RrZcaCK akirVbtHuarRZ24HZ5Tf8pk1N9ss3semw7bFKmoUjG6gOAFr/Xj4zBWMcoTkmYgnzDMMImMjGplH 0XmUEHGVy6Deuhd3CR/7PRjVSc/6gd5pVqjYu5rQL8O5ptzjp6JAGsvhe3N6OXcYClMJpXR/BzmA vbBu1Mp6UKrHGCqIIgBSsV/ALCckMaF1A5L4sJkFahpNl0T4BU0N0IFwtV0jd47GGfbnkxNr18t0 W73VPtTcXIDKhSCDgVwJsskqRqGMvjHoE+Ny83HafDe/X1vJR/vU6ug7hCNXGH8VSNNKBVxX7UN9 R0rnH5zRab31Wgbwp62kJ0mfF2QhO389Cv/0jZDz5msrP2/nsdk+Nb60DgeJqEGj6Lcj4l2vXvuf KcRuJdO0YndKIQM4SOROwRQ0e9lFf1QgSvfSt0/ONdAwxVVOMFSA+Cze2zC3a3fPWV6U9HbrhqWm Ly6wypjX5t8pHoh4bWjIG+8EPbwP5KXMh/Hx85ndSwxZ7vrTTmPU+A5SpJFONeSWhK5ASbB8jcKn WQlikBzFhN9p2Ggt/52k0qxvymG3mZ3igOOVzc2p//7CUJ5n1awAv9/wi0ZiAil3CggtkIqyRedS ytKYCtq2a4qniGnWiNdUflUS0QsrMCsaZ26Z5XwIiPfAzufI5kejHecBBxChOrhtRGUJ1P2cupdW t5h0jEQ4gLvIxjHjW2lBf44z9zDVlkJEznLg1gbbUtDKUndSh9P8jjPEw0P9Oj7TDbLFhw1hMl6I uxh19giUZN3y/Kd4xlm5k56nmtHb8voV2H6CWReyk7hhy24CF45S+XsgnYM+49jtMxON+0Q0AIH5 kBHs1+UmrRV4j65KAXczGYO0rR4i71P9HpoPhH/CJfu8dY51Mkem1JCDEWcZXSf5hIZg8N3yDcyF 6usr9w6vSjKjrZQXmU5SDx3+jZl2RttUwVYG5LaN4zQx2fBMfcCs8pB915p5Ji3jILL0lFOHRWPV rSlim0PHKK/Bu+tcgEOenImzejbylTeQ32u3Vx3t6DY7BenNh1LiFEDbl/2fPAnpXT7t9x2FPHAM xCDjGmASJcl8mLQVLkRy+JO5D9tefr0hVjQp+3Wdp2khOPm6CsEzauFwIetaKxtK6ElDnZ/50kWQ IymGn+Bd9GIDfiwmiQ2w6Id2Znx6WUJALwr1WsQIwsFwSEUAOG3OlhnrJM/jWnvSSCkWwp/XALvR nFGhYcEfpZYgUpk45ceMfx2HSE8QGpTit4hZTgw/qd1z0NAMeTc3ZUeRHgQn84fwSupjm6pG4mwR EzvJfht+u6JPQEmltykrMsXSvflYRCdHabk+YYdsXvd01iW9x9hrrxdH0JyoQdENqEKr/Kv/MtPK fPcAfCaDOcn1ge1HPI7zx/2PHuzaFkPQJiPE0fC2TKFoJodZJ4/IXCFwZHMnC7AKclZatqCKMsty oQW3q2JPLaC6qwty2Mw5vVzgbclG3emwUUsSZp9uoluAfH+choLaPYo25jvx3UEoL44sqCEgt0NE o7byKZjiUEV7DiZq/VdFvDiHzCVJVGbmd+xM5Ca2uMYZFxsKtuZdtwLU/7T63m3z+8H10t/AXiYm 0ucOb+EnOCMVewdsJtJyjHPiAeLSR3CE7PPgP6pszmu1KA9x6ShfeaY+cE1AwtDWQoFZJMQ1kqCE iAh0d6TBPbLbktIp8SVZNFcATVus1wVYtklzRGnjdDu5tJJCxrGYz2MNf3ijdKK1dM42UdnZqUzY TQnFmqCrlhuhKuUQJCTrDhpKjQRg+kFRh931n1kpT7ijgGD+H8L3gXhptgqg+JgI/jvVvuD5pF2n pDKRdwnonQDSGy0DYNH47K2Vyn+d0tzb6KmG4WPw7jbJpOi+HPf4LyYNeDsRc3YkKXLGto7cWPUw M+MOwMz+4AXlrvvOEQ0x/w1ci25eu6l0lnbEyfsqSiquQs8OsFl6VRJm+uDrhoyBINpMnMBEcjxy 941N4VIC89WzoGRZYENtPtGRS//53uVt805p8h3eS6vt5Vd8Ahdk4cUbTPi/jWtf3PTh7dcVIYzt b2VWAo6JaXOg7wYz7X4FKteKlZEt0xHXgYiQclbJ+5LekrKszNDoSUa9zZwm5RyU5OlDwYsAhoNQ O9Xcd9u00IJPdnBTAp1cRDKAp+pRjvkihOx2uGI1xhcfcgu8hvXK5PoUE0wIWqLh8rRCSR5VeDe1 gX4ZtgyJNuEl+PCCM5IvItMsSiFLHUDuFzuxFojZOIbd1hJKdUNTW0L9ffI7b/HSIPU/2ra75C2X pqAszPzzBXDe6fTbtII1kNOAMgPfv6BmBJLExqNQ9aAsI7xHKkNBt/yXdvVo9AjQ408z/S4C2w/Z 7WK83EII+lu0WrHp48w+4xWKWto2qtdR9fZI6AeAF6VhqbSWaJvohGaIVSImSpfFen6WDlw8iX6K QMFti77OHuZ7CGAdMFIjjf9f3XoyIL4egv9ARZLXCWcLERFMYEjg19MAbWyXz37Eqwq3VxHGvl1x 7mzEsga9gAObHLcxvz3IusWiQ891Bzagg2ns4aqbkDB/zdF6Rgls55KqIb3lOleZgYOL332ETfO1 IJEeBeVkb09YklAg2wSb2muejRsdUynjrimTN5WK/QUQxGdiGM83GYGc2AWb3WqklFRR7nAaQWdj RTpiHpEksWT91Yh0d0cy6NZJ1bS505R/nUJ+wJApw8DFaZxTYaZAr2NzqN3UmqbxUCd16zgE5M1Z dyuHn/2PZ9cZEIfDM9ubRHmp7fBH6W7xBLq7VMbL5eqVVH+2LK1NjNhbAyb68ad2lPiGtq0PYp33 F4HTamr6pdpaTaM1Z1fY8Dl7/BZbmnPbNJZVBiBCPW7fCWgBaxjlbbqdbmPLtJVVENAUnKkwb9pJ CV3ZMUNnA8urJ36zL+5yX1RTnmZ0EzYkETKFamRSWSxtPcF7QhGT4F2EpyLhNXhj3JWFHbbUUFFM /gtkTVsqprtyGCpjv0JVpeIQXVFfihaUK4BaSoM8UdD4+JUzF1CR1I1uKKkHXMgV4dE7mEunc9PG zkLoXHSyQVa3bFfmZgvAh+UuKXxuicgJGd0Aw+43HB1kIUZKzXuI7qUO/1dJq6+XkNcLAa4u/9vN UjPi45BXxxGNnuEaR044couXyEo5/zXUeyP75azW1a4xii/InxTKQsRTVyPYU5JBX7kdiEU3Y2aH DtWqFYXJRKxRbeN2gB/CrUsxs+Bmmy2Tc0N8S7MvPA9T73pL54FhtfxajGx4ewCqQ6XXwbylF3qE 2LfoCX9BZ9pVeN1n/IF5GUbZ/h0Tek6TdLh5sjyGEE3ahcgLCw1EblVNvjdtUdOKshjfb5AQtc7N ZgZhUIMe3o3JqNbMGh1cTVljtM+Dv6u3PHdPyxgc+mBI3z6MeloVqe/4vOTfqr6gD8Vwr8KAAYkz PrFNSx1xO39xoizOzrVSr7drHcMbwQxDE+YmFQ0S9/ZUVq6yUFrONwewBeDU8GHKWXD+Sk1zBqw3 Q/eDuRmFjWu0Y18O85iFnwXKNX9BLJe0yOo/sxep9D3fjAenmZgoZkCUwmxbSN7VjiZUaKWZAJXW smMUqzCCQFR5wSsqQuGwIvJ+bvoBJJ7LKCcSKrlV78vYLBEWwkrI3pxo8mTRVXe5M3V7FnWQ2uMK UlN7LiwUBpimfvYhcgitYmBAcGgTJbk3Ai1We0LpxIZwqEW5jI1WBYZx/YI0GSADQHUmDaUybabN R7qrjnzvFjuensV6mHQ1+qxBb2nA1u8IfkeNEOnFPfEQBESLTNSDJGuIHf4tiU74I/xbAXrFEp4W BvhZPPYWwooUNUMLutM7TxiGj+BGA6A7zJx8ocQlhPSMnRpoJr+ogBQAHtZZ0Jhe+OLNC+S00zin vTNqCnlwdqPUMn16CHJV2Q+iV2V+HOlWnSRSX8susb9zsyMavZpF1xIoCN5FYYdDVGs0EO1Bd82M Rplg7fqtkaUBBaeRFjgveDyGBb3PwSu0ugBwFDQn9vZch19fFOEtL5TmFfpwITPuFUS1hc9PMwN7 mZK5X34jdgAbCQIuTCLLU/wi4wKTiKagtoOXCjmTFTEim6/RiQ3Br8M68nLaPBWHIKrSOJ7jzOLM NXgflRiXWFcsheYWrfTGi4cuGJJV5udxFJhOwiQwmVViUcHLa4U+jM05C+zvG9ZTGNJEMvLXH1dR 57/rFs5FGwxRbl5ST0ExvZEsGB4r84ZKVPQicgUEMNglnsP/f0fVSpYyGwQc3qszmk+YCTU79Pt1 TJQBR4N0ciMlIivS6Ths+KPUscomhpmklZWHHhaisUpcRGuriGFUIYQ5KoE6LpcV25lPqxKg9g0n sWItuOeDFi740Hv9+0Za8BH2vfqg+WqeQeBcj1hHinfrb1XH7gHKW3WIWEzM4qw6pRqcDxBkfGox nwbxlP3KHQflHPRUo/8/WPiIDM3LYYit8NXWV6BOHjcfU8FGlYt0Mq95+FhQp5DYZvzF7gw3XrnP xiAjBlTAPnHjR8tK7Hjgrj5HI5kmbuwP7JmKLw4x7d1lOPlMJLbZlvg9nZV45Y0jl+KldFaD4jwB MZ0d2Ulp45jNPhn4wnuxgRte23Yf2LOhyJDFQP5Wlm+RtnKdpAqVr6OxdrDLroFfIDHleyE1/p8M 9SEbI3auBlGttD/JaSxgiHf0TjRWBvgXbnnSDmEWwlBuGdJi8t8SRgiTtGn687y+eYLwiROVvlSy LdeWXjocNgbkF6tSyUFPxjfvvMejHR7v5P8z4aqL95uQ0ZntkS23RnXH2jBBhgETc4rNANmMo9ek Ha2YZXwnWS6pKPwvn+mlAUX2EB62OKjOHFFEPOCVcZ63eDBR6LQW0soUEOSjSUIY6Gp1muju59Pz W94oI6dp07y4lFnWRlBtx7+Pv3S9p8q44hhDn2peFMZeEABagcHoF2g/4HkdNfs4XBoP/7GGeq2r Q/SE7gGnK/gheTHCs4e3swCBNaYay32/0V/zY9ANjUAbAxqIZersRPkgzzpzunhylzEBFiZVmDSd 0/YEsPIclTqIibvPztJ/tvX3PbGhtUl5a6/NqKy8g1jUbII8QqCja/V5wVe1xE3vKSSOYrwQ7PH6 aeDiTCaEJQTSbhqzF2yIOUMMhOGyGORPfPI8esCLdN5k1031VWSUwghn803Vzh34Muzo5XxHmClU eS+06As0+AR+fyJRVfeO7psA/DRpDZekzFrYDE8a4DW2aEwHAsSQ2GO44iV/a+yZIcgfIdb6khQH cKswxznutbGEiUhGk86AqhBB8IpR61hLM/Mj8FDWbjPFw9KkVYFpgQNpMuTJ1u9SGWzsqWtAQoWd Y/zGxlP6c9MUQQiAQIaKBHIi3jP8fR5m1ejPVDDXJ1NjHLribvL/VuCZDLmgupPOIxldYeZjyoLb /9BSUk/g6K3d+p942Hoh6ISAQnQENmZRsFxCTgndKP6A87JZEanqopWtuAehmRNBXl9jr9LhEiTo F2Ep00p6mdO0QTbkFSxFHqDjDyAvJtdIVV912SW7tD/F7ggwYqDjXINuvi24oeF/aUZQlVp7Lx6P txMTsZVFycTJiv/IIDJWZdNFgKhBOd+2p2clXBZbdBlGzE1NjujCKVY6ekbBN8xm19jUOl1XrSon FgFWHfAFNClSx75JYVGJQuVDvXFc5M+5WsUFp44mzR6BeExmANBJyKt4IEJjF+qLn5f/IIAR/Lj5 90y92i4IOD7mqOBXP1rYvKoc0TO9QLVfDEN9zQsTwV5uookNyqh9NS73XfrArBEpZ+TrKl6FQwW1 qx4xj/W+YZ16pZ0Ez/aFaca2XNxI/m8XMxiZ8XQatqknJ1HM1xc5COzlYNxfEEiDwULNRkg8PIlk sxERSm3g0cSnAVZVY1aU2bWfHQmpRx5rEQyo6vUbywnN4nWCNJtH62qXeosGXazfQJYFvtZWn3Hw IGEY5llBIHIzrKyktYwXIpocHHoxGUuRcWOVqGr49fE1mTe5NYFI/aOFPNlyBotcbq0b6xop1TZy M+yBAjeX3LqUwa9jCEs9WkTO4NNad3NP0zfIlmk+vGfgF1zNkkScB3JHtaO6B3ySeMLg8p8ALQt5 MluugkI7Sd1c5pd//e+SbFl1vWGrYDnH7uBbij7jUS/1idJeiu1uisaURXr3QDTx4pNz2E5tIAx4 sHS3BORV5M4w9DIqyz781iVGOXhW8NaqtEbbsVUZY5AxM5Smogy/NuPgmZj7AofyBkylk3EssdVo fzwcRQGrYDMwvPR8c1HZ+niwwtOwdhw1Ow6eDkp0da75GtgAu0RlG8cjpcQ3pongxYferV/quc+p 33i3W6Nv3H44YzoSL/wrJn51ZiEBOmVXwfuFpp/WkV/DzAP3ehDXFQ+WkQAcKV7TL7yKenWhzECN zF/PR0c7UO1OJFMDzO0MGMzNfhV36Dnf9xoZ0phpOKFlT1LlE1oAqdl/U5zn450Er782vdMNswan 1dAkzoPMfajdaj5dOpw3llaKCygHPl69JBwkgq9INN8h5WB13GU1LMmG3YD1kKr27HF2v46XKLli K7C+nUSvBllaTFDG/PL4wz3ZD7QHCAIGYJEdongAHN+pH5r/9iB329vSuiw0qMFMSXhMIhmIRnZM dV/J886wghromNTp4oh8lPRHriJpNyluFRpM8V4+hQJCslyUEQC1o8054MpoDDgPokR9vX9btQS+ fGYJ4AfvPTmzCBzr56eN3pqnmm6dq9cxWy+uBZppFKmBT39fCtlVUkgtpQHjojL8bG0waNxn2ESQ qXckohKCrDxibicnxnmn6+t8Yjk1X5QjkOVws6iRAqwfT+GxjicGYHphNqhHSfQS5pK7iOl4FPzP gmD67Fmwdi3mo43D+EJvRoVmUbxngR7l96SoGBdjS31+neSZA2e4XxIUmuBP7aAn8nmw58P/gbKG lBkr/ui/6D9YvgFxsEVyKwTrTEzduiX5E5CwzYHAlPo8QJxYxuU1KzyHDVekgz6s9MHqZ/EVtbxX hM0sN6ZxdXmHhPjsrutHA42OBE1yPKt5idcZZVO5lFiS92bL8vUOnarla0vGwQ4/mnyKEgNIoYRI oJNgdR3VAIAkGVz/xqwhhQL+CffS3Z9LmKqB+t6RR7sJUoJDRsgQR9bpOV9IwBV3OszIUGaovHqL mrpcGvBvJS9v2ZXwaz50LfWPFMcAvO490EKmuOV8LwQgKW6hLDfuOWl3hR3FZzOsfJj1YUlW55en 2Mk/WyMDK17CGF56NGgD/7dQ7RoIXcI43C+4MEtiOgu3rX9EJCdPqUZNcG6ekvwWUIcBQWePLUXc nBWv39KKuOGtCY047wNS1aLOFF2U606Mc3JYAeNbY5lQeW1tES3wnfXZQoJvsztS59D4YFi66B+2 ksNS1rqR218+ihMx9XkxA5b0d/hhAr1j0KCHmbJN8+EBQGhP1KwAfUg+uNX/VDlEA9U/eZZXixUq ZqH3gBaV5aPjlF0Vnjk/yH1NE33Tld3K4e8zyMdovl81msTk6vyyoZ5m94uVAnfcdaam9vLquiSC 2l4aG2Uha4Zuga0ngFv+PNtOL9DSFNWkQaNqFh1KA3dJ16KsMXpA4kcPEsAyAjaiLxwYCLtZo12L ZDtjxjOdh0eO/K5ioasgqqyutABUwgyVUAjQZ8RKMs2B79XQIAGE5nwNjYauAv8ZFxJ5Ary/TGw9 k2F7vf51SoUKY/1O1UI2K93cMVSKJAo0SXfni8kgQtPwhaXMUgDGNDGVATCtsNn6+jt8tjju3ywX mXuud46UHgIUQKsnFa5b/xHFEtl/jJkg+ObdKUXZOgDSnndOwttRpPSwTr58fbrXVQjXRNHOa6Ao 31HzXcSdFf/Vu0S5a1PRRzwlkQpvBUYbf/tRH9KXzBu2peGcZSlqRlEu/B4iULWwwVUrYHYkROQU 4nDrMeboeN1vlEeFzFrUuPKcqocapa5VbTurDYU36u/oNSXZveiMER+RxQtMwkU+Wrd4MMqYFtxl V+Dz//06YGZwR67Xkxmpa8H3wZbTBR9yl3VeNbn5lNanX3egWSMv3T73lbGtFhmg15Lvwf8TYVdn TWpuhmv/vlfe7xTwmNg6+ssOqiK47tDD6u4xIleMck5uHBrI6EgzsMsKTXHO8PnsPBYxLUdgh+8A kvjwWdaoNF2YBu5G0cXygwfw/ES0pq9mJ5VWcfr/pLuzCePU5I2o4ANI45VPlUQHMrGexrUWrxa/ g9I3UQBA2EWUK62CluBHg9EuLwqIMsQDenRJqEEtAA6ji2EilWWwLLNLQBKPkM9dfVkMQP74Pnh1 PPpCYmIMmjEmpv3eq4hvxAN+W0eT5HtdsLtUWwAsJkwRXe2R84LPGHszxT06wixbkqurdLpnsPOt k6keFESFK6lUr/LqwXkEru9yalnMLvQIT1Xtdml3SPXEX5Mf0YztnyBuzFEYlYnzKaOhXbhuOMLn LcWFPXr12pr5LMfqOZL96Ci7Gv5yXgsJRX/IqGdLilXtUDgmBdTj+TXRMGbaH4ljMXzqDJM/c2ki 8U8tDFqNzMYHJc149/W7By4AscxODsM8iU1NxBGI9fRM1tlFp9JR1iJjTe3IQIPpKb1sWCaFotp+ SoMESwt0E8910v/UX+erpYrAmefUbKbJeAtBXXAbpLM9/s5qa8tz2pvafr3YR5GRAxCaeGXlfwN/ OfrMLqnV9igdUuNKdbtHy9lY+DNtsvlLRmJE+Pv8FjYw6wwR8D+KCNOasApFfNsjczJ8F94IWTPM 3EMe9pd+jjkJihAKbeIei+aB9QikuoEz70xIl9SjyIla/O+/3bVd273JOTxbCeiI5bqe8oJzzXzO NWQ3GZIfKiLfPQzJK/lEzh9VauYxynWUmSoZSUNaygP8IrLQHz7Xi8BJ/pNnQ1AECik81tZCiW1Z 8AmUqhRzEwsvqBCHWtxVCYzW2mR2RCzFntq3E97RB09OHkNAiiZxb44q6R/AQQ7V2kUiNVEsev54 xcPG1pOx7ZIGjSQp0Nx8Uw2gU7h7HGjEyL7LFyH4EdjramPV/H7n9caSVYZJPtRAxwPW3AXzIFVj AjLRGpFJLWCEsncBcM5mSBNOUtUrsoIn8DowDDQjv+NwOGC+pJMGdUmcwdgLyRTQj9Lf4296gI6B NBQauGOZxPsCWJAWEr+affBvtrBYVsB8RWbSTWwIES3rKOREHgFj6Xy9Jhsw36rJa5f+CgCzPICw wGCtA6hR0IAkljHqhdGHiU4/43GhxqMhD8bnjcOj1IoEyhbyszttFYpC9U0HPfG1TRaCLA7yh8am tVzgY+Ax71vp32XvETBayqrD9gbF5Y/aAYn+b0LMSbFfYxZB6sjRRLK8rsth92MeL5OmyC0bt9Sj oBS3TMp4qNU1EhnD0wKQZIQ403dTF5P27/Mt9jHQmf706YZQw41MPvjGs+U40ULBZHbHa/FMFWVt y1Swk7tgQIQGXPgpn6T4XJvgoj58ZD/LM2BqxLE6OEKstwJ7yTb9ToZVlN1ZQIwbBZ4HeBzspFoP uCqGaJ9tzs5yGtcwG3geRE/+bq+uRdTF8GtLg84UsiSalV3HA5lOW9tKXHA/DIW841lxF9QzHnFM iaV1wUefTqB5XA9+oEwVClVU+3U8Zj/G+cXiiZJGLVRnNzJcsabZtwO+Ofg8EOIMvaPdz9zwlia5 C7wuujHELw9AQ67RtTavqkg222cCU9r36Z7zZdjQsnePFAcxVktF8EeXGetCW9aHwU3vBDkA/2a6 G5Vz+zSfgt1MTjXTB8BZhIjtQyrr/rCwY71NYf/c4EreCV4GvFFPci6NMLutAtYbR6jyOM9lX5XR HpVdyskjiPvGVNUWwSm6AkbPT+rnVjyGMOfo9ek6XXmzvuJ8MIPzxYIeFvvJ7BVOvaG3cCvtbOuo fkBcmqSUe8tF9rH/xUBOKTRlM5Zx3MQybnSLgksSzqEeEEAq3DlAcbCOZTKF0Lu9t9YtKhxBQ6uC MVqj6hWQXuoSj3xFe+DekTx9lom2zPE/5WxNE0wbhXbVkDRoCg/TN38R0IjTfa4xiNfcyGRTXr6y +fiFEpsI0XDLYhkGDB6JJ4btXLhUXDcTy1W/Xhy1r8SsFPX+lObzihIkzta8ixaQnm/yDgMPCYAv BqufPUGX51Cpy4igcwHwyq4c/kl0cGu/MHXGiXalnq5WCS3w9X8iDWIOBCyB6oOw0VI8N9s7Vz04 w+V7enjgWEs8xJcC6Pc14petwJaAGZUl1H8ozwxMwUM8oJIGz8pLdhfmbq+ZMaeYLIW0XC4CzKw/ Kr46NE0ofu5PWciv3czJOsQqfhx0jPMCOye8Uj3nlyTNQ9FWXkqS7dR42eEEUs1msjt6TWjomESH c9jILU9Y+JZJRhd9dhlIM8kUg0Tf76D9evXEcKRZECYs8VNE+mx9P8R7xwtIwRzFbbwQH+vVYiLg UbLjmwsGP0DxFfJkWQ6FUF7fBwhUx7tY73fXCeCf+DR8VQBZT2eELr2lq9y9K9KvH2aQra8BkixY Al/7IFxZiqmsGZqBRKkQxCxhDAi9nUbLNkV/FKaq/YIVuFMOerQWkRfPV/NJpnOu4OqVYyWOKCv1 OwzHmxweYku+7vY43a2QDSXhgiW1eAe7S6d1avJEgFfz7zeYsIOQDuDdD6iAvyCHIfPavECeUmsY YndHbb+WrXO+DBI0mE9uRugWQEX/WSsjgyml4NhCXtWMsz+DuyJJ3LcUrniUvozgqj72bQl8wEkh nbH/Upe+b5JIrSusqanrByGBWmjK5sR0hgnDLXG+BJTZJtSKnqacHWmHfFhRRnT9KClLhm7MunJG aPC1HwH9E4LPqvd2hR94EvZeRR/1kpmIgwqxn8Btc50jcH/6+tLbRqJ7Rg0HAge94wlK70Q+ylJu m7KQIgob4UbZwy+l2h5bsfid2TV3J9+XFqD/vxOZeAMmRVlc8h3USHgsYgZmQ6VX4006fUTUcdMt NknBi7FW7OIl8QLnfNDKwxo0ODvYObcXL70V8tJgKJU2JzVYulsqjjk7SwIekkpxLI0zaiS6eV3A 8cq0b7G+Dqtx+NTiGT+mSyYUePiu2VsahBtnBPrd3Zm/XlMplxpndhcW708HfMFXT0jFuVVDhTs+ /97YYYYMq5rLg+rv39yh8OMz3C1p6qJ6CSg+KsvrTIgaRjxJkk7O6sdjUH5hWeCYAeah5JyE9uz0 FEBIWresRVe562CQMLHEn7S5DxBpyoed7HYYUaLE3OaD3nmt3RPOKgFWYpmjq+RrZ0kZXCRKKerU wcOe8cVCcQJKmZNhla2rXMghPFxslNFGOtufQFFUVrN7gLQKl/d8rs8DONfeDccyBfpkIEl+3406 +Cd7/Nfaho8XSDYtCKcHEqfMGQQbHxDD0vmbu3aZYIfOTkGv7HUa1IVi8m+AZlhXHBEkO0EVfco3 /TIHNWYExYJSnIqq6Zi97FgoCn0hGAsDFSd82jBaW2i0KysI4YmZw9Ngb3UoxYIjLmMS7vXuULqg f4bUacPwla9GGzoSiMd+WLSv2cHFZzY5/GVu5hllsUM2cPJ5PLgMNbc8GzCHesxsDugdWqgNJOjF UZy7OACElGTesFruIqaA3GT5lLKsblpVHJ/2la44T3KG0OkhQxkkdvIPBmWFWppiq5o0mjI+oRhi XNz4pA7K88snQcOV84SPt709uGAV3nU5bFc5DRmBov37Xa+ba6z9YK63QJZ+IKF5Bt4Djy2PWlJC Uk4CugPk/ozX+sMktR4cx8NF1e8qbFJKEK0OT7zCiHs366mSGUe8mRIMvDhEEY9eDzuAZl+gPdrI hGlZWDjuxEmP4De7GOzpR+nuW8VYrYCdJhffkyQSlJsbGamkzogbU8DlSaRJdOLsOyjoKfnndzR/ F1lhssvUmoQgUJWqaGm3kTUM2IgH2aln6SxmhHmI+V7zwexBPh7f0y4OTgqh4TfsIdTLHPgk9PDg J5ColRqmlsBHyWpeuGd+/vc8XYoLBGYWJslpu2WcoYgxOcbYnJjpdv6Jx97CZW+oFluUT7J6gzIZ 75ntlwVbA5AGKX2QQ9t0M5KodWjNxgRW8h5Rjl6qqQPsV9OGdt0h5lxKbyhKTIXx+KuGvRcJqlcG BoCcFCLNPhQSVU3ZcFqSHw9xRZYaZEvt6uTlq6cctTXM+KaV7mQ/xNdzWf7+0DbxMEpfOC/AjVrm P7tuubRta5MhXslgdxAA1f6lKBOla0wKQh1zgZP3o3EoVJeIXTY7XVh26d376WysmGXBBb2NHGSK wEKDl6R4evPjWIt51uMlyqo181n4rGKtpiEY0zjmlxdPsqgyEEztUKrwd3lx/tNzn0mCzsdjj+Zk YMyy5kJjHhQe5f59e0vsxc4txjt50qactnTddxttU4ug1INtMPkowhYsXUDi7XvvExDT6bu2vyZ+ nHG/N6ip2V2uKLl2JbY48jpeZ0opVs05092OAijbboJoPSx0yh2aWOO5rPyB3EUOq52dz8+MBI8g XHIgQNVAKtsZJCxBwb5BTtpd9fComdCR9r3ZsinI/ZTb3KkDUqZp9fqqcWcP6khmsTSeXJEyuIon aWZYM77Aai9BZt1yOJ7vjmyf7F+nUdNIisDHcflYClkrmHX7QiW0SJuTDAAKCQCNInWcnO3vkjQ8 mb4VOKTO1epcCLnl+gze6+1fkOe9go8clOdKM1b5hj3gcLkIPIm22/mVpAEInwglf6YlQwKL8S+W jwDLHViqMBOvW9h7TFgZmfV2F8dyOj5aCYnNlu6jiLAHsVSua/zhHXIyneX6NxK6oIGnz09k4qBm ltlOnQQ8rxmM1kCO7tyrFASo1dYkl1vPyQFYnitL83aoBEnrrWRVwnIq7jsLxBruTjTFnoTadu3D WHFqINJvzxstu4UwbFtEH1fsVDrSm4QqA3UCek75ytsXedeZ0fxyF+jDCAoGhfiBTFqGnR5co9w/ v2MoslR8MOLU66k18BPKCMFBQP86DYBPs0Vi1lLwikBMZAoc6eOl8QPMdYtzNqxAgBHMkisxCeOG G2k9hdmAfNzphWEhzv2rUTErGLAjtw5zs2grOF9hA6zmqsXzq2EhxmEwFba0FHlPMkSHfhR8mQFT mYPGaiMpLsjeevrecuI8RZMMyGjf8dnzGueGc+vPw1Nq+2AqN4v5AR0tdBtRI01OVAXuyneVH3LD 83yzhZRh99GpRg3KbgyP+LvdvsXmiYMX1vR71mv6gUf5RF1SY73sJMUvgHpw99l+aeCtlnLZiKur 7GUWcYvK5aFSVesoN0etY9yihnNE2GsosIJudqMUluoZvw4cUywzUCw2UvE2ZuU17F0Pq6T8dd7b FYt90a1BQcaX7R8mGPXV724lO3x8gH1lq0qWyJ9TQzhYaaPyZanWmYn90VTj9OEdTkBVg4+PoW/L S+5CLuXVofJGR8kbeYRDgRMUWILFNTsqVnE9Nj8XTtg1nrmMZdQhp0gk9DWQht3TC4jmzbKdia06 KgqlIt1Ltt3m5+pklJTL6ENeuXs19GHbdSyr3x05jIZzgxQq+09GyboCg7n0U3kMbaDExszXWwLB +xiN/VbFjaYbxVv3sVgc3lJL/sXpBk5cVbbBu82p590fx9/YfctbusE50UZ6LBC4Skqf8+s7gExr D4p3UhM9EVmx+PZNzpfYtnju9DjQIXZS7huOY4jGnP0F84o7Qzdxh1Bv6o6K2Uy/F8JTOnzgSz9W AT/MDWOFAY0QrLqsOCwn1l8bx7uMKXxrTu6QKAUzF3bQ85ikxq1NVaydUb/roHjQQ7pEvSP46ZNs yHHf0hIB0/ozgE1ukyKyhJPal3aYs4K9M01V2Mu9qS8axG1qEkOpqovItj9O4OP0XrTF9OQ2zjLR nBQ0BeUp5juzgKd7NLelnlnfozSQdAwALY/LniR9xNabL8jj3Sk+tRgKNpqmhb6lELcDuquRKQOj wU+NXwZBGV+Y1FPlp3zShz52dBn5kKIvNnDr0lOqsNAL1MWyzxNBmkBFjbct+pDk5/2+r7PcpNIS sR8QGRHt+Mg6cqqG9S4eP4eiwfz0uQ/XF7od2c4KXcY7gSsIbJfZF3JTZeH41GC1tBbLV5ZdOB6i P4rGnsRaQ6ht0iG1i/v6fDxZY+p/cmRr7kmAbaTkrcW8eBT/34vf5/k8FwjxOFkgh1U/LOrEC7wQ 8W8iqajQegzxsVxnwfLE15UnSnB7nOYvGuCMzY15rZBKz2AowjxHVDkp6vQneEjUAw23D7YLcOrW 9sFTFll0CtSmwgnJ4i2sVyD0D/kUePEisw0i6/ERmDfeB8RfsuU4FRV7PoJAMJy6PLpKYKjrFPnW jHQmYdYp4m0Pf84jUzU5owL8Ie/ICV3PgxnYF9X+GqALlBnZaCbaJjyU8W+cKwtqKHjz/UTdXOkv BzmZAYdkkMPmxi29wPiVbVQipOrHMak/+Y37GkgToTKwlnAziGeWZ0bgvxs9o9MeZQfhZiIe8ehc Ymqtlr0CG7x1cU2ULCwQR5AZzI1DxUSiOI+sheQI1IH3uB0U8a/DVGOd1airVwv2ko6EHEackkzt vqf4nVlZbmX7XEhyhsfTXveOJvJh45La/Z9aboQXiVvsFu2yF5wRdcfoYkQN845N7vhHwe+NQ//X t1g7Ein1D4DFS3/7bvFp0c3u7pAIlvQanbrAISacZGWLtopma68r2QsNC/eJclXAUuGQWlo2VaIq QyTNDnGD3rfZfX4vUwp8qg96SuPxIiw6SAR+UVmJCs4mwgEzajQIj6fSoXj76YWtLfAg0o/i1B/9 1qmXQWQFMRcfFo7R+6doObntPZlGCcnjbqKM2S3MDJTNSGVNR1/pl/7hkGIOYsBLCh0H7aQrtFac c7/5UN/+VaNPQMod4qLP6dXrQrKV7Yqyfw1HCU5TBmQTzw+bjW0GNtV7uSSMDpKvvvkWeUfuU1Qf 02IyB/AhjK1riWpVHvFB+53F3lie9Uhyb9F3KB0T71LpNgW5dSi7zJEL7ZSDEbUoRN7sBfdZDmw7 VLoEYAhT8m1m5ZdPnujAa26QAEly0NoValfzowz/x3ueeVInqRDHVli7IUEYHrR0Xd2US9gEyFbF aFFYICEcw4+9wa87d1sue9RbsaBluesj4wdoMoe9ZqYnzRD6Yex/P1R6eDO1hoH2TLmnDkSbXPmz 0lXtqoOzJ9p/6N3wYfTjf3Qq8mnWykx6xQ26XtuY8RGyyuv1os08LJs+zQO5BuGEoyKIr2xt7b35 mfl1bGQlRVhPscJ0CXDQW7/e/eicQRtW035CqezeYySE/TngWyUyDOoaz0Nvut3jKMbyNJBVgFZd GsoY7VvF9g/8VDPxAn7DZ9JKuePnCa1Ojz8KZSQqbApgSIpdcfErbbpAi1IaeiOousYYBSJ98D30 ZFJ8JTcQwWZf5SfSlXO8mtM7nZi7hZSFttlLUxtVH0iKMEWYSIHL0IrbUqquZBJszrDzazaDhQ7w 1nTrjtmxKe1R+FkbIk5bklgYX4yZyZhO54tZK/px2VlDlTVuCIvV6BVKz0sJPLPkgE3KIK/UuJTp aiQZfAQEhadNSWde4tHgBxn0qXwu9t2jYT89KAqCtrNcVf++L0ft4hiH3jwo0kaZ5Zs3/vrCdGyP r7IJIRdNKTeBKn6EI6k2DHdtOLpLmNY8f0Fyt5Fk+75UXNxKSytnVffkpZfEwGNyWwKSyDNsw1GB t5IiTQyOiT1ST9dDD6vBEzuM6k2XbbcaxLSDD8GbWx6YM0XCGBj/CSm4hmKiF9rkS4gGcTSElU6Y DVmR7Cck+BC3SVBpAkGWuptBuUIOTHw6vzILX3ojLwGK2mxoSq2dE513gcVVmfGS9I92N4wltwQD mikwJ0o2kVrr/8hm36VNNQtw3RN3JPS4cM8OWl7AynII7FZCvoX1FRGEu/SuJfmaTBsXpN39J4HU lyDfHs7SCOCsUTOuYCEn8clpYirVHgCU1Ksxh97L4kwArMhyOKgKPLjPQ9RJyYhSjfFyCD+3u0pa lzvTpwzY+fJiDcm9qxyG6MJN41m7SUHWNb2J0sfY1/bW9JOGTuobHXt5kciSmB4l9hd06bvYc2gX 7gZP+oTie9gr4AUQDVi2Gci7/7qJ9vgqiTVVhW7AITJO7H7PDsx9/B9pbY48Goj4+BDRQ7pR71V+ +V9NJPe98heAEG4GExK2Ou3hrbiZdeK1iTw9uHuguQ7pkG+vbnddsUed8gAssCXtSk9yRRsxQrIR venti53IZW4xXu45pmjQ96wjPnb8/g1UmGim7xLNrvAJEg8R+M9g/44N0NzsAF0P31ek44CzVudz rOUuAKYNlr/suc/wpEEm/WTJQ0e+T3mB3MRKlRepTWLqXjr63tZdyDT/tlGHMTa4/Rdn3l6BOpIt g+h4F4g8ldJ2AbyJ5z/4IeVY2OXitOG5XcbZjboRaub/SHqNYmxPRbkrRDFF3MBxm8olcPlw9TT+ i8ogl6wn//TbTlb+ncMRj+tnKE/b0A23q/O/5mOdlmnNUlKEWFPuogkyuKWFzS8zbccjFcPawYF4 X9J/6ZZCM9E3WokRhHGzVmEjktknQPr3QAwWOoClMj+skZnsOblf+LYmRtoz1h+/iz7XRzQUCRmE ZuqQCLSzj/+VvZLOgw6MdHbuX7rgnU+N6m7audKqz+ACSIU7HXRhA4Wr7Pn1k/H5ye1kugCIiNNp vASqVCBywAAQeqLjhEbeU3MsG/uI/ceLpZynKIddRSjNmQjiDjbGfooA+OOaFZH6tMGk+7dTW3Zh FQg9GyoF5tjV3Ui1zosBSFKg3iTj4VaCxd8yKwVmYpkZeDzeEFTguB2TnS+0KCoiTsNqIKaC8hNM 4X4cy4x4hJVpHqDfnSmNpRFFMXQ0C0h2LLsToHO5mJS9Kb02UJjdn1WmK7oE88elG1C69KG4d4og 6aDzuEFRtxntD8pVQa0jMaNP51YAUdP/2j37y+5RMGAelEc0/yloD7UxEheh2kopJSSz01o3oaTp VPjDwPKzipBcuBrDMmugdof8DMvXLhbRIdCRMR+C9Wk+48FxvTKcEU7WA/CFYztIQ2CkA3Geqi5B pYRkME2BsX3Njg2EDmLP8/DBTx8EU0Mv92pkTbgWpZLm9zsI5aw62gK+vdjF33La0N5NVSMit2+j kLIWqsFfEeHmSqD65pysVx+BUE+1NYIzgrFhMDn6uFC48VDTMWAMLmcDCXQ+VfHmHjTk0yYt3p1O t3url1MLP+ME+IGQA1UaqUwkgscSm9QaS90OZdyjKTv4I1ZyUH0jL3SQhbpVXCSJaRl3d5dbzrnf 0u2Q4f4HefLMINy8pmsRXO7Lt88G/XpJqTO0DIfYHJknSqg0RTQTKdKXkFiUdi2AnQJNMeq9cBIa HaEG4iXrpkeXMWO2bT/OEaw8wKm73l4fm27i3nmedUV4KxCFdKaPyy03u5CE8503enOUuAgd+Lpu Y2gmR7OsjO29t1SklY3GBdM7gFiVHqWz/nS9JJxNhx1GG7Y2qwh+D/Cz9QMqn/IDZqlrpv/ivapR AwL+TVRwpVN/bMuuH6pwZ2YqsxcGR4+Lv3WgDvOy3/Z1leDFEWohEI56JwW6VYgZ8ZWJ7vYmb6eD iEXqQhD+lM+IQuFL5LIcPVrrBCOQta3aTduuDwECH8/2YA5JhsuaIuOfEZkH8M5zDjKSuK7Lwzdw eqlQUrWGO/HdoJl0VZ7FUe9vzGKvx3cKC7dTB/nu3hSarAXrcDTinOSlv+IIFtVA92Ibgp5a9UGe Y00FcFP0VHI/ezBxv6bNENGzsaD3DrnG5Pki9pC/F00OeqAGwXbCfkFyj8koZuv08CMjvjT8VkkO kJ+wc0LezdrQHO+SQV698QBgF2GuQUYHYdm6PXTLnd6KU9SgS0xjO2qCtNLv5CgkjHxJkZ0e4kQ0 L/iRlOGHGfHRNxXEyZZUuYk0KJr89vsxwvbfYSgfN3sRbErgZmgDJwEEef7gQphUM1Z0RzcZKTP6 JMxrt5y1i/cYpaDDWcZCRfV+Cvq1bOBub74wx9E/dUxBl8Y9Od/A81g7hlhY0nMicwXn+f3KzdUT N5OKL9GQJ5o2kj9s3OEJKURHjqp8L9nUAfVrRLxOGOVTx9fjuZBem4mjFMZDkBDfA63YaY7dkaRg HlISt1+MV2OYm9ffUnrV0ejQtZYV/aaSEhND4rhGxjcYk2je+b4LUadv41I9YM/irehxLg3j/G21 FW+Ado9NN9Xt2Vh2zhuGIeiepREv6YVc7kEQIBuvZVeKPlmYxmBKWfJlab/RyovyW6ztJAZB6nVl Ib458oxeR0qDQUTFLumYWHRJxrsBUpoM0FXWLkj3T+0TrWdHpdy+OLQ50yM1ilFhWyiiPlpf1WMi aSWA7g9V1giN5iG5zx+55ZJqnkqgk9LxMf3rObk5Zk2LDsajU8wpjpsH7LkUwUmeauSEk7Skovdm rlL6NHVpLn2h8hL8Cg9vnVL5feY17KTAvkO5Swd6eWCS8HgFz6ueJxc4tc2HOmLtxpAY4HZa6fqC Cl0GsEaF8hEYNDnxFNMvAnnFx2DStV/rTbdIZfVj2wYMivQ4Hk37X1+eKqDZf71pxxAFEogmyZCP UPOELS8PXU8VtIHD2QWql0ISQM/GXE0W4IJKPl5eXNFC8CaFbEolUp0LBKEBQgdO25ir33P0PJ4Y ItMsCE5L5+Hfwz6KnJ2pwS51kRRqzIoMEElB3+PsvD5ngeH2iOoxRUn20feR/5pvahlmFAxewwkS DweJg3FD1tA9kLWkTAOJlCx4rONDaCk+pBV6sIpjnIwvhGf86Qj7h0XUoyiEUHIspVBbsAhrFLtg Jz5BXXZxCyklgCkao+FOVeosauUdtwIsB54rMDRWr0bGNp29OSuQxnt3CqsfEVRL+bftrfAzGhPX M0WayyPTgbP0CR5va55w4WVhHLPe+1g6151+v6YjsLOLNZ1QjEY12vvwQoFlZdv3vRyFYqtQR+Y9 hwr+sEOHupFALWDiUPmkx9zCJWSvfsICCNbJd5gBq2y6G+pAZgeij+29k/ZAaUKW1DGuvS1W7rxJ ZKM0nIwqpRssgAEvYJ3DcE1stEvW07FWP33dhEXyv4UQCfvRD0LbukUHirhGJZp9Z/Yc6oSmv4Qk QwGTIscIdC3m9pL4aexbZ9CePc4D87KVpR2VOdhtmOcsRI6R4gZ3SUrnyyF2Z0sCRdUHFiPwY62+ ZmGY69R1Qp5VrNIE02TMVY2IBzxw/0M1+c3L+WgWi8FwLDoeeeqLcjHp+Soi85ynbEx87pJeekjz 7auxeaVTRhi/Y3M9pUEj+S2trbcsq95E/zsTTAiymXpRvqP9L7vqnlhDn4UDB9g4dCOh9/oYJt3w m+kFIlFfjene+FaUFKth8NuGhMRsXw2ACE1tUnlabMo8xIzFJAL4v5lNcKUU8Mk8XfSq0wTM4zRf rxtOOvi2q0MszFiC5BZdSsp2j3DMaLLt+/ubaHuANbZzAZ0wpBG+ZtCTn4FfjmG4jGKx8xD2GQYx HSKtAhp61/MaWuH+NLsZHmZ8IzPmOS8nE0Q2667yyGfx/peSO0C1kICsX7jZFj3whI93iwRTdtWR N0AExsex7SvfS8yNH4AEGt83mrgE51XTIYYmj+fnRjehh/r42waqOalIOXRi5KFYOsfAZ5k60FsZ YRTNuh745WwtLslzUG1PWhkvNYBi0ZPQPZmGai+9fB3DU12JSNMhmC/1nS4OYLyAn39FZKofL+CV zJNBrswx5j6EMaRSJCeA4OtRKIGYYCx74ew8HtvXmadSRmSuvTLafasu+nXP2U9RbZTbVMv2n/Hm vHaUWgQ2Z38k7qCSgETMx9rxzq5yUWw5lb9+0KcWS7jc8XaElT3xiNhrbcLhcYXEbiWdCek/8ANi e1tbsFnqKLXkcUX6zdRcFtRs3pckiuhxcggjCzGAuFtHYlOdo2W3Z08owhDM/67gBGLJ0HHvYTsD iu/9MW2ZsAX0P1CSfJtytuNkGRwnSU6mCMHmdDxorSQLbIYlQj7Kau2RDA5azDRYmQGuGTGq34Dd cn/4pkiDBMR7eZQHcIsw5in/q1PfpYovk7dYePSlfW7gkZ79lNd8fUeskFD4slRUIaMeH9wVg+4e y9REPoyKV/kjZry4tZ7o58BdGzVXbo4oxFiuhxDlvvLjpSRo+5jvwGOlFLGhb/81R7TyXWrw6UgA 4XWLUMd4k8PLY0NYbS64KhiYmo+FSxbFXXCml7SawDpLof/+gStSNcMWQkIEXJxpNlmPxFf5mB7T 6oMmSYsiLo07ST1HWc9ZLyLl6zal56KCGo26yg4K/UmvfFBpPlDmrX3mPvFHL60TDKEcbt5ooASe 7UDuG2eOO1mK9CAcmjMv3WKPJaWHdHOPsPmorghr/RnRoEjz7bMQ3cfS2tuieo7rS0i5uVa7X83g DTVfVAYQdwbvXrqeQL+szFYaWXbEBvPPBendPcK9kQcljn3ci+aYDMPVBiuHSXcW9k1AuCOrdWDd W5iXso3SSWWJR5jBj047Tc5vKyfJZ6XUWHa49WUzywcfIrI1fGvRoUbFM4z+ZaEeSAXV/ey5jx9A 1RqEM1kisVCNfm+t7IvcAxOSfzqYPvBWyL4pA5xQZf97tBWldo3GjDziPTWXCmLzJQQuPY4hbqXF s/5qBjjw1BySEpvSGFczVHSgBpx6RH1H+34Ff8gjfu78U5xndfGMeD9UdPg0pQVqB+mQE03YdKd7 3ai2HCBEvuRpVTax5tsNfeae5zvQ6AzNFRi+kaKKfOIzMbSSecjN0k7DykQmwUi7l/kvyTczZ0+a ue9i8o70TbABhS47j0KAm6G27P++gbUY3qRTLQRqpmzAkW3NJ7/+52CeKp0Pm0gFGThUxmb8OwLF zAh6FlSjFUof8OPvQqWndGsIDuwZftL16nUMbxbAYhx0Er9A0e4JXBnbeTG9dXY0CO92uX6N8aud 9RPmuRTz3gIIIzkZRMQwqkYgbNJkDdkVwV8prsHNCoMFXhNsU6vmJVbrFkYaYPcj3+s5lFLronjf QbpSRdpyv7MIc+/y37xfUAJ5ZXcui3jQV2AC0bu2YiLnCXxKvSN99YlZw+GwRbamoRfd29Zg6act Q/poU1anZYskV3/51gG4rbSZzDjJUIXh9+pv2+TfvL9SpFaDQ7gqwim85Mor9j71Rkgo9Ou/s48T 6FE8z31xdquk+REsn0MOS25HXdcojxIli0oqpD467cIkNTYBKN4ifQ1kHEvRwAATjVRGOBk1WGFQ FyWbQW+IWzKu5eePY8dBrR8TVGAhP9WsDBNOVxR/uuETkDX/A422yy/sKwoLLoGbit32FJ25TkQl H3lMA0GViO7T3yKA+VjCxeyOBM3oyOIuevp0QWMIoM+qFpxLO/1WTCWHU2gQCP+c3qAL9k2BUyqL 7LJqe/nqbZbZnuXoiWwwODFacq+mrJqjrsi7z7NuiLdEVTO0PZng8VM8r7wKoXPfbRKn2qHtntvD gf7XRfXg1iHw68shydpb/5Pc2lOAM6ZvIfll+1XRJQxpLOB1ZZrOHwRBYEgxR36qLx6jlP8k9smv pWl1DPXuiO/6ewfULWN+b0WUAsOFHGdWBHJIxoA8EsJ/Gh4SyR/kLNOvRpN911Eqj+xP1i8OeSJL LWg7GuMBadzq807OPc9NkQ446BolMhdz+4XwwyibkZxdtglbfwGbJJWbr5CeaYcTFvbvbOPL1L89 gt2O4a+dpEt5Iq2p9b+xF7hd0+SZMlYNgdvgc+mqy2aTXSZ8p4LiHHec7utkuIXzjxJiHOfs6JP5 AEhvMYnTUDDKbGnsxMo2Nn260DdCqadykb6dW/MfFW4Oz7nJuUoLTcxCTOe0fz/PC4yBnLawVEO1 4/P+EQ0dqeDPkem02x1g9/ZdFOo5c5HaIeUTHfYn2OpaMstKLpdTsrwBAO20mB90WYhDtYpH/8Mt +u4DzDz/yJlpdoCuUCaiH5zurKLwTFpF7/z1T+LOnPzjXHHqzX9RfcyezaVVySYaXs1VOli6QXKY y1bHlW+uUa6RkwWhR4L26TZO6olqvI0UKiOKPGm6iFq9DKroTcJLN0LYxcO6Vgl0Rh8/2EWHdrWE GahO24DdRagNAdyuVfhB9+0gCEKExLyMSIeeCHiABVaLmHemz84rGHmTBMweZdm9B/tQBv88cMrl S2AYFmXrlAz9H/s8mu5/0Ni3xCfGhpgfF8vtBA6SqyiQ85SRl7KjUEs5NdKNEszzFvMptIjZcXmf Dm6xM1WZjtCpNm1iqzHeiledHYyXF3MtGfAxcxfzM439xcitvjWZ2T4U+npmD8g0Z1f65Mo1b+20 1TZywF7cUV1dsGOIQ//1ZgrknCTFLubGehlh6OUHtB2svY0mDS27HlEPzy6coutOcvKtu0ZcBrVP 0R5y55DqcAY1iVcxWEdiR9Z/+NawcGnyAKtMepk5YhrVKLPBBnlTIcP8JeQMNmLpTuOAaGnVr+lO 9NtVToKLzU324mRGXjNGjAGCEMGoQMCdRkKZLLJOf2i0zadSubyXIBiPYTxCKY4HRPE7T3A3wS3L nqzj5/rKqMlYMj5FFA2HMQAJcHqZv1RFVVP+iSDWNif48UGeLnZanX/UgCZpE+Wb/KWWFybzY810 gyJfKxE6tHbmEiP8VmUahP0Y3Gkk0DgLG8UKiIcs4BPEHn0VNqbuQY3Tu/bHidlWkFy+pgefiW5x eEgQzDYWzn5dzYb6UYeykSurY9T1/q8f99l+O3HOS8Ft4mvaopUHDwzphZkV7w6vb+stoirJY/Ic K9WfxyOhdF1hTFuXFMihbT2lZNyllwzcr0SnJPGcTzxMUTL4OqsTJAGGnqOi7VOHoBa9/XVoy3Kc IaKG2ixAS4d/O9+soUfht+GLvDfVALyc4m7Gxun/+2sICU+HXjDD0G+SFB9OSFXeJ24XqQLZPZX4 /CyXr5eegTivl/hFlb+2GxTk7oH4AK2obMPggHjb8ZkdvtvE3BUlLsu+FaEiIt0Wd6xFsnYi9nAK bqgJQBRO8jYNjj0WSRrqT9j2AhbmpQEj9hBgH1YZkHbxA8nbxaohVhBucHnjDyN39id0dXEwgTvp A4ZL+YMY/Iq5eVyvYTnG3KkYTPW7tRnxxVwrvcvqcVZCBIRaVG+xVPNlkxJ/YufR3cfoUJKLdz77 jqGxAwrZWRDKyZ7I2cplymW2elnnvERRkg5mv9hut7X7Eyqkko6VroNENmCZ2rvi4FSpEtrgapI7 a98xPHoKJ35Oh7N/5GuHHQzIg93UJxFB+9aVqDq+lyx8c1bSZO3Raz210wEbjuOwIF/ACg43QJmZ wN1wgGPK07xowveAM6XE9DXp/M41qZuym4AI3rEa1r3CUt/a4xzk+Qy2RYZqvbgkYchCkpBTtLpW jriQ8LOk2rpiuLGzEy0sYnVIyJ8wkTtRt2T9aBYSno4iJhFMjGUf/DwqySfyAe/ntsvjtYfNTjGh 2YNDTOVGjpjscvxd3Qh4ajKqOT5C12dVWkzZfpi/Oy6zRRpmtR0O5O8AujMoXX7MEPWqpzqTaDeN MeE9gYTvODzh5sMoM7Xc+GHTtmoDUHbIEfcuho06e/iKd1W2UE4Bbo4LWzVJYJy3uAL1R8JynIjz hogbrXovWlN6DAJ1M37Wc75RX8VYJsPWmNheP4QaFzds1sAaXyJ1RnJYcheCjc2jobmV3QfRlapx SSgFKbgAhzXXH+Hlpdbp+98yoR1isGVBcNW7Zw23tajKe9BwWTaHB5w7z2sqUI0kfL7nEI02cVyW T4q9WPRqq6WEXY+LuQubO3B5xPEgJBYfaXoLRKqHlNPUeGEFIb7g9Ld5RcuanIi+oiRWvb2m0TPi x8U7kJ1zk+kTgzInEuWlEhOxUx5AFMb4dOyQ8TOBg6rwkJlQPNgUcNQC2EIrhkh7Sk3QRMr6Tg/F ql4b8MwlduTZ0AvFUudwDCdndBXveA29DoxnLKZlosM+cq+THFSi2aV/sOJeJoPOp29j7SFt9RmT 9XzsFgu+uqvefp7twKXyUsdZkAmb+sA+aI99JXuf7S6edildxuWZSh2iSqZd9jCzUcg1Ew40kt6z 8P3+lg3RADStPgrzxSe5qsyfZ2swZKDiGsCQaVzDKjmVzIhBWIpDpekA4+SWZ3Glw2tIAeYkiDoN bEQ13CqO1FquhvnVfKwl3NqC77mW9NtUIFXmgwymKEBYvrGfJlE6ph0pFHsNaIELitgVcfqc3n0S v6FlWDgqVCwtduarsIqxwduCrKTvWnwTXGLZ9tVVV4Xqbng2qc8CknjmHBZdJD9zXt8r5cv8qSdo KBgZ+OVKi6sGDgz+6MQxD/JLfjHU1ZAJhZCJlolUAo/VE3wVLjD1T4M/UEuo9ORUlA/QJghmoFl/ AI82lvGU4EoM5QEOB1oyrvf8iyNd7QSmns+QOYsYiq3uvPJ7gOwyjGjQ8mtx5lwt3px2P0h6Nlvz suKsovvHJEE5rb4P2zpebMCz/tvkqSQiDWU+z84x01foxs4X+F3DNEil6bHhdeoOIIShResNNwA1 WM0E37DIyf1Nd/rpxpUqu2wvwV25dLR7ZJMURDlfLVcK++YDlFWRseaj67s3VVr/QUR+TY1g8JNi 2VZxVyoan5nxAexX731zopWLfEiLgs2Gf7HpbI95hNH4SURDxr2NSvmMXDwXpKQ0bEt3sZmlXaBS Yt+vAHTw1xMFr5+3PmUYnfxmB5IqzoOD9fa0ozvB0+C28P3HUihwpSlSfbU8QGQOXst5xaMwD5AO fykXFgNzVaMW+buAa4/zqfPsk4GNxMWF3L2a1YwVv3uC5yG/5Tf4wpI5JQaytwlYXC0ypXV36XwQ jWjEFIgG/y/f7frMvsUu3xqFleB7fQf35P2aTjecPOd0Y8EFD1XnP+LaLPhae/6WuGDxxDkCpTIl knsBKJePRZdHm2ZmLxjAYMYWvRuN6zO3QoNTGLp83fpu3lHtjbHqPPXOG3+RWexoETJ04gjiXIbB PUlMbJkzbaXIlQUWx9ZsYoaVzk5NEgQy6ep6CXVayvZ1FH/g6O8Bo7xzO+FjtOoDtuSO78BeTjrP uw8Kixj/4oqap/arOSfjccJvYmIzMv/P6HLXTXdtSTsb2Gk42AJUIAcyz+NexpbxQsDXd+FdGmU9 6m3WerafW2GWyoQSzlRhJ84zLzyLCvELTMSzSLkROXhk+Y51UYixbffl3zCj/glSP1MppZMAb4OG SFZY1SH8m7FfPhcAHpC3BkHQtUte4vNw1pxLuwPrtf03Dh8yjKdZnzjwQjaPthilMa/iTlN3kRfb fUFy+/MsCS8qtGP+8+75TOKdDsL7nbrDZQwE/4PKqEosgXzEwQmNmNnumOu91DfIgI9eQhAB9KE0 rGSR+Cfd1MsV4tQhy/8vSModiqZ7olfGhYkf37IkSH82lV2Yr49ofFnlYX8B2ljbgPxHOLH26tBM P0LT9zIePxvEo/phoz/+PnKzbcf2QJD0/Ae8KE868m80gqO57BUCj5l4ECdIvoCxs50yMQwCxu2y YDqGk6MAurEEq+KIvyA98CXW52Gv7UP4e7AbOpPi4FLO0ls/FyQhgLDZhdE3TiL1DVfDpLp20j0c fDealJ0kdy0ct8P3BL44M02Mb+S3cp4ClxRwwd7Hg3AdMS7hwy6jhUoQk2Gvz6Gds2lOaiwO47JD N9ICZI23Zjm9WOGtVYskdtyh2eRBe+5VJF75i1aoMU0hsEdl4VGomur154OKsdQWKbDEls3jsx0W yuc7WjqIUUdUcaUbtVelOxoUidjgTvD8XdDh+F/f/BE29hLPxohGUaIOuwfvi6N05VU6rokAnIiu 0014xjAwDtf3htEOF4Sb/Lc0yFzG+vhuX16pRoPLNDJwQZsBH+aooNr+1obUHAXakm2LqyU3wxu+ NaBlu4AmngsO7MLlgl4LUzb9Jsu2rDMeLjVxHkR65+Z6A47Xr8Qs+lD3oQMdN++aMay50C8QpaOc +9KW78JdvjS5gTyPRHLqa0lVw4VghnV3ah/4xsw5IdQnU30L3CTP2Jg6llQFDg3RJn2vTx++o+ub +PJKQsMDLJ0IlNh6RW4ULC4oA9h9FYYLft8EifIZlYDB8uNgamsfJCFcUidnNRgJ9ArwGSwrpxX8 XOO8gqomOyj89hunPhdGzPWDtkPBrXCQuLUThi0xRJNIHE1LpNO1tp3XcTzKsJMiCRYC3ueNSNeu QQxv7PYmHuEbIoMH6G9+z8k/8lWsC7BG8U1ZalC+lUZa19cHh/mCp+2+BTji/ZYTJ7YOlUwbx9bK cUK8N1DNRTuFvQw1NWLm+FeuOajNhxXUs9rgfsacXuAmquVVd56Qp/hyA4CO3E5P8w7zuv6zqM5r VvQec5t81/qwwgFUIO62ua259Hgc13w35RiDA8YX8yeYgYozvtjfPGL89Kj8vu6n8kcIzDFL5tA+ Rdbc2LrVMq/aoL/UQw8Qv8Y1CzlI8p5OKOmn0x1n5NR3nnrpvcbVQ9FqPzmQPKDqnggDe9gS6ZoW Es5GLKJ117Nl4Tn29i5lkJS9J3WxCB7x+tsKorWoHQKnhFiCzN9f3efXBqDuPlCPJi0Qw+n6kW3S 7/RrNURi4/M5chap9/rwl+qkUCMpUhg+eG8b2kFjN3XlTnznwD/PB6zOa2Cjvy1KIBuWGQ8qaZg3 vfzl+3TTgbg3b/gcvXDIe91HRevTTgvXuuUxM+T3e84K1xgz0uXRk0ioRD5q7CMLT+qWF/Nb9BIP c3xAAdmvoVzDA8EgB22mUSgu8LC/52EaOcPJudk7TCDJcqemO1EVaGkJo3PV4YfHe1RiHQByQ9A+ PKPbeaOrrUpmsBlN50dPwto4aNrHGI/QcIjfY8oiLB0C+Nd25SfzKkrPuMIm/aceZP3WyuLeNrmQ iemuZtLA7JJ4/tgKy2NG7LsPNjy7TEtdT7WUrqy2UNV44TM0T+ZFzJl/tfWHZviLfxemsduoXiE4 2ifYXh/4/9UleuVXD+Kb/yf0cK/MmMcr83A/X2wJC98aG3Ztu7a4/5xMUvuIM+AMzoVBDjOn4a3s Uok3BUxltjwQZfXOYlRqMJisVi3X13M56P2fKT6ZU+QNAzDp0NzYmwLb0w2Rqr1pYdGgnoEcmfc8 J9UoxZOQHFj1iCmF1hMn5rG8146SprqUtQRLsua+LMeaAtJ0a3QqDvgbZbGAnU9lYrnm+gW2TEcU UEaZHT7N4x0295RtvMUPYlMg8W28R8Z8pkIc5riJQ9YJeRKnMgDb9f7urBsZ0DkDDqdAnn4Hx5/u j71HqC1+Z/SadJDznSIJrnjxTn7mPXp+1FYCSjZAo37PISjrixFxz+Dt60yHM47Vcw+K1pdr1919 VOJ+rUZg/LSew/gNcpMcygHqn44wGDi6yBYhuMGnxHTMSAKP/1jA5RRyne1acDQkSDkGpJFIfzAZ VCyeJJDRknqznOvVCcEp42bHzN9KgOMSPeoMv9Z9pvvYhAHJMTXztiq1CHLMIV6tQICYtsgONuJm UO0iDKKt0iHtzCqjFfa9Q518lTwq/9UiaJjnALbMrah5Wpt4uj1e7EV4nkl3yrHwVaBd5tn8V9NN AKlYjsVpaqF5WFFrtj4+vf0f1TXCE3U7+H0qUY7qDegb6gLdkr+0sm5IFiIBerVPRlNvGPFnbMoe 8DpJtkz//nddG1NgsrHnknh8rNzVIF9LpZVnMNT795ncRHvoMrq8tWdoV6+Al1MFdgScgbx/10Y7 3DQ7GmdDKlhsYPxZ69xQTxYugx65doElgaiTruHpjVFQ0W67M/Fb1bIjeIU08yDNpRhvK+BIZdef SRUcT6aE7BEpM2FJC5a3WVzkJrwKy6DMiuOAFuaDWV3eVv3Axt82brRf/t8k5vldmoTICIrKv2E6 vRDeWUxEGciXKd0WXugscsVFYhP3/bXijsF0xHuBdvIQC9UZM+CqHpRb+i0tTDHsMg65vnYWijTV Y7LmWdlT6NeToBT/rgbHYPr2OCxn/AudNHDYyk7afyuGhlcYA2Y7WojjC08jr77bzxANl2qBmqgf o2w+Ff3XwcyKGcNtcxXq61FSLDtKY+RHcB6qiZRP5MY+OFw4jsM8EROf72MG89sMdlUVeNhGW43+ vixW+i9TCdPv+XQQpcSBgscCKp+iw5FDlJhmWN53jzcfCzD2vI4nBYFzkOOn0rpAx1wHuk1KnmTh buRlsC+AipzXfZG86dQsJ4r6jMSUTTdq8Wg0xjU9q5CJRnp/T69vwdTTrKIKjps2TBGHNfXww3uu 2104TOZRE+0rJ0OzlVwK1hJAKN+vjr+LkYlLGQJj4KgDOKh6OgM7ZUTUwngjmYtlP8Al5KgFdawf QZ4bVBZK6AeDoyVn41VdzhdlNt2FNtilkBhgbL2Zlc24qcGj/WS4c4vIDRZSd0V7mXpba1RIIk+C A14LixFzWbXEIEwHFFrMf7BH9MVLAGbmCNNrHAD5fd9I4SjHc7F74+EFWf5KIpnKjuR0kzEey31i AyBVFB/UnC4FevKJHhrjYsl5Fda1NJwQwGYoxhDYh7fMYF5JL98+J/Qz+h0cz74PH4DIOiTyqV6R 1QrGBWx2ltmFYS8WOXGWnIqXyvUKhohXpwre/CoNlhcATAca8PaCYsR2tbp4cH0VW121MvLB/1HO QpkgCkwXowKU+pCByJDBfZi+/sdFxubV81d0ifJTWPQFJMQNu1RCYb30It2e/h4K15t5k06l4P8T R6AIyAUp15KPrMbkcad89KaDnSft78azVwwkIZc1NQL6skW6MGkyKjEPztgPEHwuWHbvteEjDaXz y1/0HFHchVGV9TL+BmYPxziQ5fADdXzlL1zN2GIgps4SqTBU373rkhMn5EVaNmgNT4gUSd/SlWdY dy2uu2sSiLLN4PbWQslj+wq8587LXgV7qLj9XW0Im9vNXDdSCovJHFgN+1Kx7inLtHBOZmlgGXnl RBvSsyU522V6VSLexGsE8SSLACRGKd3VmnMpQJZr7gDJbtRCfmX65gv7PObdGX5hdFDSPZBswsAS 976iFXFoAX9l4T3CqhWiSbYa7RSKQJqEtkN56y8kF0feBcd5oZwPIR8c3YQzq9AD3Z5XiE/CzK0Y hzfR6Lgan3yie3Ro5U/fD8e2w2oAvfkfITq/DzFv6G84gE667tjSfTWMwO95N6ArTqJztvTU0rUV sUk0SmiOLnOsk+oK0Ad+Di//D92HRRIi+nxVB6ki6xcIXTfp0PaqVy/HfDh1+JKBeqzjPirKEmx2 dQK6k3kV+FsLXbZFs1L0Slla3Eu9fyv6p7QDu1G3lx4UkJAiN+jk3+rtNY1vJ9Hb3BED1hTswL6s vv/D2rtIgQWrcSLQZRqZI7egVQw1vzstM7XXiBKcuv0EHQrYqnpmPEk3b9b0NIZNgl7w+qQOLI0B 8vRkBbvoWwuiAoXYeinA5lnBzdoTzFpU+VYj3XLKMunf1gyRP596pTVrTVH7PTAiIwbN922MPA4e jbyPPqn2rQf2kGG/3vKMKwF1SRbDbNuHKu7Vdl8bfxXRFqtnyAKhOiDnC204+MbqYDhpOH4d4TlI uM9PSpt3DSiRn7zunEc13zqPxAXLdpbM6n7ogajf50Cf3BHQdeb9prs92/yH/HdxnA0DbIGGzHDN wv9nXf+5KAAZ/MCHWu0oPkaCw9ZeIpNwYAHXpk8rlf7XNP/e7koT4UkeTckaJ3FJmiCZ+NNu4JS5 d6bGGmrZTK7leNmTCd1vsFp0A9BSGm73+R2Liszf15tH4W4n0mXEc9xIbONFiNysOOjCDwYIa3dK c0UmoVBMR/319M6ETQ/uHD06P7YVpJ99DjJfiIhdfEiouSeyjsASwlIM8FCPMMziaXghb/Unsv8P plnh2ckjSQujpaIbY7W2tEn25K6cn7NShMWXbB8QYWhSPviEztfrBkjx7UxKV4EKvuAe7IinK7MU Kgn9Fu+TibLOu0mO+AHR2bTKvfkcOJeNHgi1w+7vY4Ig5/qY+s32chmmrE9hcOXgof4W4AxzRbVS XDKIJKZHvuZf18foq6RhXhw1//INLkPkPjHomUd/5Fpxu2vPcCphiMJZTufoh2U4VTPjOW5dns7x ACoC3ViNM2PVgRM4aH/VhC6xS4p5JPjQjECromE3YyZtTCPyshghStlUkREbLZcsRDN2IOSdyf7L 1YTtd/f2LncvsuR/ZjZaNR7a4P5agYGNgKRaxyjnDR2TU7K1A/HkbrEzmT7p8FIlpJ77TNxC8mbb DHmZ2BfHIjxOViLtWuXeRJC8T5drLRHR/V9bfv38zQtP209Vo8yFTEfYXn0Dbqs1wdpMES3FfqYv VKhLXSmKz7ydoOYqVHx1jAzJOKrNTZL88HK7QlJFQljg3KgjvEtFo3jpQNusXmAX3nxdWBBNUZzc RNwA2+4EANVZEJyTjwaLovx4pmNT8lXjqx4DJJgRyhUfA97FjOlB/vIyWMMihKCKxAjvFXVY5NI5 X57F6VQgvvtIxjd3GbpMk7PKSe3wpuzLERCM8rN1+yIhUqL7IP76qmVhSFfC0QoLVkZHZhnZiE3M lhreC4mc09YnDwB+XBdhg1fb2esWw2CPk1bJcEiaBeHY8CMQJGgJkv3cpk3472r4RHPko+XErLLz a9uMC3Q+I5jlhpur2338Mzk0M4EluBBkEZtxdFl0e6YXNkZ5wbXn0Z8rqIJc5Romg54JaeVq+D7/ OTjnkJRh5v0TE35MMOtQgC5fbaUC5i2LFUwtc0B2bLWQ7OrI0Q0KbWp1gluGF+zKtbiETx39ZbkN 5rPx4xJGwrrvz8u23zt/9Mv1DCWDvGT+IST6odznYT3uIhYSAYE7qYi5m142WmYwL9XA+RZnStcO ZIC2KM2/kU1V4/NtDPTNR6ySi16hLPNLCpBiPMrAus7UOtQx6qXJP53nQzQ/Pp8WyXZfKzFkzMlR c1/YgqGlsb1cOcCVmFlY05sW/TAm74mN5cxdZll5tjPSt271YyUfPnyLEw2dUlnFBw99wZuV2j/F 8cTvmqwYBvEwhW44PwBbhiy12dEhSq8IIaZ7INtG6TAA9YpwNDXgE22nzh713YBkm4tp9WlyeiO4 PWlcGhcWrT/KSxCH94vuv+puBUkGUTLrs7vCsbo1SM000BXGjZWNJ2M2AUtes3MMeHsfWldqFtVo LXhaIB6rpqKkYN55qoVsx91qoQO7O5wY5nh94toU5eFB9zSYWYzg04NTMf7vgKIBa19DGGpN4srW HG6b55BEN/w8no9HQUwrDRTc6xHl1Dls78zRKDB/pga3R5a7lVZGEfrNFgFcouG8DzZmfiFI3ewn o4kziD8NrjpbBCBD2ADr4FvTg2U0BRYLIVWnfkuizAKVcQUil3byj08EJArRbFZqLMC5Qxr/wy7y M1hmH6GKHU3fiMIgCICYdMRqfSSA9x7y0/7LsXe1uT8fvNzGN9UShq21/XrxCo4JipQPWEopwvDF tkeq1VA26qsf4Ps1yGGp5rT1WO6724G5EKHcbBqq0ZYbx8q7TIZkJtkB+Ht9a8fjlGZ53F9+GzOS DFjMRzx1hYvbDAmHv68rQ3Y6BJaXFNbjUuYeq0Wk2py9vvVWId0TX55bP9R9rA/HeBjw+3JO4sIW gs2r7rC4cpvfOcUprujSR42uvmum4L6JCeDdJqqwUwMnDZmyb6Ow7xYGV6u+cRTVxZDSXoPNTxzj WVqfJC3iXWmDVxvmsbIZmzTFCitaScV6B98BRj9rv7kJV257/ZrdLUpCVsdmmxe0UU1g+arwY3LH MyBDK1u+IGppB89ggTCVZJc6LbRcLzJ7ip6AOWyDNC3WSRzDEDnm51rDrr5TLWEPMdVVbnlzK3aI g2RgAthzy3t8fYWOgb8c524/hCY/fVvKQFVDs1kHjaKLK9U2be2/vd7to1uFMWqt6aNGfK2cxlEz PijMeM4KYHjrczKmeX7VnW1zpXt2426O1VK1CjekJk9EE3CAp+4IG14ybd5uUxzlM2lcfXbhOBk1 C3SyjDiiLZCIrC4KwCpDEF98yvpUC0yj1W32jQy2qdQ0C2vktyxGcaYQMRGj6QvNi2aiRTriKFYu X2PkDVd9TGrqRpIhu0sz8AidoPNkHE75QV1EKJaZrJxAhiZv4KEogRQOgPewIJyb6pkapu88DfTD rdrjwGIdey/hnyx/i6xPbFqVGdIiv05IM7K+XrGArwG+L/fFzJ2QoD17UIL8u89jIPYavc51V3Z/ 0EzrDSyNL7lFbXgIGNtBKzkj22M7l0RRWgHJoY+2bzINdqg+H715SQNad/RwZvkL1bI6Xq9Bv0jx qHT7P2/v9XXPIOYFy8V8I12xQna761H5NpZN219FOd7hFPriuMhMaMQ+8GphF8trKV4T7wBMQl4e UkstVsUxwKgyR9izzOKW/lU3qHWQVJa7AdZXegJsU0rfCap76bjnalpb+trdtG/KxeZvx2DUkuD1 5S/Ai8sCOTn4lADtPXG0bdZZl188aQujcBTFx1HiPK2hsu2j/6bHFOnJrIVc25R+qLRJJB7PrdDU NeUgZmpG+JsrYI6bNh+nU+yQZnjHC5x2pF0PSd3hF6MUjyBIEAYEMBRYXe5X8seGwuA/aY5Kk6zq VQJ+xDTYYQ2eCH40hZLKxg6i0cB5J/zlvDAec1tcUXRsAx2HjHBY3Ur2TUquRDeCTJLIdYvRSxeU WIgbLKFKgddz57P238dKO4pTqyPg+HgTxxEhj2WJTCXJz44XpOyp9SRYXOisAVHwpnY/iD+D/Vot VmgjlMv24qbYDfuxltbztkSBzwjdKr+VgVwOyJ1FFUK33qMz0aeGyWEUgADYXSM2EifxUQ4UOcrN 7vlRildBBBWbn6BwM2B9NBpGP4lw2BUSwFz+u26aEX80O34N+/whS1/6bhhepVaYX9eY7ajs+iAf 2nmEIJQ14Gp2gPpZelZnKZD5lrvW0C66k7/VMz4ZWH5J8TbVTL51d92JXfELhC8HijwoL69xtE62 1tRTw1vJFSzquJ+Vwc1DeFL/paXcDfQNuTv/Q3Ts0aJfy4FVGRgmIE9YiTIVPK6VLyqqGfmcedmb 01Xcr57Wf5InmIIqHWzsKezXkxfoCL21D9AGafKg4yh8s4InAx5Ap4Sx58bSUfSa28CJ75pmHdXZ popVjZakGHv+3d/dk8pokasuqv6FLZLQpxbS5TJWC0sfU5AWrS+PV95KkXHgmvLCzYyKdDSpROIY GM6lMOczfQFcYV2G51OxNorqBpP4I7g4eaTFzPaVOzuOQnqMS3ZXG9d/s1okGqQ19hTDn8IGrN7u AWiFzkLS2Yxfg7j/7jLwVmW/D0KnpQthCbdsT8QjB8kgxAN9znpWv8J+kOongXFgUMJ3B9JFzCiu J0npqomNb4i/ehNRyyjFrG1o8jMY7Qcnpafybe6VUAEZWlzL57t44gxFKnjSfK/1YFF4F5gZiRji 3kpc5gAcRr4z6GTZjWnBfvGZZSk3BVzqE9SkTqMBpiapV+uDrIDghKMx4TzSVV9AdAlJoY91S5Y9 FFbsNWDOHYK0L7o8yMCMkEja+paSOpVP3DwmehYKOVZduIqkv+aavuotnYOnKv/5Kg02u18q4R0g i2nq3aDl68pebDMqiH929xvY6RzjYZRO0VOcjVw9lIx0L++BZAGakTpGkJzPMVafqax6wlvj9wkK /GyUy3142euoPk8p1tsZErb+uLzq5l+MCqKBu4m/5FAnsBtuij5CwIsO3WHdWUqOOrmJsDFnuXCy uNSD5iMpj0A97UidOoJtHAAZ6+6prRvvbL+c3bzEuevC8e1vinzjt3Qujog+aaRtbjX5/ON7p/SO KkzWKyxtuwHNEs0GInlN7yD5nkcO6RKxonaNJhcFuNO6uWDQeUIOy7EK01U2dDqtq/ANG76TQuRm anCYPOsqn7fis0SyC13yIV+5Ab6sD4GAwEtOT4CEsWZ40CHNp8GRGOkwHVs3wXMPHUYyAlSif/Nh /ZN3u+pXENpEVoNQxTiqTlX5zM2njutUE2YCMaeyVmpiKL4o0dm3/0CmiFZDSKc3BLFr464dfnqz zzyzZpwnMXIRPf2RjsPXLTWbjRKx2D50Mn8rqvKFzgNHJPgKTCR8kzxnxl8dr1NuJEgKmn5M1LCB 5bmDlGtRtEcgBAHaYRD0tx7T2efBnYJZ8ijTzfActn/lag8mZI55iNi8y1H886ObYBKKMZCobWBp n7LBnE8iCI8FnfsyZK5cHg9w4bqpQfOumRgDJvJLx0QKUEPryV1ix92PXtDd66klTCXFSaCmUJ1B ggn4u/PdVDDQZjb5FyJ2PEtiffQ53glBBY4t9RXsXle+k+wG+8aNR87aRB2UGaFzc4QX7CA5j1qc wJqV0mhneX4wwLMt1zk8fcnIu61jqQrLOlrTRQYYXfrErCu7stJfxHXk2XDImGfOPjWhIXlxRZrT ZRn527g12SbC3SzU16SAvAIjS9jrYcqt38MgzGCYtUmd4qU4zqHt9b+N5rrMsqQ6a+XE7wBEgXAl wEmKEgUIyTT/r0N4uUwV+NI15AALUsDPhfU0q4FJib3I+oWO7aCgZYvHgskChIRHXVMsnRAZiU/2 52kFsyxkc81lWfszqRIUGWpTcMjbiCczWxkPD52XE+KdDj4jIDKA12T4iVfwR3juFWsnRxgc5O05 bYwxEMMnGajmlZ98JMzcl7M9Sh89yZt1PD6s9DG6JeGdN7Z+d5QURKr/mfkpffK36bmReMcAuED2 VnTKVpHbidpCitrATUBxdkY+EySZTCuj1n64ypFyL5PrYYGGm80wpwds6aK/G3WooG+ol17vksUW 1Iu0sVXf7V/NNINDCQkrYPuKZhGtgOAN0ivIKpVL4HaLtQdeSzKfi1rrFWooA7z/9R1MEdMDBsPl bE2SLeG0Sj5+b7KbavmJ6QTIs7osuZTp62iU3C02MVcvDEMdC62MzSmpIBnIREN/NA8rPKf38MHF mUzfSpHwaqKgFiSq3g9nX1DrBDKp0BI9MaoUPzMO2bh6aUMD/e60ZvzEJ6zaN+IFSpti2Pvv39c9 EYaJQrciTU5UJHrrfwk0sjHhRGRZBpuU4hquNzCp+NsxMJrCJcGJDQnA9Og4mukmGT3bBz5kRnyS Gu/fvGJqh1pspRsnIH/k9h4zQs4hAcbFQunV0nt5Ky7pSYNRBGWijRlFXQCV06GR4guRQhhxOrRd 5iiMry8BIFuvbzEpJRCqL0OCuJMxmv3u9Gd1XRxFsMFneobWRjYgZt1851ZhkGLRW9rniAzQ7hHI SK+TLfm9oEaupi/aRqmk0Yq21SGTLmmOwzDml4TV06mpyo/uKQaiL5dyi5+KnHwkvWSYMeT5+k7U FPqAeNXoeeJFFsooB95Kb8hJmR95qFF7oMxgaKbdLcZZbRvqqaQwEoqC8tVDx4Ir/VZTztCWGAcF cBBGEUm5q2C0ibnVFN0FzfolH4OYlBuSHXECrOzULD48/WoFUSBP99xjPSrOpoeKnT6lgjND1dq0 lZ5Hif1U3F6Dmp5T1F47LIw+S/VzdKulBMdNbC7aJmMLN7MSjOOwSO26w9kct0w20Xa4AV2uKWfn Yve6zXRCZYFhADuQHC/M6zJMXm8n10xBibp/9Rulbi/gbGuWkemRyDLoFeOniW6jDsySNcyQiMYa ZKQNWas/xwAJMXeLDfJdw2//bRp6Qik9o9/xMKUNcqgCzI7Om1DFB644X0qv5L8++DyiNA5ztaV8 GUDWIPa+Ywa0gEZQN5HW+O3urrYP6+1CC+3Q6hn0Z/8umxO3CR2n+F59WHvfXoL//x+1Gjhw6F6D 7Cux0wI9xoGdYrc8joPEsYDMHvP1PT+q6OuRxrJ07ekaDWOuR4upaeFOB9GP9LaUpQllCIsUlK2P TE9imY1Ui46UXZRfFp/Jl8HWCZhgaIC8xj3sG8YllG+QAs8nqmyU/MQsOrwaRO460aBylbYfvn5J Tbw9IWCFY5svicJqkJSS8d9+Kvq3bWBZtqMWe7eEnoZNsp5l3nCQMuipNXRoquv+r40HM9gua1XT gPpjCoQ5lKDsoG+bdHvX+oAwnnyWkaV4JcM5KTteQ00GMApTggfYLtV+0j54jgLERA6PBN6aIj7y XBZNirfbkkbhxK9a18q9LMM8rgitByxTu1go/cfxEKsC3kDN0ex4IoggZZDmyjo3w1XJ42rU5g5C 0uE9IKvp1cvT26rrlQA5/ofYT75xKyZTJoTyooG6rPS49UNzYvHMBy4rNQJwR77ugbQ504K5En5V CSDiEdO6UVcBoAW4BpbZWULylgBZDkQnk2MkbfwlYZ4jfSZ5Urjkw3cXC2hBIsOUWFWvAmTyzPi3 3yT+81SXXogakqrDAPVPsRnWy//C9mLFYuF1X6+6Hwl4E0LUiaT17CmjG7rbIH+YF949Cu05/mCI 3Z0u6EmrgZPS/HCytNvzwTaGvlYZhekkdTOrRaJFrPI7ag6itlCUPuNMEOOeb4mFRvHmANzR2/w+ O2wdCgxQ1b0dYffBDDBG5rw+4bMkT/KFDpFzrI71xtooKSIGOA8OFGE0g60vYEB9JHCkWaaWFHu4 gPNJp4JZtTx2Z5yaeMTof6RHs1FEW8UWsqYK+7Smh5vd8SsgeQnCtftQr9nkaKFa0tyAnGfWvUpq 97H3wcALZIMmx6BPQSjMFylXosWvBvjfxhsSY4oSqCkTrhTy/Ig0K7g02Nb5n/RX7RBcHY8yvxhm YJEloCRBi+ittB5SBJKR7q57EmAoJBZ8LR7hLrG8tDqkb5dsrT268qvAwQ3xDuGX2A7FeCFoNhVm G4oJYWoxE8tyNuwlHsW+BkE/O1JHMB544ucDUUj300f0Iqeeg+tBsze9A8B9cFOZH51dFeq6et1Y 7bgCiV6z+I7ZtrE0sg6ggu9PHsbNxTY24ouG+abrEJoEb/IlXwrViwoGmlgTll55L0vZXjiqC70Z 7PWe4aZcdD+Mt5jzNaiCSZgVEu55Kwt+iQFSoIn3/wyfeW31rUf2Vi/wUDAaxbuK7kbRZMdHgYsY O2PFs4T7STGdTPo6v+965ljBeOC5jlDCFioMopguUWJ320ptu8q3YJHcOJS6gdGIfA328paGALKp mTAdrcIAlEwKrQ1UglTWLp7OPzSSFga+hcIe3D6xUQYMoPBWhNlxN5ISx4SpV4HOp+9ZXzQ0l9xJ qHe51M/tq7jGYBqGS6zgCcGuheonPTsdQmvSsJPU+nqa9HM0l7FA7berxDjmfXLfbFxe2z4j66eK tLQj0mslRoRH4+QTQ/qnWPe724P49GcuFTCTwOOKynFV6+Skl+T4D4/4jxABJ1HbqJfF2oOiF8L8 rCmU7ho6R6V1UmTViv0LhFpFQ7t4UoBmhf3ZQMxhCiAizwrhMQ5f1RBAXh50UCIgooRak/fucZ5e PACFwJF4505XGzZgz8/ruRMsmkAiZshZRX0VmqMGOnS4lwP51nsiWnX0TndEbwTK/LjyXjd0tfs9 xJx2rCkSg2Bcb5p2AqtbSb8xsqHflHsCoYUuCTAKIC5GnCi//QZqdcd7AT3k7+a+pss4MT2qsJ1j IiK62G8BrM2wzUw8kwMCJm2br4o/hBUlDDgeaXVEtfQBtCX53aCGIrG/uQRbGBPpFH6/A7XQ9Udz uMG8vq3ZPabBx08h5kL2QSXuOUrVmoduqGJGaVpnNpVNrd4Q7/uCFNQKdaW8uxBDkP6R3ZfcSOBZ S/B+YCTarIUgjMRKHvKkJ4qu59wARoVoakBNjhL+3O+qqygV3W223lmFR2EJx9sDkqrTPj2XCXMT 1B3utSfJTUli7HtHmcDt/h+OLISw7zZWoFnnLqeYENFssrZWTkR7C0/shTYufdxOrQ5CjxdzTtFx H9N5HqBCgbvI1/6JQqHu4QOsF2hWej8kgCe9k/skn8IfrzneFh6Kbd/1npI+iCzPzlQRjItoo64D zJxKXk/kcL3f/+BT7tSlSu5l32Hw8CXLWcn4iVrzyp7TMfIsEG2lSFOAleohC96NSCNqOyiATTvO 2UNxSbpLfoiXM1ov0/7y2oElCR8UeInCK4tLjBRh1NeTJpy0LYUQCcnp8YuZtST488Fz/gKoxsd9 om1Oo1a1sVfYrf/sxYxzygiImlxVoDDPr6RVOoPxyptDne2UOAMMUhJBbwhvJYW7t0YNo4sfBcKS aDcZRvZE1nKPqJigPo76URpZC5c3peuj/dix92uTMoVCs1qLKnpVzOEvgzv4rCpAp5UKP3WTLePV jTjewl2+I9p2z5t6cn/PquDxRS3bAHDvZbx7N+14eo8yCCDtGSN1B5BFNVcPNGgQUIiuEX3w4NJW X0kbUteJfui+E7X/knNlc3wcYpsN3WRFIUh5En2ygJOKX9UoKBLv8YZfL2o1Kngz1JfxvuPmp47z 2gAPjLj+cJ4IDsegaY2/BAcSRC1HfAGYb/xUXHsyB5fYNGc9LARjZ2Gb9Lgyje8Bqx1whK5AFFEb 9URQ1qhGw90Rik6Lbm7PWza14z7PrclOQT3k2/hyT+eNHMuLCCkKWYO4Nz2tlpdK2TXy39SnNjlp M6Y0MhJPqducybNLJn4OKgekNQTB4873kq5KV1qITpuy8dUGkia8tPk4gN2y3tPtOhtmHxL3/sIM crB+ngFhoti+mJbs6GzElRoSNZweGaU0YFntkfbP4btTm6kyCBiUrsFDW0YoXjmnHtRPwsrlBTEc WpHTgsxdi5MjuYmOFgkrO3k9FYhS3gbrDf+ItypImlSO36dldI1EKL8TQp5duLmOKh+RnQbzpTJ0 3kBdZiewqGdzHL8MdCcs1B4BKf4mqy9kDPmjh3Ty4D2IXYw5Yz+3FBx1i6dHOjZf8UPcMnPA6Q2J f1gTA+Tjx836zy9oTonWXaCj2qhIzMzOu+4PU/VRC/1DMWWb0a+FA2Fi2LroBoGz4tAAcfDZcJH6 61BsWZPcfBmLIyqj9znBxLRHBY9Oy4b3y3vIZS6aq2vcRyz7HZydBvTWqqIAsYIDsArp1mYCC5NF WRkVqJvzZMJwkI3j2Sf5U35G8PC1p8pvpbJHUT/V+3vx/PMQAb1v5b/ZzWmOr8xC8T8m+Dr1dVik 9T2eOM1j6sDWKqzKz63DB9/hrlooRBgJiiRFvtz4dhOm0sJJWay/siejzK4cLiBjBLMdHxlHTxJO VkjMQElI5RkMKp+ISssCJBK5LtC5Aa9fi1vjVlHD1pn6rwpwzJRh738pE29djYlEazoDtv6ynTcq cDhmPvIEkUKX7yaTDeZid3ZzI5HeO7abisP7BcXCkSL3/Sng6WHipZK+uR+YtvtsjiaO+9FQ5I1q L7y8TqOJxm6M3sHv1Pa7Z3Do5XavPVF31EfD/YL/XMSIm/BWzCF3plJR0ar70AHEqi3X5PthbKMo Wda4DCoQ0DBRFJe52fFEK+hQahcduiK03czrKBZO/Al+WyA+ZgxsbMcVx0rfYRlaVk0TcfTTS7BC fDYG41Gi8FCmD4j4W10FIuXCwZ34WjW64gHiAphHjsE+gR/jZOI9UEx6T5Z36IfLoKN5RCEwhiei JbfBnrU8lkyEZmpo8kMmrBP5IJHOA1oglPIPO3KJPUABvjETUVeadYcQef1KKaVhbmNcoYpVVRkt KJS8otWqypC5kw/GLA6p1g5MWxLJnyWM27Zy62DiOf5ArxENMdZAvOKzI9YxXAe99+Zy/7D3h5ox EvkS0oCCKtXSt4n7kPyORzwEsxbVzwzU/b+DWFIg5L92rTcidXJKkkWN8JAn26C+wh/XJKMTRo3d iQJxGP0Y+AJ6TIv+hmvuFgxDcojhIzUE83iMZ0zkCww0xH8/OBPlL2bRcuLFVriYY5UkJzYmSzhr mPBu5zxhvqeqmAFYT7qM8quRpvzXEiyQK3/VBYTisbeaVg0fOeBWqTLqgixE67Wqbp+7hhrhByOW c8jxtn1e8qXEEJ5YHhicHrfZ69eseI6p5U5uk0bGCk1+70n33IgHBM+Ge4jhFuV117GZfRCCystX 97LTRF/hLm5qGV++n+Ki+xfNf/MTIHpAEEFkKfaYVa8H5eihGBYA0MxBvSPhc2i/Y0qFeoP1e3Ks mFg5CZkGSrzhRF5vYAnQ0dIlyYV4DRMDnL74QHhIvbtsWsiuwK0Sfx12Gm9g/zVCJqWto4ZMJx30 9iJet7/i2lh97ndeme/Mdn+P0nerzLGPp8l1tltKezF7xymeJc6KaT1njT/HLmAoI0oXjeQFJSwE hNhFwUilPPDiS08vjvF/4K1rSCXv8Y02niZ0imFgGxsPz6XhtLUcwR4rmoPlB7lw2P9m4+Kfa+6A rEjnDuW5UUjhowzD0QtABAKvNe9LQbIftLeBnPvzgefwql2rpOTUoFdDgl9BwuF8zYNH3M+QY2Ua sj2vHeCg4hNkq89AppwB34zqThrvKYDF5Gz6unTCkGmkOKJKigE52RYjjrZ09kt6kEhs0eP2Ohmj kf7xYavvFgGDHYROoEuuj32yGOmFhD3G9vYOHWRq0UPnbX5zjsqayL2EjSJqCKAHN6RSZo8fXJF2 ngp1Lt20r+criNPJX8cJQGae5A3iztHHcLoYUxegeZHCNBv2oXBFnL3gHwtYwpOAdHb4CZBAD2Gi NXOmF7UmNlOUA+I0+x76bgcpmjDjA0j7k/6LCZpANZd9TlSfJBpnVDARRFYFicQtdsVZl4TjyDhn Tlq4XKDUNmgGb3AkPe4J/NhWjvNWCKJA+/sFxqoxMGlv5OdBecG0zpQ28vd7KRxS9mxL26dnHAtG DSL32xWaexV+qghF5hpnQTHE4r8wLZl5b+9UI4rslF6ItwQrMP7CifMBBkiITxjFJkWAHdRkIxI8 VcXx04PKfGMDC8gPsvHflXL7hry6gff2XEA/jbNMLfCDBGU8CLuFKT1mRVOvgb+xXuALDzcYxfow H6eaEZesx5rwowIgisJ2MAlRq+2Q/0iB8fI4eFBrCJ8MLGQ0FUINVILFTYYKkfHbNr0Fb1+2EApu 38NfL9q0miBwP9gSlU+EOwwPM6JYGEKf4tN2z3rgFImWUOVqH2RcJQiLn04unEN6Wc7p945obXRZ h+xG41A45M5/DDHkfIOGsXUISLSDB3q0U27A824q4zwin10Nu4YzvfPeo8dI6ePrCSkvE5DpZnbR ncJPEQPJfYBleCgw/bU/pynvh1Lg3B2jnlZEU4flDlPuU96k1CQh7d3xdCwec8RAAhqcn7kyziRK wTUbKhaxzngnVuwneMApey5q680Hq8HIp2JixL+sabaQIc8eburK6Rd8LCci1/qPJjPWCq0wyB+n 7lUDRsATaeCjDXcDP+N05WVRD0nLa+jfWflNqkcKHjHpn1TVf4SjcN3yYl7oB4aDStQeolU115Q7 04z4/xRjeIhlMLHwsuJs5y1qWIYULEHGILa0Lvos3h7PXUZXgHIDFQlQrNvAsNJF0AprjDJkGdEV HwAQ3AHsk7IRlbEP5jWhS2CuSVB6M6vdyBKMm9EPBE3b+J7KBBHmGcv0CZFdZJTtWfV4GfHUa5lk /cbKC/tqza3veMKTyZRd2fqNCcyfMDyYnz5NPxAvuEijD8YnR5+wp/YhGX79ldQHppwwrJNHsRYP ZDX7MVH7MTpVXGGgkhen5I1hlJwLM1Sf1shz5qGsA0oFTqnk72dKW1SKq1Q2AZi7ioP/QTUqfl9x 74vhyJBVNXauba8YNDC6Zycnp5/INQRwOrDAmyA7PF0jJcEtwaF840BKV+TXKnCODLUGXhvysE7L Fa8lj3xlP1l0dvoMGafMR4w2gSN1PGgV2E4xtOI2I3kXwH0XxSHMrB/3ChHUwlS71l29GvKQPyWo TivyXUDYbRouZ8LZLz1jWO56bf9zs1flvzyLgDmZYydI+L24SVvspo+Dy9oPz5cOI0xrX8v5rK++ Xwl5Du4gHyW4eUitWiua3MkTMwTPlkJqNIf1/ivcCwcFczQ2MZsv1q3D+jpCzC5j3pn6yYM3JtlR 9ttV69RjuvG6aVMd8LPieznAfwkHp9BUoYj1AVd1od62wcFlti38YcV4I/2LhCH/GwwKgX4fDXhF sOUUQEA2xqTZLDEb2hrZi9dBUxZ7s8AS76NLdtgqNBIg9ihgWjp0PIin6+8/S8OE7dXwCB8wuZBS XHqEtAGxj+Q/ul6nAFNC+jw7za9sNxv6GRVCQnVlU0NOEimbTIs2dtKtvDggpEJ/gj4JjZAO+aW7 Y4m2Sqv0UFgqes1woOefuRJuMJ+CNJt5wUCFts+QdRmRDs+ADINr9zvDBo8SCPHIthJp4lKSGFmT rCPFx0X1XlLXBVRulRkYC1khmYhGDXu4L66m1Gk1gIMojbPpTTMlrAmOThDIrmBmP+jys+qMdPgU QERmxK2puVeqlhZPquIhuwscRT8OpxZA34sSPbPQ+Ux3W9Hve3FsmForEgsxyvcUlFzRpjKA9SdJ f4N20y5JejsfzigxIl1dN163aYMPaEZT19MmM6D32kpZJU/3MPmrETx6tDKkCw4tqLoaQuLlc4uw 7vXF6xwEZQnsdeWjUoi03vClkNKaijOiQZUsjHGNSkqx6lYhlsfGCNd3XIbgDMHfpbwriqUB6xNb yKmkIvJD9GBJxpHzjHqK/kvpE7uyh7Es13Qi3Hveztcbpto8k2LBTDSNO0OhRruQ0bRxd1pLK7lk ohHhpgXxSfGvTZY78olx0Xg4pv8qgewcyD9LtKs/IBRqSrr73Dzzmv1mg6409iGS/z7N5JRD10oL SgzCOIwy1jL24JlWqU3HuS/CTFd1odq7fSdk1AMGGDiyJUXxlGT0ekONQAIVco1ROKj4Mv/8hREK pEalTuY8Cot3SFYvPGjXQYzuY7Trl6srzY5oRNu9Kltt2jfBxMZew5XWWVT7ZzfmbU3zzM/2+yCx 7apqLa7ZyCVvOEJvNZrhhb5zgQYsGNiA0dJSS+rjhSZ6ul0kJv86Jnt+jqBm3phpIsGtKPltBMSi jnWi3MsmhymB+ynCJWVTHORLKyXfcTp0/rjuzguj7C6YhCtxUmmJ0j+XquNYIjFSUaKF6dwFO1uf Zx4yWtUwjdPhl5CQhKIiB20wXjrlTKD24xOyacAL7onDILYzIIq83P+Nalhw85xSyCIGUoNSWxoK CPjOomRTREwGyYlKkb/DQ2Hx7O6/C+tRurA/Qgova8RCSOpaXDqqbYI6sC/mYsveKtfjJsCozjRB FF/Rs9k40cQXXqMTeMiyjVQ0JOcc+fXME/FUh/Tr91bS6Z0tASSTGejYk7ucExooR9ExMBM7SivX ryl9RRpZtAR9f1OcKQ39ru3tEOQfmeyY57vb9iuDqpZ5BTfOi9OulnOEtpyb6vzchDS6fWSgKd0o f29/kZj+xGSjpVOdQVk0db5UpYijSRc+QunSRFSxyAW/q5uR7ftyjWBWOfVIZQ+wXA9kb8ZuWReF r4koeQJdjJ1Dhw9RcFiI6myQHbfX4/37qhgEEUpeWjhbRlXHN3Iwk6gIM99p81gfC2jsOSQ/EZYz yNENRNymwo8a5yW00iADy8O8kxCW+8jIMtBmOVGyPNiMWZJSoODnd2nlZ1C9K9vs28T5yiSI2t83 mySX2D3DxNaZJa1jJ8FF0iAhH74jmK2qsOgMj8Pw0CSQ8CgHr6MAwRQn60/SAsddOHbyxfkr8gPj AY9DWAh7Tl0qUYXcH/0mzgQtJqrUOTpa5ir840OZoZFFhRyeSZOVUx9hkwObwTxn1mfOvuIJN7OM Ic87NxSPiEH7CGT27SALnC9A+0ud6E1QVA1Hx8fEyYSCKQEwTeU4XqH7LGOjcPBTkFN+t8lX/9ry GYxAvap+NroqNeBX9hb2eWDc3Uv9/RCWkcwVbppPWkHPvWISNd1Ey3vsImfTXHrx98SdtJYc7i4p Sytweh8qxUT/e6mjLdxqfu65yG386Y7t5PRTiV4wwnys2Z7f5j8e0kOeVVvqILErHYAHFb5oToX6 RsCcFKdFjr4v6VKLfLoYTesNjHpbAsBIzP6TQdTFot4Tq3PAVxCPrxe6VHMdc5BXMfBjdYXE+Fcr DQV7+G/SqulnxK3XT09BBL7OqL2+rU4C5MHfxSIlhB6dO+tuZZz7IPHDPD9PSxTFPSqYCYs5MV13 n5GMqKidh7Y5xp3Fk/MWkW36h5nEI6QX7qcEhGFqd13L3Rp4QbLkI2hH5U9B8FOvPeHZaAeM834q 8K2MR4i88Z4sapiSqCoUdULbnp8tsDLAyJFvccZAvPvG5o1zu/VW6h6neVp7d6uxvJ9RabcpjqXg U1NdKDYhV6d0IqsmFlx1u/1SlAVuaedwGM7RTjH8XwXkrDYG/+4zQgP1fdHdzCNgysC6LYE7RgWG Cc3EJbc6E+bFL0rIJO644ahGR318YdQoqlqbTnC7hbmi3oCur7gmJdkhFK8rjRRBv77egBZgoB0X T6fDr/eaASnUDM04JNt1ayOfQusHNJW5Rb1sfzL5aykWLXfJ+LKvOKG1qIn+vwQnBPRE9aGVRSCm HUMbFKswfLU8txC6j+7rifBvOtKj1/uwjXaDG0XPlEp9puYkAV6ws74mRVKAQwMez1G8nbggyb+1 jtnjXho9bhGw3/gOvh4rAilyAeB3YabEu+48zPTrsmMAZ+k8Pg1ePbcybamciK7OFlNMuRQ6OEmO 5DnFXF8KOlTTXgStbAFZtsveQCGoMk08oopVR0dqod1YIbr1wXIeBQjjg+tkJEtMbcUhvgCxz/b8 FXi/ZqXxLWpRxtnQjp/rTDsn2uwko3QGkDzgaKb3GYbdxwAkiMwlxk6AWDexNsEUzvKI5DoTo9aG Er7fAD+ADMPw2a9877BDUC18rVIJvMqkgGrxOeLZGOaupbL4lE8XcNyUvAwYOXXN+REHDJeIswVS vfdbhPY3AdCKTstQ1dEAjM1jWbwWNyxxd3QW9khlCtqLQoJ7AToXNOScF7xx9fjAzsukWdajjeNl JHl1FQxa3nPLlQNPKv+slcEy0JnB7b7kW1MUlwppxxKWfPH9P+tBTHQ2eCRZILce6/w03TpKccMe FagnBsXbOTXOdOK69a9aaVmBxBm/7RdMKul7GvutnMn5fckyRLCM8+2YsCuOXrHSaRup3Y+1JBx1 8zmOy7WPxeX5mC4ZxBSZUgruymaTt76xmULCxm5vBhckKHAe4ISgcC4DL9jDXSkyNrwZiuLgDmCH L7EpiUKrKu7CsM4TEJ7dw+YM47kuJxTi8SHCjwN2R1h9X1zD6Xu9LJdkDesFmUzQjbMBzvVy4Sb/ IEG4dwf9jjtVRW4E3BfU+tPwvJ0vdTIkcCWQ/3xkJoR73k2o6vMwV5Po2u4mZi9uhe+dKdr2PhqJ C2Inb7A5kAb5NSK7u6nerRFYf00PF2kb/Ryq1lZCwl8OZbxhxzu6wtHHIgzmRd9uDKSke/3hWx1z GKjoq7RBUSoxRyXiv8F7z+4LerYAmzeXJwqKqQBI///eq5f3fkirjjJrSbXb+aTRf+vFz600ayFz a/nwlavTByIruuB8qyr60imRGFwl/C6vln28ZoFM/2hVUT5AWnoVNl6baECATpceLYmb5OmNQZ3n s99xs2OEPOxMGwSUw/ATfAbv5yPqP3hcaQT6uiSXowUWINsZvOq375GBHdxE1UtadZypkuoiWBT2 N/pDfKb5VmHR1yVOady4QL2QuHaeGRCPsD7xpFv9Y9m3/smcAXM1lZZ9boK7ioz6ongBsGMCJhHy X5JFZIChep6F+dr5IYzQy4g9KunJjL3zE3Nu08WkCMjH3WsaeZ2q1rJyWI9dCkLLLNycaUDU7acp rIz+FyWkgsJXyadYmfxXfQXajhdQSGOVx6b0u47dhP/pevf7LVoCZTyexlo3XunnywBia0NWHJkb sk0w2IOKe3ZoL8ju8dw88CgIp6GBAwNmKg9ZvQnd3TLD+3EVpYAnsXg/v8//D2iBNwh0qqn13Crj /H5CNvIbl68ASS3HaEWx5cV6A8LRH1weCX6L35FeGTeyJt4cCJLlIeX2GXTF8J0vUe5NHzsswUj9 +8JVsmssu5+ci1Qoxr/1oiuGo3Px7yzHf/+NMI7ARK057/NNIr6w7r23oUL+hKoViEYjzzB08YY+ wd2JTxTCUFwmhrktUEjUd5qSe8aS6lz0z8X7r/cMIHy2cP97rpFx+ekwLdPdpOn94dd+yoa4HjTZ ny2eVCsaN/MsCiNF3LkrcBnqmMdnH2eX6Jy0VM2SF4TLe7i405D8QlnFi2X9/3TBYYmJGxc2tTMG TTk+ogFW/TuFTda23/jvYOYrkSJDiEf0g21Zs27FUoJKuW14kLDd6bDI/LJAc5ArBVWfKUvNLaOv uGCOVwe8tC4pX787diTqak0BDgQ6wZYDHAFfLiVHlnYQWNsZWTjZjgf0TkqeODQ0MssMNyPhbKhM g4Z2U5bvJG3Av2p03lTMW3LTK5QIqtxrJIYe0k6Sf5t5TxowGItXIE34ON3iMIVjcpUFeF6uYwQt KERmkYq8TuMJ46ntdGRDQVGJujFphmqqOunl/NO2Tlu7ZSQgscrWKIdE/cbVs8iY46Fgf5QlVOz9 H5cJN5LkOHgvPoub0j0v5BKmeoYdDtByGNTIwVy6TP2/eoxzOgzUEp5ydYaR/GHpI3XiEZ0vl5Nw iXFgn0KXInTQtIdiTvo3s7GL9UEROS+Q0qKDTPQsJRx4d6BvpVND3p1VSMUdtRwMnxVPAy2iUzHu pX5y4vXnCAWfvTyRgmsUWMa64B4UcLvtkNM8qs1aQ9VMN464R40bbMzh6mJM2kBpT8o4cCe3nkhh F2cgD7O6o1xgpXo1kld4rXM1gukQ3nlaB7ewTy0pbsY3broCcs5inYQ+xVsSx32FzA5PYFwoAzJP ugO4HzeKdXRIopoK/dOW6vESDexxyfeFFZEnJ6VxHgEfK684gJ7d8p9AQDY32lviA6MGYJpwKQRs MxvE2fpRVkdFRe4OjFTFlTpm5H42/nKDkitNrgHBYRTQKryY6f55yMSns6SBm9cNmO2A7F77Mp5e p/UuOccJK12Nz1/wO1Ta7G1VCdp+UzMrjEHhc5ttoX5GkXHBTyXvyFW8D6umE/nmb0pQz3sf9DeV 5qtu2Bxf1+fwWzvPBfSW6y9oYBFSMmnv76XNgbQGT4fhoawurXTJST0W1ZZ7e8Uskq21EaEHUGUT yt8E1gIYJkNRM+EOVe747eddqaQjgXQSjHvLZtX+cxpm8Naw1U1Lbbn3XwRvyqIdzKxjYaN3IZrh qjmeRasCIA9iKUEpcD4aPfqrCBnHspSct2XH1ejTHV4ZAPEKD9aSMsWdLcUHSPTFPzWdSASlTjcK jafnzMc0mmf0iLVR64RzsCIRgWrrgbOrKfmaKKTS/Nji1ff/IoNWeTJcrzuEoEGGJRF8LzW+vDCr r1Pe95Hu/b6MezoBlmmiUdrogx8ZjASgpas8tgadeAG4jkm8Y2L07uBFMjfKb2Scleykfy8xH6do UiDB6TMNzZDHN5ABFu/XHM1KndkhVNFE6na5lfek50rRgImGXU4oioH8RhFn5iQfSmuOHYy4N+N7 KSYsw4kRTM3JoPKSGJXWk1wa9Cadgh/BW8bkZpYyq4RS8s9DgaPh+1l0SlPgM3YtMB4GErC4f+xW LsnsoaLCaWLh+1NDrq4b1Udhz8lSkSaBbkCf3SuxdTKKFtcgXaFRYs56qimNUXvzBiZYt83xCkJV t2R3I2cgpSyJbRhCxWRaYLtfNom8IynZnSRTCTxrth5oM2xoof05OutMxeALWdBnIHDPPbkTfZTT PcLNgkE78QBzVpS3J0/K+JmkplSu6h7yc5OmwUOc7HZ9aRbF3feGMlfR48Y3QC9deVQzK2p0MFpp 7fzeC+wv2ZyzimWT9xpVjnE9QF8z4ORyvdRJpgo9szPwisXjXnotIvPHYuLZAPmCkhQfybPrEzPn MOrm0KrerjToLlmZW1DaWpSgz/91/sRyZSJHiL9RdUHbsSs+LCiz5m2jzW86nej0Diwo2RgPpsWi ZWBYj4Ie7dVX7CrLr+z/o7NGMSKrl02fWozgWBmDhxJBw/Hphj99iyPGgy80+HHqtpYEHARKm54l mfo7eZaspij0TuDatuZQiz9KVI4eXg/MgtrTH5KScIfGL7GbZkiKJ4+I8FNyZosAfmUyI7+buLmr lVQg3TYraBr9zVf/nHf596i2Nnm9VS6kyqzQzfEGEAH8HiVg/TjjYV0t+2iWTYeh6DPZSVvVd/ls LXDFG3H+v+cfMdkAVJRVyPJDA3J3Ocdi+C0bfGntloh5qsWraVfnONOPz5y7AFaOylcNc664bjXD xxVlVTKJqwzYeb4effz9VhUXlxx27p6pf/BKnrSOsq1Kdm2I/BuJpAtC+wmKJphCYdhOEL90hwEp Bi7eZQYFvFI9B+Xzd5KjpfPf+lFyj/fjX+wZBu/P8qtUFvyOnPaKCun02P92quQ08hR+FCF6fzMz Hy3M8TmpzzT14OpQ1rH1NhqiLawbzQv/m02EE4YXJdgk9KFKb7yl2KIt5rKW93GZv6iLIt684nWS 1k1gTTnYY0hW5kbCp8OPRnH+WQxkAYljcoAfRSFDSvQYYHHdhuSya+e/ks/EwZkrtWzjkL9j+Y4m GwfbiO12TBMoXOlLmmSOP8heU2S0s59fXGEE1awPUbrWVk6OuUKOPtUFLbAf+guKzNRMs4HrOnpT ROf/C+PW9Z4EI1H/ojwzhe7YrrrBYonsHccQAvb4cGuPbl5m42o5uPbQ97EELQWNidL+uJs8AVI/ nINgs9hee9XMwenIhoIhTYjcoPRskj9rmY30ZZAbZmtAPFe+Ed8LWrG8hjl8loAMf9QVtXxDZvxj 8XGxHqBY82Psl6HUh6zPM4HA7gvMSQajA0m+oFQp1HtiiBMb3k2UFlTprJuKok3rAXQcwEO3IQ6d JA9Lnf21QxTQKNYki+M8EyNenlsII8P5le95fKBd/MyzBmafdxTrUi3BVpSjIPe6e+WRwdm0Yx5V OT0T1FXpQKBYw2AmuFByxl8zrO0BamP/0E12wbhY/gueTfmQMLhW3PNS/Rw6i3JTPptUWRwYsds6 M7omXiE0T/J06Z89wtC3lUrGQNPmGSRAF0azK2qu0Jl7bX2yCm1EJWeUOzRzAuQ77ZNd77zcRRu6 ytrmui/vtPLrxz++ojTyGao0ZGAFZWrjLKiJCc73j+LzPqko7Qtx+XLt3Q5SMMIWSuLjvXaC04eb NvdYQBZKniYUbZwcXoI05rigPrw8F712Vwo6Usl2i7TUon7OGYBaAj8C86mHvC7yScYBuBtoA7wP qMhYbMJ3K7LEFKja5IUd2A63wx/DVfn/CK0q3ISpCFqQB5Blp16JOGX68dwIfqnMmLyFSTdfNn6Y wseaHGE33OxdezlrIvawnw0ldofeEk/Q7GEAQqHa+sRPiFb3H3ZWU5pxL2ZKkjGaTP1YfAe/WfIj 7DuwKBkRvorQsQohxijSLyUq/pmtj2f36dGEuFG8iTBdFyOPVqacHyGVKUyqaU9Qs4xK/Hq0irHt Ng5tBGtyV5rtzGVadI7/J2ONfiTovPi7HoqvdQBdqebBvsPNjnQzpiuyu4yUcoe18VxyI4HFeGqy 2AacIp9mR7/PhQcEBuacG2hZkYvrGCXNsGYj4INGWXZqw8Z/eRc+yE408/GMTatnuc9cmLRM/ct4 dfrR2MxBE31rAbtxnwOFqsdbJu7Pisy8+tm1a+ldTm6/UEB/i+kx5cYMr2oZERdFou2S/oxmk0my hEiyB9uvf33cOMnfq6CytEtRcsmrfiqLGwQsX0z1Z+oqBYEePU5iHGfpmHLcKZqyWBDmtAlK92EJ qjos4iRtUrS0dO7QsDHrCTMIst1JrffbuvM0EILdvx6oP17Fh+ZrxCXn4CO5gFsqY9vtru15Uuu+ w+C1PgmfRAdECWb8pbhkUBX132Wcdw+OB5BnXbgi8xgtTzjlod+GGK8b2U5fyRV0sq/f09gy/Ll+ re1dtVAFs/Mdxjwwq9UMB+2TZQ3A35eNyko3O16Zs7g0/+JcUWXRyqGHpCKqfa4DGgtPW9vSH4aM Vau2sWqI6IYd5dFYVC+YgG/PXGvJd/DHPGCFoJ7m7u2oNbo3TRHxmZt44oUp32W6iFuuQs566fSI b3eL6unz4NbAhz6Za7AIaslgiV80nNLdbYdqiMLOZBYxu41bCda5h7MVro/knLgoa7COmlAv/2J2 NHKJhJse0enEKPwTALlDIn0mJVWTeEocDC3CWmz4Seluv9qCtJgBnWKA2UsxgzW6UReeU29ZYI/p F0SEDhLGMuIZn0ohw4t+2SWW6cZpNBgOIS9XYdE8vrmdOy0cgr1pKpfKsUk8KqxGBIgNqmSVyLDk 3jS2hevckizLIupEV0gw2aKDOh+ygxeI5gbLR7uzuzQZrT6pqIkiL9jKSBfQQRrFQMMp9Fc2S3R8 ffJfvhYKzSnya6Zp29p0fS6HeUKJMxKg6ayK7gPF3mkZIOw+w7yaV3LWsk/txpCarhjmRThhs1QA 5KtKY5BZMpW2qrNOaKzsMld0wc5nuUQiUpP9XIDzY3zWNDmilxvTz5gdSbeDNfGHfpNrzUaBE/AJ 5PyAL7MCzrb6cYmsE0It03IGNVIf4kaVr/eHlz63sCU0X/P1muPwyqroIQaQ0yQ76HOP4D9PMDHb N0MxIIDWyGb+/j1dD6pxxuvuwQPmOqOpxr1o9JHzhpi7mjONTqmz/2W8AP10OKqFw6S1BMMQg5o3 NJ+LTbJENalHgaCX/wRZuJmwHD5N2vCOSz98GUTEcaVClxVFQ/X9UlbokH971AJoSKjAcHc8grbp BbXPC68X5mwZfd83/mMPZ1Y5SMrv1aIfoUrFliRQNKWJ50AehAVQ7z2IZmUJObyYvYrmfP+pRBwi cTw5j6d7kYxdMjx+Ys1snwxC5APpNO6vIZEsiQvQXMsVxevtRMAayxbNyahwydKAvEbuBf8NFxve m99BljA46NcYDPQNtFZXp3mY42THzSqVAPpnC+gDOF+uMNqnMi+s3CX4zEGKrf0tGPIJ8UD+beNH 9ovHRNDOv4y3Eqo61wkWGVAFSNvaNbBCbW/P0jJshjRGcUJPywPORX0MDpzFUfAjv8R2HGnR0s0Z ahGU+gcwOUrF4ZM+pJxaVTLjA5KqgIVqhDWOH0qbMhu/iBQ4eJ9nF+2+OK8da19047DGz1TyENV0 /rER/S8LWwZ+SNTuimbONbJ8FEKIbzoo50tL8f8SYA4xV9iWT1ilnjOfHOzhDVkybsg2AGXdHYep yvAnBjocZzTj362DOwEauJ5IMWJeJpMkgcyeYIWlHJTKjEwqnIE5sQG0aORQJGqfNjD1Hf/G7CuN zoJzRsxZvJknOXBZ64MFHTWKNazXRZBYjoPitQWB/ajVQ2CuTFudLF8wp/iORsUuwIrQppx2OCKt q2HMwgKck9nZfg384ry5pv8KfjnhYf3mWQoTT7YqKgkdewvodMXW0PoWesU0XcpvsXuQASbYWC47 K/sxIVXQZ4zsJdNMqTPE4yI4Y7UU5NMCOnr51R0sSVjY/MP9v67bby27F0bJEoO6FxJ5Q/CRqfDl sX1vhykgrKMb5Cfpa9nuE4DxivHQ5vPh+YGzQYqiVV7G4GjBjihjqvseZPyA0Vw1oA0Rtj7S4Wnl fdr/yQijQA8aPpIQZFO0OXWqwIzSvSkDK306ClJ6+efJEJB3oE2oq5UQ8Z7DO623WN1+UEltRBru ptPRDx3YciHw8/w6XqJ7p03CF+ZwJOtQPPr/sBiVdj697GwQkuOOGneY4NiLoyz15rED566SB7hm WwUoS0jkAGmXnAXs2rELuYFm9yLUqyL7DcwMwRKACEMl3awNDbqsZuWvQb60EUiUn57F6H7faHRI FTc8sNzoIup9IvHkie4jYehBsKJntcKGjrFj7kCDF7qa1g4psXxt4/bXBS/N2pBwDrQB4FPjt8WT xlJoCrjQVS2ol9qZdhbNLju1yQ52M7J8iJmZR0OkJk0kFln/3cOP+N20A6yHjXfqKsGxMVs3+aXe DzQcmQJdD3UwbCyD0GJSM5NFVUrErWDUso8cFHqFxp6fPpniYQadMbBN8sxfSg90saN88CRXxMyj DGahymyYn6wjFYUxTwmNkzktHQYQPf4unSFFX6cJG7Sg2tyNdQWv+ZJ3MZCrcNPcvAqGnWpjrYXL kuKK5mdtquLhoIcWuJ0IzvnaIkJK9YpAkGif2adYnLSy/LryN1xSZNBW9lrOlEltrDgmvE8rVKo3 F5kb9+pFsXlkiji8e5xaic8MkPfXMs5+QZ9SwX2G1Dn/RdcmUVMn5tx3I+nff4bOA/jqEtN1z2mM cFqG/jWvSs+QeVbCGg3qqnb+l/752KV8hYsAEKWEVZrE3swwBSLX95ZC6PZJznaiU8Yb0y6kQ+ai 65365J5nT+ofx87xt/0RseNSLyy8QPcMWLpY7WcrLTSg0sNKX6aVVoKyfExy/3bq6wiTIma9B768 Kj9zWyRC4yGF9JpfbOb1W4OzZNM6TyUaN34SEJ54EOkxbP2/EvPdcIT3wpTFRFphroDWm77qGYsW j2WpVcLYDl45aQ5H8rOYyx8b9ilhvG//EHSQp0dIfhFUg+bqO656d6nrVIE3hEt5/lALQofKqp1t lX/h3VrvdS5M2lsIbHf1aeN0Qio58gyRHvmi/SXu6mY4moEE6Y7BM31xBWdlW2UbFExwahy5OYxd 50qpOnFDHFTCcMFyJVTTPyqobKe8Po1Z00cz1HJYyMPGA6oVmEVQYmlg6sf3pmDsc7IqRJZZjU+E W2yeniAGglrwF6PDGhxeUCYJSeUw8AHJZlo46bLGL51cmVX9ULy0E/8TmWYRtEDwlH86tLKpUQGs b+oV+58qrS13CfB0ET6Qcz3Pm8v2hI9u+cHv8D5/1dGaOS/X4iWp8/p+OBZUCRfNRm1tLZ9Lzinu L84jQvBFssIdp5SC6kd5WqNRMfhh4h5Wgi70o6wyHBwrBNuqecs/K3a7PQMs8kdxJLJlwv+bjdc2 6rnQNYXsj6/KW8dV3r/RtNZ6wzi9jMpMRu42rB18qAoi7TfhiCC15IFVb1xilhhGZ2DN77K2C5fP Z/1sPfnoJ45rTxWqBh2ANuLnIVhSzzuCL1dEhBnI72on33Dr01uwh4pOf/iVgoBuTzR2CI7sYZ8n Q/0b/CAkd6hOdZoaTn5LUiZwMUKjCXQZgL8iRjSgHshzofJPnEHn5hmjAkCNH/4Z5FY3pVCOizce yBLCIg2x8174o0hTtPLlBPIaw+Sfz8yhOLOMzOTxrmkjmMPjnNlY8NDQn8bMAAli06ByRoC/yZJq xUTIo+XLAoLn721AebssPtrpZ0fNtJh5BmDzByxByOTz+Yn2Yhr1EooCEZ+MmXuS0DlwXeQ6umM/ fCh3UlBqtsha3OxShoESTsWIvPzspbxsxaVcdvp3DbgnqKdP3QgvOckitm0cxPf3EndKqcX1Q4eo HF8NF5189gqzebCtTlRcrhj1qw34Pak759OnXAd3cLeSu/qlnLzUxTE7Y+fQ8RIvkCHMIDWT23PD qU2aho+Q1wwX/PBivBhme8PTl/5SNHj7PQ1yoIViZGeMRZEsL7ogvWMki0OGsa/EhRCsIK2MkoGH Ha/hVBoNmiGyneuTrLsDp0ysl22hxatMmHgUK9QZ+80VMbd3fevAsSf8p/qtkuviBVYDFgZr4rvO Bs2mH9cvU0cbJh7lQ+BZdUlLSY3RGp1k+oqqtKuOCZiMSNYj9dQ2NPme3qRLZItXrSfelWl04H03 IKUFumh/hCoRshxWmFY9CoB7q9wO9yYdzq+8Egs7sdWZA+Umi4AM4Wu0jtcmCShEIt0szf+dc4Ap 24Uq/Do3yZoj2LsWSE5ZLBBGaOHOYKPYynL1R3jpQua2l9EXSC/pBfuE5q5SaulV+Ozbn711k0kj CRck3S2FmF3qxSIPqNJzVxcC+63DgAmMR38oHtgPVNNxEOkMaiitME8cibJV80ofNpGKkUGnvuO5 eU+E+Pp0PY/HQWfQ/qeVjI1G/31XRaGGWa+udZmomqNi35zJVjihCZVY73EQCYKRN/t6+bLmX7Gt b+I7C7P+1HZM7cKZA2dn8RTm5vvMDFqi45ByobaV2AwuE+G7f4qjZZBChHYgq6r78b5sH4clPffM EeSHD2XFDhNXJ0dAZc5aIV4OjNZawfNauWlK/cn3/m4BbzbmfLW3elLk7PW9P/bqjqM3ZXpUvlKl Zzodpvfy2/FdE0P256TD9ntES6is885AjpCgsieiDq1gYo833n6ZT+pcOkZvcNBKgCTcuM/tu6lh IwsG1FB4+x8sm14CpIsrWBIGGCvKYeZzN7h8MtVVgSpz3z2lBrIqVABgy199IKIdwr+4ddBIZ0Qe UdPH0U5tZJZRpKnzkHkySDdXxAhzkxchtA/vTrl6yTegcoVXAgaQM3zLPIaxbmgv/kSO3Z5VbNO5 GI1xY7D5jVuEtpD2rqVjUKESuFIuv30ZtJzS5KOaLaGgnoz/8rTT87iklP5/5KiyMGnbJ5hDJmFn Dkwmrz2Tt4gmxOpqQhVXZpZAFTZxVCe/7lXv6b+NFlQ7grNIMEnvKb+Qqy+jSeWIGHyofKNP1mIO WUevfNsnMx0Ozj9gtb4obME5Im+cX0u9c0wKZBKzzVsHpgBs+vtq8y6WzRRYwHYWxFwwQJvl+RBU UvGufLTuEQR/NnpLwN0lAPujMkXW56uIxPDDGYyly6kNdXDhqk0WmVBQmXgYa0jRUPil6j6r6euQ hWmZVWlzX1ho/VVSUCYhI+svbba27z9tl0dryZ8V1X6Cf836SSRcgYBjGeU7vIxZfzzdne93cHLR ekYmeP4Af5PrihIgABAeRy8zGmZIgS1AYP0AlE7LVYwrRuTpFlnNdZJv32Y0kOUszfq6itRRKLva FmXtjeM4XJhLyY1Ht0YgvqqgzXjoadVXNZrdgG+rwNAmOT0tcy5/qSuLbGckyfypSE1dYqtFyPy2 q4HL5qvcPtY289DnlHF/Q/U2mLy1PgCVmy682YpxNvc7QE0Ttas9cUd6h2DBBVctWuHZ6UVADkwu 5SANTZlaGtbrR/3RS35ntDEYaX6NzUDRBJ3ZEj/JCP/Nsdek6gdQSrXFyVDKg7BYyPJTc6ROG1fQ JmOzlQEJZcUVAZdpeigsL9ug3jiC7S1q/v7Zus0z3RKMIUU9rEKqGAhi2KUnrUiQSEUDaWIz4Dmo RPSm1g4PHLmclHj2fB63PRAMCCu14fOcKAdQ/Q2nyfLmksXtZHP/GRcyCWVUA4nKmf3uALL2AD0w VWSpak42yxLKAdPMBCcL/XE1pTVj0TaIByFAViKivHtUOkEmhBnOiDvF3+7L5JceiarQtuNI9AtU dKo5qcC2def5mFY2+ZPXSSV5gi/vs6AL3EWzl4tHHR0M1HpOJU4bzuwAeLS04YUnCcWfUWq1vEmr IgbnRmNdHh1ZYpP2no1LOHHKdw8bE2kWqYHgoipcmlzgyhdafgqzbYVDfOQatKgpDAnEDmNuZ4zq OcjEcE17kxaZU7O0VlovsAM+gZlY/MfVN7T0v4ubNV2dZQjNJ13PSZNrDLU/oOEZ0eMfsH3ZUTjz O1+4SRjIWfoULS04rM/+avYuKb5M5LblGO0MhdFOdGytYhJ7r/kGduPVbjmnPegdLtE6LNc7yWfo Nugo9Yt1s5V9mlfPQFox8mF9YAl3ioj3e5/SuKxu89KUwsCGpx8UOVfvAXMk1SUAyk87/+bmo5dM Fi+dyNahIyPNkzk/ub0MQeo7CCD0StVuFqAIqXvToKumktFg4I8z+bbz/lGLCm9LRwwwh7McloRG 2BvXXYAxyAIwzdiw/TWvoM8RYeSenlBqZGfN71IX1t7zHix1S1DWPsfS1PjoPEXxjsIlEAHdLx4/ c9Ww7MsnTP9JCNSVg6tl2jr+56u2XC3NnkfLoUVYGHcg1rzmudS19FozdAPioI+mz7uEMkuO0tDf Utt2wUADtQ2ZY2oCWWDDgAjeD6Z6FSMifQAfyFck6/jYllV+WhkJlqs9xEHYc+YhwcEwFMQFUPr7 9L4OGfpgOCxdoTD3vtnScCq5Td8Bj385Y3/aonxhvybpMzwePG4Q/qVI6D6xLnpu/S/25qVghR+z VIczbsagJk/ffKWX8lvDLSZ3S0f29XJxCr0RWRsejnDZHEpTPoMX3FBn7Cn0lVO04Jd9mbtwgpw0 aRQZUryadYrJvZmTfWjl8rJ7tV4tUZORtpjeulQw57ySIiufy0nC6Nj54m98eZJG7BCBkAKlqd28 +xinB1KbZVz8e7Iwyyp1EkR5PbgCF2OAWLIoQpHJfUrpMXzmbdQU+nUEwK4xjCGoOHdTVgGsPEu7 GZujFWmpV/jrXXYJYhy4WyallOBjydUr+/LUJzvm6tv8fp4AuFXgK9qr3BtlJ5ZVxrq280HLpRQi R9rc3JKBcwm0ZyABSQYmln9Pibb3lI2xdQLJ1HOUvc5V0C70o6/18t/52CnnT76Kfw4IoXGUx1vy 6flwlY3bFCsg7v1ZizDCKShoINUBZ5PRLWu4wZoEKXulE4A639XlJDsEgIglIjL0F32DvTAY53wr zpa7Azzy8zcnexaackLVhDtmMgpWGu5v4ajCLthjFtt/NfQTmZgyaQTiE+WowT4YKQzsJbSBqLai PgmGVFais+nKJfYn7N8MFENvHDzKJw51WDlxTcfb4fCBRFB/Ar1QzPitRFdguCx4KoR8KnEqXurm Xr5TCjZo8Ni0x3gxV9ir4iEWWLTkwLbK+bgiiSLnruM8FqpUuYMy+tySOz120NjVmCuJBtPViXCN rR5GdxEvvz9V/MxY2lm14nADDSwtPMeOFC7Bjx3XDqpA7xB2oLCvnRcexIeTmUgg6JQhNLufau8Q d1+aLL/gnUT4+0qnhMSR8FKuRZJhCU1p9L7tcMExJIZoIsqN5lyIcVKGb0n3EmVFtSl9J5/lpTwe 920C3HwnV3PIG5MQb28+1K/DpikcF3Nzluibaen2Cxpzu25BP5zTFg3ezqxVt6cGDJXo9iQeDtMq kfkJs31Gn7FWDyaU7wQOT79cHZ4lVuxkj2oiHAJQV/Hih4LPuSFM7Ei4UBmrH8C1p+QJrBLIhgVM yQNfB/snOs9tEoD20LEErePrGA8BC+fPNMi6mvd45AtlbKkxyLHRFtpuKZOPyDzIQPrqH2Y0yei1 hFbef1HMSsJmzb9FRUASyThifUzGepqOdETi6PiqucUu/RP4ArMNfodb3Pw0Iyjj2WHu/JvEYsuy heosP0nFyLd5SXiGx+oGduAS1Xar/PDLnVs8Ctvset++ocWAu3Rmy114iLTM5QrJ8zZrDoHYtoOC DFa06Jsh8D7Tm75KgRmTd+6XaAir7BtphultFxgVb1Gi26YFpqQ42+jYinYLX1pDTqiIaFu/N8tX xQ6ao/mCgTSjgSX9cGlTbLGQfYgfQBlqyc+Fv6yL80lVrpgBdH9nLCYSfmcddUwzr24dYQ2JSILE y5Dz/jTLbpt8mvmt7be5jrcQLH2Dc/AF8zW5KkcSLIqQshyOPB2/D4rB1irknnhBVpFBKEyjMOBE cEPeFc7TVfCNdx/tltbrbRbKSTUVAnHSUvjUPhl+E0QdylU+JlHfrVF0u80GywH759VPSMtXCdQ5 Wg5o+PCVEFHNJPO3kOVIF0bPGLpErLsaYx/qhUUf1TY+INQDtIGl8ZWeLlCFw+WzgNDrpm/rkwJZ IiYQ/KrBkC8EM/It4epxglxd/sZMlR1p1ekLwwiQkFMa1/pRrRHkvyL6BNEyEBFXcl5+SAY/Osta ZitvDcy+IvEz8Y9AwRRC483l6LRcd7gSY6O7XoBuBl5VfMCf20Vsj5qi3V405E71LUvifxcld2w8 ezvEs5pefkuKgIqNTRtNTXUwqTgPVbyXKIbLgkCGYUFD4XrjAOAlust0tWoptNl0UAcsDWZntiRx p1xwuufgNf73gjLl+WYqqgIoBoiFcr+IT1fgOqEDZhZiL1dsHeYnUlNb7Xh2JOACpc75K8htsXGp 9Yqveh2ax0JwdW36PiBrtbzHneBIrf2XQwuo/dF0a8SaVb3FU0lduaE7oSF10Jh4hPOO2i+o6MZt PTUKwfs0qRF1+T+PpZxnXtNj1ZrTVEzJ88jSFD1PE54k9s4jytZLTqVrgH4ZcSIcQixcrq1r5LDt 7u9p1mp4rsSgEdTcNNq2cmHrquVl86aJi8pEpdD08LkQZu7SeDpuqxa7NyM4uN22C3JxYsXYxkDg V/p9aRH+E7A3D5Fhl8mKrgeLIBhoiuRhPvNeEwxxZKW5NhDtCjQBuYjEmSu5RH2RZEKGs0Yl49Z2 rXbOs9l+I+LNDCHnqLbBH9ZrtgkaibO1Bncs0Xfi8rP4FfGann6QL98xIFMKAaWWvf1c9wWHMdyT zzyaux5JJU5vv33tUyGY4fXYMa0irSBTB524JKC2DLm7Em4glycGME9XWJhAz7mhoUta2Zh1eeg7 gIN9BJdip8mZe7R57Omw6ezIX7skEYFzvMWT6d8X7lhfynimvkWd0D+dOCGZdyA+uhuLET81oDaw ztqFbT6SLZEiRWYJCkvg/VkRCVhvvXDPzhVe0t+vO9r3R+3qklzwJtFGMXVaKGczRWqablOqMspi xdxL0O6Zp2+oGzNDMAf6QptZQHQFE+xbbhST7CCKF5OrNeJgv7niZsnmH/tN+dt6HtFVUDbnA4QP Dr8Df9d4E8B+LI4QoMxK0pF+9frV9mvAh7IBUDipxjgsmXKiv6V5dDXzgrhZCBgKL7VXMOkGK6Fk 8AdlAEIIz5vCU70WqGFtEChWgxrq+zPNq3uq70ohm36BniCS1MLYL6/klBY/xZg7XJUOg4WAOPrJ 4H3ffdZeBG4BI/fWai8F9bWOBHCamhhMqoAtcXeURD3DGrYkJuUQD8aC8eBI6sZMCYM9kvsNpMTa rmTBKoAc7ZXFGvqa5nLGsFyzbMgY5DZHdDzZWt6UOafnMMy2RSe5jFb8p/KURUavNC3pWQQPAsuX 3IJpay0QIM9qrUv/110Rm29ZrrrcgOhq7hNmPekmEaz83J5B8SWQbkilP7P2RoQ8GssbEZ6n1FvK VGlOnMcGBdVry0oJwxxvlfw0K45Xb01EyS//FvG/AGCbsqPQU/jySmK7qFIjPpo5vOy5SG6uAfgB FrPBxYeBde1vx1XO476UZUvfz71pM+gqyj3TiM5d8sl9Lr/ASHjY71IlNeMdCNJplusqQ2FklvSs BVc5q85NHU5Blw9YJJBurtCNbo2fFzM53u5sLequZAaVRvx+i1jpln9gcn5s8Zo8pAKqxyfudt7x tCLXG6sYxv1cxf0zCn5hEcQ/WYUA84YNOJbtv26pJOnritvc6b2amWPQc8pIkv6H8RKpDF7JJUCA v+QECIsNSQdCD+DAAtCvQ1AqQLboY9Rd21uWLDxb0q20GIKJHK5JdeGvG/D95DvW2I0CMayRR4cM 1B3XJjmuV52HUCED6viCCstXhCnOig4O7Y966xVA4Lxk+RLfkygG+SnM3879PNj8EV8N38Yam9hj adOsE8wHY0oM92/dHxEzbJkCOHSY70st3f9PLA0SUpzOfHdsycdCx4csXN6ZM2Y/DGwOzE1C3kKO IyDL37OrR0m4dEh9FPNhAox0RvCvWaE48hE7AWM6RyY5wzLjPdweKMqd0hUDIOEHiwxLX/ETT4Oa 37qjLnYRuR1tQxoUKveuylxu1dn5D0r8KygGNUqDyhsNErB32/+bxnFKBb13gJ4kWWXh1RuXAWkb jIMLVE/tVz08CyOuOjCEhe36zrs8URuI6gvIWo3dyUoyQraj2bOCWlF8nbVL/b19XiJiL+J4h3ZN kzor/3bf0ZdX9DCga/NC+FKMIR9RvP+hezzAiK+Dslyf0zkOjW5xfMkBM9c54j4hfAJ7RArx1tRU J8CQeK7GqfU0KiOL15qFJf5UFDR26Yqd7WqkkM2HXnW0mDL5jT9o3WdWsl/kslKstsGZQUbMEjEu 8fXHlrkE0P6KEn+HEeyXQDIaj69zIQiPQC8UABAbvf0kKK/0Zdj52UfRoTzierHQFQrggGWWBlaX dRMVPA6ARUTPB+FQ1bpAS1VQJuAe033ptvITkI60D8jQtYckdxo/Z5zXlPQBqT+KBshEBlJGzY+u Ya39XW5dGIdMM1CDqaybfJ/BFjMSRcf0JkfuZqyDqnvVJexFK8RXBbN5bgcd2DNJ6ePiAHU1GxFW 0bupMydEJ2/ctxxLFlbuUnzPkveT1s1n2QuNqxCQaoqfZD3TBF6jMkfHyP/dMJP4djF6LsmF0Ayz ojcnw0EuPsDH7NkmBD1P9WHcBZ6r6A/kdhsUFLYCkxTq2t87ETlO0pEj6dAkkRvwSoEjs4dESrzj 1ZaA2Qh1lQSQpqVKa+ZHXKfHmmRcdsbzxBA8sAg9vX2tYpFq0DO2+aZQkLm7jYeJ6pbOtHvGXFTP juw9ZQalknnuOncZYlpjFYwrrZJZxc3RADu+PVVr3u5VqdNw2hzrRqjkwmCaljdZb/zS642rV9Ts DOXN0Q8cifT0NydZq4JPurg4XF0aHPrizeEcmnf34AcFQYxUfMw9p09oVWKLDp/+7TQz/Jum4CCS tgusiitbdAqAplqpi4auqpl5Ys4peKA4l4PTzPFkCxyBxQYGr6yhSU+YquWXwq6/pJAjY/2n8Pkz 8aQRIMdeuQBCauiS8GbJCGpvrOU5lH33xBW7P+aOI74yd8vLhppUF1mg1vxujS5tQgYlE3kCGCPA kyzUfZ4ZWu0Y4etXTSFc2ApM61OUSCxRNoZlaymzqAHwP7/KY90/6XLFR3YjOhdlfnHH/57l7y7O sF2JwXJIIgm3f0mmhBapj4A4Jut9JEoishdArkqGk4XYTimsInmyeWzWEH5Cw6nhaFmjfUtsV48p l6JrmXX213BNjKa6dVlJ0KpBA5htnhuRg/4BWUdcvoy9+dgMZlaed36sH/KpyffeXhmxrpZm6ox3 bu2b8uJguylsJ/tBucFkV7MXn+etwM1nQQgYtbjFgCujfiIGY6r//PCtbFk7+78SGC7P+1EeLV84 I+Yu1NmckzQskn4xU6pKIHOV0rX+Rc04Rz/aFR3os5lodd5d4GcDOopxsqtdM4G+OMn3oRprrooK bUDu2st/mF3fDEHePTdXzJfn/rS2yYzm92ZuuWFz2BvEdaFNdO5O7DNJWgv8z01r6MXalsAgRWaX gniEFo70P+doJ+ZKeSFmW1H6FZBaVgmZuXChIS59z8XcJjyfJL9BviUNsTXpJv6t/coagXVBUjBE zBlTTreyAJyQ9o+fhtDEJ+T17Sw0pp91BkDFUOywsPtpTR9aypckWt3g3g5uJpx1bGe9gbtzoUte zfdw1h50WkO1yI5VVNaIncFIkwCBwLcfU8369FJGr6g+cxlxqh0rpy+btjZpupN81EKpq9kzBZPO rRZfm7H22zQ1Dm2NSsgHiZzjDSiIJgzdM7P37ZjT/k81bxsT1Umppnb3aRzlJSm0ACeVqAnvMWeO MwKF4KCcDhBFmAYYUiI7raHKp94JZtETaWul4niB7ImkBmG9/qCP5Iirg/29z24Jn7orO/jjBWDs fMPZN8qjzhXMFJ4dwjmogc7CRzRZkrIkHrKEUXvE00i06Xb/QaB9atQ+sO4nfeHV5VE5hr3ooqrX FPaChpHpkJKShPajVke4WPfzdlknZvH6Qt5t3F931NGfxBGzt63Xy2fmKGen6AO9wsb7MVOtN6cP n+abGM4yXE+9AHb9mHDCey83iF34wfvOJGqDOhaZm2NWH/ri9Y6fBhiosbaWS8ZU45iHBMukotiz Nq8zwctFzTUicBvH9jBcy21Dm61MopN10KD8NGsCIgMqEaUaP3abU1iHmsKVFyzYt5VGSnld0Bh1 9GWLkFMT8DmAp4EqVxoi1ZM+Fv7pN/KGB0Iy7lyiiRsWlzbtnJhzs98g99I/8BP/NOE2Om8ZG1yz aZ1i4BQUqCx3TXh+vb8BmBPjLVRTv61xf0By8PqxKUd4Og2jSISfENCa89wnTauJOYFvVY4q9j98 B8G3owCPFS75Vhoz81lxJJ15Gd++kZbFEnEekpnu1l4ZRpOw1FLwN7EeIFfmd3uqKe4UBGV6uwbi qpoRUh57sv1McoFapLPsZJpAagidPUw8laXU6od5v4cqOmxmmbNxkPkPEu4C00FGWOyIcXJ4Zzti 5aU48V22wW83mZXF9S0ILFt55NmVFHzXkhesXkWsAnpl0obPINkWMeRUALaWgUa1T0qk6UvrLJ2t yRjZAc2qVPKG2pu0GHuQUdiUNDUgfEvi2a+zsq34+wa0eGplsqM6UetHRru5uquMQhDDgv8F9x4R ZIHbfBLgnd4DdsTdBWXkOJBJ2vk5H1pGyn3EmSHkdNQWEztAQeI5gneSxTbs9TbwhsgiiXNGLGtg ikNYR9IbyLUKVVSoshH77Rt1NiR6JmPIPgu0PH6b7pce/V+1V9f0aklKgXtcahQJpC+2TUEsXMT6 9Utx/FmK2pjWJ/o2vVq9iN6vGv9rJHIpRttLSKzLaiu9ppDTNA6EZKd6BH5bo2xh7/wuMpf4/5zh ehA5t7WUkTFGMtoM2bnhDUZUGTpn3X9COw4jkBbrwUaRv7heJaBa8xMltg3rmsYaHmLc1Fuh9C7t /SMAH8q2JbowdWoxNxohJGxF5Y15fQIkKLaCftRcM5HXG49u4Xj+c2OXelgjIZSWMIwS6t1eGouT ISaJCEynlQ/iPfeUrQzkko9fckEGPGle/5phnQdxkmt3+nsQgDh9iqsGKIr3Hao2iTvW281dEN/9 fVlLB6VdPjJQJuUc7VW6pmqLUu7qSk70KZC8KMB2w9YwVpa5lH2fMdfdshOcJpaoUJKbjvh9P7Bo HnMebnphM5bo+jJ2zhe1zmLOtvF2Vi3Xnmc86j3ZSskZsJvSzYUx5/0vjI1vAT2F100h4/pJr2JA RfJUpW/19yuF9R9ybhlmZrewgf2uKzEh9Zf0ilwEsmeRI/grZ1c8IxRl1vfmwOC1Zy55F6u1jZse uvwjzkRbcWZdYPFoGFeZJxiSpxUR4r8XyK7OauNon51uxRw5GbWLkEqcjVh3yvMk9p/jw/8KUk5p OXaRad62AUoQv6JJnz2euJg8W2Z5Zj2EEr6MTiIXX81lyh9Ku92vodmxzOXBeZs0ppnQL3tc8udE xG/WVHJCmE9EBHLLXunyPADbEJuntRAbOCwJFLhFI1GIBgFxHQD31oNdEYMUPqlNM2RE1PdhC0x+ RuiOQxsX355hoj9j2Xqkg1jmjdzEF1JudruVO45Y1q0uk+noG6quZrpI1+N4390QaPGyNVDzs/3x MGwXMo+vRBCe4fskX+Qt+SfytmqVjsMcOtv8PBNdx8RfsPnM9A3rBpS3UY7sYPFKDFoUHwNzyZCp 5+j/D6CWsdaTdEAUYVeEBS7DwGRF85gBnN+cSRfpa7aMw9Ic/nFinhuY5Ztf2z1AqE8+54joMKpu ++O+NCcxDsf9BJuMxvNzyJPar1jLEQz3dVVoHTa9q9zRaAUTaXc2b2ohrInl0KLxB5vmO1Qritu7 idjjYPpQYEHRr5mCIG5dkiVAbTNE0kk7gXpOS94xXPw7sbA53JFOsKIQbGxGRc8gmXgvxbP0u3N6 DSRuifUyuT+UIeSWWwSVNNK4aPCQsUG+wS/chh7HF5b0lETiKNjuZ8wmWYqGk8OtEIpAOCneSYes Sl91GlA8n0mtaQGpy9tyM3PKYFKp7hkP0T0lWVQ7Yx0F/QMix2jKUsdbGbHuhAFDP9TCxX3tsxmV RdYAqQnluBxejMI9N+I7/2sW905WgYZ6VL3rdU0ZOu5S+qccliiocX5r5gZukf6YoSe8HEZ3yPsU ytQrWEi90MJ+c9hiNlBCYd0b7YBiFJ8GM7cTAIDeswXZH8VTbGdsP9+9pu1abOMaY+cf4JuV5C0T Ua5+ehs4giYciusPHgTGGnHJq/l9N7BoM6VJ5kuGsy9YkrJwTp4EEZlvkPoJW7WdpB0HUwpz3y3x zSglOYg8nM5y5HwT6mShiKJjia2/5jbFI8lHA0uv2cswIvr2KLVDxBMsY2ZnS4zcG2mJc44RbwIP ZNI3jk6wDST3Wc5lhBhTHp8MuLTQ0cWosmPv2TWVnvAa9UL9l14Jt9WP00Byw6yVVTCra6lSl/Ml gBRkqoKyyBD2W9B8i2eTiTM4q1dNbwhEmCB0iqJQSTPlWL71H58ptkp51z7dbSHNTLOU2doj89jF 4LDygkY/SVXJ678UBrDB5DNPSv2PTiSAYhlClDHU5BCBGHv99fKhzavOGrIxGarIinwPftiszRHP pM5cmIKpUGKL0N/etbKtKin3hf1IwybCXdh58I86DLgStgGEXZYjlllbFPghCC0sKHn72p45kxvL kDyMpIfBeRsKAf+WASBOah3li8QYaGJ7tkrHiizweQyHQdcCEdwe+Ww1uFWKeycEERYQV+ZYb/5m cNJvaLCCM5+JE33t8wC+MkzjcQo82UBGjp8hVDfzqCHbs/7RGpniO6tjDrKo6Z6NMVlDoQYuah4c JLyQkail6cnFnf9OKT9P3PI4oRiQxXNkuduGhTBCyZ6QRv2U0KyaNaJviikc1pT+oTRzxMCMZmVY 0hx7dyhOMvIukTiRBwXhbWutx63bqeg5iEZo9g76SH/mlh73IXInhA61gKGV/yDGtN42f339HNtj 3O9LIoc1Vwfq17DgHUHLcj+i5rLZjOpyhnFKOomLAfm7kmRASHIDdh+X4JuSwOdXeUTDy9+Z352t 1Q9Wt8sV8QQlEcX9ybMmaWrSfhDEMZsx0sFMBAVdpiXx3kEiuj/R8cRLysMDgPIiyVefFOdbnus5 5COCvj+XU4Hubw7Mm8W8WAIMRged+GGyls3vpAKSVlZ2n5OysnzBTC3Ams1Ru/dwOE8ce/1qFoxD xY1vFYIhod5UDwdrvifi2xoAmJygz7rcG5X7Az695a06P4nK25qQGYFqY7sKHzUevcSWt5LChgfY 7xfBm5YcTTO0Xhb4dr9qOWVYA3zVuSJY7AfEh1CeOtk/qsnDIaRbA8pqX2S6hILwfm81HmQ3PPy1 +wDuw9yyZ679RhnU5O/3BUtKmXMy5dG34q6Sj/TeYAoPMtjMlcdVGJj12KWXvpGsD3/cXG+j/bqm 0Q+KG0Va7RBvt+lG4YmGNH52LRikS0qxWe2BNRiqxmcX7T/q8jgib3voLFj2P+nGsF/cTMUOnjOd /DmxgnDMNNQ/NGC8bCoS8lLM5rM3VWkTXZjXdg97bCsFV29HL+N1A75n7vzEBgqJV0rYaTOZ9r/R 2WOiOInuEATGPWlHdQv8K532biWaiX0/0EMEfbOtCpJpXOQ4qJXmiMPr/jlrWxS60F8J74ppC9ol gRRp3gg5IFP7/bEEpD8NJB0XKTrbqlaTy5drRB4tV+3NNj2Bvh3UbslqwWyIPDcOh3dL4UIubl31 ze+XuVYDGSaQTv1WyPFb7zlRLI5u3uha3OzxU6xH/u4RQKrlfq5UVzCrao9Tl4jDaSit0xGvNN7O iopy/U8OneMMAUOp18Glq4WoVqW1zXxUPjKNtJtD+2Jc1CF0OZJ8/9tKvSjiBop2X0AUdCsX/A0x 79f/GeQXCnO5+VlDEh+wWlEDP+ocIkfdrQRZKxVq7tzbs9EunxxTh40DcPyZQ4O55yLem8QgJZPT 9BiOgAes6W6DL+DWftTOfOYrlPCKGY/CBQ/6GcxeGGTH6+QNxUYjFL2Y23SF91rA9JA4A2wl3P+m jU86KPjRKcu6tiZeLmCxg2m6sgt9zFVpGEb5dRpvbE0IpFq3j7iTzg6b/ni2BCBXjZiBPHuT5cNW h33DaWsZqTijQhyG52fpL13/lQQ5vmS7dDrHFDhkv925GyG9lBuLzan5UuZuoEErs+xK2k5TqXO+ vStgrkKN0PZ4WKLQXoVqAPTIrYgVg0I+S81EveJmFN1poVQoGxDTnaCXnfRaRBYZmlRuijrlaret L90WfSlToA3+etoXyY/d49GmwDXvF80afqF6xl9/dYiZx759e2BESA7x/FNkFIlFHksnIqMCG7c8 /ucxXwKT4ty4LXvg6jHObtQ6GJUaR5WJA+LERx1Z9eKXJFF+PQUJZ8ERQeBWvLz7ygpapKVs/5Y/ fgUQVWhUezjT5Un7bH2iPVce/ieLI6wYtQFEbN3vxGzjvERe6ca5fXS9nCP+j6XYroxY1k1B2B/k 4U5gDEfqgJe6ox5AxQ1TY72AjtpIhdnM/G6mD0wTinrxrUZKiQ2WdZn9HG21qiZfIZWczwjmy+6s uVMOB95SsoFIxje6FtP9ZBfHPjqqd+k+EJrUNSIm9apeT6FkHeEgnUh4ZbVEIxjZmT8666rLR64+ Mf24cTSrXkYHNtl/IoBVLPOZuHPLhlwAMZQzr8EQSVovDaDvoGFdrPd1MXRNOrfm3mFXa3T2j6f+ kicG6HYkjufg+0AYmFI5EN/AOpTNKzCEFDOCvZVuzmLpalhKJei6Z5Uy+9vAPdRuvfPy3Dj7nZan 0GrHRhesVt0Roa98wiDKfoOE67C1s+24b0P17pJP0voQ3cJTyRPLeQdG+6MRqg2gC+OohJaxgZ3F slrJsiso5IuAFWWMrlLSZyodTa9UvMaoCkILiHB3ePlfYjARcggxWSoj/ye/6duMqhfLVBY06JGN wXM6HEht2An3xRADdWqXshbMcK0v0gRSijcYJsrqwmJYxGuC4KmpcH+IWmwd5nwvj55MhO1vvAM5 ELyB8Xx/7pIN+luu1QLrbz5WX4yVIMEKhODL4HyERSMXPpCY+UuA+dR7J182RujEdOoMX4avFHgn L1f6DPK+rO7mlEG5zN4GQeRGfLcbKnmWy1oSCSHoaxi6ULfE856mP9IJKUUF0vfo4bnv5vgGwQZW CwF1ah/Gvjw3JDaPjS17VQTrUtTO5tjpJVXhJ6nlubfrfNK8CZ3m1nMl/XaTErr6Xat8qLLSRij7 wZQLq0yaw6sOFvaustIfZFFaUW8GWRqQCUasWXVN/vW/0gG50FXgYLjHxMSqU+2KDBJOv2leEUSD Kwc8Ux4NsfwjBoIpXJpdyjPyurMw7qkBoTSZ5nbNDVI28AShhR866gukSuq//R3YxHufwj/6kiYV yWiyvfNyCSzxPd7G7I6Q1I2uSqWBRaSa7OvpYWIS9+E8E8t4RY2ojpEplTMSrJZlHnNC+TZikvxv yUt3Omb2TOb1kcX+E55j5BPyJGc7V1Pp5385L4VlyF+gqzkxc7om6IJGkoYt0vvq/zOmMaQlyE5b 6wLALCOxpl9xgsGGS4QYqnKUNhQSGhGwqthpucTRLMAu0fwgmqSPku0KKCypKpvPd2OlOR8ZxLC4 gnJJ7OYueFgucn8JjkJ0RFNpjcW9Lmr/85eRusdaCBrQrHlXqTnKlGEWpFNcBPs1oiSQ6v2Oq6uv a/2wpIp2YuY6S5zluQhj59g/3jdn0LtiDJXbnhm5To5JJhApSqlDjF233HtP/9yefi3bmsw+9NbY BfDz4oVvv8dtv0eo3Vi+zJDjnhp2a4fKQ4pQYHGARsthPgxyt2eHhD1/hKWmAOTMF540w+3N8v4F nWoBVFJpd2x9tCEFhaw23ue/MKHqeQmh83OJaiLJVCw0hoO3rBlth6EYlVH/VlfgGWJQCmrIHDg/ IZkhkbMbMd9kYtO1h7Mi9PEZX7jnDk/OZFX8G1aZsAcEo3D2x1qq4Phzvm3h0Up1Gml5AhjB719k m1W30STE90qPZt0CRP7lJv7/YQAboHmm7H6rY092oMX/2F4CJ8an56ypKJAcn5C5Djr5mXpWUpS4 VzZKcw8ktwKk2qeIFAInTvrGww18h/hCRzMbk+6Y6RR0A3HF9Cbfb2sH3Kkb878IPnKz7AW/h9Z7 nrAh4mcf5J2oR+fD1NjbiGwZ+KV/liYNGqrpyOnpr9AoKqQrY0tokfRRR9dykCPFz88pIFGbP/1D i9EcdaR3W5X5npLHtJT/CyGTmRUngiKXgSYHy6Y6CVzfwBRSNfoNzOgOCXILlTzcMgJ9BrFc9BXT j50ialz/rWAu58SZgCRR8w09FyrmLzKQbXOxnoGWb3S052tixzTOFILw2qFgWfhaifsyjfd+Zen/ F4c5upR6zks9PCu+BFgnj8qMm5HgeJASEzR7tOek7/jTCaqYx4C36/h0hrXDQRBpYnwNl/7x3nEM qE3NnfzelDj3ssKxLGhWz4GzyuO84BjQnXU76ql35ytozYa9F8nAEY2Lbq3bAE6clP4kt9X8SipV 9osu7d1ywqbGvyMtmOm2WFZvjc6hvFciQ3n79Zh1uzweV9Ptma9sv/RajrCuGKO8VnVsxSW3yK6g NLoMU/u2sq5CUcsBDQ5RTPRgZpN1fBab3hqVyXGQJfK6xu1Vwc2EzL+zlcxCpa2by0Y7La3RlXP2 rk8ZFsyEoMELXRZBhwh48fNbQan4Hs1AA7BRZR9wBxtijMQTPrkkKLElu6J2HwUMiibyZ1cmIe9U PaYaLIXjICx+jt47E7FXYP0mZavHlB+drtE5ra4lYNlHxqmUZZQiilondZegZicMX78BHFfPD1Fb 01Za2F9730p6HigJp47yg5whVvd/E5kw5DPvl3JPAonB0Nvba90HMcaz0vgdDO61YpCU8/9lhmPy ah0epfkP1dSskD9/NJwYyfG283ot/Hi/CVd9Yxr2SzqC6OCD06gpdo8yt/Ktpu840lbC9vhDMbeO qMCMtdZ7JDfPUBtraOdaUxXlIiG76P+MeY24LQ9Acj+hguioi7dFExOR16h26hAqcIrvWqT+dkHc mR8MO23AwJS5fKkxAwHxW/4O3lCkXVVoeez3HHY6IyUu++uq8aIUTn/jNChsvnBiCzygPelgUwSd 1jjXCIWL9mygKgRmEMcGBM3aCT6+FRqOA48+p/NCUAy2y+2hj2aqOjo3Ynvm16HCPZNvV9wLkRUg g0VxeWymy2m5vsBZhqP7ZM53kb1cXsHFf0NZg67k87M1S7af1Tb5uOsIHdnKSfXWTBA6nyIdY6cd nMzI2T+i2DS9Q/BVQeSVGOUQu1sBIpzFO/h/RiVNWAb6Pp+AWrpWWjsqZbSLJoFLlK/2H9SFGMrf ip7BbWYeQL0iI+yfe0c7NumnAjpMXpcyFm5G2/bit4QUKgeh8kx/a9l3/Qky+uJqp92kCe+Vwjo5 eEI3a5Mz3dISzAQBdgBXMK7lgFlS9pW4mB1xcns29J+B/e6NVH84rEUA/uWgI6yTSM3Jt/AynANZ Al0n0EvI22UmnfEuTwCtcKt4MR/OciTFiYodRKyuSfCFmhAf4S3SaTbeUV4Emoq9wfGN5ATloJ69 imYHfH+3ZN+lDQN/sTs+E1UuyNWQqgqb4+mjPsnUhWOXXvGvW3gPACoh+VlJAPN6tBYiABd5p938 Qp+h42lLktZ9GfipkGTkJsgDjniq1IfPDO3BWtn1nsEH0Edt8X6kXHM7bx7U27kLZymJApA9I3Ct Q16B6OezaIsIDkHseD3NHFl8mvELIXAhSpgZKpe4+1WEPKnunIjeL2mSTP4a6J6aaY1cXob6Y0gX tS9tS8X7DJjTi/gtwOwe7ohUr7nZ4ciY8m/X0mzZv3EsvdGbmhuSqI6bDwxg5oiqR8eo7TXMAdqp VpJik9str5ZukGPGWPPQ3/I4XaaFr7Q9Q7K0vgLsJ7CQp6VEsd5ESTZkEG9P9YxrEwDJGkj9u+pd AVvXssvd44Gn1BRC+GeHZxjTzaRq/gwGG8QXfcLoKvzLHRXFjJnQnS9mLVZ7MvDvtd+IwVualE9h E99LumcrUZsVwEH5s+Dy91hojcJQj7fW0s1GMsInM8i7mGvf5MqxUc04pibKNeXYhrs+X6mOkE5p bgeoLV/GMVx5qHobBUwZLeZqJ6b151CPMdSdGq8n7+pXkrBVT0b8T5EvxZEJH0N4f78Qq+QZmjGl 7mG1VzLBKeWrt3KqOOMgXkxy1gwHmJfKEwYSd++8ZRnwd1AgfdKZT5ONQTaUMX8jtHJU/2H1d+8G jwDYMHHaDbwHRbYvCmo9Nt3L6S+TxHpnModBllVlXuwAp0QEaoYZusJe10uJUMyE05AiIlS6oF+S dhrHTh3D1XSf6IlSc2EhDyfdLKHTfo5+Epsfoe7etFBhMeS+pXUTKNacVwWr51qRcmUeaN4fofoE gxo9LpDCkFTMuzQVs4IRN/QlV5iwtzbLHDPMch8isBC390AmGtgSY5Gr4vH9xcJUHJK6WzUe44Ie X/7x3eQO8V8rtMiFzemoIKNa6LtFvB/oQW1gQVBM7bhteNsH0S/1xnXk5AWGVevbGFdUslx7FK6O v8rc6qsJBHjqQFTIa72HYjZHeWcPNdF6TLX3/w/qnUWYH489rq9uaT0xSPDBTrlBM5gWii9/27W7 g3653qh8deo16SmyGGzsEto93ENgMbQIE/iKtBlYsLYx1hu7FJooxrkTqq2YvLu6yb1aEVJgqxel I0OHg22YaXupbeJ4hEEQ9PythD+LEUhQpzwUNO55rrxEQSZHZ+85DYOXOjdNRSPHwunt+SDFLilI VGtc5ShUsNnBg8UursirddkYSSjQPzvr3XILK3iKv+VN0wBwwkVsiuT8xH7MQYzXgDjfquO2tgxB hiI2Lzz3RghHxL+GwF5lBajtnlM2rWNRvb6Q8xhsM/KubBXc92aGLMoWzPbNuQ5u0g8/q7wUfjxV cYcsNQTW9igzmcebD1CvCaDADA3+M0qTDPDGY1rlCcYZ6NiRfNrsIQzta1NA1mAkDVpbMRB7/JIp Dl7Is3UcT7XDWpQeua3XN8o1b6VoUjKp0jJivqESOZgtOoL0Z7dEeNlGrTG6ggeDOFvGRgjS+xxc V4eHEs+y5Wqb49gjZBi4VxLX3P3EX2/W5YZxjUP2619hv3o+QSPNiHDuJ4074DUhKYhenclZFBhE 2vakaOJreSfLHa9igxwbCneRAWLMIItJdXo5Al6XWc/aQYfKuuR3tpjjz8iKN9Y+7pO8VCKmtwpq Y4JHdhkv8t6DX/7NhE3yefs7rAedxEJkLGQcZv+Vtoex2+wNuZRd2yBvr17JRD0b16nxgsYA7VYB 7wCP8lprj00VPu/TrMkgbkj+aziVye2wnQCdRZCS37vtkw4jfFEfTB9DKQfx94NLHqijIzVkhrU5 xhy8QV5CyDqnz3HPxvZ3VxDmjYjgLiBPrIvpH1mULRihM5SLFw68WsaseBsKClBg0DcUW4Vbr/WC qspeJaJwvt4thTiaPJE30B+bM1vsmOrK84Q8OWbQVSZjVf56u8P2chcoxvazd6RRqb1T8Nq/Qr17 oLCm+NECrqKUs1km+PRfjv1CzhXIbmQdiQEh1MmcO2KboOPc0o4zOA7LoMRm0o1HnEQQx7alQfoe zLHZjBs7uhU2c7VAKoRMjE1k75FK6W3iEHZNYDnmdqrmwMNdrEnTpM/9VnYP68yNZdjPRBhoiaHe lBiY5h2ZFwZ0DgEzElXgyWAJVlSVXipiTZtbqdFs23M3K7EhZMoLIDf6ZkloNiUYg7EYGRPJj4Vh /QW35rpBlAo0o33bTg8Nw+jCX1nso6GwRp+UjR3O45Y8NM+vxd4iWWGaDI0uF0Mx5ULfZo0PIWyk 8Z1S8a0iNeEa5Z4LBe17jYdJtLiBTTzf/kJHqlFLNgr1k5vSSvh+7TtRw+k4pg2nw3J7xOAptD9U Q81i/ML+1+4SPpPh52oBJKGzQ/jYfCvtpobSVl+dFlIga+r9mYQZL+lvlwHDZu7Kraskl6fzCXrY 24qv1i3FgWudXavf2PAeXdV/F+59TbBCTsS+iHQuH2/DLG9Mq+JKrbi08GY0EuKa+WSQjWZsHETJ KaqyjOcoxEr8OeibN5uhrf2pNu4PWtKbPLU8iVKuK6dNLqLzciHwADviFfKl6hErtHFz3fVBrP/q 27F6JEuJC7CqgEX3mZ877opUcFH5TU8ZeKDi+wqJZ3jMfE+5pETJuftRCZBKQa36zbUWhP1Hf7yY RaHZB9U1alWxJLbJfXh3u25j6oJa3M3HR1XAXqRtAkGkrrlt1WAaSwOfX9sQJAUKmNVLUfs6FIgU ZIQft5S3r8kMJQlXrjHwSHChl/4jZ3r7sA5/qi/nCLe8Jc9d+f2JH0y9ogr9lR4Z+W4zePc0MEzE JJLhtmNS675BIoXTLifZymU13cS6341GOFLPAnIXUU2jALIZCTeiy0/AIoa4bJYLNxjq53d83MMy ut8xsNU7sbcNIYXk7uHtbqaW8r9gQme+9w3NBhjhC/W8riH3dLHUt0SKpSGdGbndhKI5QaCPbaCt JQWL1qmDz//UpQoCd7EQLKPoopZCWspgSPOYJ+nxYugOnGToZsDxJHdqcYJLWtg2qU2ayRQWIWhI jS4Nj8O/3xxWQ1ixxYIJjW85hwCpNr71xU8RDRCqKQ4Tk9qCYoPK79Cdt+aFnyo50mOBwjKc1718 cDLxcEj5ScXVpt8pebZSrF3m5HOkwmVp47V+RiI0aoHXICPyh9k7mUAMD7WByeGy9kfoEczt3LDz OLvl2IyqHNRi2ALrOOTftlKHkKjff8TE43oMiuHJXP3zYAtr2tBa3KJParusX2N1Hdr4R+F+Hr18 r+jm2owDTcCAB8pPIWzxIhjvM4wpP1MWRMQTqr7ERqotFNXbOvycdUsQX7a9alNrbYMa0O1GZt7c 1QKsVLMOC5+3DpG9ILKDZTYh9VPNtovEZ4BKR9TcJHmaSrjOfOFgCQCQdoDhXKe0IM4rhLTdAiNk Arr/3zyeuHKxq9zpCJ96YZ5p40MPGhFW34rYMdovGy0rKBIINuyvX4NGVLiQ4v/Y3SHDhZQHaJiU xcJ2Bfpy1n98aW5AyOUBvmjqwNNiezDnEEdQwHmaRie2JW0fFO8yXQUQs/vmNjNcWtHeOFeZDQxK IvZsQbghElZfX2z4/6v7spI8Ey16Pau70Ss7g4J2vC5rbcr6wwMrPdo/I1XcW0b+kagRyeYtiXtS 4vLZIzzGa+g2AD4dZLyz4Oggg2+UzkY4bspPFS/qFKP/Wes/dpp7Xo0UncBgafFDbPxWs7Pfd0wN n7U3qHCif7YHsYeP9bZiCfiF4pHIstVmmk766ETwWxEzObkZmynbTjctmVqdtjYt5OBIRng1BnuA 73RXG4Z55Jnqx2hCVCYx+RBJi/aLq9ZO8mNAoTijkqydKfheh2mpUd4Yo5NYePfEN7l/QmkjGSsP a665dANGMxM0/VKjoFVmgAt9AqX6KcZU2ur12rONIiecitVRCwiBBc+05oMw1r0ruaIa3K5//WY+ JUXwCYpu60q45wbJ/Q2MeO+NUkMoKdjhFCqAIeYly0dlkuMYnZ0xQ5QGYiCCJwhByYDKACL/F/5/ goqSKUUd6WF6ltLi2HhhSlH178E2joRu9/ohbO5Vv+jmDJlg+VYUnT7mpXpQo5mmuigkMLhpMSbg D4RlcuBqCEXdiU2G1AVQEz9RyBt/LMCWNflqObdzFARThtYcm9EGKzPdhpe0QioEhlPpwLWzXAV6 OM6U2NisPjjk/bKAkMI6c2pwCvik+A2HMWKBmj/p+Vvm57WMfABWEYrKJUBS1tCvEL1ZybC+TO1t BTmbD6u96HWCBPjPbrhPQ9JGwDbrbE0cUfeCAPn/0REykfPHqQ70G5fRKwTc0FBdqO7f5ttLu8rS 4+bl9j7Xfi+9qC1upNvvRE0+xs8xkpT1TSgwiB3jyse9SuSxUc36A0j8ggOijYtDccvurQ0ph42h nJu6Cfl4E6hQ7bTbPbZhWus+Sg7gGq7NGs4fA9F7kim78QMBaJhcPi/mH5uka++4x+ms4hJKMYOA jYDsbe7aBeRHywSzk+dljzgq9al3PYr0LTHxEwok3VRMsdTNxNdeTgqaO/vZvhZjQ9cOTiMlwZwL JtlhcBFu4CjpvqfxL1mSx2ibQvNvKK+nFM4zuZ2NLv8eys5zklKZ/KgsawVLsIcwh9qm1LIwyvQn hZfuHKbsPDS4LMQhmiY8RZvizwfH/tRZTgCruC2tChH3Q3EgKxPMFAWVad2YY7W/w20Wo+YNZsWd 8ql2fZzIMg8ilEyXH1eluGvgvWErvJFzqh+xEMUkuq+Wv7eQXD1qTHcuL/PM1DP8vTjTK65YItri AZq6F5Sh4fxDSF3fvH2ujFXw0hlQ9l1vDUIiaQ6BPfQtX2jqi2F/sFAJxQMTcTBSj7IuYGQHMN6N yvl3DezwJ41NdHTD3FJ9cGHWU362k12Umo1zZ9eQ9Ax2R0OxOMXulBy/KgjlZxzgY9SMKWuUH/Ws lfq/iTmPWuDpx2WSQHV3YQKY6XIZZYlZMRpUBwY8lD6NSmQMmch0kQfzg+KmWS+e+V1QO5FNPMtn XfWicxjZjgHR7RalVCtOp0lFHF8wOVRTQOxHp3vBTpJM+Co+OgtKjHT2JQZZyGlaV65EhxwmV5Qx qFBYvYsma6vUT3sjEr3pGwB/3vVesTfs+PNftDWv3GOXqqhysVgMisGD6kDqCIL/asiO/fkZq1Ve ketsfAV7hyf5D5OuBLhDXo77kDzctUYnqfFQmt8o1QSftcl9b2VBhIpctVr2UmkPKNTYDmNWaYIU oJppAvbgBDyc0YUtJ3LN/YXE3RYJtvlSgAd9bv9HhjXHK98tNf0nZFWbKVBgGAx0KAMBS5hf22jk MKFZtGE0rPA3DfsKK4H/S+GVKL6Ttlgvojch1y0eSN2nyydyq8VVLpcP700tVS1vRYLdRu4DbOCb iv0KdavVdnqDR0gqI5c5aF1hLd5bG0LcJoFvk1bdqMdxiqzYJ+XQiPRfbMf/3oAYvPSgFJ3H4wFg xqKlsvCBK1Q1hKlaZHUr2MB3q5rnUuYN4PqwJprbPs8OQq4uK8KPeDdCwaDOFSzIFr5jeSWg5f0I f7yaB2+SJ/TnFvVfD1xv3igb1UDPyCi8ermKu0y5BYtQ4VVE+WK+oGIPEWtVL3vPWqY3e+gK3CSJ Jq9JwW238ILfDt+a1EMmrzRZ5h6WNdTICsEgczqX7qhShyfdOj0/wiTT81dzERoihPxmYirkvTr8 7jDDj/q1hHcAmoQkRcaq4OBxt/FSzRUVEeGhp1Utfnj6IXeDIDaEA6BrUkExmPV5shQrse8V3lnT aRvg1tKGqFdme/GaWIibGLF2hZ1ccfufkTbu5Fac+eUMMX5bzUqidSkMqbbFF4Nkvt/9ERu5lWe9 l56vY+hXIqmiF1I/24II/wi61PIU8YHA/cDP9g6rEuloztOBiXzw/C4/zRefQiV1MPAHpBz7apNB s4xsF+kJDxHjZn/yM/Or7j5+HmGGG0RgCtAlk/7+rnJWaG1t1HmUDjJ5Tc6+aqqGjif8BqqbWi3/ HOP0+lWIC3Cp2LdjNNULo6yxgWED3lrqcSrENh2RAIxlAkayPi/+7QVpxUhDUSbWDPM09lD1T2CF +LyScMgqKqwOwzh5lwHSkNk/BMjFvs+S7pIfBBY49pRYwta6Or+sZziVls1GHf3WqXZrzowfhHkL 1sDY4/qgFQExNPbtjhk1k2yDPDa7lD5A7Ri59n+bL+71V7j0BvvC6D+NoPrrEHcbjTOVfms/A98X ryGIBCvBcOs8oqllj3ceKy8VBwJsdZ1qgViEaShcUGe3S4/zS8UqvZ5vMtirr6CT3lLaRhi8PhPH bmjaHNiUQdgbismNe3PcOHg6S8F2ImnbMyWyVL+zlDdzC84s/t+/aCrf9z3pFXRhlviKgp7rrN+z AjT0GE/KZu0Bf5eC2y2JPUcgrn5JIg3UuiA8HvdMpBRprirafWUvuG6DIkYgAbg7GE1iSxNYTo0B 51OsRBgPn/YWQVEGxZHgsx/vWX2Ucwt5UULdcsKVYEOBKIJ5CDq0U0NdQId8f4Rzpiohr+f//NTp Kk6SmHJ24XofSA8/BE+6+VCVmsTC2qOOsPiDAk6g4zCj0H7gR2cflSTUnxmWZEt0lVp2by2EiTZA i1mAIl+8afIJJwB2N6z6rA8BiSkIjcDDukm5HzBLqHMd0DgQnJpgDAAGqW0Nnbo4a9qXvdMfLcq4 WPEjGPOctojsihLlOq7n5M52IZq1gLPTcaqEobsmMM1uG48Et30+ZzDEOKqIYEQk/pYS88J43d+t pLAU0oiCFBpqVyNISkhtGyLbn76Lb4L2ZzSblaqRZ9Lb29o/N72aht3IO1ccSl6LUWh4hxQtzjfR 458q93zBaE60w3vef9ucv0dlEd2KtK40QZWx3GIYRUWurB6EC3SXDRD9Q+2rizuNYzbGZtoSLm6x 7tyHDJTi8G7Se7nJrFK6sHdCGx8DkhPw6d+SgpIOPZ6CgyaIn8GhX3ji0ZrxhxRbzDxZ4g7iUJ+z 6oIij6qSJOuF/5TCp5J60QYRbITzPpy0XjxiVptMy7/MOSuxc/btldIRzQpYpV+UQjECjPlgpvvC C6PyVq4TcDLj0qaoI4S0nEIds53Uiuq+V0iTlx01C3hk6ZIhp4M68TJtFueJrogpOe1F0r9WZczj CVqn0B0Dh8G368f8wcmLFSHffSjuG20QNMwJDr3URQkLH43taiT5ZG6AYkG40H/q/KIX0vdY+F2e I8beJozPU6fPV1xCMpbxXajmrVi/LOxs+pLx7jFJydIpA7s9pQT7sscbeV1FxpMtS9ggvM6lvVL5 D2tTn6lIgNyD4Ql6Gieg4SATtjvdxFqfzLfpczhvkRu4/Oo9jmGJ40dhEyCJN7JJHhG6UgfBY4pR vVxPW+0jdrWbeb6UaiBSy0omnghILYjaIvpo4AmaIrgx/CumyNFrft6tERcCMLZK3+AJ8NcrRDu4 Sw5MiCF9X/wOPm4gvtWXkd/RJHROAotcDj1pC4NJo8hHu29jo7+2WZ4DmzpxmJVZoZoFdFs2zUMy vk/O3JkKm/ltKNS2H0iVOfSTtSiN33Grra/COJr6aONS7+mgKaLIeeXVDWn7u0sL2jA6MNaZEKhp +O6iwXJCHNeViuaFcId7swP+21hCf3pNRoonA9+nGSLiwLAyHCZHwqoIUcVuj6LsAuQRJHSvQYCY Oq+REMABLCDHFCYGdpyc0AMZkSZWnXVS9uqJMxUQbXMLhQgQUA4gjGxIigPxLWchXixA66VZbx6g 585Vme0I7rHZwk9CPBiQJpZ33snqN5RUipyeJRaynyMGSW49ketJfrazxNnrqDlWqmHA3cPoNqJd IHvjgVZeAvNEJocBHfclkLv8NKER20wr4OmB2Hm3ZaI8MhnIL+MOoFYCFozpzrfgmmgOnlm6y1Cp Z7By3nR9U+A4MBTEQMY6JcmMq20QlcR7Cerw0bu+uqKBkp3WdOJ2JUAz7TG5dAQEQ9zn1k3I8X7J 4JI8hRoDwZcom/1KO52C6C/nllEHFKCX72H3vUHFW2tXLkXn0/Mmg5zZVcrkxzYkl4GOEudNgkqB GeaaCQkigTakdgQ+ZH2AYOazHKHK7CjAED/vPxxma2aFXE/9Affh9PiutHkEwwHVkFmGUe8UUKiV vxcJITAYWYfUftqa4JBL3yNnS6wa026O3T7Bih6GNUtA8B34ncY0eoFpnK3Z3Mqf4T+zhcwInQRN KLH/LtC9vBU4VH2r47Ggn7tZCsmxwm7+9wM/jMSJ3XhaFH1fdmSyVYbvia5GwKzl43x3ja9v85u0 GFI26Gdap7lry8YyhgkvfcksNPQtXyuedHk2/z/ltPP4O4mbYGD5gZI2sKrWB0Pgd1mLJ9F69rF5 maqhMuw+uLZbC+FeRhNhat4L9clmJXncjLUAr8l+HKtNMlOHzrpNMP7zPPxMF92gp61c39NBCy/w 6owRq8nUBJpz3EtBEjoTMLxm8VL48/3VtHOUF/2rg3+uH1J46+DEQ1nje9W0scmm6mStN92kGgrx Pduj54H5nr/x4Yyscrz39x5QVAqOctmRlgSCnuZqfkckI3rP42SChq/NhMhd1Xx3lHxvwOPTjBxF kgXRLmvH75T1IBFiV98Pf0spIk/RGH5u4lZZXCd2iErgwjJOXXyxApnEvCG7MWDscAG+PYvffitZ Yuv1cKk4DLF6ejEcwUAiYkcI0d4ga+0yWnTOmB316bjjWaT6asjfGir+sHYYh1LifzZdqvIWZHlx 0YWiCLApmp9AdjERnxDAiFC+WmGgEY5GwTUMjaSklUuB79Y5RIs8BL+Eyfo3flD1kGuqsENxcGNk picjzbD4lJvnXx5JspquL+moe6lhJrvL99bIZ/CFXLLLbToGcc6w+rnh1ST/fn1TNz8BdrQWNeLU VmtFN9eLoKHPDE5c5d9CHE+Esg62MkrLY4DxDpvdXqdYt5hLwGfqrk4Uy6B0LuyJEBxBPPLYwvRh V0vVwgN09dRUAUzA8cU00QmJhBmIqOvPFUhicx8xtXDrO9zKJOWcJ1BHqNNk0Y1H66DHz++R//ht 8TKSor26W2fc6ReLs22K3Zb86CsA/6L28tLD85mK6EprVCoeqODY7s7u6SQtpS5tQntIN9uqK4mx V+tV8UbSG2RpGXPAJfubKSiUPI8ftIuV6kZxi4DJrw2Oj8umLW3u8j85KCEHcEsCWMmgjYVXwt2r UAD5p4jAb/uGtiWjxvoGVlMgbdUFj0/HA+h+Pasz0kHZFMMc5+/qIiITN132y4DyubUvSeeGIr8v rHYt1Kv722QwgTkaPZmbYR/gzjAaZG1QjPrNey8OZvJlDwv4pgNFul73Hn/HW/s8kwpV1Eb7R397 NyZ264ckf6jjUxZaUap6++HAC98dUBOmHP/pjzNakrFzDX3KGxhFjBR88OggXO4B1MF3RLDJcorP 2Wu+SYalNSA1F/R7O8b551BSxUvk3LvNu19m4CTRWLmHxl9jqTx9LtisAOK0fUU5Zad83uO4D0lY dxix2EkG+cJhmPYbaw1h+aEqPUGd2abesPCHPK2P138h6WndnmaYE612gyyRVoSNTm1P42EgXAfK CSb47feIfXaAdwmHvmtYHMxbEcMQ4V0x22Uvl0Wo365fKebfMSHklKZi3RLUfT8P5MYM1wHV+j+d mh2uDC2Y08tFtPzpAs4yk9hRRlVDhLsob9yb3jDhAi7wIB5DZp5snz2UqaTpdu6HRO2ndwcCBj0f iR6Fd34Fuz6cnSaBHIn4JQLnIDVxdhe0/Zs7Ojsb2upqTvTOxvecltBT+DwoFVor0VOzYlTo4Uas 8VbMwLJr1zqW8ZxNDL0f9uTYZVV8nrGpwBn7aFld7M2MyJHY0KijE8yTZHlFsGo3KUzH8uERIdxh CouWktkL+75mDSMD6FIwl/T6f0Q5TngfQ7UnkpRny2jMkmNpx9sPbxed9zH2NkHdaz2XgE1lqhRa shz7y83Xp9gmY3O0dSPSgy4JC1q9ldWLUkYMF/IexbuOYkSjLFSJkBeY2TIJFRLE6AP1lXJDAroO kcY4U1c8tmqwFGbirj860eHHc1nzGCgLSTxli0NYu44G5rFJFZ4tb5F2DIWaJzkOWCNVEOYdgbi4 Ouq66ZXVlv1DkImA+AWsrAjfBKbxiL8IXDQ89H3YASBLGDlbbcrQhHetSHXzMYKcGtKTDRVaClUY Vzn0Nx1L5UlxY1ulfZ6YaMAp3WBeTGE41J2G/eJRaG0dlawoqOu3ZW/KGUgBSU/pBgo+p2FCkGaf AOrb4hU1asoZSojsGv/LA5FsRQGHAQv4KgEg/i2Lr2dAcsiKYmpMFQvFI5ElTXv457IgHZuaRx2q wV/wngxmevPaNyP8eykxWIutSFRKV/wpPQJ0yOoamhZrDj/B/1vuAVCQkUpkNzfbn1QJlB+y7GF/ Ym1zk6BALhW9BqhZKlyW2TnoMoTBQhEsIMiUU2Z1tE8Hn5OZdtnbPLYgUUYhLYsmezSuOOVQ8DMb YsxBBQm26PhIwPD6qqJcLyYk1H0NGZbalBHmok1dDvmjgi29w4s73QD4De/7PbfCtFNn0gUL24jK FAhfXl6Glh36xd6oJ3EZEqalZFvNxF9HLcPgIMBCrSmWXD8ykstoSs/oiswDNVQNa6VVjcyyDtf6 9H4LHQAqRQWT0jItKWqCbV/L7tzllJxYocuWLOxoCN/rrSpegdwtTMl6eFzE8OtE/U6OMqjC7N1x Aml2io0r0eNGMv8ZtIsp+vp+4KBampmKBA9h3OqBbWjCN772p10IaOi3td+rZp39Bd/8IuGc1yAi LBJkrlkOSghtL+0eXTlfS6Y2k00nMozO7CnGDios31HgOLvNe696zLyXLl+8yti1WdXaS37ntgGn +Az6ZUMa3La6RMST9MLClA/Wg+lx1pfou0T4PlDFXIwTF8oSoiEMaE2SKEOym5Gz/6PAtDKpXPy7 llknszk8ZFy25Cr948Md9hKEBojhQPuKDvePBgeAInyJJZO+ZCihgz5SHCbZRqyk+dqPhAnutm3b 3H4GA6RLR2EWquEC2n4cxF1GxFBn8IlMjyx5kFTwC3umWm8R5uLBWkg35RIMEVBzZGIsGTA6sXg9 +zyJ2w8/MBELfYWJw0+MZV6tBNnAPthRcpbCD/D3JJgLHxukmPs3CqHnc+Axhf2sAfR0KmOZpGvR KnzJTCqFeiE0EqajqzcxLziZmgx5qvTiEp1un2cg+9eNliQXw+g7X2kL12tGDx/n1DGC7J9RXfDX BkoF529bBbQytVGL9SDMzTQMhYpspGgOsEajkDcd/MECtPBVPz3/XH+Y3qAzjS61hS3f6sIvJWib 2sCuVyCMuxw6sGDnk1lbT4VPhWqfw/prpNk0ZMZpvg6PNJ4gP/d4y9L/lbder5IY8hXs+bP1EQAl JyWC6yybZtRvpX0XAHgl2ESTBe5JDyJ3f30ZubBKsvyjmL7IXoH/2Y9usas1QMjFl5nPyolBxoBY vlHPihnSZba4EE/mEVFnZ1pNRmvE/GdXEeWouoHqPDTF4H9trxCQH78yWzHREAzYwNdsSlRMKzGd SzXgdK9K0HmklKdipnZnAD/fj0U32io6aipYxZEOrt2eWKnWQwEHnoBY8gIuqLbTENaFXWMNEYVD u6ITc46hapn5BBBJ/wTquYP1oz+lMaeQjRgYbdN9Ih9LhE7Z8dnuEZ7LElkJRHcUYQgtGXk/qq4S XoLviD2ZeM8tHlg2E3D6nSLKna77cyAe0JYVT3MX6IPagvMHkr57qJZv5KndPAtPDDzA+vZI8/EX Ta0xFa8GTvrT48+NKDxfStm4bUrpllaAmA+6LdcB9/L2K5zDpQxh5ohaNCcRJ6YxdAL49bhzzDtE VlnYimCcfLVAnZ0xeaoOlIluFLaI7jnMCHctSe1sy6GRGXklbaXZX2eSw78XhSgZWss19+LkgezD jCiBBBwXfpMGCs/30+bhzLsc3ayiw9TEFvQNV1j2z0cSCKU1p/1wdmlI7iA7EQW7HZaT7B77VmK1 8IxOveFT1jgUHZmQxPibaF47+S5hBK5SvO1fOMEfQ2zdRvs661XhCFUACcvjp/ayaTEQVSA4Q7j5 8OL/xZ4FEMirwWTEWJ1166XaXsgoh0Um0lVsYpXkLALIWMIcq8oKT1dQ7LmbstnTgjP59+wqj+XR w6/7+wfsTedBuS5Wer0d5vaG9qiObNsI29L4XWWGUY7nTEwJIHeNgH6QEJKYQXK7F5mveBW7zsxS 531nmZ1T5iJ661dhro9YwHyxjfbbSQQVionYfKxlDiueQ9QtK/kjBilLunzXlVt4uYbf6TaLPE7J pid2k9/q00KTl/g3AnaTRJx4HC7SnxRpjGp0jqGVb+9lynEJWUBsYdxTTdeQ3BIG7nalm8ly/w0E 2rHX+wOnUltmi+FeDJ55G1S4veQultdqb4szy7+x7nruP2gXj0K9arsBZKCcS+bMyOno9piZJuMC tbkBt45Ozd5TbktQTcwkQg31bq4f36qLq7lezrMbmpgk82swZBq4Qpkv58ouiR3eHDpfXc8sg37A 0WR3qHOX25ZdkAwNgdXsOcppnAE8bfSVmrVfXrtulwgSKMGmw4sB9sbhvd1KcOlW69xg0+HDYAa7 J7qHs9BO82/x50tciXhU82z1QZf7PJiHwzAm/AEkL8nI0G91U90oGWnGTcistYiP5agZO7gs/Ax6 Vm9zDrG88JQ3/scLD+8VwYZevij93TOd5blC3sX5hx3F9mn6shzByAXc9bfCPUia3bg25HmdsVZQ l6vo13bDP6H0olJDzb4/sqNHR+TlCc5iLQjXRkB5r2HYXdsUI1jpSQu8DFOATs/JlgLNylx/iXuI WwjFuw70ypluvzFVM3iNDikIdRqW41dNlME5x2GbicTKJGUjnP558qgTLM/KRnx01641o6Cwo9y5 hOCkAV7jUw1QU6ckaEvvL1KvVagvWDVTzpE8I1uamK+KC69+FPnb+8XfZ+zOXMGG0SW0p6gc/Jop 1vlq5wl15Va1ua3qkMUTacWWMB9Q4ttSrauxVGsfCFmlp0O9+nYMejHcwiw3iOsakJ4YHlgysOy+ OzTkP93vuoPfMYJVAU9q46EKA9+sOGmCWpXEdLwGLmkSUaQduDdMWawf/JDDX4AoT3li/VNlkHJ+ LOuWCr+BJMxg1TzPsHzjSue5iewiuhu34R7YWhNGIIZh/eNPrk6X2JHHhzLZpKIm6VgMjqRscL04 vVt3r41IM+alDJn2z3PMSEGDFrnY6m0iws+cZXlxIlJh77TjteHtL/IZ6diVnT3jhsLMaz2LhDUa 6TLil8fIzCjs25NTejQRyknQgSaO3vmqJflNtV/gg51ApteEtvdtu8tQ+Jny0Tqr5TiaKsAcw8z6 6M6lx+FTW/jA0ePLkU9AI5BkKQXb1R3AEsY+61PFoA5teIZpm0MJU7MHdTjzWVakEl159tkiqp1x FQwn1FTCO1pRrdEkd621/AAOFS+6NpNIs02JvzgtqItTPYANuLXb/BFWKJvJjFwgker+tZrfakSP gmhdlAu2xP5j+i1g2dRgQ9BU6u8dr7l8lp7u9rMWke62/6YCH2nUdZ75E94Z0Go0mgKhz/PO9dv2 YsotdezAYJ7Fh/VNQogq7JrwVhLbAkgKRhvEsvDMdtyOhytn6WhqKKTNdGPhVflMlDry5ko4zP15 rUfS3KLkXz6x4pzyMsBmaHUIF0QMR1y2EK1dSIDMNvAfUtsLp0cBFGz76FyB1lvh2SbhMyOojh5u cDZthGn+gMpa591oSFJqcsnULbAuxP5plOMqxwbnd0r/6BJZdmvCHUGbS3935o3FNea6gArosmLb iu+2Hi9LD9iuADM5FNK+U/hxuxUFIplv3vm7QHpV98eQfcsi6e0T6ofrJY5+GQL3MVHjkMxDBaVy vdUQ/O+x84BD5YIgKSq/rChr0mPSsH36GmS4tyxaePG8zaQez4g6OdOxqbuIpaMC1fRv/yuLpYrO xeMgEBflP1DUGNwvy3H+pUDRjqn8nnOwSluEyQd4QH6pCKF9NWTckbpsNErdoWu6hbvp1TRpNtfd ofMZp6dsN7CuwFSI+UKmPk71IyGsN9/Pr30x9Pp6N9ECLlUCV2mIksTvAmKdbbywCKTYGDl0GNwY ZAhSBnJ7DDlUhQfBaeW7u7gRei4pPzfc7yCrHbNkgdhlVYyGs2bnPFgK8QdzaIqMQzsSFLgNFUGo 99Lqulkm208B/s40oRTFbhD9eBEQyz59aMKcDZpCWUBFKtZVWHKhdWiJgqXNsYv+ejUm2fsFovGP G3G8EBCJGSYv5XRFwr2jTBIHo6uSAJpakwC+3/3Q8Sour+SayYE6wB8SKoI0MeCdszuhX309EW2F CIhFEP84iLly8ORls9OO1Gj0bG/h94bjOELF9csy43jU+zmfipj30CH30f7GotX+NYyw0GtXYDBg Z8IzRcKGE0Euai4SHIqXEPVCGrNRfh8KBcrYKCGav5ewMKZhAwcc99sdCQn/Emf1rKu2ZIwWzMrx cM2PUn7PvElLBCqNBS9c+9xGE8j32EuyMlJyfFrvxsBf+X0iInFoarHNOYlUdhzIbMrRiYjK/zTV i0Q7ONuCr4umtoH0qSrnsAHp9OYHrgPLAvbqyrFtOyJIgWz0k3V7pAOiiQ+HTHX0la5rp8unUB6A UcFi3RL/MqrL+9Qky7JUJlrhTDdtEZthU4ycK/bOZbVAkPQ8EeFOKwyAKTdlv5f64jn9GLjzDlg7 qt0fhCyNOxM5CPQX849qPkAMvmEcl2r+QmMMMRhfMJjwf6kaPLwxLoNO0NmCvmVm7UXV6SZvoZhF 0F9zb5a17HOqDPGH0jHvl5hOvTvr66083hGDsNro3rwy1JhbbkYRrzcE2Rjc2/kFC+6p+AImcKMn 3HS/xxCMeLEEgyCsNKySomsZFsT5rRnGvBKsbxq2BTdU9Kcsz20zEcnoA21uZBH6evqKCbB/fv8U vSRVW1qR5scygLsWTbTkNk+bHO1LVbgz5M5xh0zTXQqNylugXCMB+7qRVaYPZIuVjdsFD8r975mz jguR8+T4PitmpRbc5lOQJ3wqEd5eslV9PvtbR+EVCUYegiEBBUCRbH2YLjOWAfemz5QL0pLxZbrN SjSC4/XNxQZvQWtCntTXHraB4zOw9dWv6rNda4g7sqaf3xw/HsdT084IV7VHD7yrzfs7STC99Mdi QuhZOA25NHypCUhvzwg3rqoVHPqZmeCeiA+CqQztIQNetZ/g/fn5BJJOmgyByCrVyEIdYMd87shC 2LM6S0ZNdcJBYjNRZIhgU7ueot0trDxAmUzsPXdw1iic+swuXphPRxGzdgcgR5L4B8MfucCI8778 1imXfznV+9iy1iuatCThP5ARjI/OdUOISuPUy7CUyWJcX16UxATSky3EP8xS00zEf6dTHdpGaMXd n7RlstrMOXsbt8hrkT5wrxgMbGfSEytRaa8OSjXSA96WSdu7ikJcq9uMPLTzmC6rrVzsNfIeczmq QlcicAMi6DVu6hlQ0eDaTp5k2F+uRddsnlb1MbqxnpNYs9R84i7j8PM19jAfkFj5FHchs63OCXD4 V8s1l4QwsD1xXcnwUyxBu4GLpFOvRCto0VOhD7TrnvkLQz7Ue2i4MQDMxThf4Htqp/9azDnFH4SC jq9Ws0hpmCecUNTg05VYVJxOwZFjAOzy+80ikh6CK6EmFhts3Zf5aDR9ab15a0lsltKD/4FOzIiN CFQR3RHcuI7HiytDMG39C6A3N3T2bcrYAMOMgCqKNSdrlBDbQcMU/sqsDOAfoOyp/HdWEDMA0z6q SQJFyUb2X2gpkBksQGCgHkR7QqqCfYrRKiy5UwK6k3UBt7IqNFPSYg81t88Hgda1rDVM2wbwnCH7 EyNFpxD6B45D61ZZIpF0aMLGH8lhxE9U9s3rNNYKsrJsU9IhST2tjlspwRR/ENGjH4CtY0CCOn2h dTOBwXiGb8XUjn1qEKaQtCcZZSStkQjkR1nu9yCITG1iVjzYFzfaH+UhSFJGpqP1Q93SRHcQBK+2 /dHFmZ0oeZF85I/8Mf7FDmhXWkGtHvZug7rhi32BAdXkytWdQk60xTot1MusTKbyMfMnjNY7oYQY lTXqQkj0nBz5w6BPoueYvvASJvpuKTm1J+LfNMF7Nr1iqoq3lU9UP5ybKDNIETLGY2WJfQfCPLad VtgK3N0XFtmkbsaBhZmsSYuNRnYrr0MW1Y8tJ+KIosXjCh6WkNSsBdb71xBJZ39da1HSft4pHrHP ERg/gnMk7vabKoBEdTl0DCwhUuvFBCWcXeSGYuBtJt/G8VlYskzK/GXbtiplccSsWdxzsDPoTH+M xQqpSbhDGdW4UBDlVHh90BcQt8E7vJ73PX5xzZkKYDp5/sAVxYKOZZfnxbSWnSJv0LBp++C7fEx+ LBpkxEsRgsZNJDyzP/Xfb8bOCdBlghxnqrwa/eha6UbW3BwuYAT+ZS3wepm8tyMrfMKB47XB7Eoy zALe6KkuQ0cMjMOGZAgh3lwWBgP7uYGE0Oi5LTcAFInmSB1383BdnV/i7+AxFvQA68sBEsUBuiMu z+e54O9UbsnjvTkykLByuWlwEu6A0uUeeqa3ZY3g4lPJyKBmIbeU+OatM4DFGrwY+94IjrQ7tvKi 2Nbb+hYzmMElBvSN/zoXWj3Rn5iwV/ElgGG3aPmOkdn3hhmrLckNYCAPyKoHs1Bdm27N6GoxqTEh F3xnsxyXt6ee25fJCVhzm2OOnTE1l93Lp1aVXjQNWVmerNGqs3NiRJpVE2GEmaZ2Vksb8L5Swz8a kBQ6IsVOpaqSQe9kXwfmQkPfKuQiGw0j0b6mMrDWLYdU/D6VIMUZBwSsN5uUqGjpaqD5Az00isdG Xb/LvErpAJs6wR9zEpSCy7pLy/r3iaiS4SuGW5fYGQ+49HcGwZtqVu6kRrN/n7CGpGL4qik2T/hC 1cFJ/A8nivc3eKo18X/TbiIXaYiyOXc6MkP/EqjEJjHdTwI8N7/pzA5HPZlxODfrjdpvgX2XNGZ6 QcnKz3rGmPHekKesdPV3ojIehLsk6wYQCBt/8eFV/sXd+CTzxRq2Hre260SX7rQ0yN+hrUegB/LW I5E5uXxyyXDObmovWzbyqCQttrEDiyjBkKMI8xUPgu2N/6VBmeYVKPbx6hP+HTXRuNYE2j+JZT9P bNLINJG77xfYSRGfYbQKd9aseLnfl5dp8DQmmY3gJTl7cDeXA/Eaa9EtAcdyS89Efe652I1/OGXQ bLUH7n11OvEIGUawPrO2ATF1IV+VZb+Im2jnu3ZjDSKcUVqDble+WuihBv1eoXCRaGs+bhw+PhVq 8wvGRZLORq129wspEcz/KgJhRY28+4xObsdexg4zI7rus1tbiYPkVSNX0NWnrhA3witAl0hRgqwO gFFYPZ90cieoFKyrKqhAN/RuubrSpPR/jB5pXHjAGbKTRd502ufggU3WmUCLgePQDFshvB6//Loy L4sFWrB3w8ceODEpAPqJWtjgHAG9Qi6efXXpGO47SMkGECqOcS7DH+yXlZNIsIOC9Y3aZp4mYKsF LAyv4CZFe2vck/maC/pVApals15Ak6ilcbOUu8HstypLm7dKAKsaDWMVOtqWFIGyFlRa+y+fXdRI DIuJJ5QoN6/bV57QMvH+hqbJsuejy3PIdj6vMiAHCQmwK5w24tvZhZpLJYblcou7YUlgAz4fjE/X 8evyRUY5NwyDU7D79GdeqFmcoAhjJBYDDtFbeefQloplPoDI8FPE7Vb/tK35uEnou2JXiYUF9+T9 fV7NzKCdNR6VuBsZWlux7bfTnyZ5HlJyGTqWDFxO6lmNAW9Pv6BgQy2mDBVyOFyif+Pq8FccSvAk kQClGJGdNDnfwYQqoYHVt5anzr/pRmkK4LqzwUDgrKVkMYB1QpXXYaVb7uqxcyX8ff/iXJHI1KXD qkCLkmg2nF047lNrkYzqQ+m5iY6exrKZJBWGaZTfVCCFW7AYvlLmsp9BJjopYwzFLykwnWOinMUV nGksRIEm1vKLqdq9hKg0GB3FE4HCuQu5lQJOyPdw6FOp0pQjMlmbjgLK/70e7UwMwwmWSk/4Hwwx zQ8rGpUgiqHC869lNxw6JopzgkPCq1oEllNZ+KSRLBwTCMmZ6mk2re2xF3TDL2dWaFfisbATFyh9 hZnWj/WmRu4P+xn51eAG7xgaguScn1Wod14ZJdvnf6UkyU4EvuqUTAEM4T6LjZl86uJODzKRYxUb nrNSgh7d31dlGY0F15o8igCvq72UEXzmdHT17OsGzz6bgSDBlU9iy+ZxHSDx0gyRiJj6M0P/gVC6 79otvrmvcs/jofAiHd+73fdRF2tT7PIoHiNRLNv0/4OuRlDqMCCkj+ELUOU/ZpqC7/bFWc6v4UoQ HvUp5JtSBT+GEtmsavozk6ME2hFYRITzUwrQkLeeXemhbkwFOytNnWLlRl46sw3TKck0KF7cSlSA f2kuhgB99oRiqTQBshq6pvQ06pUsnCvr1LTROQrTOnRJCw9e9EtM47TTbmep2IDho6wLCBbNwmud 3NnRzqsBhtWcsanPbmgvAjeo/pQBaQ4/iGer341Hqy+sk42dT/HHYN6qoIL/1MUpIxlQFq0b42X3 d00slBTcReETR7VwcmF2M3xZg8WAmLRMNx8DJx0QLmU7PIZ5TxlZIuRcb5r+ZzEbHMSVG7dRv/ET jxd787nlm9R1OXqSmTpY5DvJ7D7CpNT78B2buAGNXf19Yho0X2djI+/HcUZqydKGh4I7BMFSR2Y2 j+hzfbFtCQjPPuX3XCCGwGmNDXnk1E+hOyoK2NhY01EOKVH2ohVyFr8TKviLFhnKXrwCzBVKjExk Fn5+rdObyzDiygyb0Xti8HmDwZCdNwl8K4SoVmsXZnlGQSkrsNBAUNEiqo7xN9mIw0Nrnmz59Pg1 2kzE+Q4xMUNaveqpqexNNRjP75JDqY1/sYcU2ELj1oWoYALzp67GqlbpDGVUOUQgaKG9q5I52zTQ bM13YOMWVyDy7xjQ7qyO/IEVx52E1w6YaU97HogLXeiON4CvZsVFl5i00GznGCFCrzEKQaC6reJJ p8PQBURcR2g8vW1P0HolZVVTx0ss00cDDMFS8IcEqQnWUeOnpgPiAVsVNN1Vnj2RotdNeUh8yCyJ pUh6o2gYfdhLiOdl9c/IC8tMVmLpV8Oi6a9qxZXgvWS/FEJr1RaflE3QSmZBepaIP+6UIr+H5gn3 IgPHf279BMKvG7QbuDYvEasCMjBjugfT/KNZR7+2YX0GJq9KPhj5l7jPp/3j5VPR0/3kr+ypTphn jQKZO9yb3yMjZHAnTpwUZ2dm2U2CZVqE7t1kCuKTAtxgesIEpRvra6WrZCXUB8g0NNvzTvkB34DO AiVrYr5V8gBiUgqHNJqZWXw6pJZx0C2rC7MavEOSG45jaY2g3KbMI8EVWeUylvRi8nbl8zPuir7T 0WeeLgCBDT0/6VfJySoZAJlir5f2TgUtTCf54zThBb826xFzuj5/nzhPtdvfyH24nmigNQYnRQjB TbOAJpw9Y13CZK9ngnHN/Jhl20C60jhjIEC1PSiEfslUtVhHy1ZjV/KGAgCGbMY9ZHBWDmHSuKED 3GXVh2GGHUhS/Pn3yjR+MqfE+qySl9R8E4ulYcsdjdLVlen+ehgyH7JK9t6dyhS7bdMkSerfTKXb 0V9Jw//YnmKAX8mFTlUBCfVKHjEMa6Q7CHARgHGQ42etQ7665zJWbRuyN3Yf1f+mTKItI/zu86tO Hd4Yn3f1whi43+Yt2mECRdiuUz34hK2bE5cpnaxMT+PUbdAAn+S9aBJQKAE9w8aQ9PhlPBPp9ttz nFBS5XPqji14FUUmf6kfJJq0R/sOxpNj0+tG++Lu4SxIDGlxzD6TOnKW64hZwGLTlS9VujV4hWYB dJNGZkmxpShSu2et7BHx5Wlu3Ux4YdYP3qi/2yVpH1q1D/2bsNjSnMwEjtGIa0p23MJVe/TJsJku ge2KuyeqoygInOH3rt0aD6uLgRmchVQs9aIhMPFuljsu7PI3DkhJXibnuzFZDmJCdMNy+xXTe+W8 K4iFgJjM42gISHJL0V9AkeJIpO6gFljyopRQFTC/rdaxYs5raWwDodIRyHQTWTzuHd2Ye2a/XNvx PDbqA8/gVe6diDdaWKjeu3OyDvHgXHYGHlU/MQwWSo7quj9Bs3sl5xqk8cXgebb9V9xwjbij/ss9 h5vgZFCUS7EXji6MvXm6/jOmdF2alAaW+HRi8gd6/iYCzqXi7bijg5Tuzrfk4Fv/Sgpt+CHiUHlj MZOvH3tx6GX216TXstt+PQT4ldSXIt6FRn1jeNw4B/C93iSN4GygPjCuWjVNpLykH2fwt5FH3BGZ ll7XJw6T1XuQciHGIuq0EbLKGndbPaVCgF7sAJ/0wnN5F8Cp2a9S4PFhxyQTacb5FhKc7TRHIdB/ UMyeid+M24k2PaAwe6HE96haMjPW+gWsjS3zvnVawPpa2oOG3i4czpdWwemipM1Q2R/bjOUBvokg PFdihAeoXZueFcYY+Q+kSxc8pbgaFV7eu/Q1rhJXGbjQfr9C7OmLaW0+tOiNKDiYJBDGkmB07Btu +EQd2l7FbisD7b45T5EsNPh1aU3qDIEEG6ob0NgOHbCIIZl8NU8mq7djIP4ZjVHUjAagM/4DWHLt KStwRZOodlmP4QGnIdIPiwWaREwcWmJ/Cd24314+KOU+UmeqXLP7YFJjtSp8KiTd+9+c/+KPqGCD Yeg8+C5CC2LEJwXog0l58bZyp8UkmRBXouQJ2NaCRczAWqkruobrl/oNS+85WvPR8hfguYZu5xBi Hcd2VOZrSTHKL/dVWxVtdX8j2SezAZBVOKW6bYdRWc8IMf4Zmn22/1fXowUQ8yWajBFpdYoGipNa h0h7q0X2JwQJAElF884AKSiP4r8S4K6k4GRWaR57FRbAGO8CBIunm0tHBG/Elxmfm8L9HI0Drv8k blpaMh6RlXdx9lC1tkwAOc394AW1yzCj2JUub7qlE9TTvJj5miH0g82PiUqExBNULByQN7HqDj4w o/cc970tgNs3estc+RYbjAulnstG4pDamlyl6DB7JFrQrLUVRfA8ZMZEC20ikSRe2mD/lSTGpwy6 CnHHHwgoH6jcEMYTu7380mCElkCWOmDB/jcXV+eOCpPW7arEUhCgvzVrjKglOmw9TPTdzDR/coJt CbfOoCWu4yIbWT4agOeexLlbQCM64sOWywJ327EBfbV7NoDAtoYOvLJt+VkA5V2ZIWbdVQ67mOOS Su5w/9mjscgv42gABYGz5U0DdYfkakoQwQQZ/vcBU1xgIJqqN+HeAHO2aBAR4CXtS9ZvlV6Yk2IT meyUE7qlgbPuYexqCfajhX/+qxWPQRQ31Zp44i5Vd+dcefa447OzJp16D5UqRo9FQSMeQphFcuKa x3KOPQ+wv1fZWw6qP/578XoSURMB0sgGBVS4mveeVYohB/IwsmDx8gK+ze898tehOy+Bpvmnvp9j mEb8SyqitAss6DHIFJhjPAZFlc1cMbGAKFbdhiqXCb8Bi/8P4CQ/xHEA88GQD/ygGTqkDpHGZ5Y0 7QliSDlh8ZllBcw/+5+PNfGCYSi3o8ys4sVEbmvHxQnnFU2VMR5piGqEJY6XPw2rz/YwNbgDJU1C rrMNiwQvrER3nG0brOmqKByJbtdNYCptcu5Sru0fjpysYPPPmRpnPcL1D0MtJyMbEH2R+QWBt6P7 kehzu44SfdL0Ydo7dWTRgUvSF2krldLX0v25nX8gAMyweMwS1NpUZdzyUFo29hv0qsv8m/9xtM6b 0PbRVmP8OBcWv7V+SESxMW9Qvu8/N90k6xuC4KgmjmBhTa2P4i5JIJgCfclUFcv3PMTmVXjxhzT7 C0q2VTrHW0d9ZOJgqhmOyCUSrNb2GSL9LWXC0kHUiwlBInzut2jXBini+pPMqlxe2cvaaLwnMW6b o1Bi0X04R66zSN2LUwI6fokHVZzcb+WStwOpvTFdmLFiQ+0cJ3sKsW39qVHaXYsKSXVq570Kr8y8 Vuve/sv5SoYLZHe+9W/M6psPMUlOAdNZWdr1l6ndkxy1Wmw4rWZgC7thK4EcMN47xsxlsIcpPj3i LbY8kzjBO+JEll5d9yNE+FQAcdGV0APWwEEbNp4HM6J212Gh8Q1RGoHEEW5CZsnarV2nDFr+m0KW 996d5FV+ahIKdkEY+wbsGkd08xAiSDk0kCHyIKRz4XgeTOXvz0TOX7jO+FhcpFzHYPLtnHDS9Y9k Guiu+yG1cMZP9JjKclJkivfMDYFLrHyirw2rtRrS2lYm2dlHqY+5LdAHQ0QLhruczpSSmIi2VBzF XnmTzSLs0alyM3GGUBSuPf07FRFLPNI9YWzq1oCb7JDryyvy6vWSoTCehN7eKWGRL6bHFXVtxKkG Zr3TeP/0cmIR5+KKuzm68lOgwi21yyojeH9p2loDwLRdSngcMyWf1iAuooSJkHbID4GqK/upFTq+ DRiWBaRHP3hBSPEDsji1Y8fNLUHIxcxz0CuBMaorPEoPJEdvam0wXSKgiyoOMXjvVDMoskDymFmh ta7+pRDjrbf/L3Ml3ldW4gtBCUQvo+JJ1N2MRkYbZ96YZzk4yweT8hYcLvduh8tVvMBUiO1hgp1w Dhy/w9V6DUMX5oqO3lywfmqpt4tAcujFaLdKYhEPsusUDy+Ach3AHGMyg3yPd884KOpEdfHl6dwW zs8R6i/ID8Ii1GIyvy9bK0+9VqeQS27ka6iPp+6/N4d0L2ruPdOQPAhMR6ZTWIJc+Ze2JK0PceNC IOtX/eYRAu19XH0OYEa4z6yfa+3OoMGKBl6TiLVX7dSy1+ykSGjU/4EsNA3fPmpN04R3KxV5gq0S Vc53aGsbSzWv1BdXFi5zuC23X4Kvtqtjp8Jfki8IJ5Q/mngDd1441gg81Pad9dGQTV/dG7AQexnB MbVP1MwzFpbdvrl/9GzydXWAjw9DATOV8Pc8rA5zIY4G131fVNSBJX5A+blI9QaxWMQYjbEE9LPy MRydGCiUAr9oXrJ4JoNX3C88TYuaWuASakr2R2PudKLalLDlCYhHtyThpDiTxOP5kuwn8/4HuVe/ EbJ3hAyskJES2ye/X7hkfVyVOva5F6t2XBA0bIMjRIqXQ3fOGucntOm9Xn4dNAblLdtuCBYM41sL dWoYqdv1Vuo/0NM9szN787yhlg5IDtu5oZbcoPrx8X3hKa9RwxuVXSN9zbHlhIWfjQQzEKSFG/WB LocchYWr+HUiGGTsAjOM9bxSZ+NGYGXy9KDSMo1tcRhu+zthAQNIaNCabNyJ+ns88EJTL/U8z9yR EHMyuZLZLFdDESQ7AwDj8dLe1BnCTT1Kk5m0ntyCjZK/M6P4xzjwdqCvQ9wWgk6ZLZVIQaCG0lke EJjFohDQRuyF8HxNw8KzHItmz1QHgD9+fZw316MrNbzYyCCFJxxaIZ0SwMFbIYPERc9bvF2/Ozot tk5gdLLOUM0tkCoAWUs8UbUY/qBGdqmu8KqQ24sWrf+RMcoEVxVLmwDk45w/gLfcS0fFvf+PjAQ0 sOEaS1vUYnEupuLHzaK6dc3JhgO0WkRnpYOzTo+pd/ZZV69QxJe5WqMG8Gy9Awe3sVT5CTLyAtuF DUWcjRP3gUn2HwifzZIqG6FtSW0/TwHx9NwDWkpL40OpGS6x5+aMYXvdIOoYO6ec31kE7hy4X/NP 6kyuiGSbPF510GVnqtEinQTgqrRYmVK/na4D/A62aWQWQeEhCGpO/l+qNNmNisr/cfuxHGsJI5ji SIhBQkd1tRj48NEhlYgTisRFyJsdFUx4ADxqjia6SUtPu/tGZ7NeGVUxHpQJe9Gt5xLeoDQ/oME7 r5HIXAoF6w9A23CGhABFpingi3A+ZR9UX24U4ahq3qvuhyKh2sPjLUlUwwRPV6aQq4X9/mIT/SRf a4fpjaxGZblFprxYNmFlsPw+s2versySUjdcP8ah/W57cHx+d+hqEV6KCSCFJdZaiGj127RGPQmm dkzgKRMq8CLw7U09Wr0pOff33mIQGKYdmvC0Yv/0zF6uFSor13Fgwv0PAzSx9bcVdzwOce3xO8ff r8HWK9g847Uhusa1lXZqaJ+78NSSVe6zpQBWKoVBlL+VBHOyfvBhBy8VFzFKOw/O4nwyC3T0BESw rVfZMyZv0hfjioINM6jLiBmiaskmGIZgpvB6itfZqvAJRWV3DAK2zBMV7fcGqshTaH0GIur4Ad0e /8gSenI6WY0Dt0rwz1522pJDLU8Me2rdbLE1J4pGFHNSQJVgk/wPGJo/foZKOSvgee0BtB0eJGJp zGk5fH0/Mx6uwAh1KWdN3cBVirmvl5ccfKoeSmWIYR/sAhwd8y/wVkH2vaHEtgf9tdh2LMIFp+q+ rvkI9IRyXikomHP8XoqQ8vrEujhftws+6p1krPNJtgpclzAn7Nca3U8r5C9YiwbsAAZ1voQed8NF G+sQWudF5xCSu9EzJlvyxy9/JjNhqduRTCP+pBSsCorZkWEIW7qEK7oQ5HPPUtBcqnT7+EQ4LjWH +CwZ5sGAruunz59MyPP5rjaOtZhHOhKW+SoB59D70PK/Vic8dbenEbIHyQ2pCxsdBTlJ+D9mdw40 D7CH4cYDJowjdZuMfnwoP8zCzPCqRj1fvLoIgA+7t0SCLmLsOPNIOOZUq9tQw75+Q5vUjTHnY/On FEKW0HAsFBoR8bjZiT8se/4VnWnW8AEK+inVe9NzqWAPEUEKdqlISSWOhZ1NLoH1kk3To1DACt+R qr1PnnLX/Rz6p7YJOYKjiOSwE/cn6Yc0x2APZ2J/YQLgD7I7lE7MaBF2TOew2DCAaZupUURpn95h YS/SQaIyIpvSscXutMDyuZHOqY1jIPw55nvjZF37UiHXBTpHBZS4vwkdl+D5YMXgixyaPqIWsuw7 viqj1fVNkOXJIGv2MiZ0Fsob3IwUKHUJNmXlbOluAosVSR/5TMW9tsamwnebhjfhlObtk5/gMyr2 +htDPw6EN6B1BSTiSE8AyoZTSIMbgRvDTuJT/EMEoN673xItg61TQgZP/qR+cq3fZ4ywPcsOtkvM fQvmYXKqALC7BUxvYSIKNdTvbJaJ80FZ8hSqFTTDkPQuyJ7+G/NkaGTYMhjM8IdCMMeSnDpmXiC2 wxREQ67LfXql+lrj2rJSmvNCee7dRwqDWWBvWqnRBIN+b009cl0RBTbuIM7ZIZmAPQ3vWIpQtbmX qQTtb3+QRu6LYpxiUowaJp8grusFGF8oXRsPIZTlOLp7vV0oWd2y+ax0PrzWzsyOGaZrt1FlYNAH F0pJJcStr+ITDIJv4s5YM+WreDqguceyTPWM6PdztEfN0ppl6MLxi7nfYRkT1BtTNDEvqYYfXPkS qIsKpQcLJa3p47h1yUGENGrwsPb7oJ88m/ARaSwaT0YgM7Agn6vXLd9ZdYmZaKlDpeWQjA3oHCmd M53ktpZ9LWcFfau/t2R/EEBVOmHxLM2YAYI0Y4mcS831VuPPCae0sDEotOFWTKYEeeQZbMQIRF5f puZxkkWfXCPDHXsVEwcbVraFtIUVEhTGq5PaKvUaLTbnIeNfwF+YXM40hSKbjuvJoKK9EHR07TQV 04cC9Rfwczan8d5YC0zI8CZAstVIazVfosVn8qCvl3t290rZxPv19o/E/GDLnbG7pdgIG+aVp6nL mp8H00jBcFDahXl5tM+zox5EkjdmPjAIuk2uHREPXXABWwHnZqIuvRynezEVUUznDPDe0Uv1RFxG oje5e+P0NlNEs2s5JRxaogeaTxrH9/Js9/Gto8O4oXLAwd7k9QDq1LuBGbmBzmFfBKMltmRA6wfH wssGbSaWLwDp75fjufUAy5Fl5nhu4vKsINa062xu+jM2voJ3dpOOIyC2SSJwoPmZ18jNajPBigRY /B3VGLEC8KMj73usIOe/ap4PthPBhYlybHZkqSalJWP89jz+YxmRVtCcGqXtZ2nxjxs3a+Hn+mzC plszKtSz+/NhQsJvaBggYv8PdyJTFvdV1ewA7XO0jn5s5Uz0ZlYFK6WYe49RFaYc+Immq24IzGUh S6XcNfLBrFCMNh+KmSK1+666aHqCAGzm3h4/w1gQ+m15fALiw9dCajUfES90/uDW9R2ZtZw8Lrqo SxQc0zmReCN+B7p03oEzrbXcgqbqLGpFXDqAujF/omNuFSYJKOjB90ZBQRuQL6yQ9UbrMeD2O4hN vIvlrRM6pLOll73GGtu/UWvXW1WCer2v6WZtbPY7yO/WdPW8JysGyowjO+1RjTO+eNLMelpw3zQS u0QpiEoqNJpgDlsGTZuBgyXkjwcIydk4ptLgBd+WbD0p8ThS/1Oa850= `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mult16_16_mult_gen_v12_0_12 is port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR ( 15 downto 0 ); B : in STD_LOGIC_VECTOR ( 15 downto 0 ); CE : in STD_LOGIC; SCLR : in STD_LOGIC; ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 ); P : out STD_LOGIC_VECTOR ( 7 downto 0 ); PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 ) ); attribute C_A_TYPE : integer; attribute C_A_TYPE of mult16_16_mult_gen_v12_0_12 : entity is 1; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of mult16_16_mult_gen_v12_0_12 : entity is 16; attribute C_B_TYPE : integer; attribute C_B_TYPE of mult16_16_mult_gen_v12_0_12 : entity is 1; attribute C_B_VALUE : string; attribute C_B_VALUE of mult16_16_mult_gen_v12_0_12 : entity is "10000001"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of mult16_16_mult_gen_v12_0_12 : entity is 16; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_LATENCY : integer; attribute C_LATENCY of mult16_16_mult_gen_v12_0_12 : entity is 4; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_OPTIMIZE_GOAL : integer; attribute C_OPTIMIZE_GOAL of mult16_16_mult_gen_v12_0_12 : entity is 1; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of mult16_16_mult_gen_v12_0_12 : entity is 31; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of mult16_16_mult_gen_v12_0_12 : entity is 24; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of mult16_16_mult_gen_v12_0_12 : entity is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of mult16_16_mult_gen_v12_0_12 : entity is "kintexu"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mult16_16_mult_gen_v12_0_12 : entity is "mult_gen_v12_0_12"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of mult16_16_mult_gen_v12_0_12 : entity is "yes"; end mult16_16_mult_gen_v12_0_12; architecture STRUCTURE of mult16_16_mult_gen_v12_0_12 is signal \<const0>\ : STD_LOGIC; signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_A_TYPE of i_mult : label is 1; attribute C_A_WIDTH of i_mult : label is 16; attribute C_B_TYPE of i_mult : label is 1; attribute C_B_VALUE of i_mult : label is "10000001"; attribute C_B_WIDTH of i_mult : label is 16; attribute C_CCM_IMP of i_mult : label is 0; attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0; attribute C_HAS_CE of i_mult : label is 0; attribute C_HAS_SCLR of i_mult : label is 0; attribute C_HAS_ZERO_DETECT of i_mult : label is 0; attribute C_LATENCY of i_mult : label is 4; attribute C_MODEL_TYPE of i_mult : label is 0; attribute C_MULT_TYPE of i_mult : label is 0; attribute C_OUT_HIGH of i_mult : label is 31; attribute C_OUT_LOW of i_mult : label is 24; attribute C_ROUND_OUTPUT of i_mult : label is 0; attribute C_ROUND_PT of i_mult : label is 0; attribute C_VERBOSITY of i_mult : label is 0; attribute C_XDEVICEFAMILY of i_mult : label is "kintexu"; attribute c_optimize_goal of i_mult : label is 1; attribute downgradeipidentifiedwarnings of i_mult : label is "yes"; begin PCASC(47) <= \<const0>\; PCASC(46) <= \<const0>\; PCASC(45) <= \<const0>\; PCASC(44) <= \<const0>\; PCASC(43) <= \<const0>\; PCASC(42) <= \<const0>\; PCASC(41) <= \<const0>\; PCASC(40) <= \<const0>\; PCASC(39) <= \<const0>\; PCASC(38) <= \<const0>\; PCASC(37) <= \<const0>\; PCASC(36) <= \<const0>\; PCASC(35) <= \<const0>\; PCASC(34) <= \<const0>\; PCASC(33) <= \<const0>\; PCASC(32) <= \<const0>\; PCASC(31) <= \<const0>\; PCASC(30) <= \<const0>\; PCASC(29) <= \<const0>\; PCASC(28) <= \<const0>\; PCASC(27) <= \<const0>\; PCASC(26) <= \<const0>\; PCASC(25) <= \<const0>\; PCASC(24) <= \<const0>\; PCASC(23) <= \<const0>\; PCASC(22) <= \<const0>\; PCASC(21) <= \<const0>\; PCASC(20) <= \<const0>\; PCASC(19) <= \<const0>\; PCASC(18) <= \<const0>\; PCASC(17) <= \<const0>\; PCASC(16) <= \<const0>\; PCASC(15) <= \<const0>\; PCASC(14) <= \<const0>\; PCASC(13) <= \<const0>\; PCASC(12) <= \<const0>\; PCASC(11) <= \<const0>\; PCASC(10) <= \<const0>\; PCASC(9) <= \<const0>\; PCASC(8) <= \<const0>\; PCASC(7) <= \<const0>\; PCASC(6) <= \<const0>\; PCASC(5) <= \<const0>\; PCASC(4) <= \<const0>\; PCASC(3) <= \<const0>\; PCASC(2) <= \<const0>\; PCASC(1) <= \<const0>\; PCASC(0) <= \<const0>\; ZERO_DETECT(1) <= \<const0>\; ZERO_DETECT(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); i_mult: entity work.mult16_16_mult_gen_v12_0_12_viv port map ( A(15 downto 0) => A(15 downto 0), B(15 downto 0) => B(15 downto 0), CE => '0', CLK => CLK, P(7 downto 0) => P(7 downto 0), PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0), SCLR => '0', ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mult16_16 is port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR ( 15 downto 0 ); B : in STD_LOGIC_VECTOR ( 15 downto 0 ); P : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of mult16_16 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of mult16_16 : entity is "mult16_16,mult_gen_v12_0_12,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of mult16_16 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of mult16_16 : entity is "mult_gen_v12_0_12,Vivado 2016.4"; end mult16_16; architecture STRUCTURE of mult16_16 is signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_A_TYPE : integer; attribute C_A_TYPE of U0 : label is 1; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of U0 : label is 16; attribute C_B_TYPE : integer; attribute C_B_TYPE of U0 : label is 1; attribute C_B_VALUE : string; attribute C_B_VALUE of U0 : label is "10000001"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of U0 : label is 16; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of U0 : label is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of U0 : label is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of U0 : label is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of U0 : label is 0; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of U0 : label is 0; attribute C_LATENCY : integer; attribute C_LATENCY of U0 : label is 4; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of U0 : label is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of U0 : label is 0; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of U0 : label is 31; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of U0 : label is 24; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of U0 : label is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of U0 : label is 0; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of U0 : label is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "kintexu"; attribute c_optimize_goal : integer; attribute c_optimize_goal of U0 : label is 1; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.mult16_16_mult_gen_v12_0_12 port map ( A(15 downto 0) => A(15 downto 0), B(15 downto 0) => B(15 downto 0), CE => '1', CLK => CLK, P(7 downto 0) => P(7 downto 0), PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0), SCLR => '0', ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0) ); end STRUCTURE;
--------------------------------------------------------------------------- -- -- Title: Hardware Thread User Logic Exit Thread -- To be used as a place holder, and size estimate for HWTI -- --------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_misc.all; library Unisim; use Unisim.all; --------------------------------------------------------------------------- -- Port declarations --------------------------------------------------------------------------- -- Definition of Ports: -- -- Misc. Signals -- clock -- -- HWTI to HWTUL interconnect -- intrfc2thrd_address 32 bits memory -- intrfc2thrd_value 32 bits memory function -- intrfc2thrd_function 16 bits control -- intrfc2thrd_goWait 1 bits control -- -- HWTUL to HWTI interconnect -- thrd2intrfc_address 32 bits memory -- thrd2intrfc_value 32 bits memory function -- thrd2intrfc_function 16 bits function -- thrd2intrfc_opcode 6 bits memory function -- --------------------------------------------------------------------------- -- Thread Manager Entity section --------------------------------------------------------------------------- entity user_logic_hwtul is port ( clock : in std_logic; intrfc2thrd_address : in std_logic_vector(0 to 31); intrfc2thrd_value : in std_logic_vector(0 to 31); intrfc2thrd_function : in std_logic_vector(0 to 15); intrfc2thrd_goWait : in std_logic; thrd2intrfc_address : out std_logic_vector(0 to 31); thrd2intrfc_value : out std_logic_vector(0 to 31); thrd2intrfc_function : out std_logic_vector(0 to 15); thrd2intrfc_opcode : out std_logic_vector(0 to 5) ); end entity user_logic_hwtul; --------------------------------------------------------------------------- -- Architecture section --------------------------------------------------------------------------- architecture IMP of user_logic_hwtul is --------------------------------------------------------------------------- -- Signal declarations --------------------------------------------------------------------------- type state_machine is ( FUNCTION_RESET, FUNCTION_USER_SELECT, FUNCTION_START, FUNCTION_EXIT, STATE_1, STATE_2, STATE_3, STATE_4, STATE_5, STATE_6, STATE_7, STATE_8, STATE_9, STATE_10, STATE_11, STATE_12, STATE_13, STATE_14, STATE_15, STATE_16, STATE_17, STATE_18, STATE_19, STATE_20, WAIT_STATE, ERROR_STATE); -- Function definitions constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000"; constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001"; constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002"; constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003"; constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101"; constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102"; constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103"; constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104"; constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105"; constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106"; constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107"; constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108"; constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109"; constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110"; constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111"; constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112"; constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113"; constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114"; constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115"; constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116"; constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117"; constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118"; constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119"; constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120"; -- Range 0003 to 7999 reserved for user logic's state machine -- Range 8000 to 9999 reserved for system calls constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000"; constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001"; constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010"; constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011"; constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012"; constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013"; constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014"; constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015"; constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016"; constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020"; constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021"; constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022"; constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023"; constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030"; constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031"; constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032"; constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033"; constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034"; constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040"; constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041"; constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042"; constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043"; constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050"; constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051"; constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052"; constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053"; constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054"; -- Ranged A000 to FFFF reserved for supported library calls constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000"; constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001"; constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002"; -- user_opcode Constants constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000"; -- Memory sub-interface specific opcodes constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001"; constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010"; constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011"; constant OPCODE_READ : std_logic_vector(0 to 5) := "000100"; constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101"; constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110"; -- Function sub-interface specific opcodes constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000"; constant OPCODE_POP : std_logic_vector(0 to 5) := "010001"; constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010"; constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011"; constant Z32 : std_logic_vector(0 to 31) := (others => '0'); signal current_state, next_state : state_machine := FUNCTION_RESET; signal return_state, return_state_next: state_machine := FUNCTION_RESET; signal toUser_address : std_logic_vector(0 to 31); signal toUser_value : std_logic_vector(0 to 31); signal toUser_function : std_logic_vector(0 to 15); signal toUser_goWait : std_logic; signal retVal, retVal_next : std_logic_vector(0 to 31); signal arg, arg_next : std_logic_vector(0 to 31); signal reg1, reg1_next : std_logic_vector(0 to 31); signal reg2, reg2_next : std_logic_vector(0 to 31); signal reg3, reg3_next : std_logic_vector(0 to 31); signal reg4, reg4_next : std_logic_vector(0 to 31); signal reg5, reg5_next : std_logic_vector(0 to 31); signal reg6, reg6_next : std_logic_vector(0 to 31); signal reg7, reg7_next : std_logic_vector(0 to 31); signal reg8, reg8_next : std_logic_vector(0 to 31); --------------------------------------------------------------------------- -- Begin architecture --------------------------------------------------------------------------- begin -- architecture IMP HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is begin if (clock'event and (clock = '1')) then toUser_address <= intrfc2thrd_address; toUser_value <= intrfc2thrd_value; toUser_function <= intrfc2thrd_function; toUser_goWait <= intrfc2thrd_goWait; return_state <= return_state_next; retVal <= retVal_next; arg <= arg_next; reg1 <= reg1_next; reg2 <= reg2_next; reg3 <= reg3_next; reg4 <= reg4_next; reg5 <= reg5_next; reg6 <= reg6_next; reg7 <= reg7_next; reg8 <= reg8_next; -- Find out if the HWTI is tell us what to do if (intrfc2thrd_goWait = '1') then case intrfc2thrd_function is -- Typically the HWTI will tell us to control our own destiny when U_FUNCTION_USER_SELECT => current_state <= next_state; -- List all the functions the HWTI could tell us to run when U_FUNCTION_RESET => current_state <= FUNCTION_RESET; when U_FUNCTION_START => current_state <= FUNCTION_START; when U_STATE_1 => current_state <= STATE_1; when U_STATE_2 => current_state <= STATE_2; when U_STATE_3 => current_state <= STATE_3; when U_STATE_4 => current_state <= STATE_4; when U_STATE_5 => current_state <= STATE_5; when U_STATE_6 => current_state <= STATE_6; when U_STATE_7 => current_state <= STATE_7; when U_STATE_8 => current_state <= STATE_8; when U_STATE_9 => current_state <= STATE_9; when U_STATE_10 => current_state <= STATE_10; when U_STATE_11 => current_state <= STATE_11; when U_STATE_12 => current_state <= STATE_12; when U_STATE_13 => current_state <= STATE_13; when U_STATE_14 => current_state <= STATE_14; when U_STATE_15 => current_state <= STATE_15; when U_STATE_16 => current_state <= STATE_16; when U_STATE_17 => current_state <= STATE_17; when U_STATE_18 => current_state <= STATE_18; when U_STATE_19 => current_state <= STATE_19; when U_STATE_20 => current_state <= STATE_20; -- If the HWTI tells us to do something we don't know, error when OTHERS => current_state <= ERROR_STATE; end case; else current_state <= WAIT_STATE; end if; end if; end process HWTUL_STATE_PROCESS; HWTUL_STATE_MACHINE : process (clock) is begin -- Default register assignments thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse thrd2intrfc_address <= Z32; thrd2intrfc_value <= Z32; thrd2intrfc_function <= U_FUNCTION_USER_SELECT; return_state_next <= return_state; next_state <= current_state; retVal_next <= retVal; arg_next <= arg; reg1_next <= reg1; reg2_next <= reg2; reg3_next <= reg3; reg4_next <= reg4; reg5_next <= reg5; reg6_next <= reg6; reg7_next <= reg7; reg8_next <= reg8; ----------------------------------------------------------------------- -- mutex_init_2.c -- arg = * data -- reg1 = * mutex -- reg2 = * mutex_attr -- The return value should be the mutexattr->num set by either the -- software main thread, or the bfl in case of simulation. ----------------------------------------------------------------------- -- The state machine case current_state is when FUNCTION_RESET => --Set default values thrd2intrfc_opcode <= OPCODE_NOOP; thrd2intrfc_address <= Z32; thrd2intrfc_value <= Z32; thrd2intrfc_function <= U_FUNCTION_START; -- hthread_mutexattr_t * mutexattr = (hthread_mutexattr_t *) arg when FUNCTION_START => -- Pop the argument thrd2intrfc_value <= Z32; thrd2intrfc_opcode <= OPCODE_POP; next_state <= WAIT_STATE; return_state_next <= STATE_1; when STATE_1 => arg_next <= intrfc2thrd_value; -- Read the value of mutex thrd2intrfc_address <= intrfc2thrd_value; thrd2intrfc_opcode <= OPCODE_LOAD; next_state <= WAIT_STATE; return_state_next <= STATE_2; when STATE_2 => reg1_next <= intrfc2thrd_value; -- Read the value of mutex_attr thrd2intrfc_address <= arg + 4; thrd2intrfc_opcode <= OPCODE_LOAD; next_state <= WAIT_STATE; return_state_next <= STATE_3; -- hthread_mutex_init( data->mutex, data->mutex_attr ); when STATE_3 => reg2_next <= intrfc2thrd_value; -- Push data->mutex_attr thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= intrfc2thrd_value; next_state <= WAIT_STATE; return_state_next <= STATE_4; when STATE_4 => -- Push data->mutex thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= reg1; next_state <= WAIT_STATE; return_state_next <= STATE_5; when STATE_5 => -- Call hthread_mutex_init thrd2intrfc_opcode <= OPCODE_CALL; thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_INIT; thrd2intrfc_value <= Z32(0 to 15) & U_STATE_6; next_state <= WAIT_STATE; -- retVal = data->mutex->num; when STATE_6 => -- Load the value of mutex->num thrd2intrfc_opcode <= OPCODE_LOAD; thrd2intrfc_address <= reg1; next_state <= WAIT_STATE; return_state_next <= STATE_7; when STATE_7 => retVal_next <= intrfc2thrd_value; next_state <= FUNCTION_EXIT; when FUNCTION_EXIT => --Same as hthread_exit( (void *) retVal ); thrd2intrfc_value <= retVal; thrd2intrfc_opcode <= OPCODE_RETURN; next_state <= WAIT_STATE; when WAIT_STATE => next_state <= return_state; when ERROR_STATE => next_state <= ERROR_STATE; when others => next_state <= ERROR_STATE; end case; end process HWTUL_STATE_MACHINE; end architecture IMP;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- not in book: entity computer is end entity computer; -- end not in book architecture system_level of computer is type opcodes is (add, sub, addu, subu, jmp, breq, brne, ld, st, -- . . .); -- not in book: nop); -- end not in book type reg_number is range 0 to 31; constant r0 : reg_number := 0; constant r1 : reg_number := 1; -- . . . -- not in book: constant r2 : reg_number := 2; -- end not in book type instruction is record opcode : opcodes; source_reg1, source_reg2, dest_reg : reg_number; displacement : integer; end record instruction; type word is record instr : instruction; data : bit_vector(31 downto 0); end record word; signal address : natural; signal read_word, write_word : word; signal mem_read, mem_write : bit := '0'; signal mem_ready : bit := '0'; begin cpu : process is variable instr_reg : instruction; variable PC : natural; -- . . . -- other declarations for register file, etc. begin address <= PC; mem_read <= '1'; wait until mem_ready = '1'; instr_reg := read_word.instr; mem_read <= '0'; -- not in book: wait until mem_ready = '0'; -- end not in book PC := PC + 4; case instr_reg.opcode is -- execute the instruction -- . . . -- not in book: when others => null; -- end not in book end case; end process cpu; memory : process is subtype address_range is natural range 0 to 2**14 - 1; type memory_array is array (address_range) of word; variable store : memory_array := ( 0 => ( ( ld, r0, r0, r2, 40 ), X"00000000" ), 1 => ( ( breq, r2, r0, r0, 5 ), X"00000000" ), -- . . . 40 => ( ( nop, r0, r0, r0, 0 ), X"FFFFFFFE"), others => ( ( nop, r0, r0, r0, 0 ), X"00000000") ); begin -- . . . -- not in book: wait until mem_read = '1'; read_word <= store(address); mem_ready <= '1'; wait until mem_read = '0'; mem_ready <= '0'; -- end not in book end process memory; end architecture system_level;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- not in book: entity computer is end entity computer; -- end not in book architecture system_level of computer is type opcodes is (add, sub, addu, subu, jmp, breq, brne, ld, st, -- . . .); -- not in book: nop); -- end not in book type reg_number is range 0 to 31; constant r0 : reg_number := 0; constant r1 : reg_number := 1; -- . . . -- not in book: constant r2 : reg_number := 2; -- end not in book type instruction is record opcode : opcodes; source_reg1, source_reg2, dest_reg : reg_number; displacement : integer; end record instruction; type word is record instr : instruction; data : bit_vector(31 downto 0); end record word; signal address : natural; signal read_word, write_word : word; signal mem_read, mem_write : bit := '0'; signal mem_ready : bit := '0'; begin cpu : process is variable instr_reg : instruction; variable PC : natural; -- . . . -- other declarations for register file, etc. begin address <= PC; mem_read <= '1'; wait until mem_ready = '1'; instr_reg := read_word.instr; mem_read <= '0'; -- not in book: wait until mem_ready = '0'; -- end not in book PC := PC + 4; case instr_reg.opcode is -- execute the instruction -- . . . -- not in book: when others => null; -- end not in book end case; end process cpu; memory : process is subtype address_range is natural range 0 to 2**14 - 1; type memory_array is array (address_range) of word; variable store : memory_array := ( 0 => ( ( ld, r0, r0, r2, 40 ), X"00000000" ), 1 => ( ( breq, r2, r0, r0, 5 ), X"00000000" ), -- . . . 40 => ( ( nop, r0, r0, r0, 0 ), X"FFFFFFFE"), others => ( ( nop, r0, r0, r0, 0 ), X"00000000") ); begin -- . . . -- not in book: wait until mem_read = '1'; read_word <= store(address); mem_ready <= '1'; wait until mem_read = '0'; mem_ready <= '0'; -- end not in book end process memory; end architecture system_level;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- not in book: entity computer is end entity computer; -- end not in book architecture system_level of computer is type opcodes is (add, sub, addu, subu, jmp, breq, brne, ld, st, -- . . .); -- not in book: nop); -- end not in book type reg_number is range 0 to 31; constant r0 : reg_number := 0; constant r1 : reg_number := 1; -- . . . -- not in book: constant r2 : reg_number := 2; -- end not in book type instruction is record opcode : opcodes; source_reg1, source_reg2, dest_reg : reg_number; displacement : integer; end record instruction; type word is record instr : instruction; data : bit_vector(31 downto 0); end record word; signal address : natural; signal read_word, write_word : word; signal mem_read, mem_write : bit := '0'; signal mem_ready : bit := '0'; begin cpu : process is variable instr_reg : instruction; variable PC : natural; -- . . . -- other declarations for register file, etc. begin address <= PC; mem_read <= '1'; wait until mem_ready = '1'; instr_reg := read_word.instr; mem_read <= '0'; -- not in book: wait until mem_ready = '0'; -- end not in book PC := PC + 4; case instr_reg.opcode is -- execute the instruction -- . . . -- not in book: when others => null; -- end not in book end case; end process cpu; memory : process is subtype address_range is natural range 0 to 2**14 - 1; type memory_array is array (address_range) of word; variable store : memory_array := ( 0 => ( ( ld, r0, r0, r2, 40 ), X"00000000" ), 1 => ( ( breq, r2, r0, r0, 5 ), X"00000000" ), -- . . . 40 => ( ( nop, r0, r0, r0, 0 ), X"FFFFFFFE"), others => ( ( nop, r0, r0, r0, 0 ), X"00000000") ); begin -- . . . -- not in book: wait until mem_read = '1'; read_word <= store(address); mem_ready <= '1'; wait until mem_read = '0'; mem_ready <= '0'; -- end not in book end process memory; end architecture system_level;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
LIBRARY ieee; USE ieee.std_logic_1164.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_PACKAGE.VHD *** --*** *** --*** Function: Component Declarations of *** --*** compiler instantiated functions *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** 06/02/08 - removed divides (now a library *** --*** function *** --*** *** --*** *** --*************************************************** PACKAGE hcc_package IS --*********************************** --*** SINGLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp1x GENERIC ( mantissa : positive := 36; shiftspeed : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 outputscale : integer := 0; -- 0 = none, 1 = scale synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp1x GENERIC ( mantissa : positive := 32; -- 32 or 36 inputnormalize : integer := 1; -- 0 = scale, 1 = normalize roundnormalize : integer := 1; normspeed : positive := 2; -- 1 or 2 target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg1x GENERIC ( mantissa : positive := 32; -- 32/36 ieeeoutput : integer := 0; -- 1 = ieee754 (S/u23/8) xoutput : integer := 1; -- 1 = single x format (smantissa/10) funcoutput : integer := 0 -- function output (S'1'umantissa-2/10) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32*ieeeoutput+(mantissa+10)*(xoutput+funcoutput) DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************************** --*** DOUBLE PRECISION COMPONENTS *** --*********************************** component hcc_alufp2x GENERIC ( shiftspeed : integer := 1; -- '0' for comb. shift, '1' for piped shift doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; addsub : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (77 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_mulfp2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) multoutput : integer := 0; -- 1 = to another double muliplier (s/1u52/13) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles outputpipe : integer := 1; -- if zero, dont put final pipe for some modes synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (67 DOWNTO 1); aasat, aazip : IN STD_LOGIC; bb : IN STD_LOGIC_VECTOR (67 DOWNTO 1); bbsat, bbzip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*multoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_normfp2x GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' roundnormalize : integer := 1; -- global switch - round all normalizations when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- global switch - '0' unpiped adders, '1' piped adders for doubles target : integer := 1; -- 1(internal), 0 (multiplier, divider) synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_neg2x GENERIC ( ieeeoutput : integer := 0; -- 1 = ieee754 (1/u52/11) xoutput : integer := 1; -- 1 = double x format (s64/13) funcoutput : integer := 0 -- 1 = to another double muliplier (s/1u52/13) ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64+13*xoutput+3*funcoutput DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --*********************** --*** CAST COMPONENTS *** --*********************** component hcc_castftox GENERIC ( target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32; outputpipe : integer := 1 -- 0 no pipe, 1 output always registered ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castxtof IS GENERIC ( mantissa : positive := 32; -- 32 or 36 normspeed : positive := 2 -- 1 or 2 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castftoy GENERIC ( target : integer := 0; -- 1 (internal), 0 (multiplier,divider) roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castdtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' outputpipe : integer := 1; -- if zero, dont put final pipe for some modes doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castdtox GENERIC ( target : integer := 0; -- 0 (internal), 1 (multiplier), 2 (divider) mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component castxtod GENERIC ( mantissa : positive := 32; roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' doublespeed : integer := 0 -- '0' for unpiped adder, '1' for piped adder ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castxtoy GENERIC ( target : integer := 1; -- 1(internal), 0 (multiplier, divider) mantissa : positive := 32 ); PORT ( aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); aasat, aazip : STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; component hcc_castytod GENERIC ( roundconvert : integer := 1; -- global switch - round all ieee<=>y conversion when '1' normspeed : positive := 3; -- 1,2, or 3 pipes for norm core doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder synthesize : integer := 1 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); end component; component castytof GENERIC ( roundconvert : integer := 1 -- global switch - round all conversions when '1' ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (32 DOWNTO 1) ); end component; component castytox IS GENERIC ( roundconvert : integer := 1; -- global switch - round all conversions when '1' mantissa : positive := 32 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1); aasat, aazip : IN STD_LOGIC; cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1); ccsat, cczip : OUT STD_LOGIC ); end component; --************************ --*** OTHER COMPONENTS *** --************************ component hcc_delay GENERIC ( width : positive := 32; delay : positive := 10; synthesize : integer := 0 ); PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; aa : IN STD_LOGIC_VECTOR (width DOWNTO 1); cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1) ); end component; END hcc_package;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- ____ _ ____ _ _ _ _ -- | _ \(_) ___ ___ | __ )| | __ _ _______ | | (_) |__ _ __ __ _ _ __ _ _ -- | |_) | |/ __/ _ \| _ \| |/ _` |_ / _ \ | | | | '_ \| '__/ _` | '__| | | | -- | __/| | (_| (_) | |_) | | (_| |/ / __/ | |___| | |_) | | | (_| | | | |_| | -- |_| |_|\___\___/|____/|_|\__,_/___\___| |_____|_|_.__/|_| \__,_|_| \__, | -- |___/ -- ============================================================================= -- Authors: Patrick Lehmann -- -- Package: PicoBlaze component declarations -- -- Description: -- ------------------------------------ -- For detailed documentation see below. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Patrick Lehmann - Dresden, Germany -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.NUMERIC_STD.all; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_TEXTIO.all; library PoC; use PoC.utils.all; package pb_comp is component main_Page0 is port ( Clock : in std_logic; Fetch : in std_logic; Address : in std_logic_vector(11 downto 0); Instruction : out std_logic_vector(17 downto 0); JTAGLoader_Clock : in std_logic; JTAGLoader_Enable : in std_logic; JTAGLoader_Address : in std_logic_vector(11 downto 0); JTAGLoader_WriteEnable : in std_logic; JTAGLoader_DataIn : in std_logic_vector(17 downto 0); JTAGLoader_DataOut : out std_logic_vector(17 downto 0) ); end component; component main_Page1 is port ( Clock : in std_logic; Fetch : in std_logic; Address : in std_logic_vector(11 downto 0); Instruction : out std_logic_vector(17 downto 0); JTAGLoader_Clock : in std_logic; JTAGLoader_Enable : in std_logic; JTAGLoader_Address : in std_logic_vector(11 downto 0); JTAGLoader_WriteEnable : in std_logic; JTAGLoader_DataIn : in std_logic_vector(17 downto 0); JTAGLoader_DataOut : out std_logic_vector(17 downto 0) ); end component; component main_Page2 is port ( Clock : in std_logic; Fetch : in std_logic; Address : in std_logic_vector(11 downto 0); Instruction : out std_logic_vector(17 downto 0); JTAGLoader_Clock : in std_logic; JTAGLoader_Enable : in std_logic; JTAGLoader_Address : in std_logic_vector(11 downto 0); JTAGLoader_WriteEnable : in std_logic; JTAGLoader_DataIn : in std_logic_vector(17 downto 0); JTAGLoader_DataOut : out std_logic_vector(17 downto 0) ); end component; component main_Page3 is port ( Clock : in std_logic; Fetch : in std_logic; Address : in std_logic_vector(11 downto 0); Instruction : out std_logic_vector(17 downto 0); JTAGLoader_Clock : in std_logic; JTAGLoader_Enable : in std_logic; JTAGLoader_Address : in std_logic_vector(11 downto 0); JTAGLoader_WriteEnable : in std_logic; JTAGLoader_DataIn : in std_logic_vector(17 downto 0); JTAGLoader_DataOut : out std_logic_vector(17 downto 0) ); end component; component main_Page4 is port ( Clock : in std_logic; Fetch : in std_logic; Address : in std_logic_vector(11 downto 0); Instruction : out std_logic_vector(17 downto 0); JTAGLoader_Clock : in std_logic; JTAGLoader_Enable : in std_logic; JTAGLoader_Address : in std_logic_vector(11 downto 0); JTAGLoader_WriteEnable : in std_logic; JTAGLoader_DataIn : in std_logic_vector(17 downto 0); JTAGLoader_DataOut : out std_logic_vector(17 downto 0) ); end component; component main_Page5 is port ( Clock : in std_logic; Fetch : in std_logic; Address : in std_logic_vector(11 downto 0); Instruction : out std_logic_vector(17 downto 0); JTAGLoader_Clock : in std_logic; JTAGLoader_Enable : in std_logic; JTAGLoader_Address : in std_logic_vector(11 downto 0); JTAGLoader_WriteEnable : in std_logic; JTAGLoader_DataIn : in std_logic_vector(17 downto 0); JTAGLoader_DataOut : out std_logic_vector(17 downto 0) ); end component; component main_Page6 is port ( Clock : in std_logic; Fetch : in std_logic; Address : in std_logic_vector(11 downto 0); Instruction : out std_logic_vector(17 downto 0); JTAGLoader_Clock : in std_logic; JTAGLoader_Enable : in std_logic; JTAGLoader_Address : in std_logic_vector(11 downto 0); JTAGLoader_WriteEnable : in std_logic; JTAGLoader_DataIn : in std_logic_vector(17 downto 0); JTAGLoader_DataOut : out std_logic_vector(17 downto 0) ); end component; component main_Page7 is port ( Clock : in std_logic; Fetch : in std_logic; Address : in std_logic_vector(11 downto 0); Instruction : out std_logic_vector(17 downto 0); JTAGLoader_Clock : in std_logic; JTAGLoader_Enable : in std_logic; JTAGLoader_Address : in std_logic_vector(11 downto 0); JTAGLoader_WriteEnable : in std_logic; JTAGLoader_DataIn : in std_logic_vector(17 downto 0); JTAGLoader_DataOut : out std_logic_vector(17 downto 0) ); end component; component JTAGLoader6 is generic ( C_NUM_PICOBLAZE : integer := 1; C_JTAG_CHAIN : INTEGER := 2; C_ADDR_WIDTH : T_INTVEC(0 to 7) := (others => 10) ); port ( picoblaze_reset : out std_logic_vector(C_NUM_PICOBLAZE - 1 downto 0); jtag_en : out std_logic_vector(C_NUM_PICOBLAZE - 1 downto 0); jtag_din : out std_logic_vector(17 downto 0); jtag_addr : out std_logic_vector(imax(C_ADDR_WIDTH) - 1 downto 0); jtag_clk : out std_logic; jtag_we : out std_logic; jtag_dout_0 : in std_logic_vector(17 downto 0); jtag_dout_1 : in std_logic_vector(17 downto 0); jtag_dout_2 : in std_logic_vector(17 downto 0); jtag_dout_3 : in std_logic_vector(17 downto 0); jtag_dout_4 : in std_logic_vector(17 downto 0); jtag_dout_5 : in std_logic_vector(17 downto 0); jtag_dout_6 : in std_logic_vector(17 downto 0); jtag_dout_7 : in std_logic_vector(17 downto 0) ); end component; component uart_tx6 is port ( clk : in std_logic; en_16_x_baud : in std_logic; data_in : in std_logic_vector(7 downto 0); buffer_reset : in std_logic; buffer_write : in std_logic; buffer_data_present : out std_logic; buffer_half_full : out std_logic; buffer_full : out std_logic; serial_out : out std_logic ); end component; component uart_tx6_unconstrained is port ( clk : in std_logic; en_16_x_baud : in std_logic; data_in : in std_logic_vector(7 downto 0); buffer_reset : in std_logic; buffer_write : in std_logic; buffer_data_present : out std_logic; buffer_half_full : out std_logic; buffer_full : out std_logic; serial_out : out std_logic ); end component; component uart_rx6 is port ( clk : in std_logic; en_16_x_baud : in std_logic; serial_in : in std_logic; buffer_reset : in std_logic; buffer_read : in std_logic; buffer_data_present : out std_logic; buffer_half_full : out std_logic; buffer_full : out std_logic; data_out : out std_logic_vector(7 downto 0) ); end component; component uart_rx6_unconstrained is port ( clk : in std_logic; en_16_x_baud : in std_logic; serial_in : in std_logic; buffer_reset : in std_logic; buffer_read : in std_logic; buffer_data_present : out std_logic; buffer_half_full : out std_logic; buffer_full : out std_logic; data_out : out std_logic_vector(7 downto 0) ); end component; end package; package body pb_comp is end package body;
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 30-03-2016 -- Module Name: memory.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity memory is port (address : in std_logic_vector (15 downto 0); data_in : in std_logic_vector (15 downto 0); data_out : out std_logic_vector (15 downto 0); clk, read, write : in std_logic); end entity; architecture behavioral of memory is type mem is array (natural range <>) of std_logic_vector (15 downto 0); begin process (clk) constant memsize : integer := 2 ** 16; variable memory : mem (0 to memsize - 1) := ( "0011000000000010", "0011001001000010", "1111000001010111", "1111000001011110", "1111000001100101", "1111000001101100", "1111000001110011", "1111000001111010", "0111000001000011", "1000000001001100", "1001000000111111", "1010000000011010", "0000000000000000", "0000000000000010", others => "0000000000000000" ); begin if clk'event and clk = '1' then if read = '1' then -- Reading :) data_out <= memory(to_integer(unsigned(address))); elsif write = '1' then -- Writing :) memory(to_integer(unsigned(address))) := data_in; end if; end if; end process; end architecture behavioral;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; --library lib_fifo_v1_0; --use lib_fifo_v1_0.lib_fifo_pkg.all; --use lib_fifo_v1_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; -- Constant Declarations ---------------------------------------------- -- C_FAMILY is directly passed. No need to have family_support function Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- Proc_common supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --not(FAMILY_NOT_SUPPORTED); -- Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- Changing this to true Constant FAM_IS_NOT_S3_V4_V5 : boolean := true; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising wr clock edge to issue assertion -- Wait until Wr_clk = '1'; -- wait until Wr_clk = '0'; -- Wait until Wr_clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait; -- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Almost_full <= '0' ; -- : out std_logic; -- Almost_empty <= '0' ; -- : out std_logic; -- Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); -- Rd_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
-------------------------------------------- -- 串口接收模块 -------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity uart_recv is generic(framelen : integer := 8); -- 数据为framelen - 1 port( bclk_r, reset_r, rxd : in std_logic; -- rxd - 数据输入脚 r_ready : out std_logic; rbuf : out std_logic_vector(7 downto 0) ); end uart_recv; architecture arch of uart_recv is type states is (r_idle, r_sample_start_bit, r_sample_data_bit, r_sample, r_stop); signal state : states := r_idle; signal rxd_syn : std_logic; begin process(rxd) -- 用DFF把数据输入脚整形下,防止干扰 begin if rxd = '0' then rxd_syn <= '0'; else rxd_syn <= '1'; end if; end process; process(bclk_r, reset_r, rxd_syn) variable count : std_logic_vector(3 downto 0) := "0000"; variable rcnt : integer range 0 to framelen := 0; variable rbuf_tmp : std_logic_vector(7 downto 0); begin if reset_r = '1' then state <= r_idle; count := "0000"; rcnt := 0; r_ready <= '0'; elsif rising_edge(bclk_r) then case state is -- 检测是否是起始位 when r_idle => if rxd_syn = '0' then state <= r_sample_start_bit; r_ready <= '0'; -- 检测到起始位后才复位r_ready rcnt := 0; count := "0000"; -- 在这儿起始位为0已经有一个时钟时间了 else state <= r_idle; end if; when r_sample_start_bit => -- 检测起始位是否够时间 if rxd_syn = '0' then if count < "0111" then -- 8个时钟后, 再采样 count := count + 1; state <= r_sample_start_bit; else -- 起始位正确,开始采样数据位 state <= r_sample_data_bit; count := "0000"; rcnt := 0; -- 开始接收数据位 end if; else state <= r_idle; count := "0000"; end if; when r_sample_data_bit => if count <= "1110" then -- 16个时钟后再采样 count := count + 1; state <= r_sample_data_bit; else if rcnt = framelen then state <= r_stop; count := "0000"; rcnt := 0; else state <= r_sample_data_bit; count := "0000"; rbuf_tmp(rcnt) := rxd_syn; -- 移入数据位 rcnt := rcnt + 1; end if; end if; when r_stop => -- 省略了对停止位的检测 r_ready <= '1'; -- 接受数据可读了 rbuf <= rbuf_tmp; -- 更新输出数据 state <= r_idle; when others=> state <= r_idle; end case; end if; end process; end arch;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.0 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_0; USE floating_point_v7_0.floating_point_v7_0; ENTITY tri_intersect_ap_fmul_3_max_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END tri_intersect_ap_fmul_3_max_dsp_32; ARCHITECTURE tri_intersect_ap_fmul_3_max_dsp_32_arch OF tri_intersect_ap_fmul_3_max_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tri_intersect_ap_fmul_3_max_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_0 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 3, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END tri_intersect_ap_fmul_3_max_dsp_32_arch;
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity Test_Pattern_Generator is port ( Avalon_MM_Slave_address : in std_logic_vector(2-1 downto 0); Avalon_MM_Slave_write : in std_logic; Avalon_MM_Slave_writedata : in std_logic_vector(32-1 downto 0); Avalon_ST_Source_data : out std_logic_vector(24-1 downto 0); Avalon_ST_Source_endofpacket : out std_logic; Avalon_ST_Source_ready : in std_logic; Avalon_ST_Source_startofpacket : out std_logic; Avalon_ST_Source_valid : out std_logic; Clock : in std_logic; aclr : in std_logic ); end entity Test_Pattern_Generator; architecture rtl of Test_Pattern_Generator is component Test_Pattern_Generator_GN is port ( Avalon_MM_Slave_address : in std_logic_vector(2-1 downto 0); Avalon_MM_Slave_write : in std_logic; Avalon_MM_Slave_writedata : in std_logic_vector(32-1 downto 0); Avalon_ST_Source_data : out std_logic_vector(24-1 downto 0); Avalon_ST_Source_endofpacket : out std_logic; Avalon_ST_Source_ready : in std_logic; Avalon_ST_Source_startofpacket : out std_logic; Avalon_ST_Source_valid : out std_logic; Clock : in std_logic; aclr : in std_logic ); end component Test_Pattern_Generator_GN; begin Test_Pattern_Generator_GN_0: if true generate inst_Test_Pattern_Generator_GN_0: Test_Pattern_Generator_GN port map(Avalon_MM_Slave_address => Avalon_MM_Slave_address, Avalon_MM_Slave_write => Avalon_MM_Slave_write, Avalon_MM_Slave_writedata => Avalon_MM_Slave_writedata, Avalon_ST_Source_data => Avalon_ST_Source_data, Avalon_ST_Source_endofpacket => Avalon_ST_Source_endofpacket, Avalon_ST_Source_ready => Avalon_ST_Source_ready, Avalon_ST_Source_startofpacket => Avalon_ST_Source_startofpacket, Avalon_ST_Source_valid => Avalon_ST_Source_valid, Clock => Clock, aclr => aclr); end generate; end architecture rtl;
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity Test_Pattern_Generator is port ( Avalon_MM_Slave_address : in std_logic_vector(2-1 downto 0); Avalon_MM_Slave_write : in std_logic; Avalon_MM_Slave_writedata : in std_logic_vector(32-1 downto 0); Avalon_ST_Source_data : out std_logic_vector(24-1 downto 0); Avalon_ST_Source_endofpacket : out std_logic; Avalon_ST_Source_ready : in std_logic; Avalon_ST_Source_startofpacket : out std_logic; Avalon_ST_Source_valid : out std_logic; Clock : in std_logic; aclr : in std_logic ); end entity Test_Pattern_Generator; architecture rtl of Test_Pattern_Generator is component Test_Pattern_Generator_GN is port ( Avalon_MM_Slave_address : in std_logic_vector(2-1 downto 0); Avalon_MM_Slave_write : in std_logic; Avalon_MM_Slave_writedata : in std_logic_vector(32-1 downto 0); Avalon_ST_Source_data : out std_logic_vector(24-1 downto 0); Avalon_ST_Source_endofpacket : out std_logic; Avalon_ST_Source_ready : in std_logic; Avalon_ST_Source_startofpacket : out std_logic; Avalon_ST_Source_valid : out std_logic; Clock : in std_logic; aclr : in std_logic ); end component Test_Pattern_Generator_GN; begin Test_Pattern_Generator_GN_0: if true generate inst_Test_Pattern_Generator_GN_0: Test_Pattern_Generator_GN port map(Avalon_MM_Slave_address => Avalon_MM_Slave_address, Avalon_MM_Slave_write => Avalon_MM_Slave_write, Avalon_MM_Slave_writedata => Avalon_MM_Slave_writedata, Avalon_ST_Source_data => Avalon_ST_Source_data, Avalon_ST_Source_endofpacket => Avalon_ST_Source_endofpacket, Avalon_ST_Source_ready => Avalon_ST_Source_ready, Avalon_ST_Source_startofpacket => Avalon_ST_Source_startofpacket, Avalon_ST_Source_valid => Avalon_ST_Source_valid, Clock => Clock, aclr => aclr); end generate; end architecture rtl;
-- -- This file is part of the la16fw project. -- -- Copyright (C) 2014-2015 Gregor Anich -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity clockmux is generic( n_log2 : integer := 2 ); port( clk_ctl : in std_logic; -- control clock clk_sel : in std_logic_vector(n_log2-1 downto 0); clk_in : in std_logic_vector(2**n_log2-1 downto 0); clk_out : out std_logic ); end clockmux; architecture behavioral of clockmux is type state_t is( idle, -- no clock active start, -- switch on clock stop, -- switch off clock active -- clk_out active ); subtype vector_t is std_logic_vector(2**n_log2-1 downto 0); signal state : state_t := idle; signal cur_clk_sel : unsigned(n_log2-1 downto 0); signal clk_run_set : vector_t := (others=>'0'); signal clk_run_get : vector_t; signal clk_running_set : vector_t := (others=>'0'); signal clk_running_get : vector_t; signal clk_in_gated : vector_t; -- FIXME: which signal needs TIG so it's ignored that cur_clk_sel switches the clk_out signal? attribute TIG : string; attribute TIG of clk_in : signal is "TRUE"; begin gen : for i in 0 to 2**n_log2-1 generate begin signal_run_inst : entity work.syncsignal generic map( negedge => true --shift on neg edge ) port map( clk_output => clk_in(i), input => clk_run_set(i), output => clk_run_get(i) ); signal_running_inst : entity work.syncsignal generic map( negedge => true --shift on neg edge ) port map( clk_output => clk_ctl, input => clk_running_set(i), output => clk_running_get(i) ); clk_running_set(i) <= clk_run_get(i); --clk_in_gated(i) <= clk_in(i) and clk_run_get(i); clk_in_gated(i) <= clk_in(i) when (clk_run_get(i) = '1') else '0'; end generate gen; clk_out <= clk_in_gated(to_integer(cur_clk_sel)); process(clk_ctl) begin if rising_edge(clk_ctl) then if (state = idle) then cur_clk_sel <= unsigned(clk_sel); clk_run_set(to_integer(unsigned(clk_sel))) <= '1'; state <= start; elsif (state = start) then if (clk_running_get(to_integer(cur_clk_sel)) = '1') then state <= active; end if; elsif (state = stop) then if (clk_running_get(to_integer(cur_clk_sel)) = '0') then state <= idle; end if; elsif (state = active) then if (unsigned(clk_sel) /= cur_clk_sel) then state <= stop; clk_run_set(to_integer(cur_clk_sel)) <= '0'; end if; end if; end if; end process; end behavioral;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_constant_GNOJLBOQHG is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000001011001111"; width : natural := 16); port( output : out std_logic_vector(15 downto 0)); end entity; architecture rtl of alt_dspbuilder_constant_GNOJLBOQHG is Begin -- Constant output <= "0000001011001111"; end architecture;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sat May 27 21:26:04 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- C:/ZyboIP/examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_xlconstant_0_0/system_xlconstant_0_0_stub.vhdl -- Design : system_xlconstant_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_xlconstant_0_0 is Port ( dout : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); end system_xlconstant_0_0; architecture stub of system_xlconstant_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "dout[23:0]"; begin end;
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY test_datapath_controller IS END test_datapath_controller; ARCHITECTURE behavior OF test_datapath_controller IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT datapath_controller PORT( window_ctrl : IN std_logic_vector(1 downto 0); masterReset : IN std_logic; mem_addr : OUT STD_LOGIC_VECTOR(5 downto 0); window_val : OUT std_logic_vector(1 downto 0); overflow : IN std_logic; clk : IN std_logic ); END COMPONENT; signal window_ctrl : std_logic_vector(1 downto 0) := (others => '0'); signal masterReset : std_logic := '0'; signal mem_addr : std_logic_vector(5 downto 0) := (others => '0'); signal window_val : std_logic_vector(1 downto 0) := (others => '0'); signal clk : std_logic := '0'; signal overflow : std_logic := '0'; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: datapath_controller PORT MAP ( window_ctrl => window_ctrl, masterReset => masterReset, mem_addr => mem_addr, window_val => window_val, overflow => overflow, clk => clk ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process (clk) begin if (clk'event and clk = '1') then end if; end process; END;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: sim -- File: sim.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: JTAG debug link communication test ------------------------------------------------------------------------------ -- pragma translate_off library ieee; use ieee.std_logic_1164.all; use std.textio.all; library grlib; use grlib.stdlib.all; use grlib.stdio.all; use grlib.amba.all; package jtagtst is procedure clkj(tmsi, tdii : in std_ulogic; tdoo : out std_ulogic; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure shift(dr : in boolean; len : in integer; din : in std_logic_vector; dout : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure jtagcom(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; cp, start, addr : in integer; -- cp - TCK clock period in ns -- start - time in us when JTAG test -- is started -- addr - read/write operation destination address haltcpu : in boolean; justinit : in boolean := false; -- Only perform initialization reread : in boolean := false; -- Re-read on slow AHB response assertions : in boolean := false -- Allow output from assertions ); subtype jword_type is std_logic_vector(31 downto 0); type jdata_vector_type is array (integer range <>) of jword_type; procedure jwritem(addr : in std_logic_vector; data : in jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure jwritem(addr : in std_logic_vector; data : in jdata_vector_type; hsize : in std_logic_vector(1 downto 0); signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure jreadm(addr : in std_logic_vector; data : out jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false); procedure jreadm(addr : in std_logic_vector; hsize : in std_logic_vector(1 downto 0); data : out jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false); procedure jwrite(addr, data : in std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure jwrite(addr, hsize, data : in std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; ainst : in integer := 2; dinst : in integer := 3; isize : in integer := 6); procedure jread(addr : in std_logic_vector; data : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false); procedure jread(addr : in std_logic_vector; hsize : in std_logic_vector; data : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false); procedure bscantest(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; cp: in integer; inst_samp: integer := 5; inst_extest: integer := 6; inst_intest: integer := 7; inst_mbist: integer := 11; fastmode: boolean := false); procedure bscansampre(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; nsigs: in integer; sigpre: in std_logic_vector; sigsamp: out std_logic_vector; cp: in integer; inst_samp: integer); end; package body jtagtst is procedure clkj(tmsi, tdii : in std_ulogic; tdoo : out std_ulogic; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is begin tdi <= tdii; tck <= '0'; tms <= tmsi; wait for 2 * cp * 1 ns; tck <= '1'; tdoo := tdo; wait for 2 * cp * 1 ns; end; procedure shift(dr : in boolean; len : in integer; din : in std_logic_vector; dout : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is variable dc : std_ulogic; begin clkj('0', '0', dc, tck, tms, tdi, tdo, cp); clkj('1', '0', dc, tck, tms, tdi, tdo, cp); if (not dr) then clkj('1', '0', dc, tck, tms, tdi, tdo, cp); end if; clkj('0', '0', dc, tck, tms, tdi, tdo, cp); -- capture clkj('0', '0', dc, tck, tms, tdi, tdo, cp); -- shift (state) for i in 0 to len-2 loop clkj('0', din(i), dout(i), tck, tms, tdi, tdo, cp); end loop; clkj('1', din(len-1), dout(len-1), tck, tms, tdi, tdo, cp); -- end shift, goto exit1 clkj('1', '0', dc, tck, tms, tdi, tdo, cp); -- update ir/dr clkj('0', '0', dc, tck, tms, tdi, tdo, cp); -- run_test/idle end; procedure jwrite(addr, data : in std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable hsize : std_logic_vector(1 downto 0); begin hsize := "10"; wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '1' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; tmp := '0' & data; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end; procedure jwrite(addr, hsize, data : in std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; ainst : in integer := 2; dinst : in integer := 3; isize : in integer := 6) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable v_ainst : std_logic_vector(0 to 7); variable v_dinst : std_logic_vector(0 to 7); variable tmp3 : std_logic_vector(7 downto 0); variable tmp4 : std_logic_vector(7 downto 0); begin tmp3 := conv_std_logic_vector(ainst,8); tmp4 := conv_std_logic_vector(dinst,8); for i in 0 to 7 loop v_ainst(i) := tmp3(i); v_dinst(i) := tmp4(i); end loop; wait for 10 * cp * 1 ns; shift(false, isize, v_ainst(0 to isize-1), dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '1' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, isize, v_dinst(0 to isize-1), dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; tmp := '0' & data; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end; procedure jread(addr : in std_logic_vector; data : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable hsize : std_logic_vector(1 downto 0); begin hsize := "10"; wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '0' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; tmp := (others => '0'); --tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data := dr(31 downto 0); end; procedure jread(addr : in std_logic_vector; hsize : in std_logic_vector; data : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); begin wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '0' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; tmp := (others => '0'); --tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; wait for 5 * cp * 1 ns; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data := dr(31 downto 0); end; procedure jwritem(addr : in std_logic_vector; data : in jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable hsize : std_logic_vector(1 downto 0); begin hsize := "10"; wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '1' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; for i in data'left to data'right-1 loop tmp := '1' & data(i); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end loop; tmp := '0' & data(data'right); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end; procedure jwritem(addr : in std_logic_vector; data : in jdata_vector_type; hsize : in std_logic_vector(1 downto 0); signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); begin wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '1' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; for i in data'left to data'right-1 loop tmp := '1' & data(i); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end loop; tmp := '0' & data(data'right); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end; procedure jreadm(addr : in std_logic_vector; data : out jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable hsize : std_logic_vector(1 downto 0); begin hsize := "10"; wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '0' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; for i in data'left to data'right-1 loop tmp := (others => '0'); tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data(i) := dr(31 downto 0); end loop; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data(data'right) := dr(31 downto 0); end; procedure jreadm(addr : in std_logic_vector; hsize : in std_logic_vector(1 downto 0); data : out jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); begin wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '0' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; for i in data'left to data'right-1 loop tmp := (others => '0'); tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data(i) := dr(31 downto 0); end loop; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data(data'right) := dr(31 downto 0); end; procedure jtagcom(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; cp, start, addr : in integer; haltcpu : in boolean; justinit : in boolean := false; reread : in boolean := false; assertions : in boolean := false) is variable dc : std_ulogic; variable dr : std_logic_vector(32 downto 0); variable tmp : std_logic_vector(32 downto 0); variable data : std_logic_vector(31 downto 0); variable datav : jdata_vector_type(0 to 3); begin tck <= '0'; tms <= '0'; tdi <= '0'; wait for start * 1 us; print("AHB JTAG TEST"); for i in 1 to 5 loop -- reset clkj('1', '0', dc, tck, tms, tdi, tdo, cp); end loop; clkj('0', '0', dc, tck, tms, tdi, tdo, cp); --read IDCODE wait for 10 * cp * 1 ns; shift(true, 32, conv_std_logic_vector(0, 32), dr, tck, tms, tdi, tdo, cp); print("JTAG TAP ID:" & tost(dr(31 downto 0))); wait for 10 * cp * 1 ns; shift(false, 6, conv_std_logic_vector(63, 6), dr, tck, tms, tdi, tdo, cp); -- BYPASS --shift data through BYPASS reg shift(true, 32, conv_std_logic_vector(16#AAAA#, 16) & conv_std_logic_vector(16#AAAA#, 16), dr, tck, tms, tdi, tdo, cp); -- put CPUs in debug mode if haltcpu then jwrite(X"90000000", X"00000004", tck, tms, tdi, tdo, cp); jwrite(X"90000020", X"0000FFFF", tck, tms, tdi, tdo, cp); print("JTAG: Putting CPU in debug mode"); end if; if false then jwrite(X"90000000", X"FFFFFFFF", tck, tms, tdi, tdo, cp); jread (X"90000000", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90000000") & ":" & tost(X"FFFFFFFF")); print("JTAG READ " & tost(X"90000000") & ":" & tost(data)); jwrite(X"90100034", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90100034", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90100034") & ":" & tost(X"ABCD1234")); print("JTAG READ " & tost(X"90100034") & ":" & tost(data)); jwrite(X"90200058", X"ABCDEF01", tck, tms, tdi, tdo, cp); jread (X"90200058", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90200058") & ":" & tost(X"ABCDEF01")); print("JTAG READ " & tost(X"90200058") & ":" & tost(data)); jwrite(X"90300000", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90300000", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90300000") & ":" & tost(X"ABCD1234")); print("JTAG READ " & tost(X"90300000") & ":" & tost(data)); jwrite(X"90400000", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90400000", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90400000") & ":" & tost(X"ABCD1234")); print("JTAG READ " & tost(X"90400000") & ":" & tost(data)); jwrite(X"90400024", X"0000000C", tck, tms, tdi, tdo, cp); jwrite(X"90700100", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90700100", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE ITAG :" & tost(X"00000100") & ":" & tost(X"ABCD1234")); print("JTAG READ ITAG :" & tost(X"00000100") & ":" & tost(data)); jwrite(X"90400024", X"0000000D", tck, tms, tdi, tdo, cp); jwrite(X"90700100", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90700100", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE IDATA:" & tost(X"00000100") & ":" & tost(X"ABCD1234")); print("JTAG READ IDATA:" & tost(X"00000100") & ":" & tost(data)); jwrite(X"90400024", X"0000000E", tck, tms, tdi, tdo, cp); jwrite(X"90700100", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90700100", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE DTAG :" & tost(X"00000100") & ":" & tost(X"ABCD1234")); print("JTAG READ DTAG :" & tost(X"00000100") & ":" & tost(data)); jwrite(X"90400024", X"0000000F", tck, tms, tdi, tdo, cp); jwrite(X"90700100", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90700100", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE DDATA:" & tost(X"00000100") & ":" & tost(X"ABCD1234")); print("JTAG READ DDATA:" & tost(X"00000100") & ":" & tost(data)); end if; if not justinit then --jwritem(addr, (X"00000010", X"00000010", X"00000010", X"00000010"), tck, tms, tdi, tdo, cp); datav(0) := X"00000010"; datav(1) := X"00000011"; datav(2) := X"00000012"; datav(3) := X"00000013"; jwritem(conv_std_logic_vector(addr, 32), datav, tck, tms, tdi, tdo, cp); print("JTAG WRITE " & tost(conv_std_logic_vector(addr,32)) & ":" & tost(X"00000010") & " " & tost(X"00000011") & " " & tost(X"00000012") & " " & tost(X"00000013")); datav := (others => (others => '0')); jreadm(conv_std_logic_vector(addr, 32), datav, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG READ " & tost(conv_std_logic_vector(addr,32)) & ":" & tost(datav(0)) & " " & tost(datav(1)) & " " & tost(datav(2)) & " " & tost(datav(3))); -- Not affected by 'assertions' parameter assert (datav(0) = X"00000010") and (datav(1) = X"00000011") and (datav(2) = X"00000012") and (datav(3) = X"00000013") report "JTAG test failed" severity failure; print("JTAG test passed"); end if; end procedure; -- Sample/Preload procedure bscansampre(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; nsigs: in integer; sigpre: in std_logic_vector; sigsamp: out std_logic_vector; cp: in integer; inst_samp: integer) is variable tmp: std_logic_vector(5 downto 0); begin shift(false,6, conv_std_logic_vector(inst_samp,6), tmp, tck,tms,tdi,tdo, cp); shift(true, nsigs, sigpre, sigsamp, tck,tms,tdi,tdo, cp); end procedure; -- Boundary scan test procedure bscantest(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; cp: in integer; inst_samp: integer := 5; inst_extest: integer := 6; inst_intest: integer := 7; inst_mbist: integer := 11; fastmode: boolean := false) is variable tmpin,tmpout: std_logic_vector(1999 downto 0); variable i,bslen: integer; variable dc: std_logic; variable tmp6: std_logic_vector(5 downto 0); variable tmp1: std_logic_vector(0 downto 0); begin print("[bscan] Boundary scan test starting..."); for i in 1 to 5 loop -- reset clkj('1', '0', dc, tck, tms, tdi, tdo, cp); end loop; clkj('0', '0', dc, tck, tms, tdi, tdo, cp); -- Probe length of boundary scan chain tmpin := (others => '0'); tmpin(tmpin'length/2) := '1'; bscansampre(tdo,tck,tms,tdi,tmpin'length,tmpin,tmpout,cp,inst_samp); i := tmpout'length/2; for x in tmpout'length/2 to tmpout'high loop if tmpout(x)='1' then -- print("tmpout(" & tost(x) & ") set"); i := x; end if; end loop; bslen := i-tmpout'length/2; if bslen=0 then print("[bscan] Scan chain not present, skipping test"); return; end if; print("[bscan] Detected boundary scan chain length: " & tost(bslen)); if fastmode then print("[bscan] Setting EXTEST with all chain regs=0"); shift(false,6, conv_std_logic_vector(inst_extest,6), tmp6, tck,tms,tdi,tdo, cp); -- extest print("[bscan] In EXTEST, changing all chain regs to 1"); tmpin := (others => '1'); shift(true, bslen, tmpin(bslen-1 downto 0), tmpout(bslen-1 downto 0), tck,tms,tdi,tdo, cp); print("[bscan] Setting INTEST with all chain regs=1"); shift(false,6, conv_std_logic_vector(inst_intest,6), tmp6, tck,tms,tdi,tdo, cp); -- intest print("[bscan] In INTEST, changing all chain regs to 0"); tmpin := (others => '0'); shift(true, bslen, tmpin(bslen-1 downto 0), tmpout(bslen-1 downto 0), tck,tms,tdi,tdo, cp); else print("[bscan] Looping over outputs..."); shift(false,6, conv_std_logic_vector(inst_extest,6), tmp6, tck,tms,tdi,tdo, cp); -- extest for x in 0 to bslen loop tmpin :=(others => '0'); tmpin(x) := '1'; shift(true, bslen, tmpin(bslen-1 downto 0), tmpout(bslen-1 downto 0), tck,tms,tdi,tdo, cp); end loop; print("[bscan] Looping over inputs..."); shift(false,6, conv_std_logic_vector(inst_intest,6), tmp6, tck,tms,tdi,tdo, cp); -- intest for x in 0 to bslen loop tmpin :=(others => '0'); tmpin(x) := '1'; shift(true, bslen, tmpin(bslen-1 downto 0), tmpout(bslen-1 downto 0), tck,tms,tdi,tdo, cp); end loop; end if; if inst_mbist >= 0 then print("[bscan] Shifting in MBIST command"); shift(false,6, conv_std_logic_vector(inst_mbist,6), tmp6, tck,tms,tdi,tdo, cp); -- MBIST command end if; print("[bscan] Test done"); end procedure; end; -- pragma translate_on