content
stringlengths
1
1.04M
-------------------------------------------------------------------------------- -- -- -- V H D L F I L E -- -- COPYRIGHT (C) 2006 -- -- -- -------------------------------------------------------------------------------- -- -- -- Title : SUB_RAMZ -- -- Design : EV_JPEG_ENC -- -- Author : Michal Krepa -- -- -- -- -- -------------------------------------------------------------------------------- -- -- File : SUB_RAMZ.VHD -- Created : 22/03/2009 -- -------------------------------------------------------------------------------- -- -- Description : RAM memory simulation model -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity SUB_RAMZ is generic ( RAMADDR_W : INTEGER := 6; RAMDATA_W : INTEGER := 12 ); port ( d : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); waddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); raddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); we : in STD_LOGIC; clk : in STD_LOGIC; q : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0) ); end SUB_RAMZ; architecture RTL of SUB_RAMZ is type mem_type is array ((2**RAMADDR_W)-1 downto 0) of STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); signal mem : mem_type; signal read_addr : STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); --attribute ram_style: string; --attribute ram_style of mem : signal is "distributed"; begin ------------------------------------------------------------------------------- q_sg: ------------------------------------------------------------------------------- q <= mem(TO_INTEGER(UNSIGNED(read_addr))); ------------------------------------------------------------------------------- read_proc: -- register read address ------------------------------------------------------------------------------- process (clk) begin if clk = '1' and clk'event then read_addr <= raddr; end if; end process; ------------------------------------------------------------------------------- write_proc: --write access ------------------------------------------------------------------------------- process (clk) begin if clk = '1' and clk'event then if we = '1' then mem(TO_INTEGER(UNSIGNED(waddr))) <= d; end if; end if; end process; end RTL;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc300.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b04x00p03n01i00300ent IS END c03s01b04x00p03n01i00300ent; ARCHITECTURE c03s01b04x00p03n01i00300arch OF c03s01b04x00p03n01i00300ent IS type REAL1 is range REAL'LOW-1.0 to REAL'HIGH+1.0; BEGIN TESTING: PROCESS variable temp : REAL1 := REAL'LOW - 1.0; BEGIN assert FALSE report "***FAILED TEST: c03s01b04x00p03n01i00300 - Range exceeds implementation." severity ERROR; wait; END PROCESS TESTING; END c03s01b04x00p03n01i00300arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc300.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b04x00p03n01i00300ent IS END c03s01b04x00p03n01i00300ent; ARCHITECTURE c03s01b04x00p03n01i00300arch OF c03s01b04x00p03n01i00300ent IS type REAL1 is range REAL'LOW-1.0 to REAL'HIGH+1.0; BEGIN TESTING: PROCESS variable temp : REAL1 := REAL'LOW - 1.0; BEGIN assert FALSE report "***FAILED TEST: c03s01b04x00p03n01i00300 - Range exceeds implementation." severity ERROR; wait; END PROCESS TESTING; END c03s01b04x00p03n01i00300arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc300.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b04x00p03n01i00300ent IS END c03s01b04x00p03n01i00300ent; ARCHITECTURE c03s01b04x00p03n01i00300arch OF c03s01b04x00p03n01i00300ent IS type REAL1 is range REAL'LOW-1.0 to REAL'HIGH+1.0; BEGIN TESTING: PROCESS variable temp : REAL1 := REAL'LOW - 1.0; BEGIN assert FALSE report "***FAILED TEST: c03s01b04x00p03n01i00300 - Range exceeds implementation." severity ERROR; wait; END PROCESS TESTING; END c03s01b04x00p03n01i00300arch;
architecture rtl of fifo is constant sig8 : record_type_3(element1(7 downto 0),element2(4 downto 0)(7 downto 0) (elementA(7 downto 0) ,elementB(3 downto 0) ),element3(3 downto 0)(elementC(4 downto 1), elementD(1 downto 0)),element5(elementE (3 downto 0) (6 downto 0) ,elementF(7 downto 0) ),element6(4 downto 0),element7(7 downto 0)); constant sig9 : t_data_struct(data(7 downto 0)); constant sig9 : t_data_struct(data(7 downto 0) ); begin end architecture rtl;
package pkg_B is generic ( B: integer := 2 ); procedure showB; end pkg_B; package body pkg_B is procedure showB is begin report "B:" & integer'image(B); end procedure showB; end package body pkg_B;
------------------------------------------------------------------------ -- EppCtrl.vhd -- Digilent Epp Interface Module ------------------------------------------------------------------------ -- Author : Mircea Dabacan -- Copyright 2004 Digilent, Inc. ------------------------------------------------------------------------ -- Software version: Xilinx ISE 6.2.03i -- WebPack ------------------------------------------------------------------------ -- This file contains the design for an EPP interface controller. -- This configuration, in conjunction with a communication module, -- (Digilent USB, Serial, Network or Parallel module) allows the user -- to interface some other FPGA implemented "client" components -- (Digilent Library components or user generated ones) -- to a PC application program (a Digilent utility or user generated). ------------------------------------------------------------------------ -- Behavioral description ------------------------------------------------------------------------ -- All the Digilent communication modules above emulate an EPP interface -- at the FPGA board connector pins, compatible to EppCtrl controller. -- The controller performs the following functions: -- - manages the EPP standard handshake -- - implements the standard EPP Address Register -- - provides the signals needed to read/write EPP Data Registers. -- The "client" component(s) is (are) responsible to implement the -- specific required data registers, as explained below: -- - declare the data read and write registers; -- - assign an Epp address for each. -- A couple of read- respective write- registers can be -- assigned to the same Epp address. Assign a unique address -- to each register (couple) throughout all the -- client components connected to the same EppCtrl. -- The totality of assigned addresses builds the component -- address range. If less the 256 (couples of) registers are -- required, "mirror" or "alias" addresses can be used -- (incomplete regEppAdrOut(7:0) decoding). -- The mirror addresses are not allowed to overlap throughout -- all the client components connected to the same EppCtrl. -- - use the same clock signal for all data registers as well as -- for EppCtrl component Write Data registers -- - connect the inputs of all write registers to busEppOut(7:0) -- - decode regEppAdrOut(7:0) to generate the CS signal for each -- write register -- - use ctlEppDwrOut as WE signal for all the write registers -- Read Data registers -- - connect the outputs of all read registers to busEppIn(7:0) -- THROUGH A MUX -- - use the regEppAdrOut(7:0) as MUX address lines. -- - defines two types of Data Register access -- - Register Transfer - reads or writes a client data register, -- - no handshake to the client component. -- - Process Launch - launches a client process and -- - waits it to complete. -- The client process is required to conform to the handshake -- protocol described below. -- A client data register transfer is also performed. -- The client component decides to which type the current -- Data Register Access belongs: a clock period (20ns for 50MHz -- clock frequency) after ctlEppDwrOut becomes active, -- EppCtrl samples the HandShakeReqIn input signal. -- - if inactive, the current transfer cycle completes without a -- handshake protocol. -- - if active (HIGH), the current transfer cycle uses a -- handshake protocol: -- -- The Handshake protocol -- - the busEppOut, ctlEppRdCycleOut and regEppAdrOut(7:0) -- signals freeze -- - (for a WRITE cycle, ctlEppDwrOut pulses LOW for -- 1 CK period - the selected write register is set) -- - the ctlEppStartOut signal is set active (HIGH) -- - (for a READ cycle, client application places data on -- busEppIn(7:0)) -- - the controller waits for the ctlEppDoneIn signal to -- become active (HIGH) -- - (for a READ cycle, the data transfer is performed 1 CK -- period later) -- - the ctlEppStartOut signal is set inactive (LOW) -- - the controller waits for the ctlEppDoneIn signal to -- become inactive (LOW) -- - a new transfer cycle can begin (if required by the PC -- application) -- A client component can use the handshake protocol feature for -- various purposes: -- - blocking the EppCtrl at all: -- - activate the HandShakeReqIn input signal -- - wait for the ctlEppStartOut signal to become active. -- - keep the ctlEppDoneIn signal inactive (LOW) for the -- desired time (the Epp interface freezes - the PC -- software could exit with a time-out error) -- - activate the ctlEppDoneIn signal. -- - wait for the ctlEppStartOut signal to become inactive. -- - inactivate ctlEppDoneIn, -- - continue its own action. -- - blocking the EppCtrl cycles for a specific client component: -- - activate the HandShakeReqIn input signal when the -- regEppAdrOut(7:0) value belongs to the address range -- assigned to the specific client component. -- - wait for the ctlEppStartOut signal to become active. -- - keep the ctlEppDoneIn signal inactive (LOW) for the -- desired time (the Epp interface freezes - the PC -- software could exit with a time-out error) -- - activate the ctlEppDoneIn signal. -- - wait for the ctlEppStartOut signal to become inactive. -- - inactivate ctlEppDoneIn, -- - continue its own action. -- - enlarging the EppCtrl cycles for specific data register -- transfer cycles: -- - activate the HandShakeReqIn input signal when the -- regEppAdrOut(7:0) value equals any Data Register address -- that requires an internal process. -- (ctlEppRdCycleOut signal can be used to discriminate -- between read and write cycles; ctlEppDwrOut signal -- cannot be used because it is not yet active when -- HandshakeReqIn is sampled) -- - wait for the ctlEppStartOut signal to become active. -- - launch the appropriate process (based on the -- regEppAdrOut(7:0) and ctlEppRdCycleOut values) -- - keep the ctlEppDoneIn signal inactive (LOW) until the -- process completes(the Epp interface freezes - the PC -- software could exit with a time-out error) -- - get ready for the current transfer cycle completion. -- - activate the ctlEppDoneIn signal. -- - wait for the ctlEppStartOut signal to become inactive. -- - inactivate ctlEppDoneIn, -- - continue its own action. ------------------------------------------------------------------------ -- Port definitions ------------------------------------------------------------------------ -- Epp bus signals -- clk : in std_logic; -- system clock (50MHz) -- EppAstb: in std_logic; -- Address strobe -- EppDstb: in std_logic; -- Data strobe -- EppWr : in std_logic; -- Port write signal -- EppRst : in std_logic; -- Port reset signal -- pint : out std_logic; -- Port interrupt request (not used) -- EppDB : inout std_logic_vector(7 downto 0); -- port data bus -- EppWait: out std_logic; -- Port wait signal -- User signals -- busEppOut: out std_logic_vector(7 downto 0); -- Data Output bus -- busEppIn: in std_logic_vector(7 downto 0); -- Data Input bus -- ctlEppDwrOut: out std_logic; -- Data Write pulse -- ctlEppRdCycleOut: inout std_logic; -- Indicates a READ Epp cycle -- regEppAdrOut: inout std_logic_vector(7 downto 0) := "00000000"; -- Epp Address Register content -- HandShakeReqIn: in std_logic; -- User Handshake Request -- ctlEppStartOut: out std_logic; -- Automatic process Start -- ctlEppDoneIn: in std_logic -- Automatic process Done ------------------------------------------------------------------------ -- Revision History: -- 10/21/2004(MirceaD): created ------------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity EppCtrl is Port ( -- Epp-like bus signals clk : in std_logic; -- system clock (50MHz) EppAstb: in std_logic; -- Address strobe EppDstb: in std_logic; -- Data strobe EppWr : in std_logic; -- Port write signal EppRst : in std_logic; -- Port reset signal -- pint : out std_logic; -- Port interrupt request (not used) EppDB : inout std_logic_vector(7 downto 0); -- port data bus EppWait: out std_logic; -- Port wait signal -- User signals busEppOut: out std_logic_vector(7 downto 0); -- Data Output bus busEppIn: in std_logic_vector(7 downto 0); -- Data Input bus ctlEppDwrOut: out std_logic; -- Data Write pulse ctlEppRdCycleOut: inout std_logic; -- Indicates a READ Epp cycle regEppAdrOut: inout std_logic_vector(7 downto 0) := "00000000"; -- Epp Address Register content HandShakeReqIn: in std_logic; -- User Handshake Request ctlEppStartOut: out std_logic; -- Automatic process Start ctlEppDoneIn: in std_logic -- Automatic process Done ); end EppCtrl; architecture Behavioral of EppCtrl is ------------------------------------------------------------------------ -- Constant and Signal Declarations ------------------------------------------------------------------------ -- The following constants define state codes for the EPP port interface -- state machine. -- The states are such a way assigned that each transition -- changes a single state register bit (Grey code - like) constant stEppReady : std_logic_vector(2 downto 0) := "000"; constant stEppStb : std_logic_vector(2 downto 0) := "010"; constant stEppRegTransf : std_logic_vector(2 downto 0) := "110"; constant stEppSetProc : std_logic_vector(2 downto 0) := "011"; constant stEppLaunchProc: std_logic_vector(2 downto 0) := "111"; constant stEppWaitProc : std_logic_vector(2 downto 0) := "101"; constant stEppDone : std_logic_vector(2 downto 0) := "100"; -- Epp state register and next state signal for the Epp FSM signal stEppCur: std_logic_vector(2 downto 0) := stEppReady; signal stEppNext: std_logic_vector(2 downto 0); -- The attribute lines below prevent the ISE compiler to extract and -- optimize the state machines. -- WebPack 5.1 doesn't need them (the default value is NO) -- WebPack 6.2 has the default value YES, so without these lines would -- "optimize" the state machines. -- Although the overall circuit would be optimized, the particular goal -- of "glitch free output signals" may not be reached. -- That is the reason of implementing the state machine as described in -- the constant declarations above. attribute fsm_extract : string; attribute fsm_extract of stEppCur: signal is "no"; attribute fsm_extract of stEppNext: signal is "no"; attribute fsm_encoding : string; attribute fsm_encoding of stEppCur: signal is "user"; attribute fsm_encoding of stEppNext: signal is "user"; attribute signal_encoding : string; attribute signal_encoding of stEppCur: signal is "user"; attribute signal_encoding of stEppNext: signal is "user"; -- Signals used by Epp state machine signal busEppInternal: std_logic_vector(7 downto 0); -- signal ctlEppDir : std_logic; signal ctlEppAwr : std_logic; ------------------------------------------------------------------------ -- Module Implementation ------------------------------------------------------------------------ begin ------------------------------------------------------------------------ -- Map basic status and control signals ------------------------------------------------------------------------ -- Epp signals -- Port signals -- Synchronized Epp inputs: process(clk) begin if clk'event and clk='1' then if stEppCur = stEppReady then ctlEppRdCycleOut <= '0'; elsif stEppCur = stEppStb then ctlEppRdCycleOut <= EppWr; -- not equivalent to EppWr due to default state end if; end if; end process; busEppOut <= EppDB; -- name meaning change!!! EppDB <=busEppInternal when (ctlEppRdCycleOut = '1') else "ZZZZZZZZ"; busEppInternal <= regEppAdrOut when EppAstb = '0' else busEppIn; -- Epp State machine related signals EppWait <= '1' when stEppCur = stEppDone else '0'; ctlEppAwr <= '1' when stEppCur = stEppRegTransf and EppAstb = '0' and EppWr = '0' else '0'; ctlEppDwrOut <= '1' when (stEppCur = stEppRegTransf or stEppCur = stEppSetProc) and EppDstb = '0' and EppWr = '0' else '0'; ctlEppStartOut <= '1' when stEppCur = stEppLaunchProc else '0'; ------------------------------------------------------------------------ -- EPP Interface Control State Machine ------------------------------------------------------------------------ process (clk) begin if clk = '1' and clk'Event then if EppRst = '0' then stEppCur <= stEppReady; else stEppCur <= stEppNext; end if; end if; end process; process (stEppCur) begin case stEppCur is -- Idle state waiting for the beginning of an EPP cycle when stEppReady => if EppAstb = '0' or EppDstb = '0' then -- Epp cycle recognized stEppNext <= stEppStb; else -- Remain in ready state stEppNext <= stEppReady; end if; when stEppStb => if EppDstb = '0' and HandShakeReqIn = '1' then stEppNext <= stEppSetProc; else stEppNext <= stEppRegTransf; end if; -- Data or Address register transfer when stEppRegTransf => stEppNext <= stEppDone; -- Automatic Process when stEppSetProc => stEppNext <= stEppLaunchProc; when stEppLaunchProc => if ctlEppDoneIn = '0' then stEppNext <= stEppLaunchProc; else stEppNext <= stEppWaitProc; end if; when stEppWaitProc => if ctlEppDoneIn = '1' then stEppNext <= stEppWaitProc; else stEppNext <= stEppDone; end if; when stEppDone => if EppAstb = '0' or EppDstb = '0' then stEppNext <= stEppDone; else stEppNext <= stEppReady; end if; -- Some unknown state when others => stEppNext <= stEppReady; end case; end process; -- EPP Address register process (clk, ctlEppAwr) begin if clk = '1' and clk'Event then if ctlEppAwr = '1' then regEppAdrOut <= EppDB; end if; end if; end process; end Behavioral;
------------------------------------------------------- -- Design Name : lfsr -- File Name : lfsr_updown_tb.vhd -- Function : Linear feedback shift register -- Coder : Deepak Kumar Tala (Verilog) -- Translator : Alexander H Pham (VHDL) ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_textio.all; use std.textio.all; entity lfsr_updown_tb is end entity; architecture test of lfsr_updown_tb is constant WIDTH :integer := 8; signal clk :std_logic := '0'; signal reset :std_logic := '1'; signal enable :std_logic := '0'; signal up_down :std_logic := '0'; signal count :std_logic_vector (WIDTH-1 downto 0); signal overflow :std_logic; component lfsr_updown is generic ( WIDTH :integer := 8 ); port ( clk :in std_logic; -- Clock input reset :in std_logic; -- Reset input enable :in std_logic; -- Enable input up_down :in std_logic; -- Up Down input count :out std_logic_vector (WIDTH-1 downto 0); -- Count output overflow :out std_logic -- Overflow output ); end component; constant PERIOD :time := 20 ns; begin clk <= not clk after PERIOD/2; reset <= '0' after PERIOD*10; enable <= '1' after PERIOD*11; up_down <= '1' after PERIOD*22; -- Display the time and result process (reset, enable, up_down, count, overflow) variable wrbuf :line; begin write(wrbuf, string'("Time: " )); writeline(output, wrbuf); write(wrbuf, now); writeline(output, wrbuf); write(wrbuf, string'(" rst: " )); writeline(output, wrbuf); write(wrbuf, reset); writeline(output, wrbuf); write(wrbuf, string'(" enable: " )); writeline(output, wrbuf); write(wrbuf, enable); writeline(output, wrbuf); write(wrbuf, string'(" up_down: " )); writeline(output, wrbuf); write(wrbuf, up_down); writeline(output, wrbuf); write(wrbuf, string'(" count: " )); writeline(output, wrbuf); write(wrbuf, count); writeline(output, wrbuf); write(wrbuf, string'(" overflow: ")); writeline(output, wrbuf); write(wrbuf, overflow); writeline(output, wrbuf); end process; Inst_lfsr_updown : lfsr_updown port map ( clk => clk, reset => reset, enable => enable, up_down => up_down, count => count, overflow => overflow ); end architecture;
------------------------------------------------------- -- Design Name : lfsr -- File Name : lfsr_updown_tb.vhd -- Function : Linear feedback shift register -- Coder : Deepak Kumar Tala (Verilog) -- Translator : Alexander H Pham (VHDL) ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_textio.all; use std.textio.all; entity lfsr_updown_tb is end entity; architecture test of lfsr_updown_tb is constant WIDTH :integer := 8; signal clk :std_logic := '0'; signal reset :std_logic := '1'; signal enable :std_logic := '0'; signal up_down :std_logic := '0'; signal count :std_logic_vector (WIDTH-1 downto 0); signal overflow :std_logic; component lfsr_updown is generic ( WIDTH :integer := 8 ); port ( clk :in std_logic; -- Clock input reset :in std_logic; -- Reset input enable :in std_logic; -- Enable input up_down :in std_logic; -- Up Down input count :out std_logic_vector (WIDTH-1 downto 0); -- Count output overflow :out std_logic -- Overflow output ); end component; constant PERIOD :time := 20 ns; begin clk <= not clk after PERIOD/2; reset <= '0' after PERIOD*10; enable <= '1' after PERIOD*11; up_down <= '1' after PERIOD*22; -- Display the time and result process (reset, enable, up_down, count, overflow) variable wrbuf :line; begin write(wrbuf, string'("Time: " )); writeline(output, wrbuf); write(wrbuf, now); writeline(output, wrbuf); write(wrbuf, string'(" rst: " )); writeline(output, wrbuf); write(wrbuf, reset); writeline(output, wrbuf); write(wrbuf, string'(" enable: " )); writeline(output, wrbuf); write(wrbuf, enable); writeline(output, wrbuf); write(wrbuf, string'(" up_down: " )); writeline(output, wrbuf); write(wrbuf, up_down); writeline(output, wrbuf); write(wrbuf, string'(" count: " )); writeline(output, wrbuf); write(wrbuf, count); writeline(output, wrbuf); write(wrbuf, string'(" overflow: ")); writeline(output, wrbuf); write(wrbuf, overflow); writeline(output, wrbuf); end process; Inst_lfsr_updown : lfsr_updown port map ( clk => clk, reset => reset, enable => enable, up_down => up_down, count => count, overflow => overflow ); end architecture;
------------------------------------------------------- -- Design Name : lfsr -- File Name : lfsr_updown_tb.vhd -- Function : Linear feedback shift register -- Coder : Deepak Kumar Tala (Verilog) -- Translator : Alexander H Pham (VHDL) ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_textio.all; use std.textio.all; entity lfsr_updown_tb is end entity; architecture test of lfsr_updown_tb is constant WIDTH :integer := 8; signal clk :std_logic := '0'; signal reset :std_logic := '1'; signal enable :std_logic := '0'; signal up_down :std_logic := '0'; signal count :std_logic_vector (WIDTH-1 downto 0); signal overflow :std_logic; component lfsr_updown is generic ( WIDTH :integer := 8 ); port ( clk :in std_logic; -- Clock input reset :in std_logic; -- Reset input enable :in std_logic; -- Enable input up_down :in std_logic; -- Up Down input count :out std_logic_vector (WIDTH-1 downto 0); -- Count output overflow :out std_logic -- Overflow output ); end component; constant PERIOD :time := 20 ns; begin clk <= not clk after PERIOD/2; reset <= '0' after PERIOD*10; enable <= '1' after PERIOD*11; up_down <= '1' after PERIOD*22; -- Display the time and result process (reset, enable, up_down, count, overflow) variable wrbuf :line; begin write(wrbuf, string'("Time: " )); writeline(output, wrbuf); write(wrbuf, now); writeline(output, wrbuf); write(wrbuf, string'(" rst: " )); writeline(output, wrbuf); write(wrbuf, reset); writeline(output, wrbuf); write(wrbuf, string'(" enable: " )); writeline(output, wrbuf); write(wrbuf, enable); writeline(output, wrbuf); write(wrbuf, string'(" up_down: " )); writeline(output, wrbuf); write(wrbuf, up_down); writeline(output, wrbuf); write(wrbuf, string'(" count: " )); writeline(output, wrbuf); write(wrbuf, count); writeline(output, wrbuf); write(wrbuf, string'(" overflow: ")); writeline(output, wrbuf); write(wrbuf, overflow); writeline(output, wrbuf); end process; Inst_lfsr_updown : lfsr_updown port map ( clk => clk, reset => reset, enable => enable, up_down => up_down, count => count, overflow => overflow ); end architecture;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DI9CvK24CZDgv60ww5OkEEAC/h48/DFLxAbP4dyJpfqAt71PEbV47RrWXkc0xXmY5NSLN+mQQTqA eXgY/fercw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GASEm0xSmlPctZwTXvpoEQN/9eJhQ1AGpGXXiTueqnzITI8rSM2AbKq431hSrAsltCOgNIqDOrT8 MhzoDIp4oiTdYrz7J1Td/CJmW/LP0AQkn3BDyCRc9WKT0uFYgNFtdhX81GMWi7cOvLLYEWEPVmqg G7yiI99gIdh/lJsPm60= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z6zE5Z3wXzno7jlTDhbpkW+T6NUzXwWGA1KaYiwTcrkPfARWQulUAywKFkjIO3ZTlmcSnqqhspmF sHKCeraWm6jKbAkCuDd5xe64Qb3vZ5Gi+iYDKB9XwZ3sXMeT/bvDS7i5YtOuig2BCNCr1bBeNsDo Y1JUWuRk8Ii29yyUrPvLvoS8VMVUzmshjCrjDOoncQDCJGvSLY+OrudUbh12vkdyK4+VQ7K9iP6m copAYGm2qfTuNXWBr15awpd79pWavGwM8mMpgnn4hlZgZZ/cyJHZEiv5agWToTMPyMKTeV8t/FXZ YPS0mMbmLv89I6k6sxRtnhrsA8DEisNVFZSjuA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pryyXHTPMxb+u8YEnq13WEDw+QruQPf4iDPxPaJFgivVYdYuzXTjK+Qs/Gn6iV2CqVSv1QHUefTi 953ix0o4wbOK0+0vq/CshLbp20nyljNx0KImfjG2EmgmSD3nUDXKaMPFs8saVU76CLB5jSKACphU 6CCZBj3e8qQJtwbm5F8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IGMWxE/I6qL20dzACKafXnXDs8rbk+2PfVkqj5krW2Ko6YhlfZbZi0qZRAQH8dkJx+4w0SSmLIDb fIdXPmK5y/kszUvr2dcRJBJ2AYfJ1N9mF3IMunWjIozl9hYE2jiQ1Sn3Fi6rAJCD7PqQFgtv50/I 6mQoamegpemSMAMQrtZl1gCUPTiou/0rUvSdeWl0EhORKdxOmZiOTzbpJ51wptHiIUhiLX5rtAVE QT1gG7zllQZKl57XViqRiW27lFiQdQBOo1aSkq8/4GcBDsyTuOxELbGEJIoA+JjsLaLLxyCh/+hK kEi4m9ovqaDqY/CUkQ8fjomaW/f7M4BGfOJMIw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 75216) `protect data_block /+TZlneZ8stWWHFm69mBXBQwJI84ZHJd5XtOYXmUvsg5NJrSEZTV0TmDWVaFUqgphxVSsP+4GgJJ 95LVPL1e9QWERyCHkLgc860HFp+diVuDClPVYh5gZKTWQV1lVdWwfL6AT50XySs+ULbgnx73Dk9y Quogf1v2wUyZZbXoEG6vgCotyN8rXWf9ZkoewnEDSXzfrWVIj3l5uKNFXryLT8Clusqtu+q6FpqY 3eAkPcgqUOVcZ4Bw4MPJu04wI9XueVMV4SGriUO/Ah6izkTfUch/y2XUywIE3y1AVqkHBGdjeg4V lE571LJ87ddUsKEaYzlXL+9lcCbPkaG+8AfZiwtC28c46Ct3ZyfQkJOFmqzBLFTVby3oTzEGVuNM 5MaAG8hzjhCJs7j3PQwsu9U7BNjtRR0sRMrWuMFFhtSxDPtCCrR5lzH2qe4GUpV4rokfKXVwpW3Y M/u5HRgVbg60A4bzql15solOext9Yyk0EOni2QzLsrfXDq6DcREuId1f/eVSYEUeCBKl2ltALA0r GW5uy9dGlB8vRmctpUHPfq42YMrOjJ0Hac4g+iMSW/JfS4iG3qIubW9o4R/c4L2fDeQHUK5zotKR o8kCVYmPMNSVkVCfwHZGZojRupaAJqhnOlzuHbi4VdlHnlxmHuygGVuNsZwh/RsjS3K7znR2IigY E26WpYUFd9Hr4/mw/2FgycTUFbYJ37DjKqWYRiW9s+WV6zALFM+UJJnFJ1GmvaMcE+apT6PgZif0 Sul/fPX671DMM5T9tO5V+ojDKae8RKnhCEX4LpSSOIkFhPUgzDecb1LRf1jAkHyNS4irGxABOlf1 j3rM7X4NfETFQ++sFWBeAsrPxP9j6JDru8//CTBsu2Mg482rEMSjResvoZBLUt1HQh0GvOX7pelw UuujQLJzv19Y/p648tqABN7s5vvKohMmqtl2t9PVvDegwzM1lubEyUmGQTeGOwBGa0nilXcie+pG DqCd6Yscek4QSxB0s3gv4QGHK02QEIoyHOAKuGIfVHlnVBTDGWX9kZNAuugOrEtw3UfALzX2zfUz akl9IV23LAz6K0r323gku5I+pT9BDQyGEePol6UqlHJ8qYfXWOq1Wg3FMrS51aN6P+7SCtLQYQyN sRerjFU3g1RvYOFGDJuNuDK51UDPID98jsMGJPUxdJ3s/u+92AGCQwTf5F0q6vNIxxWcOyfH4kVn bPIURuSq9sdNR/BIILGeM3aFbzVPCn46iebhiPvYLdgiaCAeumNJAqGF49cpC07lumBgrqAWzKTd AR52klZlQd3cLltiuKqYw/Er1jGXpMBwBX2AGEC5U2eFhHcxMG6UVqXYKQ98RNszCXwzYWSOOd6l rJ64trlsn+qKj27AXqFQxl1SN36EGn7kjvi91V6hPOKM/whwRSTG+kVql2IjGlfENVZLxaLAKZoc m1mGj/4GBqaKKrhlEzOCNvq2lt4oON91w1BqFmWcy5kioWjQqIC0rNdWVjGvlyWq7a9EvJxujHWc DQ09wxP5QeySQtURtD5vkY4wh3EEgccAujVLY6KJ/Fph2JDqttQpCzbgikqfF6eDsl2ZLWa9Nf0v v2mAf9IxtY6R8JwNYpUl4D29SXGE3o/AEEwxDaIV+Cw1vE14ztmLE3rjt9O6Q9nTbvFHlzyMsD0R T8p1pTH0XupxiK0q4Z9CVQ45G3aeadBfdhhyQ+Y3otEz2xCKghApq5kXK/fhtvckLGSSiVCEuXq2 hjDxxX+7wvs8aOvqh6EsB7pn8kUGRyMga2oe6T2F5WWoBsnLIhUaslffqTfxcqiUywHr5fEFAkU0 btFCJOnPbeqOluPCKea2o+WquF/0ktpP/LIhPW4MRRfMtbu8bQU1X0eUIXzrkeZga8du/hKIJXPA V+W8l2eCglFqB3Uksv/pZSoG9Lzeoe5Ri/JjciF2AcQpMVWXscThKduOoifIwCxn1jNVHD6IGEJs 5PqlwJ8izrExxBppcsX9RF+h8ytkJ/skdEvt2sQrfiit3Vm/Buk2oQ324F8tLzzYoUnhjAPQ7n+c gvqNPgt+YhFqhewL1+j0ZDNlRlzQqj1i3DSeA1i/lO0N/iwogs15uap7ZG0wY4GFUsmtYqbgg+4q 1cHt5LcMqqechALttmIOl4EgW5yqFbhktDofwLc3zAFKApdpy8IBYE5vc17UIwH5H8mJkNitE7au 3cgFz8DSqnx+vtzvWMtzgV/Uu4IjKPrdpiSlLDMRGh7LloYFbnXtbcZlG14VBzMrRCSOyr6sUuJ4 q/e5r5pFRXgyST/hTeGO84pjv7yn1UivaclFi+rJxsoj29Ppw64DvCzqQ+WAWntjUgtJqpI3uKgn u9FZoPaQVC5ssJNhAK4kTiNjzZbskASLtSAMt9N9IAfiTspN5RvzLm8hVz+pJuB4VIRHHXZfHLcI YU7Zs/71FTex1kvMi4NlNkb5r7u1rE5vVwLXC3aECh7+IT27yBUAeeO6NMBcLfCI8L3fYFIqCkIv 4bx9VxI30SV3y3VIkBSwY5j3vgiozBiZIrC3f8Ozqsm3KEtUg9EOzRpSsoBtsCU/2HV6sbInatnF kGK4fL5dQrDWwjaZ9ZaeDRx9aPNBLFOYwtq5f4H1F4pr/RfcN/Lm9Th/bJa0Ya3xRDSJTRb/pJSz 0oF+p+wQ7KP3RmJ4N9lsXTngVEGiBy80OpGCxQzV1CDmcG0ntKmkLmeWagTORDPhvX5dSyA+rRn4 XL4gKobNPBuT6b2r59AJ1HG44Z3fsmZLbrhUuMy0zkE/EBofMYAYtPUUIujDK+YF10xSI3oWWO+0 H2RTnrgGWt91uv08x9g52eUf3WlnXsrTNtblU/gHQSgWgabF7DN8BzUWyKeUkYWa245ZaQx6H94g SZBYt6u+u57EhxQoVOrmCWOIHzhJp2n+6HrQxPFzZKWBlcPQXeRI7zmEF99Ezr4MnVnRzq/tpStb G2PoJj+r8pNO8ktfa9m142vrbIpX9FfvuquQcnT6VnQwOZneA0Uo3kFQZiZYrCWsMS1QJc2aWsv1 +vG/nzCx8+eaFamzO9OKTaS22wf+z6XgWflOuIZSesBk5x79mDOOUFiaNSyACdXydOt/4NYwViA6 qIG4G+sP2EsRSKad+q5B6hCzTXz8ItTBb4txgUjohS1dTEDBjTQGmRg/kegZH+vEx5nIzK8IGxqY IqFRn4lU9sGnZr1fGcqobMevUT6yBTJ4wuXivtTKrL0VpnYAi5guMgKd75E/8t/kcFx8dmljDb+m PEObCFNLX5nj5Y9GdAhPdrQPgjhNG+J12rui18q9TnHz++rJP+dXfd8IyveiQPEMoQXFc9JmqC9H acMSzy735874UaKJ/+KfukKQpAmGu6rqes2RNldtOfmb0JKcZh5fdHsHlDMxXRqQq/XjZ0P0bNPI 1xh8Kz+9ljbSLBppCeRcpmocnL+IjN65+vVUdQhq2diV7lzg2TVWb9QQ3YvB3rgyvq9OGztNOKpZ I7MDvqn6yAv/RPhJiXgAil2KIWgyjclwsPkNgvi4dRfRAaBhFdwrwW+B/xO7WapP5F5+/pPEh90W H56957MuQYA/chrW1Aa5/5W3xJ8e+XwH7Y+viMg3zvxT7ZmnWjAO0QkblpoWel0OEwrXWOPYZHLU UbbJMh63jo87T4he5fj0t6Dk/mCytUAvMzlQ4O+8AdrmXppz3L3Dzta3xJS//wy9/FsWQiLipuIv d22QZJeXVRrqSnJ6b+i4TmCN2erhMwWcJ37myXpgl1DiM+tTOc5C2ivpuoEtdcLmZo7xaQioU0fH tpJJoZWiFnHK1Fd7JUIXSFl4Bu97HFEBMf0fY4fqtcN4Ua/rKZg5x3xCzdfmVN5Km0y9e1TDDq99 BkQIMy0pz/fMtChtUIR5qtynMhpVRpJ/NageeEdOrAaFKy675HVwP1BlTlKgBpAPEEidCA33hYlm RCoV6Kd8HqblMBt1ts7tNkbKSXlBeF/i/86cyXgoE5o8m3qFdejf+ktDzIdf0cm89yyQqUGyyRYM vAHPPPWJ2lXnhBdRwkV5XA2gF/IFOcpVuo7wW1LXeSlsN0jUsSM0CWDjTgRTQgL8sWi9b3YYh6LY VG47l4piulP/CifwM/Rn4uke36LY9F/3FO6zkDhlCgZS5Rm9GtTn9RZpve+S3u2oMLJyIqXJ+TWk mKRhTRFH9/Z5Ge8yfRuWFb7AjD03bJzxnr3702LZOT9k0TQFBPkQw0Ma/ExuXaV0NrFIWIV9y2XW AXDjrfEE6IR4NzrcI//HFHnzSDemQh9+5xj/IuCxtvVmIhBI+AxMAsEpl3+h1pGwTFcA8a7DP6wP vkusbH7XcbgcQOeyBf0Aef9vkU/MpKI4YkjlKf+FNXId7+pdyWoeFJJ9/fl6jUAMBs7Zh1juNEy4 mRovSjB/U1A28Y1l0zEwWRJXaC4nfVg8iaPvRbheH+tEYq8aePmMutjzJsoJW1YawkuJEPepOZEm qvKX3ZsoOmpcJwRlKfU87xAdpEijZbNAdj7x2roqrzWZzXNMYBL4qqf38/wTY+azkAJTReo/NREm BrvRIP9Q1pKaYLCoiKGlk8y47a7R0M7fPafUdNd263qfXCGvLaPVAn5ck4z178MltQ9+UcN3qGDj PmH33XV8NumuIqfSPZ9t+alg/wPTfbD0vDLfAWgHh34aRYTAiGhmX3gn05Edz297itPAKPA9gzwo WRD5+niqojflyow+CWVD5PGJrFjV0ZeYkZ1r/K4//5sOVkAXTtXqJBiwbOhqrJmqsl6DexLw2JcM 7Ti2eKRyrTQf2ALGDc52B4ZLdxRO4imrlyQZg7XKVmMy1t6Y3KrjsEDGfnEqYH+074vUIFMrvVU+ 1GUqqzkhKZuMnpUfHmq9320KsTHbKBfGz1T4/KTPetYJ3M4mqpAmt+2sJt9SDhu/TVkGmI9qcbZG 4pD1228WDtVkM5zOR9eGqJTGC2FrGvw2nRG7EDfT7Vb/20VRU3Q94gjJI3SxZD78wTD8CokPzRCo lQesSNAVOs34Vxg1fCI+gakfAKpXkCrNG5GPhWs+FJaZcimBJOkY1sUMkkHg8CSP7SDppwVItXKN xdHLcVhprxKj0Bij7Dn25c+ik8OlCa+eb1gxyPteFsRhWf7UQ5QhbWJOAWAb5lVc5l/mANZDuvvp gJ8k9PnNR76mSoW9T32scWQLSvumMmCllW1CQ6jpseGwn9v02TSw2MWCRUf3/EoUzU+yBJzUg4pb dOy8THFasWKqI4NsXh2CnNdfaGTkNLTraL6PDYpHTHPflU5i/vuJmnCHgluQ8lCLdZQfSw7BTMKv kk4lE/OqkvrFa+3sS0OEvUTXa9z1nQ33nFwvO186TsIz1JWyGMrZwSRz8OnvVF8A54Jt1U70Kwvu 6kjtmt29ugNtnvKhtBZ9LQrLGBs9GHtHCznY+TuuwiM++V+sI/tO79dyUCGuoonS4lGn51QxVv0t W8LN9o30WUl98QAy25CBIH7//uZDEXKmGkqJdAVaTTBgVTGIJjmcQ6PkK2hQ11RHWZ0kbYvthITu QUFmXWg8cFYcZ7SpyW5m5oHYvybLAx8ThhDzRLh63A+cJE1arGuiFYsK6Aq+GorCA3HqNVpSQ9sP ZBM2HFTyxB3xNpCeR+dDk3qU4mqLQKZLrmDL8b0N+7YL5mYTMYMHl4cXFgcSC6AJQI2sNyuGf6Ck KAqvssLbd9vCfq42ajU/ACe32rMiUZU8tND98Vddxlq66jPz6IJ2VwaqOtAB55lqxIF+oSNt2Rnl ko6vzbcDrjZGLxdFawuddQd5BpjOowU+nrGXr7BBIAtX44HI14L8nVZ+tpIZv9yopfoavzkDyZJB WzG5sfU1AsVfSgDJyFGiFVCbPHAc/o1sz6Z65yVZv2bWjlgFgnYZcML8e2pLtvq8WmmkqbKpSm6I XKihsJeX4OHnLtgSfHWXwfJVR6QtpxPRhHYFIJ8RRJXL+c03uyIRsnVc2EOL+/VYcwTfUAdxm1Yk cS73xFpx+53vicCD7mbs1H+4VyIiTwR89NsNiuVoxyG8veICI532enrEq2YvoltLEzysA+5qoM2A FRMM5g4MVodgF7XdUZT/n5EqK/5MdWdNJskjITBuJaDEmpYkYJkrG1p4lYOD2U/EozDUE3EEuFlT /VIYUhGNtyFeqERhPznWIgVeXHofTXirBWdQOz3EDnqWV5/UeZsQ4f92cv0tHEx3RoKgQwcydHhF TizoTDimueYydPZnxD0bkL6ZH02yvw5W5YanMNV7PIMwPmFcGtfxkh1q919q/9mSMravizexK26O W3/rjHZ0bXcj7u/CWY0fnG5/0o/S7KPFCCDlWA3HiNcef2G5mAthfS1BrN53IrqMwjQEtsua/kqW 04RXcQ7l44KrwMMRf8V4HBiT8K4c+k0qI5y0Sc+hW4bLT4Z4T8R/zn/Cf00rWOKOSMArnE4Y89nj TvfPi7JjKPA0RTO+DrQy8pfKHjixHkiUohCezHB5ChmO4uNlXJGd7S+C4IbKHgM2/qmq81DMRJJY TYKWBCec+zwVJK2S3/mMksY/iMai5kAimWcajN0tlZZsZuJg3xcRxGJiwVeLl+2vizjhdjyVQ5Sn 5S+RpJexPYngIUCIjMQZZeE3C67nzbp2TpBMVsiVx+Bn+F2e4ytJUQA8FsLdKrf6ZpiuJjMJQqbR mAcYt3J/tF/z0f1akMTng4wO+TLcpJETYDavlYNcz5puhgmRuzEzxiCiAyUsklKtTM+l4tnTSz3e BsrRhjUI4al+0n6k8t4UMjBJ2sNFQI1o4uGDnFx7L5X7a61cS6g/IF2IHm0AYkFKBYIvHDXEzZTY uPpUMkqWg6KU1QGZOv6IhjlaYwyWlrHZUZhoibTYXhxrAQadjfFfn0UJyGEYx0rnVif0vlr4kmqD 4JbnAl1sQgJA/5yzWxr3/a6no1iEhFOQeCyqRROOWbd609QMVwroSCivI1YjyEFwoXTlr9OvUOAg 4579cN7YPOvthWk9vP0GYnNFKoBizZvciht8zESOZjicZf4C4g2z1aBrIqQJsM/F8x48ZqMlMOK0 91jz4Bqw4CDbYd5WTNca5LIRWHmpRwiYe85rwH+ZFlJ1kqmXzJPofi7OMmeBwLfy5d5XeCfGbbCQ nucoxCvrlOlI1ao+yQwPd3ZzBk4E6o3HKhKunLyNgNtWlCm9JBXhsGpxdCueudzJBivhRcPE5lgh 8+TukvbJxuJ3zipj05ZxNvj214jeXaEKNlgKvmxZq81F5VYNbgLaJTC3i5rny8EK/f0QU242hh6r QVh0c50ipuiMdjJ8zi47uvPHACFMlsnzOjloxbq6+N/aeD70UQBFbrKFT3gjg62Nkk0LSlSa8la5 2qr43w0DIgJaS6yC29ugQdL/9R7OGoXjITA3HNLTvILfip0mdOBDocq8zf9gR6TqVrSOOLM/SrW9 jgc/IrOR2ycktHMvJ0yMWJ4LSXFDjSJXSWwfOkx2UD7scfdovAlAebfUnymmC1A2xFkXVnljxCNw HxLxNSuSR4bBkxd4YHUGFGW6CSZmwxO/gFiuNA4Xz5HUR5NKmS+50nsgrBBwc5ZsCt0UX6NdDL6I AMqH1kL7qQrAjxMYJPY4Pq0kbOSIbeUKV4bL7CIj7EiGpdixv3AjWSBZXb7+vrCNbhb4Y15O3O1w QAFMbvQfSDxt4zwEr6NWt3p2wpuLUSRY5uFNK9lmjcP7tZUDwX/W3XwaA3xGiwkc9G4cb4z/J5Hf LC34torsnMcyiE/NmOJdshCmVr/5ggm09DD8YYBNhkRJqy0n/h667iSwf6ww8D8ZaGKKQCZP7K4U e7U1rJnLKU7n3216UicFzz+X1f+7kqDVtXlc+/S2ldPu+30fcUD5tdPmdJzs+MXMDtBfkDRpuAPg 2gpRSOCzcf9IgomBgJcUg6dVrqRSK8SVFGbSEP1q5Aandt4ATt2gO3XJrzw1v74AUiTKczq7qn7u 2P8a0/BpQ/FYWWagH+1Ec/lClkJs0IkfzEz+E7a+GfGNiG89iOhSU1dqHUauCytt75SSQ0YMQ0Io 5o4ut591bf3QCPGgt9WqeiPlFurEpd+7L6UJkH8eSK57gOCCWRZtBMeRwcOdqCNPyEelqyfMfDvZ h38kZbm7mpur5tVCRvGUfF3dVvOJ9QdgPmn9Rl21Dm6AtnWR69X05GDvda+Oo9nGhnGqSUwLyZcW wrr2hOJwDib+PYN8N3nYd9W4H+/uIq1tihkBEU9LH7KlGGcDIrLbw+t9I/wmBVyfhddIqSNGc6GC ZtVdDKPam+uQlMMUcCFuhgfbLUM/VJJ6Br4rClCDrUwJaOE0ietwemsqoQgrUhK96USHEHXdlMxV LAdrd7WInKAVBbv0wNTAplhVDW0ok9TvhLdOSjRGuK/u5haIXYsCbnVnBEKwFoyzTYLla6R97zRL tRBmdWeBxIMe/54fEzV0iDznkeY80Fkomv8w6PD7n65OnVsi/8qkRwTaa1y8TBGm/R/bQW3heTIS Ce0YEYGpSOA4uEZd43gDeNleQiPo6kIQ9rT5h/0JrshFBGntrHgJTPsqI1a2ckO/Sr+w+fP/bLFl KzwAJe7/UHVyK0LrGxuE+14oerPFpE2O/tibmxck6twPTtcosnJmyQhInGWsdUXwG46W7YKXRyl5 roAVHJdwidFrcQIwjEnLhrJPAXDCwLSHH56w7vsPsTqDh5oYq6Lcuo0UfaKHDp8iLczt3bI+fTm4 YHursIzDpX12G9DmC9u0s/Rzmn/AsBnXdhVeAG6bx+z8rSkvUhphYjuO7nUuFw4UbSFpSJUGURLL VeTWglSocoA1hpPnHlwkwyZ5TGmTrMDWkJNVbpiAQnVmlZ9ydXIk2Vb5EuDjfa7qEnBhLdLuK/vS HyuMiPk0uG/cjODVm97wbB7gJDfCP+YsGu5qqAtewe/IdiFFGCkmRBsfFNrm5bX7oecU9ihEZ1cH /t1phaRbgGIss+6Bh+aITc6JivzXGJi0As6ZkzWtt4uHEFdcmANF3RnPGu7oGByrDjqH6OaFF/JR QNcNAzUDV9ZMxs/H5Jx1aQeVD6V6oUj2d9qd0gu5G/czntZDaLa96d2Cb0Ssm436GnfoSTis6gxM ebcKmdY3/kYCQvJCnGPVRGjGHbmob1+bqUEbhgarjXl63zIKWH2sVezszoVL5fOUZR6hMG1PPyV+ Co7i7dQSZRrgVMnOuYdYfpv5uSaLpYjpzFVkNQ2dIMI9zgdahYRzrZ0Z0RM1r+JRgQ7sNlrT7PVc cVYZGu2VEIbPzM7/AYaV1ziqDmvXtIaSX73SWjF/J3eRWHDKxOpqGRs3nNXADPe31KPNJyhcSF7x MyO6LW+YXhNzYMdVzWkxELToaJIkb4+qfPJIoUUvaa6fW14hT0xPI2+aHK+IcxbSgEy2OkGVcyYh PxBXPcopqsQWjXwK7BIl6mEQe3wB91qQBBtiLR/FvjmwtWV6tmsoGATfEemliPWHrwl5l73ccEEx JaiIU5/Wr55bnUoKoM9bvUzJIiDbPVtYZNcu2OqODUXfocIDgu4W9ZpUhEpr/pVuwHMmYkuV0WIU +jQCnKFKn42qsZg0e8oUO8ClzwTmNFaCivkYr7b5DHz1FQzDlz1YjxGDgd6QaVejpcEpVSOJW5TH 9z3H0JdS6X+aa63V689wIMzrgnvsK4Qd5UGSa8KiIFLXHxZl6bdmSuXYVwFJIgXDf9RltWAqsG/x eLjpH7XGFUxvNIwnJiSD2QUDRpcAtWlS6CRxZBzIOxlDkABmW6HXBOEmJiYWYLNnkoHNPjWPaE12 RooFmH2J1dxQ3MuTxBn06fvOGEN2er3AUCDAxoiToO7lpjCVdyhHPdQ4borX1lWJpKByZXLxdDyc zD9T5vcSrJWwhCGXPbGJz2E6m+nPgDI02i6dYl18fjBj18ZBfynVpECyIGvZf8m8AfZdGunW93Gm 1EtZhrcORkiNOyxPP7rflGv2IgAYiqD8XC2MeP9qLclCJaTfjZLvKekO8cds7p8iBMwBtNMBg1WB aEM86Eetp6qjt91w9ZJjUDiwwHLPhS2kFxhrNKWssXF3OGs/+OKfpSevsScgvzx9e/O+h0gw47A5 iHZ+axmL3LhjJQVwWbHqiWrO+W+K18fFc8YCqiw7z4Cb1u+xlYYn2CG8I+4wUaMZFJB5l/OWB3JL iSN+PO0EDZb0FsFGkkFr7u1B1DndELCL7qj9SbhzR3fGhSwsrz3IMZ87YIalHSAc/jNog82OF8Yr gyHHYAr8WjfbZd9974cj8dOWGNUaWlwFYPMUk4RCExQW6T6V13M/haqut8o16fmW6doSA7N7MBN8 O4gGktP2zg6rYlYSlYhGkWIlH791xhO0RQtMcjUiVcok4MkNl37fbSNl6AYYSb3Ay+Vd8mZz695W plMGGA+hgAW5zp1QPGIw5jO4jgyEhfpjHFblkL8U97n1+E8Qns6N3PbGu6WB/AGoAkgguuTZ4Rty lTzgoco1aDxtiZaxLwUVVX/GlkuJVfqGBwXhtUTR48hZ6EhuAABac5ZrBrHWzP/UR+X5CjXKg2T9 29AXYuN4UM5FWivddPLJRSV0u36O6IvaRTh86oxv239mrj7DcLRa6BwCcEyfl7V1TGtPZ+8NSeSX JEi0vDUk6VmYtLKn6WL7H8iwoj3gcs+bNLqdr+27Gs4pmp+Kvz7aUkuYXwZ73KHIAmNylil58LDM 8DGEA37lAWmLfjUpA34FLEN0U/+OaiwZLEJ9onXV+4BbrAWJKoAWkep6ZozS46W9QE0m+QIT9VyQ ElrgAdTrM+OXPBBaPWdO2R6/RS7dvjkuk31o4+XljrezdQkk+YcI8bBcHCvcR9RLBYQWgI1qOCmV KIW8kb1YaRd4ffyzdg4yJKBHr7ak3f887/QR+mKIRCeUUYpUr2KEz1jkeEPFRVrCxlJf9Sk7rhwt KZSntpt9WAVegr/ubFashjJI6f2Z6tR97XT0lNZoRp0LgV0oTkz5Xe0c6KS2R7pabgOHSXTQ8cIP 9fqq2bX1I9zjfDSKWwS81aoixMTvIKz5N5pC8462yDhzELsP3lehbOd0IvXMpqz+X4bNXIpqtOsL ohdSxYqU3Q9rzViN8dkgcJnonVHq7wCtfU5W0BuEflnmj+93QrB/mKVTZN6jTHJoOi4YAA2z8+9/ D3Rnf0CawfqK8cvLwCmyTIsz1BPot6FOwUBSnBlh4honSWbQ/8o15ZXqXFR4b2gEREV8YsinVH2Q jKwUQ2jFzoQt/CZlVL84H19LqlEApU9w8pG15s90h+WeFsnDpxTWeeBZ7MAe/wUGtFaz97ZfxiD6 DxxkDC7CgfjBsYpO+T0XHiwp/31klhHBjdWkJMfwulL8AKO/CiIKlznzAInldod0+TcQXVF+pcvZ +Rt8Qw9v0dusgdmyQjrH2dF6sJsvIjXcewyBylmsczQ5U9wEGOqvx3Sqs3pFQC3MnEY5kNlOLS6o J2TIG24HgsStjPDK2WtHDnP2y2eivMD6V8j4MzCeeqhbKB+XBsJ7m7IqJr0U1H1Y4bFHWhDoFOSG Y28yeocmze9zs79O2PtKrrQzwut0ZBg2VLb99iS9udl/l21l58QiaUFkoMS6+JK3gsKQfYXpPgZp 1dZFeD+SBzVMP57Qne07Wx9+Wp8a/ZaORQggFwsME1bXkXD2I2uiE8/L9TytZrr5YixInti06oFX 1dwJThWACCbPcQVXvQzINlvFa+h8C0JXRM3b3XQ4+4t8QQ4IiWzj3d3udBc1X8S11ZfxjUAYLywr jjavhTnC+n7MfbG6+Z0yssKtcLrgYzL/TuNp/fisVG8ULL8n+6jLzDCFflc/fsfBGNEkcKWaC3Da jo/1krlBwsqWxw8nhTAmdJN/7r2EJ0JVwOYOrlC7gXwK5uVkdMp/9EQ4D1L3ySr5wKfqtG4fD6QB 2d1uNu0XAXPH9Nld5lEr2LS3Qxwi+k5gmlPT6dyaOpBoeWhKbibhKfelOb6ngkCGfcwWVB1rLbEy hiQciXRsFvYOEt+CtipNvJzeZe4V0wgv2lT8+3oZ9324On0IICSsh2a4pfd/SVVMIH7NOya+TTPN Fyfm0Wu/c4nIy8Qd5rHhj30+GakaRak5syLbwKP7kP6quZvzFSNMXIOEzr0MzgYVSeeByCOJcAeW vfVcA89VTgGnwd4EbZQPmggxJ/QOWO6Mt9+It7Frmx55TEJap4thMHC0UnzuowaX/CItettNcFSU f6iQ5rISVCG7Q6RWLEuijKGCmvf7m6MFUPfEVlchsTsEp9H02UhX927SJHKhSYMShkk1DBCMZJ61 9A6EEnwQ/4P4OBy+N4FDuXAwgETprDKkY9B6VdDG25aECWkY6Fjs9x5FKC2Xlzdpj3TBL9RqRJts EKFUGnvUZy/NsrWjw6qRntBayYBk6RuUm14Q/i7pDSo5ap0vh8w7NtSnp2GwTwcot7BbdQzG9iHB ZAbrJNA8OOrsJp99Pip4S/y7NETWIzgZ7lbab26Xq+onbpd6iOeY5FQtdUVQu7EXJRUDcI2t/4Wf 7tA1l0cuO1Y+mEUQYoeXr3TRD/XyFYkDsEATdpXGu3/E8LqGXu/dXAmn3brAcQ3LSr/2JTS+HZdf h/NuZ3P36R7nXQcZFFfVbLsEXHXODqJjo/eacB1JHcxpIlXz8kVDMtJYM2nrPpuiPeujxMqRVhMv sWBwkY3MkCyl1t1gdapck91kKEzCg3ckEnzEzR0NEZ2+0rOeehxMAR3wjx1Wk2MkSc4Qg0zu8pNW k620M4AnKJI7/nlViwWqoZ7cHpyXz4E51s3AEQtHNymu0udSS3lem+U0/DtI6AZCVnOA+EcqUQhK LTYcFhk70ae2iILw2g/pK8Bpb0wZ7jR9ZELs/m04J4MNjggaCuJchR6ayL5q2X7gurLE/aFwEjdA ekzy8T24AnXu+mysNxNrcp+RJwr8mluz72ysCnrQjirkwn86zMuMMKYSKfQ26Sxt94CXTVNjzHn1 +awW+u4rF8/Tkilln89h73bdaA2qh1ej7+K7O+Jqu0q8nxWbZ4BMGeMNLkkuN3teZa+dIIDqDnA+ 6CswTGOTMHsAPUi8pVhGiZPH6PCZNj0yjnGMxVer5+7Vm9FSc3fOBeT7kdzD3L1sKmV8Y7OKT5Z5 uHw/dSaRwZYghq68KBmUhaWucn6xho1wkf1tW6Mt052Qe2CqOr6N3ci2neAJ+pszZJNShUa5CjPd dctDJyR0gg8jFhABF5UnQ/11sscyUuv77Yddy5tGU5CmwJBv5aErB9td/v24Tq1G+yVGFmNxNyGR gqy/eIVIxJkDhxTZDTY8OhemFaPH8GX5yIzxLKJVU13nVDqse987y06kamMZfS44C+2BwddB0XUF vlGZ8GsLNo7ThgX5h7DXY3KxP0y8NVKFH+rfDe7XMYDoRIhz5WhyIQkDw4H9YI9HA5I1Xzl0tI94 OXKm78CjNh/ZyO0voVsLG8y7lAYBylHX8DuwubTXEuzjF1hFtIGE0qldlQSa/ZqLkJ8iMU+C0Iug FCeDKShZ+T2CfPAWvaus/MN6X8gQZ1bluAgMd8967Cu7O7xrCCuNODLEKcKcDeh342kMUcB4pgeF ilS6w4FFm2b8gKq5pNFGzRwYgm14q4LxgBlaF7oHfIUgE8Loi5XWqspitndDFrF15GLGOfnNa3YO MjDThZS5VBUqtlEqr7vDYM3t1QnyCzE2VMCQz19QibyKXTl30gwFS8DftrbPPVdQxNvZ8YU75Mlq NkRVfDI4iF+9Wo11z58KxTQJGVNVP2XoNB/himw7qc2i7q/HbqWm2+C7+PD5/ZWZueJS9HGen3B+ aDdBnadqEZ6HKgK3r03Ff6KVQskuaVEZtsnstbsbdZF18mfZoyTEThOfDc3vuSh+fJuVC00ogpfh RpH6SLqEfk+hYS51X0lzCp0WNb58S5LOZCm58L7KJkzrvcPBiIdiLY7qZdRTgO4DrJAnU4Rz0tSC yho/5+18NWkQTmp1mWgfWMXB02aoCrIyKGBNCDlmd1gfOH7rdlelH/7Ip9UnumU/G58zhCnl5ce9 54YCORzPR1T0XfcEOV4c58AkB5hDkaUQuSacECbedINfu13ISVbBHjRjIcC5QAXBgBoYtKwEmcvR BarEhnSmCxof4uaFD6vW6WxbPb4gVkCpdx/JUWPhFU3GdPqOU/0BJ/XeEznYixK8Ix2hMBMnj+Cq aJAWhCfjHitVhUlCRO8nhDSUVznbZZZHOjYRF+i72qJYM0hRL/qx/625vTeFLNsd1y7/hIBkKUhE ME4yI/Mm4E5EbjCptDrRj0ChAz96N/AG6vlVrv4zsMKYMSPuuOBi7sdEcii3qa4NPGxjhJi1UG9Y zf7fsix4g25LBh0h0w/LONNirPbDn92EzmC/FcF2E6OqdeWSywyCMxA1sVKB05UO7+TNSAA3+sL8 yX5XGu+JS8+3a0clYlKjCh1svVZF/m18aZDaM60ww43S1a9koLgdKpUzFnrv0A74CNDI6+aTb4q3 8yV9oNr6mS3JSYdUhTo9evE5Op4WpWXfMdwIUB23k9Pv7L1Uh2/KL317K7ex2QW3MM5faI3XwRzW Ss8qFVAL4XuXrXvc9mccDpZ0ehM0YfwXFRTT68kRngREogGMNFD/9Y+JjyR90sR2h1c2SKkLCp9N KVMN95btWlTFO6qrWwUpdnGKMTsRwBXHe2laQWBmVnosV9RRBPGhlYHiXqGWZOMCkKpd3adUeqzq MGYrWEVVndd6KFc3kmFe57NeVWfJLtnx7m8Rpyb3IRVpmw9jMzPjoZVFyBZgZsk2yhBFDAHAbBqa FeCyWrtbn3ZMRyrYMh6UC7BlxIE2+oV7Zbr5XobrvDr/5nbE7HnjMLp8xI/h6mdJaKf5lxLK7EQd 4prk3rR+mf46YSh9LsPXnowIOaF4u5kyytbegUiMdmDiTh72B3ZmLx/Cx/mpEOs7MV5SXD4rsJDR 1f2o2aR5l7llM++z7b9UT21t6a9ymbHUBYImwsm0eqMZ2unNNx4x1msN00cvq5kcv6amu2lEtAOY wjQ6w/aYTpwkdeqzzSWxzMbuz+s5bSn2cRfPEuO8Hdp2JLDQ0q6E4O2WRA3g7uBU2K4Qaqadn0HJ JdNAESwYQl0P1BVuyIT6VeOPV1DCTvzoiuRkteDnn84rSk6SjT/Wn732hBBPyUGYdpptSbhZEDv5 VNQgYL3+binReht2dYS25Ndd6b+O35p39pBSXjRPML15ZnJim02k1V4/B9bYoJnKC4GuWXB3Qdxb nTBA1DfPSLe3gyoyXez7JA95EQO46kNBWmncZTjX+c596ptLarZ0FjVZnWBq5XC5WIex3fTlzFrB 0i3HktsEnanL738gH9KCj8oq/i6IJAIoqFoxoaBK8YmFxzPyLrL2DEZ2QO2rOV6fLNzj4pWy2/9L rXszoEjE44uyhZLYtiflOZg6J2EXdtPnOTzHk+qxya8kKFPaTZGZBbA921xP01ciMFKFS7TwKJNy D2UI1qxs4PlOAc1lh64H//O2HTQ9RxzwtZpP5axBWHiTHSdiVSem9u7WVz6XKxD8GyJOg75jI17a SwE2839eSEpKkDnjWZohcs8NJF1chEfCQAuc6CsXBR49ZuS0bSTNuknFe60nH2yvTeycFeU3elbi 9yAQuIFxFXo+SG02R9WWIjGjt+15Hrdolt4MbEspcKnbEvWIJcBjwHQyaRXtQmCWXHENpS9Rb7x+ JDfas2/Z/eIK2SCJdHDAuD6s04D1N/RfpuLNsmCyVtMM0pUFtRMNekGAAbdG1mfrrpMDIo1FSMMF rI4wELERaZyZEnBsKCJiA+G0rHAd+5f7Hq67DL5koQiXVZ1Jn5zNueBQZL8Uxlz/2mL9qwAh4a9q mkldEMNdCirNUUPUXMnn556MbLSQU++Qx3u1r+2rj+CZ2QmbmjqvSr7cIMhPxRxTxTkvvXEvfWyB Vc5M9dJWevLOL0+yZIH1YTG8ixvbe6p2eRD0gZ3a1X/m7Ip/ypoLtSgfKK31r5mzOfEoOpC2bkpZ j9Y7og4Dhi2U7WZPQxRnVla1DSmeuz405KQQ2GAz+e/75hPgERBROBZbq9nQW/ytpFMpZHQgk7SG BrddUnt7j+GFKQY8pkx3UlRZOn4wKX8D5OcxjIvhqWJp0V/gsvdftnjJY0xb5Xoke7yyX2mxX6qu KGHo6jmziheC3exApjNxJfzaS5YTyeUkGkTapqcL+6jpkcox+5q+x4ifEFDtiLEF8b+E375h05jq YIW1ZJFGfxYLgXQolwglnmUNVwpwHFMA+PuLAhzr0PbZ3Wnjizkj12wqG0mNiHXvIa2QzYIVE7OW gBV9NrVzZTwmD/kZM81ljE5cwfP/WhY9nAPsEY+o/Ou/psI3G8lBL/M+JFENy9/ZwMMcDO1I+eFZ YhwkZnnRVxuch34FHK4s2bcAtieHR7oNtHApKGmnNDjo3/HOd8nIojpKm8YSQygah0tU2bbGUHXp PjH+e32F6dywQbEGnntfJIjjZZTDHtUHWxCLrmVeKsIyvjQNQCerzyVJFrrQz2EQou6YVQ4XssKe Vo0f1js9I8G31rLMGe9cgrqkqc37t7FfMkAovB3ionkwm0yZHBNK7v24u0FeT7DYsWpWgiBrPx3a gL+qilmsklUh3RfftCCqPeKF10uptzh88YkhD1KUynRSf7DU3tSAbo+pBa0bRdbrPbWkT1RNNHSp abyCJXlmjWZxOlteSWHqYgcLQQl/lFRm2OYklJ/UtwNtCJMpRJPOqQkj17H0mtNC47sz9zrQ4zOA IBgl99qKM8DEP7LGvSpsESntj1UYo4eNI6/QvDSJnJPNOwQKwso1RLF/TSwQhlWc+fv3uqUum9R5 FwMB4d/BO3M1oiIwKtqFV/PNAjk0OfD5Oz2l2bhLFFtxQiIJA+qQlL+slkKuy0+ywtz57eH+eqTo kNLHa+XA9qBcAFXdrksLvWljbFpTP/mwGZ5UF/zFxxkYm1cdeK2vo3mxuuGqDmlLx3srtCAP++aO C2VLDqqFXPa39Qt4TnRasjw9NbMrZjW+sTDzbMmR9T3GDcEVTVWLinkTGa0RLvgv+NbzcKR2j7Kg /vcDgbM9pi5n1JGB1M0z0c0c1KFCDAJT9KKAhINVCeLqHQC02Or/uQRJfnvzfy+61CJZ5jHW6tJ+ F6DFEBEGUy8istOeklvhps2nTuOTjzsK7gH6cVf+ElN9Gx1kfwC+9Tp522YDgnAR1xREg2bkkwH/ dTYS5eiAO0zfnCk3dlwnBRj3ZeG1HILz6Rtovrre5bw0kv+dC0HC0Lc+KEpMkWV2mCspv3i7piGI krN/N17/WAGwOKSyWvM2iiSjfmuHto620/IMIZqirW5i8LQnN0Gak3qaTsSU19YidTCJC2/jgQWf dHaqkXdtVPFfF7poESiCqcB6bbz4hZKW8fq5d5zdLRUGgi8aBoSLucYlyn0xYQxJacakLg1Rhs2Q KZJiJfDJIMCvax6+/kChDP0ldOZ3jYrzhHE0eQe7+Efisd4Bmeg0FzAUWnHyaENZ/4ufoW6E2Vsp uNle3fXNhRsnfVZ4cW+K8TEvYe62X3YuLHvlEXaXvGBNtdy1r5Ex3+WFXdlKkzAESCWyICF8NDI2 tDEcLi8TREoI0h859R0TafDLqr4qQ1EZRkMvnNcwwGxMZ1OP+gLcJyVZfdlD8m2SgJhBbGEZL3Z2 e+WvfHTKtIrmXs9Ot+AzFfonbQ8UqlX7yNavyqVmvsXOU272e3EMGWmgTe6SmgVI00+WyOJaJ/4G 4tbqWVXT/irFUq4Wps7O0nc3586XJVyN0/L/+Fwp8K1pFnsPwZaM739TMu2l3hFCHgzu7VC2Lbpu MJ1woyii95BSZN/7pKcXmPq81moWofRKddUAC1dszfA2QCpIaW/r3svUf/0hac4N/qsia32qgUsf n8V1qHfho2k27+grrqMeiEWYv4pIUjpBD9/j9pBDVltb/OXFt1im8WECyKX41v9ZVQ2SNaDs9KpL giTO5gB1uGhcEJ12pmec09vBarYfQhlljUiLJ4oVIFK3nSpFGPDacvcg+Fvpvmp/QKUqPTv2ZGHE SC+SDutAefRPOokGMmygb7uhnp40ohzMGOOs4MnptV73g638D9dzqwA5WA28zxX4WM1pS2k6DhHL Viyh/dW+ncXZgR/EOYd/SaK/cohyyO8JAQxEZKnrMVUg604LGYvcJx+xJ6Iq0Nbcln3ColZtvTnV SLdm7ujGdm7pQq3B1Mlyeko9J5DMrYuxcVjFw95tpsifU0mYasMDLh8r6vt9mh3OL9RjJyfcEzOq ChGc1TWeyyU4PlIY3vai50OGr6eC9lbDFal279ExpGgmGHpYucgkSDegobHjxJynWpYFpG+eKG2A S0zOCXNz/PSrap8+8BAe0aEFW5xWlK+nIDNzsZvCbZxvodOPCQMhFrhpf0+3lsCiKRVF50oZoZbk W2fUnp/MWw1b8vsvsVwt1hiXtGoRWe/vzKgI15DDfePVW4YwdvCWtsa9L9ft+T0TzxOxukd/5l2F gqqqTgeBQ0/NxJ1+SqBKjSxI3mgfHgSfoH6ZwS9+Nvn4RNHZnntYjOROSfgur97lCYi/UEwscKMU idymxl5UBoQOQR4a0GP81tyK5iN3MDmPdYRDLpyvKlC70sI3SkD8bQ+y3etT1oYkYRPCTHMRKb+B tZg5rLlG0k9QdHIVUwvKAHLigdey9caJISs/4BGNEBbbLDy0WvVlCoYdO5o6Vpq/DYbje0Hq6Zcn SW6esG34en7Uu+rt9pkVZCekAEMcKsqKympdSKts7EtenO3eH2/mYpmz5lVpMz+ocOEdKJESwJDC XieBQVel1x8O0qN7Q3oJfBj67tN2gcQZ4dvMYYTTrGRv0MnbKM4vH5U1VgKj6y5WmlJ/JXBXtOPg j0YLZnd33bvG7dqiPKPoAV/FXBHo3S+9C5ffuaiQ7Q8s0KbT56qDA9CGl/TFjW3AoYpEOQHaJt+Y ErXskJoxyPg/vmbfsYtkkatUBestkzBy2w1R9kI4wHffelhD1PorBhWXEeJt8ZWaU4l8Gt0yFMlG xIZ6OZvQk0n/OPwNPJOCryiYRWU3vSaQHCRofXetzP+pHsJeA2V+wGMmB9/49hnGg5S457Y/f0i8 MbMWlC/D92EVUmBesgaanszrP7Ux6447jevkXAXJCq/y5PFYVAv1lDLPoRwvAbOOC1vrNEcraQK5 zV89wLmf/hrKnM9dvQ8FROUXPg2bNDK3Rl8+tEeMDuR4vVwvAzNMEkwBRSIRn3ugt5Aqd7ZW/1P0 n+UiWyKBETeAwNt09Xdou4TJL1KF8mdJKtAdBADVZ2bF36s5hzJWyjGWLHJYG/4n1O6RGPtVzBqz wXs3V63y0n3OPkKmJKUQfwWH39Kf8MecCQ/vDlqIvIS60gMETiMySwYZwzD0r3Z13uxAVbJNzb3P 3IvfBBmGfvbjhTHifjEMJHvupoQ4GoW9Ggmi73u4tpLjKeTWtuL7vzhKdIa7I3+09sNk5H0VQIN1 0RQAXy426vd/nj+yRbkw7WmOOAJ5fl19iQcHWhMxgMay83MbqKN51Kx/Hdsih9hx7hq/+WcHUEPn sgwngcEmxs/HrH22kwjpLOnS+uofz5kpIo1XyJA/7Cf9TyldcGVOitVTLClkxmKcwjM2RYqw06lS UE2BaszTXyi1G4G+dtV4l624jfV8gOd24ToQS8DmNAVGuoZdWia2DrK8owK5CuiDix+hbG3Ne3+v ggePArHsYxrg03hCpd/2VePwXSnZmqO3ZP3nhYk9l7joYaQiTUXoj7Rt5ZMYg7kioqezXpSbo31E Nu8KApPzvPUUt2x/azmu5uCAr/l67euOhDaKdpzZ7Jc/1fgVEEraebYY7N7o6tikKSjaMstt0kxy auDIeds9iO4huShN6I0vb58vRhA6g9LWZmyw9qwNJg2g7RXFgediazTeDue+HgPzLsOXzoPQaXf6 XIOR03hxIO/2S1O6eL+XXZlGeycRpilZlYInHa44sZ5zOsbsHmXfFtVgW0RvxcHrouQPQR+OsxY0 ZBHd4eb7q4RYtdMzPu2CWAUb7C84PGMRezK94jX2yI8Z71N9pXxifX+NMFxpxMU9EW/UCrsxrkTo okih4YSt0a3tFXD5GVaIVcxOVp09VxSIxStrKID7gnoWyMFXG7MSPYG1EKSqSSAlLZfW14KZlqPW 2B0VJwrE6p/L/O1GzpR+xCHEbpABU0xCqOp3DtTqZWOZd1IIcvvx64teBanr7CB0U8S6Ls908elP k76xXXsAFrYntTUF0La58Yr5kPM/83yd8akIb4mGSZMKPs8RoWvd/oQXUk+hp4tLmlJ0mb3XnDqq i1oThbpDJdcOImElqmNouPvEUQ+R12mKE51FsnAQ0brLNi5n98UImm6GZBwKpD2QqCMURLJGp7wT IwMMYypYAr5mgbVkpBqbAuIK1ggJUVC1AIlJ3Fp4yOfotGiyDc5u3igjprkeDt4TMfEa8tq/kMkZ z9MSxlT5UfJzfBSUtnGGaK0jLkb+lQ5AxmgDXO4VxY4Aut8jUOv/AygcHVHE5VgEjLDXE6/rsLWz BhcCU+UsuTD6hBYT67XvYbU86WPqd/SuR1U1/Yhau+GuYmIXALpRnLnWr8Te4RAHA36fuJlicT3T Ze6ZaWeiy8H9XqKUPLLtHOMrU3jFbAnGUyGGDjH+rBBk8jMB/eqg+++bIx0bpKwcJq7uOsWFag3e wStstrAPtP4MoCreNU4+nkfDZz3y/bkMLY0FhFi7Yd4PFLNibwmBS24AaWIh30oUj3X5LsRwUE3a 9z5d7nwGaHHVFYwJXWSrxpxFO+bXWNzzgurvWACX5K7ul4kSzylgFJDet5KrJJ1QogqHa2My6aBz 6lCrE8rTvkRmKXWgpimYhlbYK3DU4fbu0dW4FiLfrcSZDLdwiI8KdJJkZY6Jk3KlsxFAQ/5mZxbB YC43HaFJWusAurbNMRbRNogZPW7r93Z6yANSNQ+yDgSr1uDE5UEIs+CKxjSaib8DoFRV7/NCiTsO wEmRzCiIMTYv+oR7xRl7KH0p7PQ7+Lrxe+mXcdBYRqJKh6g6kqT3Lm+/biPkt3nzZTQ1/yVRAJhA 2LuA8RhI8Ja9S2/q6qGDqhHE3qhcazclHB5jdsG8eMVIUqmRVYoJDmkw9Ng7u3YYQEzfEA0+e3ff pmBJiIdAnXFXI/0T0iQ4cx7kTcjiwrWS4nHCoBKr50RsA43b186JIQ1HMDIQqJAbKWr5DGPC8JmI RXFSWw5joZ/GY9FV9AVb8OfShNUsoVjc480bNg+y/ihv//NOCa5xryWsVqGtQ+UxcTet1xJWyYnE bjmt7LdYfMrc7QpyAjuoMBTW8RiS7wGO1izWlAOzRpvhhmoYInrkRdQrOJKRXM99X1pCUBLba1jh hLyHKubmYtI9BNDGhKLW/klW7JFSvli+53DcBhF+JzrXwhjMQSmEJBilJ4hhm5tBwDLSCmLwK14J DkhQ5YC6IyPZ5zcjuGIDfvuBJEdbgwHfE82EXf4El6/fDrL8abGaF7luJqPfCD4m+bLG5oWRyPl3 g7bGXbNYhHEEMgAoLyxu0OvKF+0lD1j2cwduc5ck0tHSkdyKkaXvnKqNm/eo97jND6DPu8RrtThB Q6af32DO+HOYzqvuIuX5GP+VLTvKV2kvHUqvJ/Fftb26OBaaJJRViGUdg1b+uxVj9Q8vcL1woWTf Ey+oYx5PYFfptPc/fsx2DS0R5BnyGSBcKDX8Ejtzol6UI1frlJ0bS75mfl4zdmYsQSKHUmihhK76 ZEzbvJVQkrSGsnndyY5YZjVxjZMncEoBpiDBRADErnKl9fnOshp9FDbdflH6hISce1GwR5zoKAIg /kIMkqiN00EHqQcweN3s5sH9VbZVLDpCqe3lUemPCBnLcl87QOujwXfbf3nVSLr9vtcGKpZqnOFg 0RF18nNkufp4pZP82pYjFMMYZhZT86UBJmgNnJxfJqKlEnrjdJzPH/GgO68Fukm4XXNnJZCJivr5 RbnXXzZABD+vxGfI0PGqAxhRm4XdCscl1YSNxU0f/syM8p5DHeYmvYtMwGts9wp72tjehb2CxYjv NcFQCso5H2YrxyDWF4WB41b2EPAAw/ijAkQ9vIBM0+9z1lZxIzUVhFvZuqW5M5vDcbUMGDbP+UEu 7AEjcxI0e3H5RURBJb+ftIXe3ODR2DFmoR32o9j+SLk6dmG3hygp76BuUicMvIcjpAvy7Nqy2iZD 9BaA5AIHPHXVjuT6zHw7e7bwFDcBwjFHI3rRHDqZJPhlVOsYRn+Nl3KhZJHJ49shzsCHi8ey1I0/ xJ46eFnbASDgA/U1IgAfx6lX1o2X7hbPl+41k08keBNrir9qc6vp5bhQrgzKSa2CIRjgfHTzL3je SlcK6auAmCHVCKQGfRlU6JSzD/b3CNu5ZvxoutfE/qDmFxiP1C30KgUJoyCgBY5FBUlTCpTgY8q+ 6rdLLWAaV+1sp9n6zuKv95Jx5c18439IarhcJi8v7yR89bDuf/ihqNKrtjE51kU5EsrTxvQcoHOR hz9ZcFI/ClfpZk+WU9FkLxaFrQr583TjmoU7kV+OQmXy2DoZOXUPNRmGlJGOgUA1vDHFTNmcVWQt POSK5F1yuO2m6t+wOnHcIIDc/FVYDr8ISkdXv0UpH0gxj9SHxmbupS36H8SlQSzIz5WmDp1yuC5D LzrCVg5STClwpyWD2sS+iHZuuB3E98rZNHZYTd2TvOHb1BN4dPcOmnsqTJSdZi6leSvNn01oSPHI XCaU1u47XC4xjLIe7AMLg4rsbgFMgiFxk6OdgZMOzm4PIAYImZ/u+B7OK6hvKJ+YmeWCEw2L6ONA SpzrJcsWJ+EjEq9/jIp73PDX/JzrRPVhS5NoIcqh7Bx6X7cU+tTXNQ2rSJBSy1iPOEsQ8TmuSaUr Mtj29m0EkqrhkrKaOUEIY56ORzf21pecumaSJMpFeRlYR+5Lr8KgRQs4zItr9G8Eub4BSPiPfJ1b 5NMqH24bPAqnfGtA2GqUx9fMRkqSr5Kwk63rBXCnmQ//ah5haTa6EF2BD9vzl6/Wk/YHlP/GC+B8 H5H4neArwzE4KVSJsyCfEzVyCH/C6i+r3dmsgEgrnSMU/H/SQ8C+vw3kvePcoJCWTZ3U0jp7DE92 hWreFy8ZjEuZBogdYXybFKYQlcXZII4XjuGS2zgPICA/xVRzRdqWoty+tj7Aw4jcOC8cHh0NqLU9 CRMOw/UMoNa+FA0gZbM9oPkWbZQiIKS5Arzi7kXfEHzrl2Bo6exnMkeoszLiCxzpqRJ5HnWNTIbw h1dGIwImbv+hhn3HjyNQfh82rkGLk4f2dQ2izOHOTaTpm98XDJQZ98BjilXOfpkWpJAAAfUBLuGf daeiPANoUuBy0Qc1RW/AJjCVhJoEH7hqewC4ULOI/t4LDJrlHk+zVivcfP1bBNCxiOZ9PxTzDjRU T3YHGf6QgPSsQbRG1/i+UMBZn/s60U9Sl5AuOtAHmz9Bwrq/5ovgW18DKvC/HjzCFI/Y56P60ep/ ZU9nnouSS/FiHAEI4Tf8KcqdpAx75FjbX9bl2iKUhe8vJvGyfvFocCn/V+LkZNrWh6OKUlHCnTsy uXy7HQW3HmqgdkjIYYjgQFi4+D5F+iFCwSY84PbndNmE5sYN+jKtK3vElToRhjrAScg/5usguTaT UHXNWUFm7a3kzoLL4vOf/IC4JM17coPL9bJuxUPOLb9ObczEvfwxGD5B8z1JEFLBh5DQDsRsqkV2 hNQ8dhJoaqswZaZylkSAn3aGCXbJUkTZoiPWcYdrA9Y2rmQMIn5q+ayrnZiXGFqWoBSUOynSYgAa msGkRQQU1PWnFBBj5DeAikbIcKI3zgp8eRH9QjAV+6ugKLLwOULfRGN8bqVnYkQkHsUU/TJFCkSQ HgYhHFtS7rBapeWXd0AtMWIl/rN+A5jFAt1A8hyppC4TyFBPkt3XG+q5kxDtOkWQ4fL7+Kw9qlyv jOJf1uw2A1VMpCG0zgbQyG/p1dhOhPFthaWuAd8V82ZmcVnNcmXWuJET5Ml+n8tCEOu17ciGVyrt 7f8gyxf/iDJRdOFbvtnhFSB4tDfyq4r17oPf+sO9zDNLW+AwqYxP7xHIz5gEr6i6HNOi0vPeER+T 0GhJNXFzGRnw5sGpAql6XgVvG40IV8HGLBNj39UdW75nbMasXt1m+t6H4Bf/mVZx0/lCuhOx9vGA Pq/6hhSXw8T56Bk63SAmAmclYiAasezUAKsUPCx4foeceKI1sB8qZZuM49HpBTv5vRvIhoHRpmf4 mbWcPx42NBdkp07w7AdPk84mF6OvJUzeyZrVbyRY2he7wmYnLLjRUQbx4YaZUFZvfOH4R8jPR4nn JhI0LPeZbYDZ0vooHjFGUUHKEZr5i/6dSq4e8/+zJrKU08RjrhYZ/iXM43C86wADCWqcQzaeK6Eg FvozO/WVW5l+RlqbYK4qYaIOfyEZKrccqOLIFH9z2oiEr55QpPV53nxSExH9qhZi42P8ZpHtmNcO 73IyaRlS91M+yiSeE4h6lbkQLmooAf8S/jIKd97Z0QxLjuU191yi/C42atjaOj2WC8VzgG/zcvzh p7YQyzGCCDxPxMoFM2ut4qbG2PHkMgc6wd3+uZnuRFxtzAg0gvdvk7xJdTXYNNGON5OMWBjlzFfk HNc6NsOHuf8bPgcfEes0SX3G9EILO4/Y0FSWdTXRahgTkNCjA6x+b+pL/oOyE0dnqRfFbHrajLU2 R87jRHmTZYrsiLTx/cIsZ5MozNkoqEZlybFqUN942UdrYYkAncxsMKmr6k9VmYGjgv4WS2VqU6x1 dqPYrVzUi2OGnRfFz/jMhNh0q6oZ3x25uIswZE+f4Sm7fSjBmVbjfVxUmhV+YucGHQDPmMJJkEZJ GUqXL9fC43BOtZ5wxt/jrbDDzFEicfDlKNreKEGZXLHFK6nhDS1kN4YBc3pkbDzkL3Lc2EE2/raI BF2/3sqUWTp4Piw9gu+tyXytTOJjkyTe0xpm8Ync8pgUKnrd9WmDfjw6ItJe8xQP3xU8sMLHVreW PPa24MuoritryPQh2AKcdnyX3O0ZzTq0D9sf3lvp0eaEUXlrjl4aqCSDWvVN/YxcysE5E4hjqg1R va0me+NWYGIsdzWDOK0RHlTTpQAVhYEZSEGZHsAWRd8tKzlUG+MGPXBMssN/tG4lk0Ssb02Updao pNXCvSEeFi6qDNqp/1F9gSu3yYLVAcZtXtHvJlRPGIxg8LLFiBKSGOPMoeeVV6C5OrGbXNvVuvJE qQ9X7x2GurzzHy+Id2WFCBSVDdDyphjwIoOgzr8PuzbHHvRPlggpsbbe5PBlugRCDPLgcG9PmkR+ Q8Tmo+ZEweqKOZYrJU56TtiJ44X/06dP0BSz46bOXeCejwSfg9LFKa2Xfs3eRgtszj/fKu9+UE0N cmuPUztHfbMYe1f3ocFTHTm7uJj2LnAyrIvebBRqpdIn2IaKWKJJmUXbVT27SNLV2X7kEl5NP84Q YeMxCMj/KxqS6oTR++L1BF7kX1RB5UausoPc9n2ATcD5INsgBW4a8m9zGxTE6+WBxprcdgi+xMf/ vhNkmT7HPLCjacHnNGJ8XGR+UhxSReUHIfRjuwkZIQSE7Ksw3tYIVvs+iiEHs6FYw9w5K0CdVj7q sx/toV6elk1aWeKLrqKZiVwkSr2TfI5aIJM9nMLKZkrr5Hs3pgiVY9RbEPfdFEq4FpOhv8GJQ8wF nrJZQ7IwwYJaJAnL0iq6DlqcqLAi9uS6UkpT7Bz5kHbSTAS5WVYtFnfYWjC0ICh/UyTlJye0cixz DqG1T9arE+Va9D8oWdvA6PhXeqifekK3ML0sVYKS4ZZjrs1i+sHr5a4XHiDu7FuujAkvUA2C7tMT HhwtcMF1fzpDuxyLJPjj78zc0HxD7NBSzY4uNhoLDJ1L76XNUiTcWP6CsWarwfF/IKY+11T2qOtF YitQrFjiIup3lEFzGoFI8gUTrAPbLxjZd1Jye1tH/cHOjJ43Inu2LJaGrmh9+ErkooAsS/KbgWDF T64G8bqsXKWp+kngArtLCrnkpEHDj4qgrccg8ny3nsg40NVSSzE60z4UldX06b8q7lNrILEICVa2 0RuWqrPzdGgLVNgmwJCWeZ8lTCGQaRFGmPTBNbY5x673CLiJ4ueB9iTPv48GJKqRngm2u9Wyh+OW IzeGLIe/ZA+SKWDvVEavAvKiFl9aivMcbayPF+SqDCzlJE9nWU22FadtvxX5MWxppjLAjt/QWsX4 Tbtuwfmxy1xexi0HmsXv90EM1qW9GZk3CbgebxsB7jJUN0xVRB74nE9H48r030EkOYVRQIMfHJbF cu1VcJusJQNQf3NYS6fUfDorMaoAfLzIbaxD87Vy8GCK/s4TuqLaDLxZPOhxHq9XZk9w7nEWJfzS R5Lx9CFWzVAwmQVdyXmouHZL8sFrNTDCpS9f0SmnAtZvc3Pd1QzfN1fOaNQX6cY4y0Sa6RdcsyIV DLVQWJgD/YpSJenJLskPMiAPvJd29raIOy9u7xWEWK5LfgkPduWySTmyTglSbIBvs+h1gp7lXrf2 fmtPu1RjZWBTbMq7rZwjs8RMxUO6b6kqvC7aDGq7VutA0YnHHF9cepct57Kx/w1hjBLicl7o2rCl MnoEh4KEnz9t7Sv0vJE7z6QwOw3vhRLuPwCZ9rpLsmiDxVt8Zm7GlK0mr2cuyx7zknK363ekWXTJ Typb3JLAD7wgwmQ0JbVLRVXpcBAwtq/U7WWpBQXR7pF5gQNo9qop0yevVWtXDQvxq+UldmA534Q5 FI6vcj0uHmwUi86+lNAeR7iIf7TbfBMGKFYY5kPjw23oI6EjIaeWYUf8nCOUFN8H5PSRtrk15eCt xrbhmJeaeRSNOM4RbkN7Jpo8rLrqL6sUSQKJxLJZBogI0e/hrkLTrSiT5pwgfYuLrVmXuvnHQnK3 ULDkXHLWxNJMsxabY2Nz7uVrjqj+wu6sgZ9Cny8B8/OOGLHXSNcU+8ZC/O6spfAANqx3tQUSEgaA 0xW0vDkEmGz5zU6zCDF7gmTyGXC1fUHRzteGCv1Ui5JvpbmPd+BXSKUyOO2KzelCmSI2boJ95w0v RL6pBPiUN8K0EWREF+pAFF9ZsLk3nIyOLloGoA3dwKCSvOPzNnBAicksbVXlz47/Rg/R4NHfG4vZ 3ZrllnxBvckRAJRwLJJlMBUfh2w/wXm2i2Q1v5EOXkH28T5fES1rPJGNk/o1Y2T6a9W/NAhuS9iy r8qUZ2VGPi48frXGVAKt1UJwyk4UTmy6w25P7o03A6Zhgev2H7T+V9ap8a5e6qy6Q2nbnnJFjz/M mGFHbKOoK5PtfmedrK7PFHUH79zv3iuGH/jNeMinIRiMfBz926lj3hlOCTRPnGibvohTVdvQZqdN DNIWHYz1sNHDJ7+Ndbb2ueb5ppGFP6vEPAVQPhg9n47lcwv9xy1lMdYfkBeq/0poPf8KEAbpiEkX KAcFV2CSWmldODCTq8Wg5aEfEBE+bPVSkAI87drd9CISEy72keiiVPIJeMYBDsQvdgC8qkOEcdp4 DCQvB91KAREdaxuTWctm+0fmTm3jI3dru4CYjz8mXR3KGN2bkdsWSya+iWkG+OIo1Uv6qWI5sVVH BTJ2GiKme7uE3plTR/t9w8D51giAT4L6uT0hBSgvMKsGtSQiWYoxdadiATtB2IsD4L5AX123FgLv qmdAZZ/3DQBdEXY03WL9DjLQniD45kvVXscBXkRKuniHp2rVR4h4OmaPO0IBD6vsDHUF+0Wm3Wnw sx3Zj5MBYJcNBlDSSbfhQ42jC+if+pO2pdJy5IeFH5Xam7K9u7AnWorjmRxmJPXWCHS9/LhuPVgD Mju6Uu3i55m363j1YpKBRki5kTrCSM17w/HUWKSX1MHX3OBv5O9J1dnIcO4D5lqmO3aCsFWBTm92 nCsRPVVSVfOCRjUJZEuiB94Cq9clSMJQisPnJiZYoqHWQgUtRcMMmfFji7n20Iy2Sj9qXSZ3h1vS HUnTuqqCKXLyKxHS1ymw0bWoiQMQ1d8MDp5bSVEJ2ln/iOOxDwRA5/wKKO4qGpV276iKH+GTxLxb 1SdAJBsOHD0Pci+NuCAowSK5PELN16NYw3FrQDA/MToNDzUrDFxuO0xBNBVXHheJmG+aV2Gp4kS5 2UcFwTJw2MOkCva5Kf+K2AbE1CAb2FwesOAbiLsOhPvwQm5Yj+PKYSJj0nZMNDlPqyfoBxnCucYL 7rCqu1C0lcEaLazQODVGz4AVxAU6w5r0pKHmrsBBEJ2mZcBTg5ZtCN3iLhqnAN7x3vqOLJJcrIkQ 84FJeRF/gYwviQvFRRE4f0M4P0Cnft71si1BhROHWrh2VezyxGlsOXMy4OgCZ5jwHy7v5ZRuxYRt N+jMr1HlGsvXhX7uM2XxUnaPB5T1qd8B/Y4SR1itI+l78Yu6MANvjIY+mVKQfQcFtFbqYzHvUYsQ wrhG61pL+NBZc+w9JaTawZZVyAdOa6cR68dQ5ZzqAj8dKFbQ2/wbrwUfLfU8c1NA4Qw9QeO9Uke0 0j0XWazThmweT9xZJh+kjIULYhiCZSnC5CvjXegxh/D71nhxRTyrS2l9IXbocoNu8iN5DGeIcBOZ dspc0Q1QIgY/R5yYhOHP7BoYMTvwShfIhk0WOJCsJW/bdUek28gcKiR3hP7woGmlRUNsWH29OKgi onIWVoYhZrptiEGrmz7DjNFqo5DWDZz52/A6emJ3jO9FqMVLCBO7z1PdBO+5TT0fWHB5paUSGzq6 f6kTrXD+lxaNfyfArEpUH79UwXp9YZqrLc4ZDccOweb7wiAa+r40uCcL9nqMp7ZDZhoUPVCPGPdM roFzGJ1WGqNWvwShZE10ZyXbn6LgrZjZ7AIWX8DValsMb3+MxXeUNtDyfoyAiBTsr7BpgsuwXi0b fpfIZCZ9w7aYh8Oy9esisSRDfKs5iC8DN9Mb/fIZD4VM0F61sYpnyOx95iLJpuV2hCQzadcqd7ke v6/lbLbelrRZrEWg4qQCj02KFg7geBPEZM1jDBgI1scvv3Ygc4+Os+YnXL3NrHF+nEAPixz9RYyZ CNgNSj3op6SU7pYo9htfIpa5qtT+Azf7UvuRLLztpe9bGcwvRzDj9k73A+W1TZOonOnEPE5qi93V CReRJ+aAiylBjMB3KWWtfccdJMpSKBRzL46cinDSAUvLKNjxgMwFKw2myPHSmD6wYVz/d0MOTHz6 kAmaykmWjzYkM7c3PLd5ZuHvPjWcrdJXzy4xQbCK7eZaY8SboPQ//9tSyWGIaXr+Q2tkC0aSpkcM m+ioUrcBkpvPz9tQTsOPwR4Rcf/nhfMG6WzVT4DFfwnkr4BmmUMBmw3MQc9EvfShCSKLEnxEo0hl o2YVoAcklonrBjPRVsQ4mJpQ7n3Dq84FdedXNrVbNHHtMRJadxLc2HcXy/hV5MX4fhLxvV6HW984 Lo1X2oyUIwjvsqfUVu1UBeu2G1OWO7vqHeWA8uS1MZbw5XvsfKnOIv8gCmvtwX3NDmS6XaTGPeNw iLpxYCx2A5K8XjBWuYbUx0rDwKESKfcLR7xFn9xVgYSRHrKU4BFURCqFMPmHq5J18/B5958GCFaM bkhsxl7glvT3xGakvXcEE20oFaBJW5A00NoL/kHZAcxP8ckNcAE34C0NbznUXjrttNzLQ1lhW/3Q bGhyFSjVxE5gKH49WGGXr/RaP8FvrvdMCdo60PsisWGphI7D1EWqgGYXIdSZWASJMqTuuGpg1np1 0oAUTxD+3sYnQHf9u1+JhHWEteyHgeThGsRewxt12wjqlupvvjKLbe/i3pfBoOfX18QCr4Cld8s3 3HGQpOdjXi+NWFmCwkNkUM4YZFsj1qVoZn7H94l8ljyjLnbqsBUkVoDMgu3vZ9k6PjRaLzN9dW8F wu8k/OSLqpfB4V4/xJ1FA5MDanqMUPat+csK+042Fk6fZAU3h3VbUa8ls1e1bEEXph5fJYnBiE83 GBy2GhjkHWDRCnKBDrSTgsNGRQOPi0NS5wD0+Vqkmx91NQv7nPhKBGrX4EJ3ZnlLGr+/V3cHB2pY 458hNnh5l7wOAeTjGQ7VSspFX+WUirZNTE82yLRNYYv5oLqFZcQ3kWD7MSxqNe6ly7dU64VCqzuD 3/1wvXAdmt0xBWAiFLmX3SP7rVSwJh+oQoJPWEs8L8cU3yyI8vAxnMmTVMFvBAqP7ZweJJexundn u0olOSwwObGLhoxDhshZYllg9HGs1of2geDLlW9Q6X5UuynGEcilR9AewIroyt8pD5v47f78I6PO lLHF6tf9SPSmPgT9i34kZtw/kjm+6Bp9qOxtuMJEiq8UpLUQ78smkPtGuaNHhsVZIwBAZGgAGCC4 co6XQwQD8uevhJcXvhtMLmNctFmTumIBASrqs3ndKm9RzKzjiDQ5A1BB5YnqXd5LCLfrbpDRhjEx WewXTlQ37KBt7Wk5okwVs9BP60h3S1PbUWVpMXlbpBnIZk5/Bbo+lZFz36cmuF/43Bf6F9ta1VAY qxK5LbqMECtJKWM5IDIUhItFbGqoQyIHWkfCoQQ6hHETVNkqlI/C7JQUIsg88u9AXXP2viVQ0E6Y hi6pTGyia+X+s6eSAiVm0qflMbGOM+8yTtbDHXNQ0H/C4O/THI8OL2aObWQHKhsPeMKjcZCBoCKt 7HA6HMYTFln/Y1p/X9LhZK3SgA65pHeSTeNJwcPz1quSC8zRBLw+uEvjAx1b2xZpIk54vorf49xN xjhNehauSnvSL6QACnCB9p+rHPKL1nnNnnPKbGAY2AZx4wh9uHS37R+Lh4b239oSUcigWPv8xxrm YZXwYMcWIf+cs/VIpwL6b6+LGb31RvmCsCXz9EhRf3Ny3Owv3yiHiGI63g0Fn0LpG9UlnUiZmmE2 8w1XRYSDPaCEWBbhyXH5pTLzX0A98crC49dwm3LCKlhrZuhnYgpWU6KBRhOeFHF36/WipPo9KMx3 YSL4O//UIB84IMB3M5Myyccq3PvIW02kQQFd5LNSmcSJQz0/fMPCgPjxYgJ2O0lvsxMI+3lX24bP 8uv68ySUZLyhTCY+kDzm0oqfmc3Xg997dBXfq62pUvzhYix2kTGc+juPqjspvsNQw3ZrEZzxBrpA wiu4xgJNvNhUvKhLrIEi2GhvYlnl4AtJJiCnIdEZteGL0OViWoI3cXXrDHZu4Yf0gih+HQhUdugZ 9LFtVDnnIarf/gQ4L+Sb5wwCh4AEsiZEC5uOdFk5sBOPqh5Y+NPWX7ziOdRYUv5OVmCciUqrNR+a Tp18lkg7JOzO0gssrZQ9GDRMkWvvIVKaR465FNzoGO6Ubdq5FACRCF4XyB1K6W/zrm1hgdEs9BuN EEIfaR01anCz2kOtuqC8UL1CnD4WXjXbkqgfq6jWaV4rKGtC9qSkmkfmQe1aiWZ6UAgVhEEfmt1R 9h1u0Y+It4ZKgArOrQ9wCNBgnqt//At2VWAHPH9HtItg3uEiY1NxvFy0sqoLYyTHiyN9e1aPkEZ+ AdKH/JyRs9HuhWZPVmcBKCXYunoums1DD1XaR9ClTSjXFNdwTZcgg0xiovgEgyPBPvL+2JiOvMJU KjfCkcNCa/6W+xdCniusaWZUeAqAK9CzRNzo/I8yFO1qxaCZ/Ljo8m1qF/bE9sKQVRrnDFm9Vs99 TDKIm8EalxMMvy5jaEGfOxpOszocK7M0DY27M+e3Nt/6iOh+SF/EIkALufgEK6URlGmB8k74YP1Y 7yNsIgYeHXo0GBmGmSV05dGDLJ1TxbiNsjsz6JDI2Bor1puchEROk51xJMQeWTAB9eU4g8YPkGdQ S4bHurQYsFRW3QJ6fVORCZdB7SxhZMagZ+issLdcqfbjJ3yyTNr+zgZNg7qkJxeLYoC5UQMbPYcc AVRPOTcMtT7hsoHLTee5fqpa4ru+JExplEUPu/DtX2cmT7EenB1PbRwHdVXXaJIXmws7WhKFxYGL g+6v2DZwZAQQyhRkM4cwYLiQmfnEGUd11e/xLpZF2oDwqY2gt1HQBm9c6MW37Hrs69LkSDvamUXH 6p67Fu4QhOEYz1kUUPvXTZ4QKyQCLoZN44aC7DM36BpZ7vpZFImDBBq+h2BpYxPMMTSHYf1l+ZmG B9cx3BTjhBGTNLmPoNstcGx+T3VxTP7/1na5/j4Tx17jNH3x550yXrtaaPi2vjpuPAAsv9Vso+EA YGW8FsNkKTqBCIEDMTgyJBCgz6VWvA9q1OvN4FjbKPnA4w/xoEamaMXn3W40WhQj0htHBNi6mTTh Qk7g5bilA8Q8H6ZE0S9itBDhq6/IsAB6fc8NXPI77Kvor8RLg5ZaOepGIIJI+58TDhjYSfhEjbsC ZgspjtLGk6ywC7sFOaGXKkM75ae7YC2OLopmz+ugW8TUhyBr472+orJwMVf95GLeXQpd4Y4vLpjl BUaKnp50pJO2fJOYQLxDmZ3oSpGJJR+KoRFqGxwFQp/Idi1/6WVCnyzIRe+VCKvsbQKtXGqZmUgn p8BJLqMkpGnwwcTz9uaL+44Kf3brUUgyTb8gkT7o+krO+XPM55ucC4pJhaf3by3iNMNNsM4/3l03 yij81/6ErHZi16TeXIrGk4Rz8d6pV3VwI8Fdq1h4OCGcSklYq5wSOne4f7TJ7p8f/LnpmsdbG0cV QpSLQqhYNnMhkPaieg8cPIDhiJuHJPIwLjZlX4JPm2RKJoXkWzjm1L/8TFWlMFlhGF5d68oixDaG Zi4gIf/4ZbWGCzBHE45ahKK6yMZQpuhSaNCGxxEj7WcY7WHSt+lhTPbqEa9XCZKatzgAck1xaNWs DrxxL/E311v4CQIo45TrLNtvA5BSOLYRZUKGk10dZUYFDcVecv700dUG/en1tC10XeweXj6YaEx5 McnZF+xujrspPNkWVF46LdavIMPednoogDsav8urud95GzLO+fdg1w6RKgsIs/OA/QlInRi2FRc/ 7JnFq42T7jl0vio3U+IRXzlOgMtWQ8hKMW6gKc4VgsB6CFqYhuHqbC+0bz9H8JQ1cjkPlxUsBrz0 JeC3GjJjbsU++uq9vSlxlEjnOD6zz+loaeb3r35fL8TT5fAEZff1s8Br31CuE3RAmlzvuT1KUbt/ JAdp/2eN5NbV3OKmgMINsmXjt577p1OzBswZAfflRop8OHX+0E+tXSogg0vVft3ianqWOxzJsSCF RNmPLKnr18+RZ49TRhwko9NpdlWaba1+BujeSP4CXlw92xU4bTOMU0JsP6P/8LuqqOirfhdgZEes OaScXC8Monb1g7vrypVzbiobdOsPg776XGAZJw4zEXqvjs3qwDqdSr01R5C2wf77y0L6Ecsjg/e9 vB+ikBAjMyulNQWImKvYu8zoT9UrTsY6VwkPJQuM6JOhqowMi/qyl3vI1JWKN25mjMKbCPjyuaAb l+jh2aLEBt2pXpi9RpFK36AChqu4zMiwKrEZqxeZgXfnwuPFWVtuhloQaoPSWsKtTsEBflSRWCaQ k+nBNDPUGOeXkkqslOaag8Vh3TRUCYr8wrcGtsss+1aShC7XYZIh9AqUyONE8/N4481JIaY5BV2G zu3Z1N2FgPvJwie0TOgNe5vTg7tmOoW9Wb7vF4dQXd+fiheTWHXgqXX1rnN6WSAIbqWWNSO/avTL Ri4iqQPeY+wc6M8YPBEqQ3YGjeK5R3BIgIk8W3EfB+NtBQVDUeyGdtZPrpT7Zgmm0uvt92CoGJYK 3ODdKhkMXNT99U8KCqDPvDxKPK02xtSHU5GxwfSxLkzlJLjYwK3ccQhSxeAajK0Jk+lELOcvlRuw 67lVS2Ul+sozk27YfQAMnQ8RYtMPE2r04NJpAgL+cs6QxwgNLPbwKfODUAgpHHaIXdXYYWW1DKR9 0M543kR+T9RNEv0Zj6aSetZBBPW4cjFs4xraV/bvFcZUpTo2+KyKraQFZWCF83b6b/hH+nAgryuY iQGk1EfhISY3T2mAd8IUhG3xD76Jv+R74g7VCAXPHW/sZohEIXRLh2jPgXOViPYPMidHzc18cUFW 9mMJtZ0PY0LdwAgit1VGdANG19VPWhUBrgCC9rVMek5oOEZ8JPgb28e9sIQ5knRGZ0C/aqjb6KNk QhXgYgO5LIvdSXJJcFQDhSS3l6gkDXlUWuiHJWWvDSv4F0yx4IK9HFx/dFyokkELpOWjAeRg/YUt tV76rxjVzXuk5s1B9HexZMUee/nUo7phRRKoMVIbP6i4DWcQO6bB4pJVVTZfRKeR1jvHhtlllSAm Dp0KyR/W0E1vmhGA7tiFAmKeZ7N9rocohEpeZCYPOqeyoD9iChq+9i0vOUjLnDIDNCgV8heaxwa0 5uCX0Hoz4nW6jGZQfBICxwTyTxg5G/+0pvlBFv9h5VjBe6CwoRWqXM/uWevw5PJnpilv3cwLYdOf 6sUn2uvytyToNsgOt5yfpdBfjjRh6FEN909lniKLcHw0UwoIuzi8CMYbiYT9lDrHekj9eKVPkc1p UBIRo86gnQKWva6Sfhb4zLBdv+OI4LhNimV1/6YF6l1Js7MSWwtErIoaGnwSvJtje1mddjdaDzs7 yTs0CPk4uGVuUSW0OCl4pdki+4h6WV1+JXaV4LWBs11jBKm/zn2J3k4UXm/UK5KQriTbUb12D/yx Bj6ZFunZlkD9+qsEiO+sn5pYDTaq74QjiFglN8ikYQxwVkj3w6xofF6RdFjICYbBG0GjWBYUYvlK 9uRmxYzVAsuujY18j6EmNaMKqcYW+9zlfau5GhkZYGL9pm7aAN94M/dHzpRAURtaxlj/G80QGOGO 39VW3nhJV92NMCPmn5yr67pe+UUMQWea6etCN2h5yUsKDVxMdXANnkTm3PRqa0DA7RZIW/A+GAso cduAyGYa5oGpFs3wX4WtB2FVf18A/pjCoiUCleAoaKDZYrX9dklR4oH6PnpQj4y6NXRUU1uWjR/t hqtKmH6DTu8Pl5A6Arob0kZM7UbAuWQPn9C77YVrTl1mGsF4mYXiEZ9kuocPiPI6KY6zxdRfVVye r8CZHsX/cE43DJn4WulJ+hWtl4DomWTWCR9auYZU4ZpAD2KiVSqZW2jkrM/lXqLSGYkspuU3QclD BNIBbmBcvilr7jsIA8n/1uZAPm4/udK4lhKi+VbT/7R3ggatDaL0lqMg9AktJ/RcGkOexhfL7s6U 7F8mZIHw2KLrJTyM3Kbczt9R9QYzWNv+/J5dsNYO/VqQOH4CpO5czz5a4/2/JhlPnZO2FEJfNZOJ 7A0OANgL5XZV/QshExxq1GlWc+YpxJDON89pRVsxXuCl/EyN2cnbpameQp1gXE1u7Ueo6+jeaV8N PEUjxqQ7qsbq8JEyNRAHDKFbGwSzZtmZy4gYGis916mETWXXB875riIj0YpQ+6qtyJpaqPD5/tLn xFp7SliW7u/3bl12RwGxQooV5t6CEIQYZH5c7AjGzN96TSnSEd9aUgkVnlsLePBIhKhMw+Dcyv9o s3fSydw2QI4tJrEtl2cgP7asnBhQ0GwYu7mn2ZykXST1AcWz5t9xl4Ep5qWwuwumCxwMyDkdUyns xOIE4nbQ7fXtoYUKTzKVkAyycw9qgNyuGuDfjKQX+vqvjFKOFhrXqMdkpuo7PUERSleJaXYRUa9e DhSCY4H2rjH4018OTsGmlw5opUEoM1j3Q4tfx5wPBHwYLfqrFmbmmxx6rGAlXhVfx2SXDZjXVCgk 8qhw5nErGhDoeSmuJY+7xp34l2kTqQVqPTyWNwd8ZjaUO6DBv8VLIqfVJW6VnYDVtS0QF/dEkq1g hK8vGVP/WIRbYN5kyxZ6yc26t4JbIQW2UIs8ZRkC4XqUjtA0af2JUDt9ciG1YHZgrsnZ0Q3vu0/q GtXmllKCK1C3R/50cITc3JBQCeyzjbN+w4TcHNcGGHlvX/c40boqjWuYBysDS47psX7qYDcKNeU8 S90iF+rXI3Mb7MU7TbxVslegJuByXVwOETKaxK/AijqNwrSJgZm6InV6WzxH4gLpbUUdSaJbUN3B PoIXYhlSGM7UdtLqGk+9N0A4x0u4JI3ISXw+rjQZQdfJge4SNfmHZ6GoJUb5iM9xDrMO/OnFm0cy twNzisq5ZsPkHxaWftbbhwYM/n6oXMrl0/V5VeICdJXeZ4ZypzveFi6CxPCKGGDJz9N9bfpUX6SK CsCyzxao35Q68FyjgMkVkKqf44n1wKjYnOOBYsNqUomeS3hONV5KUkDA4DsRBmR7gsdfF/vxWQFt Gx/lrUP7eAArBr08CvE7pOOj0ltPpUd7cmVLjnhMDxWSWh+1p6jEJ99lsWVMAK83NmMHSwId9WJn LXc/Dluqf60sMIDy1S0dGWiupiTpyEm8v8pUf4UiNXqPJF5FXJPlXEApsKAV/JbSPWzJJybbnn0a rqubdjx0GYZIFanrSUZiDGj7hVWB5ssfDBe4Yh90DXbyiZuWLXu4/ikV5fF6a8N0lW2Pr4oUWeab fMD0NLUc5yYAhGRqV1NupGCDjMx9yXHYTJrL3cu5oHWu94e1VF/R0JhEe2ogCj/bvSowvOhEm0ab NghdT1ajMtKDQa04KdAdH5Gk1F14r0iuRBJpMr/xeYQ8PT3Iw7HwvDk00dy+IXQ/CTW56CVJMzu4 X6fSlnAi2XYfgM7ROrmMPOJ5/fhULyH3j7/HROlYqATAOVI260i+WY8ab2b8o3xGXrVtwVHg5vkS IQMEjsiqY+HKyzMpLeae9R7REIdygF5zg1C7E+xuwuWVgjfBX6BsTm99nfeIGRI6HkL/bQl2P4dY c9HXi3qtkA4VOeFPB+0omLVUqB9NJkx3V7wwXvfFqC4WBsenjgseOH5P7zII5ADRNd/W1tIP+hOQ g2RKrSwLI1kq7PvOciimbY737tP8mkjKClCPwxuLA8C/2i+HYBl4/i47hirgGEdkjlv6aTrnIum2 khofdjm9PQHEdhb49aK4KQkipub+z3fFTWPSr02XMwZ1C7ImQWwq2Z9zuTYaxY8iuNXYKZiQjT5b te4WOZw1Yc89MdkXx134fvuHciOuIX+Vxw+bed//mhHlzmjXNbXqv45WtgQuDBaEumE6NUVK3eJ7 ZIM8oEMkhnP5CBwWDL1alSLh4d+igizqTVW/OHu6d8IAyew+pIQgH+JD5yBujkXfLRdxN0g58qgj vN9RBiVuDYVGuGgoEqt+KXVjlMCPvQy/zirBmRB0QH7WWG/27rWF6ajyQAj+h4Qi0w7YJ40oTCBF SmsdAbe9R1RqaJNlJUkJZwIhhIQ8+iULloN7WNpJIiB+BK40eC4F7D7fGnyVrb30WXp8N96Yw1DF BLL2u/naauOyOWNDD7TuiaerT5jpanRT7DmWaM2kZHVhSJhwAoRROVxdNNxcAXwu+nBCPpW4YIf9 PsBMlHpKd10TLihDTjd6S3t+Wdz97mz7TyVptvXr6Su/xMVD+1Xwp4oaKjRZdNU3AGOtLaBHWFil eQiy+45Bb90aMV4HJ4yh87ekIoUMgiiA/+UVuskZZ5p6T0PTfLojs7QNhKuuoXzcaguy5L+TD/Ym pslBKvgYAJO9+4bkIwBua/N7KkEnjXIMzJDar90ov4TyUZkUcQMJOosKu0EiFrWKfViNOsrvJiL/ 1eO72s/d+Fujj6JPec1gDtsEXhyobu+JOqKA9bia7srbyVVtm2lcNmeb79VZYsnpUdb83w2sPA/A VuT/Bd6XODOHiUjRf5WxnFft30M/9Yj6NzF4FDB7EcbmbjwRN6LfXD1UXQ+7cdkdUyzoDmoQGZi7 vLVqzZ1/CVJLqI9FALWtN7G4r8jrOs5z/HbnXMDAJyQtU2tDvVTmnBAas2ZLhH5xInjtz95mXYbH zgiT58S2/rIjDYWfWpFIzOCeLTThukriVDExX0GCZbbgvTZs/cr1+830SrqH2BEsgyYn1bUfYpir +QK0D1PBh5aAWnxAzk+wGF+MseC4wtbaCTr3WRkOKsZfYEOGm0B3FOErdqvCzW85zTOFC4dx4xJ2 cWdL7erEJLbE13c/TnxfF38JNTc0aeM7f/BaArsKwyp2RU1VvcV8k8fvSBYYMoEGkF1XG0NygXD9 iwFZDbe0erWc4JyOVsLCbPWQXGc7cLbJur/8hwet6geyv6XkjtmY9+pMbUqPvCiVvjBfJ8HNaPHH rkLCRLipMOlB0OkxDN0XyUZujg/NhLGDHx0QsUPRcw9DYJ408pZkB1Mu8cElBkCXasz28Bxczzt5 BWz1wBDL8XJj0wnE0BDvjsnF6EPhx173F0MAQ7lWOj32gqO5mGE9wlnVkDA0jyJhEHd75U4keq5e grS71/74AGZIT6R0LNO/JzcTbQ5Y+bzmvdkPyPp+/lAhnyuGEjs8D1RXuKE3q26CWPbyQNWBGZkJ 18rpsbf2RP3FzEwY5efK4Mp68Zxfgv8vPGvJKVuxkBEFwefGKR/OUurveBqNECNR+eIqtbdq3BR8 RKicAVxrMws2Qm/0GPEqXMv8A04HjxJeAVqJDUqFvdqd/MlGGe5/oqIjljsfTfr8uZTWjQLgr8kk cB5t9H6S2jirfJ2k8kbfgZzqLAtTK1WSdjLNTf0WoNCA8/y9Y4ICD4u+N4/pprYUyh+DHXJ2Vq4J 2UTMcKWGaq7o90A7+I4m9L/ZNs2lQ7YPGvpjMrTG3zRahOsDvBesD3MF9V9tE663TSnJgio+frqo nyWff0BGr9seSI6cTmvqLppVcMuxXjFSMJEqUfTmKaz2vR6IqxkZkTF5vPXzEqHGhccBbTi4o/ja lxvxXCS2KJnYGOpvPuOtBmQ518rJ/YjynZV9R82LJZuy4sjfcooOplqXEySdaizUiolxV1dMsye2 Khc5q2lbE3GOKcj3wGJ0YTBc4KORMTy6t5Q5/u+Rtc1+4zaHDjCM7r2eSVV4joCVQCA+p99RlHYG OXbItRYhUlzjcGmWgzvkwqn/G1Tbwscq+v8mOX3/KF1HxcYKcKEuMRvofYQJeU6zMkwerjJeuSiJ uPDj/0fm1tL65GZCYa2hqvTHb3TwYeTk+0tXmX6hTrEMA0Warbie8ICl4NY44IMzfbr7b2Tke5KE A07uFhhv39ZdAokJviifrqqbzne30yPFta8KHCn/JklkUKYticYc3S5TKTvPP6hxhbuTUE21G8DO EHt5QPL+Xv7E8nEVSDZos91qTSVvk500UAGsxAAfecXO+vwKuNZszRUuu75qiuck2l5NbbkjTQVK 2UkHyPZeLMaEmsINZMZmxfE+c8cj9SWFCjDHun2z++PYfjNadG/+NMT4MmgiCMcEmiSFneUCJywm p0DmCsHpKuO3H8otT2TQ8xOxMXe6TC0+8+tl24qqhpO6q3RbLKyvIG5BA/RIWHuR3F/18BWbC+1J xzkzPiWgaMleptZ/7Yox5Aro4kQQs4A0W9Io/00rcLLkYGgQatTXDmnMb7Sw9+UO2HjZNYk3UXmr /z9D9Baap2CnNWhVUDW8qtVgLHG47Va+DXmhHWYUuxxG1FwGmvYJjig43hdy63bQvDzcQIKM46Yp fm7ezY0nK0y9AxxKL2DJm15gn6uhBfTyaUF9KNIVSH30R0XzU4cX2Y4C3X5TA4Qa9fzHcVrIuPgD 9qccbWht10iFQ+YDNmqVNoC+53tJVAFv4zFLY/ppfQbv9txzUjGMI5lsR9HSy3f0XCSJmTbPOtE2 vnnDvsiWMQaylG5UICeiMlepbD2tCEWJO0L4UHQmN62AdCp1ai8IG6JbDoLHKMf6jZuhy8I0IF6w YthTBJ4yBjq8NiZLN5gOi2tk5xojtMIIH60NwTZmkGzKh2in7j0aMOKSTVH9tNzwHP4E0FxvxpYM ObbKuDBrusYvC7BPhRLCS7kqlMSNhop8VcxnXqrvmjKVn1O17m9riy+FkPbhXKnohvURtrX67XBG m8KgXCOVv2R5OhUIS0JeVvQDix1X3OlVdHLUT7wnQ9y1KEt4ivSI2sUD7u0ojdnpUQEzzOIukN5y fgJpSu2hYvwCNeyzjpXYNOGr0i3Eu7YzUeFVTW3ag0vAmCOZ8SOxKPjAcU1wfpATNxRE/RWOKwJP BxnHG6O0iwnI6Uxd6fGOYibSiO1773wEwoloxmm0KAldWQKNstD4YtZy9aMp30uSrUt/E4tiDm0E iMFqpn0+dM3/hY7SfeKbPg8Oew6a5jFoHOIHmXV+G1OW1jyOGCeByti7e4/uf/It0WcFgkv0wWFd 26umPLBNpBdUjwgIT3bSuozN4tGRTCDgHrGiZI/Dr3gPeAmK1cooQNWiZ3TWUDxzuyu0dqyTojRM KqOdBFZd5++aqNMo4eKxt7okUzIfDHRqFRoo+1s2PkAHwKaLyvVWfzaGnxRktqjG6TBrGABGQq+U sgZt6CDkQ3ftXCNbZYL+bof7oCPRqt1fIeFhZAT8YrkLUW39XMtLOdIiqIrdlC7nWHJgT7FWEzWA a5cZ05GwBHKlOsh9Mns7wQHokjji9aPcuN3LdCYj0JRB+RFReez543A0h11OvyF9BP+OdG+yDkza KEmT9BF/SpI7JbFN7xz/A9Rb5rOgekZgOyWt5y6cFLmL6OobNkmE/wYkO5MVKkcSRPsx1gvwUMTH 8FoVAAmCk6xlJ9M1m89XbTl+vo3aXXbxdmuO/PI+dXVjjd8SZX1g6xgC6RH0gA4Z0PXHggoQu1r2 /m/DFz2j6eyWj7e0cXm8SVM2ePemC9jwgAFvgsQBaCzVSSANiYVaHkt0j4pgqoXNJDkmPsBlrKC2 KUG/66v9fGvT80EbwDbavp/Xc3H7j9vvBwIGzw3w0tlA0nI94xECu3TIyPNS96YM5vIvREe+zQpD Dam4sTPFkP0j8le8u2aOxOr8HCK8WeLuTm7f9l3Oey1F2zXzQf4JJQl9A2SQYh1YjA8VG2qVcbB9 LgbiK9wWmx3/UJuppajyubsMuC0+Z77PUjF3a91GwsUCWy8eUZqg/tk+mif8TV2XqDjkFWJfrk5j ABK0QwuTT2Ed4oPJ45vYV0DDC1lbeeeWE7yIDiiVbszebtk+X7aOgali/VGVgQrH2d0xqVVmy76T xzduuDgh3NU8wSD47Q/p/aI4NNz3L4CLpyQX7vFoFVpeUNha8dNJZjcQepq5f6iKelhsVWaGkFAx 24jHLl5DKlnhpU33QHZM7IURgxvjAoDq5GvvLgpAY7iDpig+5mENfdLVCQ6S3YdrmO/vb/dh77G3 G7QcTDayA9qpnq7oIJp4zo0I+VmvMtOXAD/iNzvJA8aY/9lDhFzt5W86JUuD0gpHWrm3TShbJDwa 85fRqUOwC+T/NxPbUzV1DiAhBBzvDBZ3cjHiYwOj9BwZ0j0MkaMXPICALDrJR+/XN26JU0qNdTrz 44wmBmqmHqzdM+0oJVZZKK8zyn1yr7pGTGj6YlScVredCEY+RnRn0smYmjWMewY/fxx4KBI26Q/V QQYKScpyn17mqPvXzMU3QawHaUevb+tgxWy7cCeBafURH893bymjhD0vNziDj1vl/B5nIDg9pj9V Z5EyH2n+a5OfY52LEiY6gSkbfkxzjpe6RenUyveHtuLwaUKcyRdQvzgLDJo69v3VILYLOno4mzEt ioe16V9eBPdHHqfdH4pzefj0qO3mAsUzzjpWEabs0QlsslxwzwFySHe/JlrH2uI9GRvRLbLBIz6r v8+S4quvlntc3LsCCxeFEQ9oIu2hi6c0WS71oLIdQxZL/4nYg67jhUMD1IxTtwYnZPOWuqQy8VPW GrdnCubJ+jMuJJQxsQaQ4yTTWxlO6MTMtUNmYQe7LIfPwQCIEb5wmQzPMtx4JXqBnjcWn7tXpVRw tQiJoRAsbfOJULdcDFowIk6XSEbJSoYCg4J08ZvPCuhkD3RIDEV1G5ogC35Vb69i5nYb3zosOKG+ uWofxaCPRkyY219fzsAr50AGOfjWj1c/WkNNEundxbXxBVwegdU6f/nolmCtFw2SdIpqhr5IIrfS TgGMGd+AY+uU6kITKye0+BUkK9mUIeuULyQgSsIdjgElb+7+J3jQvq5PnP63XxwpyNb1wfAK96U1 ZOj6kUhAToLSpS0XCUkZv0Tgi1PIhWYcNVMdMqkF7IzzllwNTziA14g4+wTUaY08fAZ4dD11sa4q ARv5tU19iCxpgT9XK6X2W6wmqUoi7PJ7o4U8khcL2folYFbau9d4/YGaTBrWt3P7U016V1zeEpkX Qa9mASvV3JjvTfWhrHqDg9KdoG8hp26EIkTEBCOY+IT54IikMeWqlgn0hsFvxM96Cb2nsMmIQkhm MshidUel4LhmX4cPfPXS1oJmXxZP+7Wy3xFR6V+7Xb9c2EPrAR+octIbO6eCDcfNHB0P4exv2W6X gu6+zofNl94fSOHbOHLoNgL8zKHIINBRZjzqWNs9ZdD3JxVLRzmpI01sJ2Lf4nWrVE4qaNwNyebM JNB8A1snVztGwS2K4mWAVEQFRkSkMALbAa+dHELeuG+X0UAJQH8lR3gjoO+unCSvLRdZvhWIAEBR eelC+GI6PHIwRn/mCw8DsYpIsXrzKPpGqBnUcS46mRFBHJyi5V3DTubkq8RRi1z86foGKEA0KMTN fgz8q85Dw4Bce6BWtR5EHq1BJrMYj7atmye04G9snpYHuV6qb16lhwIUyOzAUaBVD2sRQ+SGsrH9 a5sLcujyWaNZBnbdQ/dHrr492DyRdNbiUJ+ep1ekiRukEeEBqq7cqVK5fwa9oVcxE45Ml57OEamh I3XgpfZd3ElyTmqjsc87Po3afLcO4MlKOHj6BvW73AjNpyBouSyPEGbcExTwfTaqzubDkdQcO9wL 6Qw47Zo2u7V1/8FUqTjaopVeXDUjNVQnp5dbqKJe61zZzpxHYdxS1gRnn4zmBBjGRJk8d2Y8Rs55 lOfeiwfQLDuHl6wlJE+soNu04xO6y+OYehMRO2G0vzeIEtzjFtEASZxRGmbzu9e/27NpjSWm4B0/ d5Yvx82KN1s90qTiBT4xXOOcIH+FYI0zofRtabk34cIC4kJIz/irJHlA/5zLO+fx7nGcBic/5+Oy XEqqcF47QS2nwBl0M8cPTQUNAdoT8BKXIcZ5mYFYIL+4dmQnQB8dBU5gaY/L5YKSoUYasV4pw/hY LhJ3rzmm2UtEUuv5k/ti6YBS169lmypas8arF81CYz3mdA9ao7Kwvo1itIS4dxmGG6oQqWo6PdA6 ViunRCOrgxCocdLkgrMMMEzy8HZ9y0+HmwYxhVo0/bqeFRHClFqIKyizxQyElxfv5KafwrG6vnHG 6CA2ysvd7FT1YVHKr2PegOHh9NA1aML+Eymzy8vjrvqwzvJykY8NT1a6YzKfCGATy11nV2tZbrY8 v+pbloEcK2HbySQ5I49QjgYa9V+64AYQJwluez47HSgBGUuVJqJ/TiqOHEjCIeVNcChmr6eiW16d jeBbKdeaw0HyxzzgeZZgW9by/Hm4MEZRxW2XeOCDEWR4E6IauorM4Sesf9Bi7CCgbdrJ6FTew86b aODrOxP8TGmiWs5A6JDiJh4yOE6TO0mvE7l+XKZF/yEPXgFxkB7hWuD/PF5ofA16PCmii4GsnMTn sgpGjCXtZu9vW+ihBVeMPzpTuPGAG3pJhXKmcqz55Dl8ZeUT5tZtbAf25+ab8e8FR5YFF2L8zfLI 2DZSqCfJYeIkQg8Uk9kNxYBJnMn67p5CZAj8CzxulalRA0kkZLQqjoNt/Lm4oEexn1n9V7hk1WJl 5AncE3IJDoLfNX5KdijtPQ3YuYYCrkE1mjUXFdhpXt2/H75qvQDNHiFz6IllExfn6SS7lFh31fiO uHUwWt6+i7yHm6m3G63CB7nNr4q4siV2txxcvq/ZUderzYegyYGQKDvmEVfqNhNNMNyIKxxY7VQW rkvEurPrTkdcwpYI8F8jNNyCjaHM+A4MxgXpbrcW5HKZZ2KE4UyjFgAQopjyoUy+0uxWqbQDv+yL ckpyILfTli4qQnfl663+h5QVcJC5aN3JuQm1ZSe687FOkb2qXerQmlcF3BipMNbGUoNKUgwCrbuv v2OaLz31w6TV2b+q3aOLo81dcOUbH0O6Kr00P4RXWARABn96h7LUidjVfbFWVdC7x+V2SzCmZ6W3 d4LWW62pZFfkr2yO8tzpCWbIMNBIvwSBk9arq1T+x0SrTYKGAdkyP5t1EGLq3mCF9vLnKVoY5EEE xzv/Z05oLNl4qT0utDGlOTZRdbNGwFvd+0eBIHVEEO6GQLXWRlqoQNZq+BRIF1IcnTewpL2GLJTp naxHaZb5DLNEXyPTt1rCKFyaKgR9hGZqmvCaudoJntMMXT41oeyH39IEqM5KsqiuG12JBHysuoj/ LzQDfJoseHU+ITclT/jIipzoyPmtEnXKk9A3rcnTfaf0z7QwwbwO+FAQiw0aTYHqNYpIN8Ceetzw xicSkw3nqtqbvjdO2omF7fHl+iqB+ah9FVFgqcdWdA4F5AfiRoi8c1Oi7OgMys09Cpij3OQuAvN9 fvU+pWuazY5KPzybx1NViFTvT1tH6SOH51VG+ESmzhTx0WYc45wMShZKe3yNWtnb7MN50TmYGVvb jk48pkOQqv3j5YMyGSHj3MTiiimwPtWq+7v1ifFUyEe9rv3CGOokqeoHrKJIu4Gn4uzFQASgPNAY 7LdqVblqUztrfG7H5KwefHQYlX3rL4t5RkdHmQBJ4Kr/mC3A7EnZOZ3fP4EjFMjJzgL/gt485Z14 4ny9uyf/owAEJtQ1t1N7iVI1ZxOI6pw5/Go6QXzNc5JL2QXlFBcUE/USrK0zhwuwJTcyNGiAaq7w iwTkgCmtZ1rq7A+RecUVXn0cZsW/8OmKgsDumoVCTbVhFP8nJNzW7jgkWUfAd8VzBqLKdSbXaSZG OCvjv45hDbLJGRocLjeYBDAFe5OwCgfuOTt6pVVQLzSWo4Q06LuwJNfQ+II1gdmKuXCwXA4ThRM8 HNqZzVfSkgMZPao81EgRl4OjhqhchomfEk70FyoH5jspNznj7sJnFZIjvY1gwjJSPX0GkSZpD3wB 7lyzwxUpSOfz7JYZVIiBB6eQrSo82pTDeJ6VZh6kVcebNRQULn0TlW7B8SwZZvMwJhE2gfn6OR+8 BLq7rG2e12AI1LxU2ANV6hrzHk8rfqRFHzPwEBG5OTJzuU8eA9kKjhhdclnD2out9Wz4kJ2iUCac QxOCi6ZHb0/ELgez3DnwsYq/aD13pfMycgrh1hEzgnkMkFlzxUJCeufH8FqexNXmb2EiLKVDnfBg VSWRXbkjr+Lb27DJYX9yIhGVjjUYa9qnIjbYplQx+BJgyXlTaNLSVATdPBfDG62FOUcdkl+FVDlL 9qY2e0SVd0UxMvggGfmcNpmTDSrHFIGRjuoQwucsZeD/JAuYBjhrfYvIC3owereEiK6xgJKDtFLL T+fz5Xyood8dymPVI3P/SEz88epm4qQdTYXxT7O5aelLZ1DLxNAAtrJLVI8yHBcbhkE1bpzA4fpY Mxw7ByMjByD3xAqxhYp5IczEsWQ5ew9bcGs99wCJGoDeRngNroWnD1i9Dx+Zfok2vB7s1XCWBlan KTizUO1c0LjYzlju/8xOQPSi53xAtvFpfbIqeW1Bh9J711nlmyoIU8t2XAVq5lCNtSA+4wLLqw3s 3aUaORriFSuX6Yh5tE7s2+MGo9HMpX/w3nukCqSImK3FxxnwGHu0gj4jAlxzE2mBX4WKfgNW6aFh HnjkKPlLoS094TNXkk9oySUEXzN6XnErieoulAk/pEi3M2fINrWSHDEGHE0ChmrOKkAqNmc5jxOF N1mHPhxxgBdkJjHTT/xSOcowkF0UbK9nYUwylPgp45Nu1MfP373efWflmgp7oZUBuDEr0bx9d0g4 lpBMTFgGKNrtPPT/i8F1C+bXq9dYEpO1bAo+hca50v6rZscOY4vln40WxIYphCNXKxczrDJJKro0 kH8IfujHs6BZPeaLtfYey9rbeb7Zeu2HCiZqSytu7/Dcs/TT5omDRgPiftr5Jyo1PPyeS6AHIzX4 gHBPuipAWFUz2Qi8KhuWGTGrhWZUklIdU5RT1ELdLn8FwQsTf1MpSxmNGMnWRQt/INZjP7nRFvjn idqB7H/gFXwsb6IW2l9WkDQQQSWLV9l1X9J7B+9/5HFUklwiYINUBW+o6Eyi+j32GbISMxRzkwL1 t+fFB1Q0ayZko7jtwAo0uCHil/xtZL49PdsgrNjT8IFFnPdBQlzdEVDHumNF1sl7UGo6yqVI6TZm VhFqcJVXh02FmO1CiaAUxo73VFixQ7d66wc0XfrUsGCXl3QjZNvjfk+xUmg7RuQ0DzRGavF1b9HV jeWCFLY0lo9wILHJ/fwKdo2ma/Y+11W8uLFZs/tdVmham1OogRc4TjRvIHPSO3i5ESfMnBsPGlni hRuLvMwiO0aa3ILB2QnOT1FRbdpoRnt/Wpp2V/sIN7rg7qs82T6jyDqPFRCDzKkqn41OE8/zCp2H VVXIIyd3rDN/YOLjNamw9N4WFjDNjU4Dw/rM+CLnoXSrizaBhQKnliZVfNAvDSYexM0r5WrmgsuD 6o71mkr6b6BNHwlEicSUBeY6zj9LZ4s9pLosQ3mhuXvWuA7YDyniCWpj72sxbxYtsoiGomXWY14A MRCgi1eu6mXc/oqfBKUsuUwpRE9HD075JfPGBqjPHpLe92f7tOOnxR+DxPBunRSahJ+yUc7M23YY QqT7QGrr7/E24DWFYEWH9zd3z9pIjRcHP/ZgRwHWlkTz5XcePyW6cB6Y5bjiWqrxJXl2bwCF5Ayf og3Mwyla3WUvekqwt5tNy8fyCg4yCtvUdK7IS2EcDgpW8n02dtOkYBidsRzF3tpIrpE2cHxMjY3J Pks3Jy1m8/LPlQNNlCPlu6gZqG1RJGK43jHfE1HfXxYd5pRPWTZt6FRltLQze7OK1MZvUjH9eXhV sEe5DdT3P+oXjGKCBfaJVieZhMXv2XXqGyGWdHbR8++fuBMo5V5SWmiCM3r/vO1yp9lO/yQ3udQH r1XpFHZx6ZNMtlsw+Zb4dl11/cIykuPXoza1/za+qYbcSST71ki7ynvg0DtTfPLICNz0elNdK+mm kkZrzNUiB7XmhuGvmbu3lb3mLrVOyOMI4gKVikBx0OeIhdM1lEEejkvUnxW4pnASI5PQzHOiSbEP cp+LBj3P3TWZ7sAMhTrb5/PeBhVHnHREarssygRMPD4h4z5diVKfhWfJ1YrZ1V1ReRE+cAQUqsQy jqRNXTpThcYw0TE8K5lF8a/qdHnRoC1h6uCAdM/KxIboz9FT8yd6NpNXSN59y3RH8STIbeuA044n s8VKa+LjtRJ0GkC2PlLZbAflKaY4rTf4/vbrzmCHWlq15hHnN6QuWfZV8E8PR8b4uZtiCBU8tbB+ reOtNT4uwuj7KcN4MsqQ1Tfx70WbDfWbHvMgESbvAnqjc4SqAyukj/IbxzkGbvvCKt8yiC+wtqnz ILXGfiaNrVEwT3OLmsxiI6ZD6Hq8ujhEbA30oMyq8DHMTZcM/hu8mKnLKP/pn9lr4pN1Veq2/w/4 srI71LJ4f8S0eSOUSNlUX8sOjKBeeIjIl9WSF1qaLMRlRHDoFAreBaj1tX7h9d4cvjrUK8/qxB2H 3JXidzERNWG5YCCtWHjvJ+pDTd1T4/HGGyzukDOGOmqR41BTu43/LPOrGUzXlBjU3u3sJdwUWPv2 gF6dhuV6aNaiyedzCmNj6SCqRQuJqKraejiwEhL3P/N53K303sHp1eAJB7aPVl55GH9MVHwFSt3o T8Zu2N3mTs4t8dT8h2NHsh03hneohj9JGa8Y7626538e94Of1eGVOCeMfB9t4vdr6/DC9PmFuDNS 80krPQW+adJjOBqK/WhzZ6NUgecxS3951b7F+kJ3m3QkCQv5D3hU3nRg1Ho3/uvQNoHRsh9swlsq XB62EEZ2XkzifON4woDlccDxIk2AJOU82PUwyjy25/rFV/DcDsIW4opqQDQk01r4ApaOfrPaPkA3 2PEPyEEp8ejKaFKgFx49fyD2YHLEnu0J4ZOOKxfOPQnzJhNbVrY7RSeieltKPaSWCZJO1/nrploM RU/QYJFaM2BNWGIcv9d3VU6uhcJIU6FSzhCerYP+vlfqt7bls56WLBqUehbdUO5uW5TDkg/osqQw QWVldbRFPvko7o8oUQnLu2G+zDY+BbjZcS+ooppj7d5V8HyUZl7+GtpBlKlM/zIKgWqFxCd62tNh CkGSDJriy+/GPS/WSa3T9ZR58yM+8nPR5IhdYAl+BLp6QUqLmlfdYysTAIYd5A1BRaZ6kRIR+16p dVGOLd3UKxW7pCmExKahCnXWY0O72iaIQFZ7BFfqy0jLONV6wp/LlqoB9C0Ql5GJppTBFGEPQM8y jC+ne6nWtPMKdlf4+/1arMBPx3acaGE+e+m2M3lHbH7jT9eJxUzN/yHaVF04Lq1a2pwoC7VnF8/z z+XYvWdeKadsUib/dby7FQpK6rE8vQrZuAvbG4GHCjnJXDlav6YLgpYMXCy0FeiOik9glz5wJZTr WRVmqwYoHtAbLzuVhn9HdCUdr9vOU6EApBr7TEV3xKOV1UWgSRnK1AysFEexSTkgXfNw23wQATHh 9uQ5gW+E9eKEH014KABsLkbS9DUWG8xE963oHNWMdHnLDIKwG9ldJ3UFwpLg0+YAI87MQJwBpGUu Kiw2V6pajuWzYH0o6VjgMszvlrWSvi0Ec3Z5dFBmBDdg1BRYLng7lxWFT87Tj9h7kGFsXy94Jc3Z pqgHIo0BJ1ZFlX9IIaBAhZiMC8UKpajD1rBQej0v6QuaMcX0e4+c6SC3j9iQToo0tYfefisjHgQ2 eZ6MdUCoZJtU9iCNartYzOoL+78tNtzi2XGW97r7+KWL4nz6JlpD8pfw0HbkRIhBIpu+NmoIE5O4 pY0aXnEvu1uauwRcdDqtPcplePl3sM1EhuB2iBGI6GiMDoagN0xweif3NAEEMpHHPY015T7phyTI LVBtMwUUeeZTp4l+9ARNBWiOK/UcaWk/A/tj+Ldhp5dFLoTezYgbdE3j2yS8WXruLX6ZA/pmJh9h yB5WZnGawBU8y2Ch8NGKqBOhFvJhl8eUl1e65N4ExMVohOgzYRFBMeOealqiOP77L8f44/vqjr6t dC7hCVWyQhUmkaGwwHaBbCS/nM0O5vcOkUA4Fl2GqsPiXztryshRqEiZshzEWUbEIC58BcfzybXR BZBVgkMZRGOzamvbAmF1xDMdGlkSge4s4ZSSk652CD00N7Qp28yIrl5qfY4SiPKTDKV6JU6yGk0K 1+wr9OgkJV7dRtOMEF0nagUI6gN4Qi/OMxA8dFJ5CppEeXgFoo8IRgx8THmSDaA5w9XeWj6XLzSe kdRoJQsozbwVdFbCqRrfEBOwCKvli3Tyi0IYID/Bt2ZRjbGdPFA/7yySMoCVal8epjLS/+x8i/Ux 60GWJ7XgJ8erRz9wmknlD1SqazMegwLqzz0ppCRaOCW0pps7AyZ/+TgXIbgbCFaOaeEdPLHIAU98 bVl6YBMbBzQs2PVNl7CjQ5WpjDLlYRDlIL/uKEtrWm+QsRq+RxsvzVZj+7cMTg7sJ9r7gFRfcXoh kl9oDFc57YuUw+aZ06jtdLOLqt1fsI02k0aXu+11XU+Hgde/8FR7FH2y/xgM3mIkczm/No69cEN7 YT+IOTKACbVB770X36AEnUiOHsKVQmc2e/PZ5y8ryfc9RFUQJlCAm7F7/5E/1BzKyWXSGHPHorkA 5wrZpnyco8u2VuADKi+lbJr0i7wCT6xDTmc+C0X0D+GZWku6yiI5/LH0BkHzS+TYceOZESJhmTZ7 nN908r71+AK3hILgxjS+NK81Czr0hNBgrjrsBva6agtmlyolQ0kc73YUg9abLsDdadQV4H2kdl1H eyJqVCo6JEc9Gb+4rGzlaICy6TUj6fKczkEtD40GqkJqswJTOtaQ7KYH24O53zWiueKvFPnW7Psl urLAXq3zM1vh+HYFp+61JE1HTH5CMK0eJL8KFx/Q3NM3vEA0YmxF5j4t3TLQAAOnkPZxpFo86aer tJXk0ZTyMzJ8y3GYjX/xx4ComOBJlWpiFEMUvY0ONSRyKjJUfeZ7QX7uSjHWEK/7Dh3T5LBCN1e0 vC48zhUOmCW+XKYqKvjsWkZdCcywqv7uvwNAQsk/v5czHQx0SRGUDydMFSOc/9FdtH+v7ziofEvf heaGfl7svmFeWMnlDJ+JCKiIPEOwYpQDiy4S87WpFQvLPjoLD4E9eEwVt0SQQwzb9k0/qlDb3bN0 bDYqq18hPybwrNAchKs5wkmdNgLC9M5UF9wpeRRDxdGXiHvxpwpJJb/rGprU6nBXRMHmo18zb6fg o3OeNbSGvwGoQibd8QbHGc8ziLc4XwllhRPd9HE4i9AdH3q799OPDcJt+EDznNt6Y3ZqRzed3SBL 2Y2YkcHDu8cGRsERjQOWiTpff8FjDBpWc8AbKCa3eIQhHk8eo8FbRzDtVuWZ0/wJf2FAcGezEWn5 JVNp1Foi+WBlg6uVXFuJVyiOf1nnqsuRHSvw+dnH+5qdAMOT7CUHeiSLijrdQWdYz5DFMrFWWviH k/zcCE4FL02E23oV7NzSiNDnWbcLcGfwCkF/+EsHWCBl9Dy6JQyfCDWq6LTWcsub6B9fz9GmQ+80 /JK9+i76wMMEwilXBLVPFTXHbDUsRQXzqD9KneAuEd0VlGYeX0QPnRbl+YchZArC9o4WvMEOX+0V bEhxVBs4FsRagJTs5dBSwi7Wrg2IPeK+HUF5P8xRwtwCg5AqFrjp4ZzoaBo/E18aPi4UcWt4NKB0 K2cShf5Vo4pf8VT1t63AjtxWnu/r0tNqgajUTWJgNs+20eTv0ri6WX4rXPcxR7iMutax6aQLKK/1 H2O7BDDI2LedpALXr5zxPnwb/BY4t2kqdAQ1zMry3RCL+wMwws1xGHOZejWk9QfTi4VxpgJbDei/ tQwf4asEnAn65bRQpKr5AuQDCffjKaQr6LMciksJtPO22yx62wHzqmz9EgYBSLu+8JpHvMAkrE4p HW+l/Jh7slbgSJklt2eVE1AfduHryH42a4KjjBIm7Qsy2C4wnscZ+uvYudBCwTZO3W2DEVZCtK7w UOOoJnfPuSSBf2xpridowIKRdz3n/2iq+bsDIXkvAyZx668VJ4NF9VDXHSzhHdObMWIUwqn1MUbV n1dsgc6L8B0n2ARDMo+xumTQ42GpwIkt6CQEh+g1Q3j2slFEiu4BnKwFXBrfa+Rs37NJ/qsIpyOA qO38yW2ArtIbdZCqnvm5gs7E4cHMpie8EYvklaTJPNEHnXV7F+x+kwlTFDmOkHVamGci736fum1W svw+yfis3JDgeyTvBgHL/1tgP9I/jMd4vxrVA2Tlj+Vi2GKEE/gcfi/0+AZAEzncTu6ZNeHm4O2+ tLXuuHMkVCi8vPt3KE/tw5JqlBGplT5VmQsPqH80Qo5DU0FTolIaGZd/fobBJl6JbatBkawus9I/ BWplpBD2rqV3mJq+xEUiWO7l20tOI0KZpEPyAqWQxwGlVf/lWuPwE8go2Zh+56RRX9lYh/hmMMxA BpQL3OoEe6JQ1ag3+fuSk6caPFKeQSBNCnE0D8TkD5MkZgMCEDs3G3QjG74qokJfnDjZBOTYl2em oWLHi3Sm/LsYGxNtDFob2JDyinpJCFYaeBJC6bOBKJt4rKXZMuslxFnUa3TwJuU99HOCykZwms3+ /J0jgGpDCnUy7pC//9EyvWobBqjB0SgdRaSqOMmoNhb74pT4h9QSLYXkCSBgSwrJ32PLHtECNkVp DJ0d5sG3l9rzGm4LsvFa9UH50QIyf8s/pmex7C1Gk6TWViQw+4HLB2z1NVOCewxGp7qfxhnU7JMd eEIardgE/shZ0Hx2zPQKPY1391RIF1NO4fpMMzmFQMXFOWj4TG0O3IJ2sZLZCOw2mJ04iyLDirIj FButMMPBw/mj2divd9KbfilH2tbgurO0tkJ182hxJQBg/j0PkxBd2dY+KRXg8xU6YbvsvtW/RnX3 qi5dQ52U52sh+tre/CwcaGKLJzTyqt+zu97oJ+PTVFG2YMQZp19gY4K827dW4g3XUjOyLCafIc9E Eekf4J2fe+5jGUYZQb9DgEjiJjA29arh3FfQBJAhH2VpHzaMqbM348jecVFx7jWVShoLb6JMx5K2 In36QvFPMPKJdVKI8Qo3AoCrekNv3XQ8rRXGVG+UtkGtFWIUHSswr/5Pex8jq0v5IMVTIGStEQyZ ilbL3O93uuNXtBCtEuhxKoftA/Z7wmwEcz2F435TcOBv2YOpS0PZnkKdh2On5rQn8LqeLJcJ9hMW gLveLMT5tG1oshShh9JG8fjoa4QtwbBEjZhiygLW8TL8KN993Y9lVQ4M/DLnRKe6UcuZCygFS37x yDi+wZ5uPy9/wd7GGpvtk/Jm8DcM2Q15409FBOu1JMzqfJEHWBEUB37M4XAgOnAPOKN/aldJiGu8 sMuKQwnUEvmgi72kqKob4GfcMzzTqADMocH+oi3s/MUvCQMotXcUuyoamfeZqw6XjNEsUyfeX2Ix PtMbX1H4IejbngkvXw5GfeiTRLQEAuZVOTL0VBsFPczKZUaynelxXBvVIbfHJIJg8YM4pjB3SG+X aKv08HY7EBdNbcFO/s515LAkTkqsyk0T0FbH1yCEdClWpg/R1EGCF2E9IlaLfcVUKCbV5c2I77zn xH3mNssou/TBBB9YIYhQs0e3EfziHHDbJrHnhRVpZRmOAn4FBmSCo8raQKunPSC7FXE/Ehl7LkJR XoC/YElNAzAPjcYcZh0o//MIiMLus0RkSLE28iiEjONAzfWULGSkLbf6HDuHpW7OhcqC4PvxWEH0 PWn7WtO3fF8mLVGE4T6hpqs9y8TwYKW1+LLSBDrN//Jag8ajXXnF5Z/D12kAp6dUmuzfK3syk7Cv foeQC/1lHdsTP/qPsQovw6np82aMleECiPNWiBIsBNURGzno6UnA52lAMAIkyi/30I4NGkZs2sd3 g9KVOYXHpaf3thHHXJYlgfAVPeMR2KHNiMLbXvdc2AWebJkeBLF6rknGdN7YnM4tBXKOzg53SPGA nP9Yvr2VKyCUnVkX4MBGZnHSrgKKvPe6GzTL63YIpinFsWddNj7RxJwvVC6UZaKAJl8Bm9nTAeZn K6EIS/j5idJ2x07LFpHwlCoPMOEJImgn43WmZ752wTLXG25YLwMiGp+qV9BtrHIgm6Xyl5wp43Lg /yEK6PEaOKry+to7ztEZNlB5DKw6S/yHepu/fmTX3HvQiM3IB6kUglRVVfSGfCS20d3YVEdBa+a4 5KFGOTtgmxgKKbnGf01RDvI20iZ6tbZ4dL0/hoSx8zChwVo++mAfT2muBoel530irBUpyGA+UcsE jk3CqqnWEmy1HFFRO0tSRUNjjmYmtiEypVJEGjiyDDXk1wWuzAbh1/yOaeP/FsGvEN6mFgCFOGbn wotNH1fl354SYmUnuwCq4vRJ8NNRcuvD1CllQ/LuqhatCOzh0enQ4TghMMxE8zlT5SvBdKzTkgrI QIPktv9wpN4UixargIrsW3A52UYK8tpfhIHiGtxVGvlTpI6VvEZoC2l3OM/PKxGMXD9xT5ZLnX3I yzbJvJ0qd72DQvkK3DUEpfBRrtk1nxT2KEOSghAY8gKLL12zZFwzQDcjsgP1/LMAzutR89LduC7S OPxqEqlBdLC/nVMY5k6B+Vv6VdroYPfeKtf7GWquT2TFTTYsLAj1lwjZ6BsE0jweF8zKdB+4hrku iDSCMNuJY8D0+ZCTTLcs+44LN49efkb7hnk/r/HpsJ7NaeyUWEmKxn62uJiXSlGwYh6h7g9F27Gk g3NBET5sKK9t++Npbh6UtYhpZS0wDOWBA5jSHkMzuymwZt4jvV/xNPp90iQiXnSmfefJqsnM860m rzfxJKRlyG5/UPt1j9y3fgV41msv5Mi5y6ShZeTXleRrO80QR237f1JBxzTAKOT4ZrP5YTFfa7uD VJsQbDx9TK9Sa2btyOizVMvGbojW1sMmGza7CYW7REAlhMZbAq02OZbr4yiMh71xfPMVfOZcqRgv mDDGJWFMybIYwMF9zAaczVApoDusYREafIsLq490SjKx92t4e6dIxHcRwKbR+sM6yrEq4BujXU2X 9hCqsJBbmwa3nH6+mNp7nuWbo7Azrrxs/bIdTu10ll2UCzB4PA1UHQcYZs33IAElQnzjHzUgTzWK bXGCxSqpNHp8yXmJKzgUYZ8NHSl2K7e7BqJ97oEREVeOaUCddlyHHaxa4w52wGm9Plj72bXch5zp 4Zt9JeQQT+dH4uJ4tOPrwQ0Z77mvjHHX0ADOUvawnXuiqR+d/zzU/1Xq6a53Q2xvYWTfTCAVNODJ DPnx721NasnYsYR1IF6g1djJwgnIs/lhe5Oy3k9PGZOQwOdqn6lpnMKFTr8Cu7FYnk29JqQVau5Z YKGapY1pZ5pk6LPBweUNc7TV0nOCftUbVF6t3ycgTHiWWVXTEZRoTrBRW9Lnd54SK4BvZtZL9Gm+ zGyLBgjkBPU2fl0E4Lt7+S5xm+SpGSXV4UT7xX8bptU/q4PbXVmZ2L6g0FT0ZcSrn+0EjSJeYzWB RBQCm+ysZZbRYNW38+G+WVo0cSe43fg1FphRo3s3N6yaIiTLaPLgiUSDKmUrT5UvezCPd1o+K5vA I288mV1iWdrduuHPDgmdFeWR7V4QaxZtoGIN7kPDJVPgSdKN1EB1hsFaNX/SEQn8d8oYbC2yBvgr oMOIONXcC23g1URSEP1E3YJjIXMLQoXI4Hhbr6XRgErPhhjerExMVwTA/0SU28De1Z08W56CmuWH eN/52gHpcqrvGOtr2UGWUiqAG69a+lenSG41EVr2RdXYoqEl8elEAcevLGGzxbm3EcqwIA2Nnlba VY79o79YUJiu08+jqEEyR6F65rYc9xYJGlvEs3qeF1MrxRCAD6j9HfcprmFlyvEuAoBs9ctq0iP2 7j/hvYrClyyw0GDUqx5bqQoXsNbKH9xY7xd/uX9Zbs+3KhS5fHLSA94dYn1CX2JabbvQyOhXhKV/ 37Y4muRP36f7Gi5sJbG5f1X8I5MG6dml6rf5+FUBuvQlhwocIJ5IWuqd4eF78hYBsa+8IjzpmjUi CIgK6yi0uq0lnkbSkqLjV5d1JnQMZWQRZTekuCnSH/k60TLswisX0+Fo2nbWEforPfneS4qCCLQB 1if2UBEnqFvy6VAhdFHj6fAfeY2Ua2/CQGTpGDsyxXtiHSILsWb4QcqiJ+Je0GDpKGuUIYqJwGRK u8M2DcndChj3Jsa9DD9pZSnoZs2MEHQX8eMBPu0vAF+B+Ds4AESykKMxdjBmlxcYKkpbEsnBw9Bm 02U2F0ApaXxoxh/GRZM/7JMdUmHKNnL0977PVyr1C98Yw7Pgaq6vA9BZzHt2zRM11/WIkbDqjnzm 9O7ZLrp6YMW81AtmoQwjGALrDl+zgcHwOZ1zPhVnOuL691Vi3W+0+rOasN2CrbrCeqIsy6q43BeB Q2Z8h5VG5EGWdPN2Pg2MaMdUMd4A6FAq7jBl1qw8cKVQ6njsjAl/Nexm0C+7KDDo7Gj8sNvh/5k/ XklsZQcWNBPtCOB8FXYA8+4UzOc8DKm+FauiWSezKRvFMZ/L4m8tO8l+jIBgbJxbnPqLYkP5pzmr 9UJlzUG6VLPnJTkwknIBBWATya7tnM7U6AHKJa8TTACBGLmS9Kff3qdlNMVdIvI1YHg5FR/rEUZ/ /tA10v83LJy12dJWKKuoV+DiqfLznMKOCMIYj2A8ZeO/4LDZRfWtkUyNx6ie/eMl5EOc2xP0OCgZ tda7ufqDUE+m2k+6IkG0zA3v7MwiDBFSdLccmzVqsC7Om3amokhIBlVlzWGEln3BeQYwM5RmW2O6 g2h80WLWocs3uOH+G3WqBiQf4Pe7D42W8rD/SV9eOklwLHTAfvKGLVAtaIrkvnzekdFUj3k8GYLw GrHhgItNBmviFRy9yfo6R/mBVW5G/Zp0bA9aYfH0gbvcTrd2S7ntQ68/TLv3vG5X5SpaRIzCfsRP O+LQCpFGugR5ilcFg7DoHi+5pFLbTuDPjUjB0WasltzgfnMnSD1m03IryLRAzvAsiq+pguN6mlwE efz6Kv5W9zEtRx3k4euMkZ9eFr2xWLXAwUYY5ZS5hvDHohV61KW5P0Cdg9PcyGbLnSMiLcSk8vHj BAOc00nLu+OuxV7KHjj0QMNMCj40oB+0NPbopaSLM3Wyu9STsVTd2eZDjduFB8uwjiVO635wLuSE vLL+4Oi+f4mxeAq0J8An0ya6MMlOO2p99KU5VlSmSXIqWgxMCNCY8WkWus3ufYGJzcNOaZJEwkhs WHj7j9ZXzF1DUDB3C1wVx7IJ7u1hkGAaMXxPDmRSOarQiq54z++S6lRHXU6SiPH0gTszhKqzefJW RwhIXeM9+hEy5tKTgy/5MFzVIvnpnufDwZIMkDh2l5mSdODPRO9a808jzYrzCzXl8Pkjlzp1/X2E 587PzV+VawCkaagxrLjgx1nFeAK8QtYf2L39G4FIpx4TMN1TtuOrdd1wBu7qglhPHyslpfn8Azbl eAn3Pzjza4aCyApxiOMjxUXAmELSdCNjJaj+rrOLAkZVO/EOJvUycXcxpvtHhAuoHVFlgoy4OVq1 gBlm9WB/hO24FJLFs9AUHYq2OHlSZ25aGdeiCArmJ75OOt1k/tHDnY9QVFcIzmgqu4J+6Z57unIm M/WVNiG6L/SuCQg8uUytomTdIDfBenvS+UrhABAULdHTX6n1nGlrWougf6zlBYJ4+FVqFi++673z zpTmFh2aHfR6f/QlszVWArCl7qa7J7/gMSDNn+7Y29h809TGH1Igt2H92rO+oYWNBgARoXV8v580 eCFItRMUE3nl4T9we+268XeMrmfUfWWavzMZd5sRnSYgQsd6mzmiCpQh+DMh9wGCsPr3Ia4DWGbS iE+uCvvCUjhT7D2JqS5HdAdke866KFhxXDIZl7q/oSl2xQh3XXAlHI0RqI+NQHEcLA4r/1mpJ/rl R82VytG6vNZOqjo/dLyphK8kA9TYaUEczKTypaEz9HOFAr595c/976+qmb13vfvyiK9R22zjieEV ieqCbs3AjS4EGyffV5BPWrEbsTTVYwaWtsis2huun3zqjSoux1ipyTsBQgn2lG/hiWa5FAYIgNX0 av+ux2tEXBSL80a/WUuOHrv9RXkdf5WSLkRSJowWcOKX3prns1uYV32B7GbAPr+450t53XvbYVaR grbp3oUVI55Uh8sMdRntiyppPLFt8p4a4V5NZMdLSdQkcnvz/oDNJ8UN3UA4e86CQM3+VD8nAj6W +eXZsi+fTq/tIx0VeKTA16k45F4emNmKIIJS/yRqOO1cnDXw+/Jm5cea9Nrd3H5OCSZ+7KyuVNrH +BU5/o/OUtYnLaxZ85aeZaHezXie8q/3JbutlUoxyfjKTuNbVcv+7KqgHOEsyiN4TzLW3NiAmObf FOoHPHWBdmhddBb+kodqEWMWwqXJJNq+7f7ikh9O0E3pf75dyqdclrs72WKjiiF4MqDu9p9FSu4N Ymfh/ri6flQHbzO5gnS3oeaRcSSOoZ8uz0wIYAvR7p8nU8o/1gsHMER/uLCxjjNg4eOtBJrqxdBx 4QajupZOvCQO/jPEgefw90jisnypd+tDCcdqnSBYMpUl5dS+KgyrmkBHNGD7qGWV5ifC57fiGMI+ u0UV20vIHDy/8Huq/wojX8NlJmOSjZMl9FR79fpNvXx5vX51E/Y8nAv0lcTWKSUFJtB7H6xAK6aQ ATP0NXJYpbBv+LOeyoFQ77u+F9X32eR/i26ECk0o15n/ewS2ZHV6M24fVHNtm4TlIOOl8XCfKrru bgp0qvEpcPEwKzEw9T+o9ARyWD960mNKxpz8izxpkSn4gwZK3wa/iyZJoI8L/2GA+A+pJ4Ecr8WD U7nukZu+cyYzXzEQ0z/fvYZAwvsMlv4EISIntnxPdex0TucopS287snainaHFmbBGw+TiRy4v2ZW B1i6HvTrEtwyVzwZ7cTbzYDnY1/Uyotc8efB8KylD83OcL9bqEOkclV/A5Wtd1SACUO9qa4aknQP 7+D5T5urT/Iv4kCiendhW3Kzh7SgPa1sQR9z0c6LayLVpn06t8sAg+vHzo+mD7sV54fFFh4ARnM9 H68sdv2YB2L8VdVc+ME6Vyk3dBN5u4Q5cKTguRqM+ePvMUNH3AnMNKzpzg1du4zEaf/+onqN27ha ig/9j4XtTQrOZ1x77OtL44FO4w62NwQUDmFV+j23V6JDqg7zNA2s7QGFX/PIXgSMuBTa9BnQz0BZ tsVeoT9klwqsW0e15lmbuOrcTUzz1ivBTer1YHuST7A3Y+27+2AvNRx28DJMebFSjqf7Yw4FtJOi EdcA15EEFQucY4qxkJTRy9CCDaOerdPp6UJeY94tS9XWChN8LJMk7p5NXa24F8pD9uZZYgNlbTa1 wRmDFHeZA6nceo22LkVG4VHE0n8nWhFCDkgRKmNMrrG2d9yIb/dsQuLIG/mDjXXa5jGhWNJeuKdT GnFhttnWCWdDxWbhNlewa7XwhHNS/dQsDNR+pxfH9X+GoT2yz2AAfiRrrQFNDGtSgOgb2L95PAHj EyluL4/Wwei9klDlO4/uCSI7NWFx00FEIKl/dDEpXCf+oxZ7mH2tLV2FMfdPD4X3bZo47LIm7HA8 1PEt0R4/g4LtE1l7g1YJZuMuM1hOPCiGdppBHZU9MQIPiP0OZoW2IyCdLHPgxdWw882nvu56oRgN bzDvnlbOBMKRc8dSn6pzfKX0HuF5EQ7RXSF0Vypgg4bge4HBfqbaREslQR6gUn1cla66xUfVnNgE P9wTFwezjqOIm+5QQEzfQswb8zRbwaTVvI4BC2X1Rt3HaMSUNoVwlIlqVBushFt47TmEh5u7x3N9 DbO+uK3e7gS99UCRwL1K8upQDcc8QIDkw73MrdsulbsAMY0NQSQ52h1h2g06unNdizhoe5UjVSAJ Ky1zxOJaSrUd0Eiowxl4Ti2SsqtPDBeXpnzq22kWQNIRn1+RFMSTnHR0hPXiZQ4fxrcrsZra7VDo 0p/zH5s6BuIC0f3MpO8VSN45tlQHvOGXPUjDG1tiKsbFikSMKDXEGrzWLQTsEP6RgwowljCJ6gv7 YriF8jgBWOMoNWcgM5NPFF+KmQ0MDWwvi7kdWIaFuG2f0I4rDF8zKap7SMEf3yjpMA4Xqf8yPSH7 L+ZAghmEmPm9LEBznPxU6v+eS5gDRC/J9sszkiUNzAzHbmlycatbwZUqOXzDWl/ZMR0SXp/hLxUa UHa4O2cQZISXRrbLiRcwD+94aQ6DpCr0DJKPzpxks0RuFJQObCmMQtqzuBDivA5o/aizIrxR7qXx qNKgbvp2a3MgBALhatb7XClAkYrH/VRAzshZgT0uYItlJyQ7ZQiR7W6gmdflZA2sO/XcaW0MAPjc 9Qe+3PEud5N1OFurWHuBdIPyYqGjVCqd8irs/K7LLbxKZC9C0HTW6Ma7gdTt834mAoinCSDsIHae ub5pGQNttBHJDN3nMmMTqskNdPm82fo8k4xh63LlbytdDBs/iN/cEEYG72BfR1eBPxCUoveqcLfo 9o8TD7ClYoii+NbIEUZ5IifRM5XFFhwbDRzzPC6mO75NJo/6//+H5c+gCd5nIMSng9QGOj3jM1OQ 2w4LM4TCrqLFrle/4L3xXBt/Hy86KJN8daEk3ip+jO6fN0pFj2QRfiOWrr4QsL0rmlGEP3U2gYS6 gOb4ZJMNFId4U2zQ2BqShem14ziSGlfWQfprRyqb50lWnVxrqZiSA/qdFEDJsvOnkP0KtLsoGGkS 6JKMsLJW6x6QAI4WSxg9ljQ/WZCiXVxpjWlRdSarURZ785eSe+kZ5NPNzsJOEUmgMzrxyzOj9obj ck57uhzP41q40sk8I0ziZKkPfuZMCNSW9+trjEd4OOuBDbafqy1G5oLGPpT87IhQhUaeCcgDwI/C S4TQVT0lNpg0sFOP8PaGzP1FliZM5U/O8RxxNxBx8UWDR62MRh5bupsEj3woMinWZ68Zq+qdj2L5 GAVOLG7UXESlm93CjF7dv06lLP8gwmPhHnlI/oZVA3oNWDkozEl016wWVQBJ31W/IruNsrFMl82C vUChPreGc7Lgs/eFdEifL4+5Fjol5khcTXxZYTl/WuXf74lnwgI2qp4eM2OcnY1gjrz+NjrSJVTM UECMpy1qVHf/hzp8qU1FzzFO5wyL7iwdOi+rQ36teyYzM5AXMPWTC3De69NIX8WLJYMK96R7ARkb 8hznlVy0USmwaHhfUsaKzplM6la8zrL+cJEj5JfZ6QEZ14pe85fMzizjwtre1F/J+HPkqF5so6Kt 1TM8/HvAz4qk3iZsYbgCXVBNSpkl5Dc1oB8D7wfqcuJtPGsB5cjh69Dk8M2JSlbJjeyMa2hcUlsD nqu8KxTo9BYZc7V809ijBPGnJyVujBOT+2eTaH+eIvqW0Nu0O7hkclrjwyOeBtvfenkKWqSllNJa trPVIC7GxY3+IVh9Xrpeq6gGmrk9ntztWLgEyx7E5yxC3tCdP79L9RE4GTK57by5Ioz05mQaqfa8 ZU1wcjeFI5P0g6UGeCGcOgO94XaBgD91RWWXYxhPTBbn9nlm7EtEFOijkn4u32FgAGNvFnYAM560 SI2Lo041wUv3vzTyy+GmGB1AWHAId/IAr5f8c5TL5NJMztxEhgQoQZ3eYsLJrYbXh3MYiTHurw7j BzA5bXF2fT2a7ikBM1nXiB+9Pt4/gniKzxqtTcwZ2rOfFaohe1bMf0KElthlF+in9XLKxK9SDrXc TfOe8iht3hDC4gcr6bnZmE0UF14ya1zjW2fEJdttVyvTomq/ckS15/Ifz6iveNAXrsAsb/DuJ3qA M0vNWVKrgwDtTDlOlnVfWf+5CKuvLCRl1VzrTj4CHO0XOtHf4iSuQB86AG9w09BH00P+u8Apdlbn B9rW/johy+a5PgLmACFMFQy0l8XKq0Wy29a6k59YBA6oV/JXjkMit2q3DcyjfKgZkz9SEKEwsquV ExhAGkULdPCMu15ZwiqHvJMCFE29Sb2fSV7uw11YXxeLVegUrh48EMhLRoyckEj7wc4/pFi5dA51 RhOUeaMVvjzyryTC96VbshiwFW0YkuEfqcVXxB07348JPe1l4zwmxCaSWmWqo+PAWbioCvE77OgW t0lP3QlFiPoyMQNG3ijFINeigQx4vC9dkVxe3sFBhJlGFhA5wbwCP2XpRRM3TKu6agdjSuaT254f Oqp1jbfMpzg9DN/6muJwZJk4HHfVieSgEFCcRzxcUs2WJBN5r++YdXxGO7FLiTgP61iE4qwHIXX1 vSDJFzPKa1S7AdgMgVUYp8U6LVuQFm8uLd7YZ8PLR9PKlQoPXDjnvG7yC3y+12ssH2sgdpFOVJhZ wv6KiHlaL1/x6KRGQY0K3i2jjAZ9v4iPYnODDKA5OTGf11C9JGKbJo0Yla/qx4CqYYxfUouAjLAy 2iUGoHM/D0UEpg/M5U/bAOq6ZifTNhnP3hMxRQcFX2kSJxEk5yatA2TikjHZ0/Owooj6Cq7sMUfy 5nTjvluJaNBWIoB/l67hjk8jbttA+f0Pia/EF20RlGPmNoh2FnjN3TFRCitbf1rCIVNe6L+4NbCD VpeLe0uFIh3ba7ih1YsgHSJ8eUBdqxQbqTOqw1aWrijJsxvMGJ3AKGyNwoC0J7xFW5HvQRrAs+O6 VfOmFEXfgSZ+lZUeSR/tgSWObHmP9qjR3g+AWOqf8uDzI2zse+QkdnOEdJS3j4k/FMHR6ySI2yL+ aJ0R9m2Uz29E4DawKPPod78oVocWhDUr3OL0Yz/kj/mcnAlF0TJmNM/NXB4wq1PdjnB0byDM1/yQ LnGOQ1ybN4TDYDlld0XuiUOyzhtL8Hoj7Cm3OqhFC4j/JvsT9aC3tfmybXlH0gGMeUdUen4tSYdW gtHlmhi8yKHvlnUG+tvpVKlIW4FrVtPuqAMUYpY1T8RN9YphAG/U6x53LD8nijm37PMliTuQDp8S k6Hi80tqUGXI21tJ+tG+vTvy6zml6xQzJxHwqwFbmH1iPGLnYX2p8lOI6xa9cVdjlVZJA9XKOh5N sx8hURcGJoc6f9sP2oqk2w6Ikx0eO6cxaAfD0hTeY2Wam/xl7E3j4psdu1yeEaLHFfL8YlheetdG krfR+HjtOlNg/uppzYBg2v2pI/33WFVzuOUP0U9qC9YiPqxK/SH4rRPyW+BzTmgh0lspdY9yBtvi t5AA0/KOONt6sLMrSy+XvraoZXJWTmO9Bk5EHmWe+6mzClk3CpwvX7gi9whWR9HRnh9liSVt6FnG j09ewNhu3PDVVcORYFi0/xSZQvoHUD/N+Enzm+lf5Q5sQPo9JzcdM54pWFLMBWD59fUMC7K/okhM SwJFJWRGzCjFb9O5kiAorGlAib+EOAVQO+LM9CmegK/vsnX6TkmkTgqiEGjloogQGVwGZuzMgHDQ H3GuuNle6UQ5ScxAQglikEwobV27sdko/2Nz7iFKdc/F/CVmCnUiWXIK9VVZI9jRAEhEONOOgPRL WE+FG+A0qO33LvKwv3DU7TpdfqCyLOX4F2gCHu7ddMSB49v8ya2sHdWjg61szPOUq6fYzwyJDxGn o8OEEWT0V/6WPcs1JMWVoqnbc1haaLcJ5XK2HSgA4GOljLr7uLcGbxU1BDFspIaWLKUSXhS88m7z E2LsLofuSNnnZ5r+8nFNJvtc48rfOhGd5wyQ94IPtSCiwRJ5xFdFygqu77rvVH0yply5S7Rp6HgH FDwCerP4hU9utbFN2fI/Uqz7jo62fNvEu+Zglya0RyW4ggNnVBqPuLH1g6Slv1tFj2xyVy5paBXS yCJn/nRnz93YlESWkUCBY0ZUkr5Ny9VHkQuTlDhTIFzOGZi557vtQzSN7QGF7eTsN9lO+BXVlSfN LCGNXUlXPrUmbnIf7eoGmLiPSpGyXfHFY03NQ7x7kd1NsDQQHemKMc9gxKNtL/vaQn6bV/g3xYvo jMNhA/Srp+N8OB4MIh9HS3EVZma3XaRtws2HNANt5kcCrR9q6KGfAvqqNGWRPH5iiXcThsR72O/n XKshRHGM8ckATnJ2tyKTF0FvWjdm8xakHdH0Z52IiGUC/y3hP8dRqpiOjVrPeFIhkI9InNMA+MjD KFBmlTUt+SLKgphxEi/Q2ekhHZKSE2OOWn/jOPLVeg7vBEHGqYQ4ngGGDL3cLIIkPDK34pBybUtr m9gQd+ILNnCxO7wB4IsS4ktGnCkOH4EbIoWojpcZVJiTOHW92es1LRI6C1Lq7jIb9RwYwTqUenX3 hRySdqfTmIyqpfAEYQCDl24tDSeXvrvi31gOuoHZnIiM2w7+GOpwUXQ4tF5dxtxkYgl94YlWikaF TfNFGrcJYKZHmajfgd+fFPVKeCm3d10Au9tNfoycxPw0TStGd43n8ruT82AQWrRLKS0ELXpzNtk2 7JamiV311NrTJ784owaW8qXi8pj3IhpK4b09fHDR3kkMAFLVG8wdoptKQY0DbmWGmhNcgHOdcl1U c+gc6FzbxAxIon545KDyUpIVaSx/BTIanJtGUFlox5QpasqlkohVv0ugCK2R69ctedOFwBEpE2Up P7efA5U7kmly9uAy71j4EKx2Z9LPWs6m1IC3jkyibA7vioByrHnsWZeKNrVyc1OSLSfSTqZyCzjB dQOs0CTM6ERtLf8E5XfdsXgA2+vKQeBJcWA7mxdTih+rY7bHnYC1xotOqFWPO+EAWWD2EEVBdB8w DGPb3dV8qhIBuXivmKWgGZmVGD7yUhIrJ52EB71t5SlvURySp+wVW9ktjRREZKp72qrAHXfeFPwN bdw0ZfshJYnv8iFHKYYA7eD5oGrRYhNP1nIU0M/tJ5EM92gOzKUAGf43xm6YfWqu2qAyg+kfPq0m pDhCybaqcxXTb351OtFB8NKJI+iXZEgSyw5BV1+ijx+uQbxQXhg3Fl8CICUB1Y+h76WPZyKECF4f ImMM81EEtthnI7E1LK6g/KsZFuhEoc+Yd8gBNx3oiYn4ewpK6eAk/kizpNkuro3UzCezZexkDkdX lvCEWz1URtvdC9IsH2U1ire21lCWsa422x/qyfSsBA00/6xukMcb85L8nJvlEXGqaN4SMJVPCBst 4Qh/O6FPSe/h+zj6JVIE17r4S6+RfNr213Qq9SunAD4XUtZS98zGVBtgkPphuAlyVw6QXjipFKW6 lH0DfQjNoawj5CPeH5NXEX7vwXWLdqMGjd1+V0xIXBiBnyVpaxrjQh9c1xl4SoTv9mPkxYkdYigl y2OgtvadSQeA+r3/6G7jGN4zcMv9tltxbJvF/qibGtuH6LWUzWQwiqENjxgkkhZDBt1ud/tIjSMo mSKGvs3mRVcdEY3/3n8mhlD7NZXA0HZvA7efQrzbNCyXSacDcutlbnEh7X+2OptB9N4naQ6Zw0BC mIid+AyPvYGmzHTsNFDIcaYuvO5FXxebs64oRSz6mIOUHXiZtPayLu3P5Bkx/NLLvuNL8omAezyw 2Xm15Hi6exiUxEbFTJRSNCnRVuFzJzjhSsW/o7ArRPlrsmDuu7jPjZ1PVy5On9aUR5jDpKtxtURs Ao7ZYOi36+XLRzPTcS05TqkvGagPKIcdyajgpWkrr6uy58F/c8C7vNC+wrvY83IRFGxanhDfCyMP sZ3K+aAxJEJpjS2xKb//XjuTg6nHu0BqaMS3n3FpJAqzZ67UzbBIJ1t5fLXu/8aStkmPR/a2I0Oe +bpR8opopg6LJv/7VPMYmsV2SZ8TmfgTvNhWQHC+R3/0LJ8YjXR/BwhIHJVa0xA2aUZQOfVYLMdB c81yjJYCGOAx5mtWllsDbsz64Y0ZObHGo8yM9ON9mspZm+WVuGSFjEoO1W1ZBlbfhTnDnxz+zdEF qcYURPU7zeiYFEAyIKKaBG5W4gxjj6zUeCsXCtDEfIb9agLehM3PBtHSrx6/EMp2kS3wbjxagCSk f8u/p2Hv4k7QgQRYXGSjep45mOHgCaoaAGwcWzFc2s6v0zcW1CU+bcDsRHhoBKZH84JPQlFPnTyn XtrVFuwsiNpZHm8jzzKq8IrloRHlrdpMLrgmGtKZUcPUt+HyQd080kXI9/kzW+VnLHZqo8ab3lgv m1fkNWk9FHcRy004MaIY1C5HIPfgG6kQEj96HoZLnBQGtlDWgeTqd8FZQhD3EMb5B5EiHjZujXIH scPNkjKj02Bapj1qOdPhpyUEl8s8IU7zl9dOjuSWAwcylYcaGHpkPAPIq+wLD1zEHSFYHvcswjl7 tIoXpjNzsjsc9ctsE1q3NObd9HRQQQXMJK0+Ye58YtdG5RgnuU+RLK17PSVcgEjo3kYnw5tWmax5 rMNZc3nqko/nJm7nNo7/+1yIgiA3c0o6b9Gi6i3qKP8uTYrXzfd3Nm3LI9ZAAlJ9moRaofQpjWj+ LzG3OlMOP1YcSe1tfi+TpVgJ5PsNWNZ13ZBR81UH7e/aWDCub3f/WPAHMgmoFgJbkFWa+xXzDo3D VtlP8SNDGMG7yYh2sAOsRx/PNZ9IU4MZFoz9dlyQHi/krbwNT+UQiqUCDD5AyWNyPMdD/i0ztwqx O4KC6fi5uRVbUbYmuGiwtR+VBcnjgP2kWKRzkgGEFv1EvPJFrH+0faKJ9N7+T9IFqH5ETtP29pPZ 9CKHIryTginARfPYUa1DKDmwAHhU3XbltF1swwbFmLnHCJWCVXLHqtOOLHBhL6KQ6CgH+GA/m+IT e20D951t3PSfigYauQjmW+IvhnvJLIxjlW2p4lQMvbnQAtiz3TX18Atxr5XtwP5za8kt5aGWJG6G aBzaG+uBL7MuubJ3fxD+Pn3N4GRthmKpRfoeU6uILCDL7Ni+rlsdgBSq0z6aPtVBPi5/jnP5KlqQ u2umP17yUuYQi4wACNVqD09dNtDrxHtqSTYmvYwgQ8iPfVVDfcYOm48H6Y8IaNF85D2h1CPc84jC 7Wf5AKsJW49Y5fgPzg73a8kLjaiPnb1NPdXIglOywDrDBcYvlpuEVut9hCVJRVYIYRwL3S16DThH lK+S6kJfZWHBnuY0Tz/wvoCs29UZGhRfAa+/ViJ5ZVQL9MxIj8JC2S7MEV5mEekI3faegW8CheSi z3hB8ZdQf7M486oei0xolnjLsmSLi8sjczZfF13y3RkUDt285MnxGDWva3bhrOGXa5YAk5j4+ZsN 35vjNsxtB7dr76uIV7dhVMRSiXDMstEl4wJDDSYKiVaHx6gNsvANpm1kl5BoVrmNOwt2bS4fptX0 8rfx5JUsiYsJH4dBOI2vulVuvWtpirG7iWOl7AONUC6Yl3SA+W5b6E/2WhK3DxD+q31xFLTsghAL LyzJX/NhhFlORCImS5yBYLDZtJZm12AWv1vxOwGdmSkunU+PEBB2/pwiGhzSV1Q2fARdoI3H+/a7 gJgLq3sAAU+aLPYjZURvWZf42zc6Xwjz2KkNW4nHtyeu4ab0p/lXPGyDJckineEyYr4bILhu9Pkd gBn5x9lU/tnZPj5mTXI/X8mZ36MJT/RH7p2mZiVqOU6P9ausl5FOey8ZrCkW/9gPz82a/CQenz9I wBF5zGUt41i9DnWxIWH4s+lgt9t3f1cgxRc7/xYBW+0EfW/M+zP1X5YUF6nGmSaaEyxW0KVYzrsZ rUxnP3ai2QxbDIhMU4O2ofqf3F5mCnrdSZcXpdGFhEoionGbO1JvZPymQr+T65JvjT43u0yYfIaZ WxUgAgI1fGsl2nR5HpgrMFny+FR5Y4sTrW28LLGKZRoYY7Ar3n2q9/TpjCCc8QzjG+Kz9VF7vVYG YdBEWQyY5zPduB/D7eXhwANv1QwrfvpLCOGV7mnwmr0f+O09qiyPFPkKOO8ecpgG3SVxVzSw2u4K e+UyPGbjkgW8cVLrBHemi/3djmfbfw1UZflWoHeV44zf0LGYDomci2maUlmkxtkgl8mTtanA5XP8 Sajc8hh5hfaxmGnAQ9CDzyZRQjvYoaqZS2YPjw32Pyl79+37Qnjr26OLAmVazAgJF/1O2CaU18O4 kxBFGGA0vjx1PDN01FwsM7SeX35iqQh/0f9hjQh9XaCXj1MhTu3rnRqZgY/E2yLv0sRVS2bmavkv d6YDpqdXB7SaFknvVbNo3uF+1vFDA5h6YO1DYK8+dFReBUr0mz6ogp52iDV38UdPeDLtBGw3xozu dpDP0gjN18r1pJN0fdRDhSIQ8Y/5x5TVGM3mSbo7AelSKeKHK59vUD1L0g++UkhInkik5NXckCUk ReRpQr5WbFWTUNYnndiG+WVmVklnckX8mewjI/3U26jMrmjIi0kh6gowhUZ/X03i37j6sr5YMwm9 5aaoseW58cv/6MIGPyGYUXzDzoF32hMwmGIizGsyoj76kOOq66zq2HA+yFu9ZwYbpGNZsZuuSvoj RzD6A0hfKzeWzh+OACAN9NeVRB2iChnZThVpIZ95SA5HB1rfrI9YTIG+1Sqcc75aovxkks0YPwyY k1VVDtDIfYxD2dvB2KSiZsRvfD+3o0DjdSmyRryQ3hsJ+zkhQ6JDHf0v47WUh1TaEiKjkqGN781J 7lNe88wQTQBighGK+o2UJ4ezcClfjUGJu4oou6J9f9zBHM7NEvbr1jyV5FT5LYU0GdY1L0FaFmSK FvbLiuQXPNthQQGCzb8hlOLMNC4/z01JYz93oOEi+UclewehDgFrJFG9qAiU74FZgVXChGi6TE3r 1YKvmR38TU1DcFFGAwH2/GsrhQm6fCobJxQ+3Fou3+A04rnx6rzD5zCqP7MxDxYGgJ13pZtYshtK meSBLDw5G7iNujpsXc9RIcdF6M0iScYPKbBBk9Hm5+uQcuW4X324TnNuAdc1BNRpV3Gnzz63kajF CVPzc/gehpvIgzz+FB7w1CuiKVAI4QbISTX7IkPr8aRkbmUBT7VLIuxHvtTf4YepDMBZWYwek7QZ xdeczUbcWBhD2+M23wtAt6QJyqbj7RrcP570a1gIT8SkfSpNMymkIx8n/Eng+S8HF2KmFuXIKcgc PDjq8mJguoBZh/ni3b9OdHip460rZyWb8nYR5xaqBhbzmY0Y2jKqM/lSMVoZZ46dhyLOeuR7E2uA w0E7BOTiBGOXVjXvDfuurrie6ahFo9ALAe2huSqUx2oI4FSjvigjtMIU5y6XrqFlYyL5ZYRvIECN Mqi6ks4xSRHyfE20vSQZdkVMi1yWSm+KP8ptqQwKVUMkMN0cdF9un1cEFwdreCtiruDj6ixNgJLQ TQiQorWjc6NFB1rZl0zcZJvDe+7q64y60weec0oRRBn4h1qearD+v3zy/nodyBVYgDWgnrBAqhDo bU+rGcypA1ljQtMhd1RIplnRL3RMP0Nf25vPf6o2spYq/hkTdpYISxt8qYtgQv8ODuk/8anfZK+J w1Uqrr6IqG9ptn/AyF9k4j1pU5dBGYrU/e2b5d8eOmfgu8MIrUm8Bzs5JSyiY1GGH0mng0V4kGal idWEfQmPGAl9TZIG36oGH0eZ6RHPN3ESiHVjrvPxIIK3oMeKSIJTC5W/UqPcBzl9W954QBKLqvVK hjqPLh5zL4dJ1UhBWT3bM6FW69Yt7onDdkbFDqtLPgHJpmkr9SttJiWORTwF5SGHKLe4YUxEFiyy 9MiXFlJ7ZCQxengBgPLmul+UezJWxlTaxBlL+R3Hjci/X1pv36FFzgGvLCQmhrrIf1YbYhFHNcnJ Bp7lBAR1JaHCXhEYn52UlMcy/9m3f4TwK+Mj1gf74deFx1tSzLclVCbLvL0B8OKPXqLeGUURQ5WG 2hVpqE2kBLDRvPPXqOjb2E08429qjcaW7A9qxp59gVvGEJOqVtyQn1dwi57yfCyCD/OGWZ0V4ZY4 Y/JMSkPpJnvHNH+wGSyZV4we1cBb2GgoC473MJlrSxfARvWmG/gRNPaFIgw7LcNfKPlzLExhILFj 1o2um8WCkePXQdbiWgFgAv8mE2CENtMjakbh5kC4z2BlS4gAFX2kDwmU7iSqtWJ1/hc/4zIofIco +7WcVKThjwQx+2RIqDWTYvrSuTeYT8hzeRFzdcZKawkKgX6+ICw050/l//zlzF0j5MkkUMmhxpVq 2YL2q7Jq6ZzXug+KcRArluAQ5IM8LeMle2DEFsJyHnwhqutCP+06OEwDMZCYv8OjpDXIWdK5ehqr LfRs5nANgLKB61bUb+Hg3IWjPBYGlEInYSyc7DoedMguFoShgbh5qXPNU9lYth6fT4l3xmhh98KR TLtr/pj1VvDy65LWZCvWEZosW9LsBcyzi5eeHGxp0Nx8mzy8cjFbU1nW/9NCxjvwTvdJ4lfyBXZr Mjvw9r5dQE2cc+TRLW0SL5Wi3OFfOYPG/RtIm85cYxXIIMFXJE8fpBz8W19XIGSL9Ljb5GTYckyy iqOMsYXwYIyUMeHoBlUg41vp4ZrnrnPjyOWik29xUc2hcDMhopJzFYmPQjXv9blolMZaOvQelT6X sZzF8jp1Lp6VCvvKk4bF8wZcs+aPCKYyRRLDWh3ZVvsWEcYCtJK2neylm0njGZnIhTMPfplhLbE8 BkDEzxFGIKKGojt0kEdmRM+m0xeEECETwuY3jUMrV7X4Hqc4YTzhCs2Mnda1W5gS5/+CTkFZaqfp Ya/uw0GgzmrEIegFNF/re8efbQI5/c3OUp0DL+2QU7Fa4HlyTyuj2BIeSQZuqai0d4vKG+P6ldxU JHw4qaWDkFIAeWZN8w5lex1puabxUUPWzxA5L6k91eqFpzgYOuusSaULcnL5270YixAlsHaoPisL yhMU7YiMQxvKd3X/zHgjXhSpZdDAX5HS6HH5mj/dlsLm17sSLQ7+u5Vx8EOvAk5b+MEIfBCGIlGf PS4rZpdM3aKyOVB2gSUW4GKy4hWWvOrouCSvIULIJ4llvSkn76UGVZq5IE3ndQ62+6ySvTDMKfHb kTDO/c0NUP9Hp/NUcFqCxa+gMtPedBqp2waDdVRUhObh0KUzxwsl82Qk2HglpT/kD6xCgFbR6uw5 dq4uAuI4x0YTLiKfV+B1OEmXpeIKo64y7JijBTueRKk5vFbVwdFWj1QNRr9lOhoMf51v0BIp68zx PM/kg6+8GqeSKgOMHyRIE2jOSBK+5er7T6eOspx5WQJFJaSXpKZ2na8fFWkDhReCu+AHsiCPwC1s IC9ks5FDPm/hBFuecLfU+CkiDhqIMRxihByNxkve0xuDwZSsBT60BWQWsAJlfuBg22emgRLkooLY nb7sMG1ubhh7pww3rOIsn07o/pVoXc71+v8/Gf6uECkhRQrrJVO9nq9Y86TliCBNonif9IxPJsfZ Fnz3ztxogSGX/nDFXq+330trCagUj8WneYTrivvNLZHJmudZWF40xss8PekWYfwZ5lvs4R8DWqRt 3l+bBpSFGSAGN7wuW0Yk1xTLa4Wo6j9dNUjD5Imi2GcBfvNP2wJ63woPfvGLAnzCohRJWSV9zumX 1nyvZvzDtR37Ar+2K/yzNhUhEJImPl6IkZ5aZzDbNE5XHh3D8WgHcQo6uWVjDacnVXaKG+q93nI9 X67gQ+jxrDymYp8A3GbI5Q34sggXxOoxJaTWaNY/Rc95N4Lq3N6+gARcQacLl9jciESNjZ5+pSNk 9GuSkFrugaYJWe484GpZ5arTl4OAo6dy8T1NZ0cwHxcWa62ENyup2+RvlBnWDcNO3GZsWiDHc+Sy Yd4ewCuR4wweu4aO7xUDii73Sr/wxCbyYXEMjP7aQtddoG/zRpYDEzY9DF3t+H9mpkRDY1QOKrO2 hf7DKXPbGMGmF2XDz+mlijirBtPFoWR4NnKFcRWyDrFDuCASg5zCvNGN5qXzj+u02InFTj43Wwws 7KSKKWY00zjCaVJJkhgp/LiCqkc+iQbdpTpIg8o6Vn1ukLkr9J9eTaMIzrEBcTrlyo4Mb2P5h9Xp 9D8MIKWxyhm0PGdw5nVwT8p9UHRPaWRUII+bFO0uJ6uufiqje/LGYUved0u5bujiEKav8qMLRg/2 WDyKdiNpVkuN2hS2CobnoT1ufj6Hepn9hQeBxH6FMzpr3g2o5fM55aYa8lcoqN0MAbky+4KS8oSU tff1Pk28TtsntWSYqLxub4ieTRBbzQmGhOmedIkYbYa1uoIXSzQ2PhQMhx88EM1ifxDw4/2pGPji ZUjt/9dLlYKOFnxFzsIAZzs/JdNF3yg7EZiQ5+7ZEDyDlMBrKfOoy4jo3UhBvUav6/HdXHpAItMR FCzmBoUjR6c+q0YRSvRD0pIcIKUIUCFqlaX5ewdMdlZj0/RrcbJFESsVIOkpUaMr3fQBgPfBQzxj uUchbehwx//o58bThN2gmGaAB1ES39E9TXvYLMjNnCxeDaZ6/2eDk0ofWDQnx1hWtnlrY4ob32Gl yOplREwjvsO7BYocev+4df5VFTUbEqTzsmWDpaduoQ4r5aEwmNFtZT8Xs5cvUGHsSNw8yLhht8ey 9i/rfztub+OYPoCrKrQ4ULyPhNWo08Faw0v8JtwOpmDbnobspsSiKtQ44ZtyfkrtbHmCirW0fQRm 1ORt1y8/wJKs/MRYVHK99Bkfl9HRMBHWV5Q2BeIZrYxj62pZteV9WmMPzTdXEwBx+AMdCz5HT/ca 1ZsLFQ8hQMTwNhO7diqdj+BLyEgAAIsCUHZBUqnFvqLpi9kvHTTZGVkqzyF0RuUnhnPnSMfgxCo7 taxt+mKflxcVdz7mzLOkXYMwlzF/6WtDx5pYhN6dQtQt6JzQt7ssQzaeEIReuFpf9zNCIjIOhQcY t4a5eGRysp6iL6tABoEIX4fjfa839Mm07rJKqNEbr0b6LEWuZGDRVz2ze82sMO5Bjoa1B2VdOEpE +9cw3ASkO0BA1KMVLa7p6M0A7tg0EbQNGdZ0OZ5LChuioSLY4NPqKcv1PKVC2MyMsdFRIYaiFp+u s1p8jvAimuXYe7bUXKZyg4ghj1tc4CQ1UtCgVxH6WB/GsE7y+JmfgyHEcE7tG5kON2uzjXuwvC/h HAfblPWnz2b5YHOGCkAS3pE4MD0sSwd7vWqXfs4UaNwHIJEfJ6mDtaj8VveZiW0F3rQy4HoLmTaE 3yGMtRnZp9Sc4bgh6NHAL3+nygVrtTErusTFNsPtCpdFq9n1qkVcWbcOdvWlxAIEDmjyO9Ilu1gV uPLuKpwEZ2YLqYsQGOqVfdd0wVJdTb5T4zmz5/udcgK1vlQzPDdJxRyL+SshiwhbYpMZSlUJp2tk bcr8PGQGT5tuDP/Zko1FLwZfPoOuOyEhHvxoEI3V1kUg7gYPXcbOphGM5Fr2p9Rn0gCxdLDIEUXZ MA8MOAg+57YGUVaajgq0A6vsWpPKAKp/RR+og9e8KWFdhBDwnZ+tElkhVU0dYw7MRmPWk0ZUXW8U xIEsMk6C5OlnJ5/IRjhk58MpzboUr42Dn0zKLhqPnBmUJur+d2ju7GAf7SnjPg1TX6JtpIN+RjKz H7QRf1PCMAMnARbleMyXzmqiFONtL7KNayU1VSJIJuZJCI9BxvRFsv0slwph5FSxqB/2CgMNNh2e RNRlpSkOsQt31xrPdiPma80JOhymR6UFU6ZBmaZQoVTE/+oY3Km4AkLEb9vUQwN74htaZhsaCQah KJ6BLercj9S2gzHfDxhHkw89M7ZGdGaZ80exNAeKbCew5T+sbQ6EKe6m0Z0XmPeftu3Q4Mm/V8qA FTLzOGB5b6f+Vpb8V/9XcDNNCIZI/cCkeUYxUWD1KzhQzDfMLMJNrSKASwfS2TrTeInhGplyIVif 5pDHJmzEmLg/5oi9/hyoQVDymG5xANW5H+NEv4yABU1jVL6QR7xFiOaiNCjIvfX4at/8XoZiObBd 5eK88oN3t/rRk0zYk4uDlaC/+EMkMLGzSnWSsI5ZGu9ODhQtx0QreDxH8AB9GSgtVRpQVjL5QcIP 50f5WyfNA/JV1Pmhn4YLd0SJl4tgMzxMsCvdQh22X51UdjqsY08fRRq1QPd6xrMW6P2y0MvBTqI8 MbnA52Cjg+vOWfNHKWPJKtYdqHMJp+zcVIoeWGWSw523rl2ItCXUDRnLsrzTp7yeg9fIYlGcMMpp v/rGOZEHyMuRBVnFYVZm5Y7YlE330DlCwIrLMnd1rZWjWfF0EHlmbAkQgAlvvo56ChqYnOU6VBrC IfwzXOQFNdZWto6g7FDJJBrNd4QVYB8ZTkXM3lpHB/rROi9XaJmJ40mW9ajvmx3l48ttmsu473IK 7PoyT8pekMtCXVGXLMx2V9+zc6LeZTTZ35zALrKGmhgIRSUrHNBMlO1nfwg1lP0VfO5Bo4ABN6xn vj3Rj08N7QvpBe42YE8ZGVJx6VUY7Lp1XULicT99RZVliH1nMuS7alwgZiXZuhRO9/TZb6hYsU6B IAyas+WlI2IxZGTF9qIUarpapDnPDD+SfrzZ1gPNHMe6gA+BMw43qA9Do35apV0xWFPJVHmMhzAP MVo/AeNixvBEuCxWLKP2BMAhu+rbPBsnsOrNtdF3aIND09SGRCWbjJ+tHEHMprDqNUpCPUTwIA+q RH1q48F44RKHgHypfiIGncDRvsXiHkGoPYyISrS5zx1CoslBb31vXEIsVXILthxpyho1xVsc+PLN Bk0f/h9Dk+mBoBBsa+pI17DXNXpR7eANZdgQMaD4AQ6oTMTjXV3NudSeiIQHUhuUkQCVOqngJ9jV vhl8STdprYwlwzKqtUXr06zgKQ1pv5ZLgXH1nFaLNRbx6MBA+GOz6/T9nBeNiycZpM0IeCmeGAWC N4+G19joXEN7tZeHbAweU3iIkCVYZQ+Dcrgq0MUu558hsHXstRZvAC6iDLr612FwGLxaxQYAzsZg +qfn6uWy3WxiH1FIh5ATel3vFBqJbnRE5RoQo2PWfKUQSs1dE7T0wZlAlSOlZx9NzpDTLmSXPmbL p2WQgAvV5r9L8yYO6DKxV7im/UojYXGg8Fc3V1YYZsitx3Jzmkz6r/40iqe8G1OipFpOEFYXmt9a mn3IApHFf3grdwKPOY/3jA5hyVCi1b1Cg8teCUHqWhHhgycZ9XPg1jyWS68a08cHEwpavkXmW5++ LT85XotsW4gfpVxXtLiuoPFVpFW30N2QMcy3uqOl6t5u9dvrNrNPuGvhEGj8IoazXNLoW5um0eqs yRdSxADz7i2U+oRgYPnvTBX0ycO7WyCvtw6d1Z6iQBO7ty1PnJ6Rc49V1Cjy6eUVtP5DdV3ROIPh q71ADk15VsUOeJqCQ7yJjtW5sWn1wrYf8pX9sSrzx1hHrQi6vva/3ACVMkzLDXVSADzLPnQKaghW /oL8uINqpkK3qTZmdjIMF/7KONa1G2fUC7euq3j/rhOYMQ1XnftesI+0PM6WdFoXSB4Q7C4L1oH8 DPTkglIhW7jpMtZkxtltiAMIr9vz545e4QOrrd1IlpZNrD5xHEToq/oGn4JmypuCXSq2nL3CDMsx c9JD8qjNj1ZcTz91W+bj5M1uKLZMtRHdFmEI5fruKBkk26pUEU+Qir/rWSzKnd0ZthuvLH4XQ5i7 R6eaNRnFe6QkS4L6VO+hmEZx0mvUboyfzVyIBNg7aXFbGS9xISX0hAhG4kwDPuvH1ElrkzM5B8Yd czeby6EeBPJ/IKFNjW1oig9WF6VpMYT2kfg57aKlSvR8TaTXJsAqAnmvvuZYBaPG4cTCozZQFrm7 yHJG4y1qrG8OXxzHeKxXvJjw9dvJJHx0raSdavwvcOL/YYvZDH/WFfLjrFjFouf8QnS9sRZHOUue b9m5P/9uCNBY7Dtv8HO8ccq5zE0uWIXFZT1CAXM64uILSL+n5uzQIaI8AsNewrK6Yo5zhn1upTkw nkZuY4jQGOGGF97dJ6S9DYv/ZPTalzW9je2GNMM1p5CY5jWBmxnV2Sm1qaCzqyr22eH1dVdzaujI 3Rq66TmRytTqpI/kZ+KDd3O6rUjB19JGI53Mrly2nOwsESxzGicDvY+XKxgvK5yyIZSfzBSCr5hb gKj+NdshECrag1y/MK6FA/3n8fQVKsniO9IEsKe0Z2PdZwExbUJibGqUjFv2OmD311ftSD1UYhsR rnTUewgvmNVcj5FWNqT9gb7Ml0SDRnKuCEjw5BPNEwP1a9PeObzE1Kq9RncklpSn87AzCasU23H1 RP+3tiXElqPAzmPQ4DfjRvlAM+zBdukF1ZyXpwGXtcQPLBkV6eMzQyw/ZlPjFgrw3/5k7XPISjI9 L1DZlsvALIxUQUdMNbJKTQqT5xWMvlomc3Pf1tbVBArmC6Ipay8FqHC6dJc3sim5xz2Uc6XxpckC 7A4iGfJvnbOiuqY5lOZVtWKY126W6OrT/kPvSd0LDeNQ0tdJ7LOC1+OPxmKIjFXbwb/6U/iBiZCv SnTt9cDAzus2UqnwSe2lbTxgNk0m9Mnrb1tHE4cEUue652SCBgYmQmdpPyip67mxcyUkeX2qAupF mcL8iTkYd7RuBvWMGusXhbzBroCgEa5Tg/bvYF7jU6qmycoKTSc5hDMU8nYaF4ih/C4nXhl4O7rY TJ98NqtvK7QNoab6ZD7rSqy+NUsFrWVHWVfxQOcJsh34voJXoTqM1+XZzk8I4KFyIxOZxOrcbfNx NrjBFQXBPikzVy1sJYn5Q2KNWIz+8okQDqcjyuhitPd/6q48WOkNhC7uVCbM/ALIoBWO6Ckk+bQX 2IqzPnPEi1pdGMGprgtA8H4eEvnHNRlytWmSSvA/KBdN5czRD49+0y1xz+LV/4I6drYQycAQdnZQ /axYFZ1rJoOmCneqJA3LdnX85pJwwzPPVt5xtKzZt+BdR09vj3jPVqM5wvyui94pazvn/mM3/HBD +OK/5z0ImbHeEB5EHfhKGB250tjs41ZC/t22wzy2EUk3cnnH+XS0EJ05gBD5YDWbo7skjj5fHEOk Wx8ZwFpbgfRKyKc6nIuuI2gDdhd4xXaRHbX1opFMr8ponl1/ono1f9OxF8EMefigpdZjOG58H7N1 eDzJbaVajyQaFN6pgEx7uxTN2cTKs3HAjXBKee3m0gqX2w+6jwAYExLuhDx4fgq4TmFTKHTlzQfj KOeZ1naN5s8iyVMtyiUxaFr72YTCYdqNAjheBPY2ncSLY5+hqM5+6zdaunhTATIxAmSduZ5AZJP3 6IncRwTdpNtAuuoQa6YsnDDZD5dClALj77fOjw5CbxAFr130WL7oINTIl9ZtiXo/Ml9bAos8RL22 yPz1yDF3BsL9v62yCqCdhTSWK0z54xGjrSNAp8gNBc3KP8+GoBj+kUnbq9oE+FO6+zym9lv9hIRK bepO6ujDZWZOlVqNRCi6UCRPoZrVQScA/4tvIsGdgzSuDESrzF72BC6jkNv77LJ51VwwCd5YCpuj 9tWfo5/PC/XvYh1sutgD7nkkJ29HqtUk2A+9I7ugbddgARcS/9PVaV9hpnmT8/Q0rEQzScD55fuf SRBp1NIbLxiTlytEaJirchUZhTfKGrgRJIdVzrYwphtsHwLvHjU49cM9tGgKLpJvRxl6P6Rz4zHu +rM6HKoBHH5qcuc8lSRfdktYsnvTgW4FzRmVY95GeXh9c840szmgDV+qoXXsb0RdEAv4oez4QHyi mT1Qq/5xK0LKOTDGa+nDZ3W7FK5Q1eYaOyVAN9uSYhJwZV6/GhPeJhzOZge8mbwJkMovn44jWJT6 FdBV5dK/WMWKrMd9XxaFU9RftxqjX6TuVJ5A+E3x/FrbB7+xEj4nZOq6Zgq4oC+qXeepJgca07rc mrKOufggE9UTaz2RY1MMWL6Lpq4LoOS8vS5+ubhOm96fhrAKNeESfs8IEAKEJNjFSg7FBgua4yKm ETMrB9s20pzwPzc0rU8EMx7MiOS3cc6bFWcjvwq1H2vdemJ/YL36buS47Yrl0D/r3YZqsdhWzFsu CuslnKrrWdA78O5CNwFDqGImA3Ki6QI+Hn4Dv+2Luznx0vgqN0U+5NsWm9TJepCxZrflI1Z2ncB/ kD/QKvZZ+9k38PblmvsNH0+nAuebKc496OqA5nvAHBAxpAKJvSJPuCvV04GUo43aBSHUnGIaxdWZ DivpN8niT5/ZbdX5G//uFO47GqermQUx5mBkcBtQhT0qxNn/OiSJsLdYWTgNQyk30TVHOFtB2hix mZjhv5uj2H4rBZaVnC1+uSo30g8uQYJW5NYYY1A6uKZ9zvasoyQ1JU/i+sPrBDgXdngff0JVUPwD fnQdBRaemzpPxcFIq+RgRfnUnT8BB6v3ACxU+r7uwIlq7Nz7KMId+9oeiS50m+J5RA5MnyRSp1ba 3uodKtHGy9vfsm/bfuDC6tnsS9NS6SiHvFDm0nMYJtxiOwGEJFNs4VlAq+qLVblHt1kzg8as+XEY QGgYnd84oKM6KlAijywbhekFJBRVbRR7QnPDrua+RA7XhFvoxf1iyp9e5D1AGSZpNSVH7Bp90QSU cC4O46WuIWzIuiFY99/F6T2sQ1AJxqDC3M3zyP/jwIZ6I5Y1kE/8qXQoWsOFu0AvPr2pe3ZFu6NF wYcy45TSMNqB4rNjG37Q+ABH5RJ0PKX9suU4DPb+avgn3E6k/ISe6R8trPqgCO6EQ5/RAQor1EhP QcMeqeINgfbLnlvckPcOhqmJnpIVXn/t3N2/cbOeZIooRWS9Eak7FyBGy0BfX/0k/hb8ma6wYG91 8/f/ru1feuQgLamXvuBZ7PwiBdbbQEzepiILRnQMlI+2gDk/gZUlLQFsEIolKM/Xt6W0878zJQxu H3GLt0hg/1PVsSwwX6qqY1J0Ry4v8//oHPs2zzLii03Lul2obvSjNukDJxvBRH6FPiX1S6kl5dgf QTKMdvp1UkVQxj3lMUS50lY4H9Xcdk9XH+sJ7ObKB8FnSJ7mSe2IdLXHTXmY6KKV9fZo3EIDraS7 NUoeJOzqDuDSur25nPjYdFklN2DVGKIuFICqN4qn1sWf3GsYa0ZIOB5+4q38CN/919ON6pf21whu kjqT8zBEhO5ZtPSghpbwKaKNDSZBg/O7r+sNkFtt+GpwvPytTxdefOWdVqMytg6Y+XudE7356ZtZ WpjW7rAix5o176h8D7K7hlnAmQKGByyoZO1PuYT6keUWE6MHJzKb3eo25cZdoXc/Xll2FzuXnfcu tvK/J65CKuVvbZ4VLkbyAOOn8ug4B/x/FwE2COzmpYU8MrzeGQwLvGERRWKIGp00LAccJ9Xf4QbA 0jqODtoNmdmdt3y30GKnh+JgINtJL+UxqLCI/eKFC/WT6iVywGOEh/49AUb2+DQvkN5Y3/0uK7Mw 1xp9sk50Q+IXQM8uOIkyDzHxP6rYjpCDlRLG403tIVsccJbAzHFAd550TAzEOuJjhUJsFvKsGKlU UbsGHMawYTE6kyn963Oz0hpvHz8O9I5L8hTcsH2/vNmUVIbq2l6fT0wnxtcKSmXgOtgMMVU9tqZK tNmH3KwB6u8wN+b/qA/lsvxNaUQitlPar7LQ9mmvPfSaJ2UAqkILmb8kC+nGRBofOlSQUFg17hSS Xn7mISMtgEbiUbuvdE7Jn1b2Vf90X2+YcoePB3TPA/9jxCr87j6sO1OMtAS9RaPBDEEoyczhyZVj 5n8GhcwMRPn5XY4MO/mbFpy7DK9EtiWX5Wfievf6FKDN8n6PgeBpt5GANE12jyNNDxi71O8oqQ0h rPpoFaPXpcrBQVHclPg7u6IlaKwTAW9OU9OK5ftxnRm8Hi/3XUBHph3Qh01LURpnijDRKdoi/8Iu +vgdO3vERt2Z8vCsnHDmNwBxFXMOda+gY1YyITOPn/2wULXiaYayr5HMKv37rV5D/2MtSfp4qVI7 XVyJlj8IUDbNCr/x7TXSE0NFLIupcbFL+OynHIfXDCy+B6DGv/ADuwCF0oJD0Qq4af/dzEzxg4Jj oD026gEA/CEIAet4H6rdxt18oODWPT+k0+lU0A06+w3qKMmx/P7TWTlFFhMawMUgV23qKVY4D3l9 y0Oy034oC172T5JLi3U2MRkItWBIOiQtinZqwuzYCt0KFEWs6PI//+B/PKqOLZterHT3CyrHUmK/ mu5KfDNqk+mOaQ3UOTqzKXexlZJA9UzR409LOdRaF6AkN2GIF4oMW5HMbR4oV4f71C/IQwc4xwym u6OhgY4OYgJCjpaCAUnvOlr4Pj+nllkpy+iznLYdswTVQadv+xL1erJkEL/WY0Pzgwvt5YddBXcz 9fS9e+C/92xxJfQkQTIPyj5/o1sBiKkKz7yZPIEhsgEEW1KHEQT/G5DMAQYxbYAx85WEH9DJDNs8 ueJqet00NWazkFwV/X07bUQZTBXwqmIQUQI91idtfC/3UEIt5lXWCWb1CXYz6Fu6H6q1kKEWS/Jm hFU9uwj2QFXvjqatah3e7tIKfBvRdyDUSLQmGXOuGA15a3KzWorcULaP4lB7VzRKWs8VnuiFhQ+2 u8QNKVshNloBC2GklYHWPl1W+wgehh9tru9/PsVvM3DJtqMvQQQs8jW5v2cGfjEBsPp1Anyk8zeN OwlgWaRY3XCf4eNR5FFIuyUhB7iyyahPQQhD7XEPtD4X9e4WsgS1mjL5GOc2NOa5RfTl4kC0oUCM lAik/aZ1TaWU3s6UmvFR9OUTS+KZikgPohqg/c0PAKaJ1EF5x2Bi2JDiC7JVPh1TzGFN47msvXWx fR7ji+7IR6yfG+35f+GlriQQdzu95das5IjZKGe9iqYVRRzBDl5V25ZySZVuaUskLATcCfYKTASw rIT8qAhoXF8eXz9vky1ZKmTZkpzbmXpmZvaVug9qAuZmugDhlIYRvhdQomNf8FqMO0BMFCksvWp4 ias8qtXPo6slq6HySuo18arS3iBDXe/d496Eg+8LMT6qsnPDpMPYJnQWXvhen7fZBpJ1F3pmYk5E tybx2VGaWBygYFalw0mA5uIvrXX0sQMZwKAO4GAPZcikPpiUExIRFXSTBKYd8Viu7DY91oR1NtOL Wfgxzua/laO6d4Vc3BR8BZ0wvxWC7R+0mU/7uJiLGXQvEymhEoC1ZtKEgCdSLh9UWXynSqK0dcX8 scQCCFFmIxKCu5hIX4gNqpRLD2w4uX2376J0ZoS9KsCgIwIBwKvK6bjo7rb2ywpWtHA+ER2HKkiV 86goCCp2E1mtJujPNULtlol79rK+NXa8WilYfBn0403N4YmsjBLePfz6JlcB3Hm9ykRLWpVQv77q QBnxKkkNL9gIKRj4rFDuU+wmXcplYHlwjXWqbr5IQ+K4rAkeDmr0RekSO5u5ljfK6RVKh8x9DJBH 5hdt/9bgs1yBfGB3Eq6quK9RmfR6A+hNFoIke6zFqPzo80uMZ3CaJS8jxCg/YsvQ4NhHwvk5Nf1m 06ignYRj3pgUvLHC3l97mO4ECEqecorX8YVsOtJdx0tvhwNRUHP2McScCd1RGffTogRpFs/IveTE vu6VBw3PdmKudDGsqaucWwL5BPqbjZSDqH0730pXwwhw6fvOgLLZye4X3hiCCtMDYeYqV+ogAcQJ zsD1RJgOh2p1Uw+awpxBJ1abaAu0fLyHz/Pzbx0SjuwiBDdOnBAZfGDkSNnJ42rfdb19Mt5PNRex lPQ8Mw/V5eu4hsKcFQuiooJSiB9PHCddajAwrxXoOqnVgC85Z2vdm389CaYzKxSDLKG3onQjEUJt As/Q5NKiEmTKoTlPoZYYYvl8r0UIrFMKNam1DeTjHnP+VT2EGdcd+CMpBJrVdlHAnC2HxPiqdAGv jhK/PgsqCJqlw3jUkO9nT45WJOi4bIKTBJTok49vVPvIj9GQ6V+NT1ZsC3stWj1379IAJ5PbuwX3 Z15ybZVBc5tClPj6Ynsy5hbRItLRIZEwhoGETJSwEDkjX3ek3Y7uOoLP/iEHD9SQz47qbxBYTfjp 9jQ4/+1dJ3dmgfOkVjrkZU0pYdAR7G7hvaN7lyB16vM7Dc60eZ32HSNpYCbQgCrOYymRSGsifd6v Xte4XL9XL1dQfoxncdDC0oLcBNx7mWj+AcmcNhMkCZER+4F9Jg/UVsb8lPQY0uXUFuLXxg8xy/yV 99G/yQ86NiF55klZiCHlamCzdBim1ldjaPf9JE2XZYJ2G3juj7NLhWa440SJGqms4Ao2tzyvec1J 5/JoxrqoY5CIiHEiZxZamF1fv2Zk7hfmgrYHw/8FdGqDcjzMPFJcbXRv7tS4ZnPE+c6DhOn3VmOv JTolKLUlzBHmYVMnOUgGoEIL+BMUMPBUq2RFPvcNsIlVe7dr9rm+eo5/IYvp8SrTrH4K4SHd7KUK xKKsje+RfVPSAPY1XDgXNCu1VhVXWXKV9GOE+M6lMPhFP9jRN2Fn8hRERGhljxZPkzJICLxWQpGo mC/wh2ApLgs7TaHZJuaD9OUH781DS0f961T87+QgcyBYfNElpUxotuzzz8uGkhv3IXiOZ5fyS+VT q1YdDzq4Vtn0lcOLI7P4mdCwDa9U4assVtlEfiUrLUrfH9V7ozzMQmwZdMROZ4Q2MWE6CN/RiDmv snqH716ceUac6KrVTC7Lq0hZR130VXmNZBOnc9OK/xYcD++zBI0W3sMT2yON58Fc8kGZPr1ifoju tnPRefwkMjto2G5qVrjBgaFH4Ggs1uEJoe50NQ/cOLa+H9Y9ir2bzHiP36e3uFsEj20QJAEANxcg fZAJqvBLHVSxsIFcAral1GOAK32rg6+sNzroAOijmcB/nxy47a3IIw1Ql7nUwtejvomjPZjtacyC ADEop7BqN3YRxNwahzE0jcGVOj+LD6lO8i8YlRFZ4peHdlAaMhZnWqR04se1Foy6y2d9QW6wj/L6 W2ya+ME2zEUdPwl/ipqWqqmjzVIel4ATaI1+Nq0bO/LM63CMCYVGanRBNqofjZSynGBvzvaUy8hC Gve0y4n1ElcyG1ILUuuTVL8bLMl0rXQ9Fg/LR7Jb2vC+QQdaGnraBsYzPYN8NzRoCcp79I9Dd6V3 m6Zv8cXv4dpaNIN7Tmq3VFNcHpm4rVuIqHXPDbII+OvqUt2IPsGQec+/Oe0lVDSHtYe4YgbwGL9c GHgva6BlUlqQnqrUDK66rOYSjX+qD1pg3252yK9cJga8LnNhRz96KSf0moQOii5pMUxv75oUesnl zpIgRLhuM2ChzpBWRlrFehziFB8Li/z3CWAPX+INdsVQbQTs4uiulyrtQ5VKjmqe+2bPY3EF/0AV w/pT8Ozn1Gs0lOgqovHsUYypSaNMvZcLS+RmqzVJgrrB8ZlcFH4X/nSsbibu93/UGqCjUZ8j/H2T eDy5p9BzVQfFTI9rDxposd/cDWMF40wLQB/tWTp5e4ZAJoMgQMuRupforJjWedGp4EBfCx+KwV7k N7ZN9WHPKQAhiaE6k+rm0znQ94KzkP7w5UcsnHwdZrJVY+ThGbQka1a8eNIpiNY0IJ1tPmjok9He tMs07VyT/hxkX5HUicUo597ppZ/KSFFMmXMHRQtOdCGkEsEATN1k1GCGds8ZfxXFV4YYXLKfXib3 X1x7Qzq3CDf59YJNYnyXdZJT02kVDB/iV+vIJTYof+73NcKQYKqHMDFsFsmeGJuEVSmqnESO1af0 ZYKHoajwwqA5Cci9nlO8jGqs2Gllo8MegKLp49GH6zRSMQb12BcKhW92wbslSVXJo7gBYuStAV7+ nUkBdC7Q4Ooa/0W5GAzFAWoBk2pB77TIz8zqiryXzPB0OIOC0Og73IyEYwgjOOrmaZKPmmjoiem+ DCm3oT5RM4PC9ao5IpET4MaSgdLsVyu3PKwIlGmPkyPL1U/ZqpT9L4B1COVJdugBBuCg/aYjkskQ wh/C/3eBr/V6jZTp1e1o94kWjwwuLsQLsocAlrxckloJPd1vpPu3XIIHj7LDNC5Wqrs+breHo4+B N98pwGfF3BLDU3ys9RM3fqS+D2aO/sC9CvOrGG4vtc58OQBzgW0UegcQAvSUe0yfBXW2Zx50TZ1n UpD45IzgqmRI2i8px9Ze8nkOVsWfcD2AKC50ko8DF5XddcSkKc/T6kMFyuBR7ZC4xMJx+VmsGMUE uQ72Z+fliNhmsb7A1PCZ2MScoY8jND93vn8MTeZudPM9GkiO+Osj7cmInwlDOK0+hOlOIRITNnH+ /pcKYOC5mUQ28hmSmP+qQ72YVsFxV/dI6c+B1r3orM7guxEbPkiynNrWcLwQPLd4+gOoMoQ+LZ7Y gKuud0yyyvNgHArAkBV3rUvlEtfZGMzwGUBCYR3nRYb8OCrsTItvRKnPdNGMFY5uunDBthEcueRB G4Uoe2NExGrfP+5j95AAiiDtQc02KiVdr2XDlownmKQRLGNEkh99VW7PbHTgIVQP9FTpsEQPFs5u SiCvimqtzDyfcFuY6t75GSBzRo+h+Q0AYnJPnPnR4vh4IaOep7iu8dhFeHm374bU9t6uKZY6m61h z9oCu/Lt/+ee6G7g0ZYSVMMBuKMvF1+Tfg6rf7lX9uyaZzGRxJET/paPwIq0TyhrvLxBo/83aXvT iy2J6CmDTl93DfFh/cO3sHwoViuHo5ESp4e0j2uy9Ap+fm+VVD2sgpiQy5IbpuvJa5ws9o8TqtmQ WWgZg7sBbBQUlgOmxmjOWR3ryAYAUS60GaRg/kbdxlGzQFU1Nlxo9ogbSbeFeSxZJ/4LK9lV+fF+ FDmIyPlTv1ZAK3FQ/6WRyhej01vLFscR6RCHj+kOzeVpJgl/dT36GOH96rupjCuuRTkMg1cpqNNI I6j+r232rPjMaavIwpsTd3UMDBMJ27ZMaoATmP7XuQgSNsauX7RMAhHZ8WvYMcMYMqc7JZbbKvVg nxxWDToqolkau+1FaRYQUWc2Z6u6cIyAeMBIMxGUlidPY85EAGOoi/11h/i1Moj8pk737nUwdqS1 ZUR8YomeFaClnPFTlLroSiZFC5cPLvH5OShCB2KjLgcvXWJhwPEQmR2Q1NK9vQm8e3Sx+cLxdK9J t/FRCK6bpdQMN6L6cwHPEoFyC8HVyjZDqiTiWF1+iiW5DLzFDJ4IJmj1d1it6h1lLGrS23zep4xm mK8WhEW5dwDOYsvI9VASldLk8Z5rQ5lVKNt0cQhZmvYz19etHpSp3kunqyQrb4iGWn/mFrvl3tGF sDJkxS49gzUsgBVlzP8okgUZ2jgFCcklY32HGeZjLKC4/N07gAYnuJfmi9NM3ELeUwewjLLMqdUn 70hfCO+hBbPW/zxoASoNO8Y1JZvWSvyZBtgOV8K3j9/Ca7ItcVNf6dwPrVJAKO0r/Vw6HfdKnFLi Lhy0c9jSVSFPDIz+od4nYc8LFRuhuj+25LH2o+HUajrz/xcfG1Ozg05cMZLYOld6P+xPcgvxsxR3 ZKa/SDbzDKFrAdDLPNxBTBLKD84FadUnEK1hngkWvhjHFTPPaqjb5QF/JZRPq/Z9705du4932BDZ fTKPGuIW1AsTDD2zTz2qNFACyIjWeOmmFMuT1bZcjItiEwbuu4oEtmp9hRa9ipfgzOhglLnArzHb WjGp9uzbQHGgUukS76nx2BxFrO4irVQEwmwIit+9+gMzX276KSbj6E44PxG/FTq1rQu9m/iTl5jX ZbtI/oiA7jmDrU3cPu+DsERNK80N205ZIkzWZ/XUIfdtUfbfsmRwHOkOo3qUCXYC7JtgMt7x49EP MB/quElMqn4LFFYZsX467hu0R/UMUXBhXpzrpIoPxEtdQpYbg/8jSkoDxFTPoKzF58NklFWxjBHz CiU92ayX9LZAjhdFzZzsJJrRXUqOyO4HaOOWF6Pg7X6WqEAw24zeAK7Rr+OBJ/OfnSl4PCuGusg9 IVXHDjbhQneKAXXw5jKJwKXMA45h6iYL1/ZHShf1SckOIYlplJR3h5MlS86SL6E1NRJ5TAC81e0u 2dJ/wGfWnKpLCPONGvgIxd2b0e1J8cdvXVChtlqBwhIoKuAEk8XeCVOQ/aUdTvET5FBQ//7tYV0/ LS6M9Zdcnt2KBqF6xc4fpSWRv4NcIcGGAk5nnGlkNUDex7RZkXmV580W/97i9RLaUQ61TS5LCVVy 80T+SNn9LTG8fzeSNmjaSdHXX37LXz1zrn2Sd0AC3ehRiPXWkzdHz7gVTknaoXUmD1JJJBQHYVqS UcbxAdw+PEMj/xxiRPOddC2PmZF8yTEAE/eAYxeJK5qcGULqEUu22SWxyrPeHPOx/Y88zo5aX2MO s9PucwfL2QLN+pj0aUGoEX2yc09hDcUJfsASEwG4PrVj92Um0/j+pPrrGNV7JwcvZ1WO8CobUTHT PAIF9Qa2gYTWLnw57RkxlROA7LuPkpDrOVCP0S1ZeZi4qxexuryNnnT9n0HcdYds799FSl0OqZjf 8B1unr7lphcy4zxXMP/aIzInPQ3F5W//A27OT4ngchlRDmPSYoaWfSlqfwwQZsbNvG1KwuFF+2lc J9Ku9w8KTyxMLZn4VOtpG74aYFAs23Zt6p5BDFJVfg2mlPM91a+3xBAcufU+TAoXyLZIeGRLWgEW d2U3tcuLrtgbjd/NY8rmWM9t/4f38SA2qPKXosfW5GEnQMHzgoGRPsxg245dGXOJ3GmiyAmu8BBr sh0iYRN0A9+sDMR6UM8lu6yUSBh70rLtf049c3xjhxG6ysdOYAGquMU7GeGE2B6R03wVgIEw0f2P RUL2gUdohBuNvz56yjicoo7J/IHNBdP2MIl3NU7WThJ4tcnMIn1B7w0+NSzqUU7KujS6OrfZGwcM D8i1Po8tJ1WeXXk8Xw+366MoD5KdPONwQw5uudB/gBz1dz179usSTqqbXbg7kOGKKgKMN8uHlUnC xEJDjvco900BpL4nXAo1PuPu6Ok68kZ1x0skRgN4CAcaS05yHHB9UCGfMpuhp9f2j1wFyZc9bSJb NHpcpLHoZbd/N3fvK8wybodjF36HhnQNj4Y259+KrWgH87cfBCdoGiHWH8yX7cwaq6RSK8WJtquH wgmeexWROvxhLE+xZZujSP7cCjH4Xd5YTQGJ7o95tuDmhRQLhOkQMBTkfKU0dHCn3ASeiB6QqmsC 6tjdZFEAnPTHi7pli/jCu+eXwv4vHn8Rh1i/+HCjUzqAsGqWO71/a2qJKt3XXDt45W772t2G5GLn kOXR3zNYyBu/O9oDDvtMQSG2ozPbDd5qzYB83Fka3P5sULFB18F5u9XQDXc+BXiFM9eMKkwh9Vgc yqKb0acnFCbRW4+geFwCbMqm5/gXqhDqnlO2v1wYrBMP4kpZlROHug6HNwzcI40UmV0Ll4CdyKqh XXLOZQBS10ZGEaLbaqQePHanzG0H5TnhjeHA1+e6Y3NYtJyrGmn+QUy/zKTKpXmydMNN9hSycOzj sEhqR4Atb7WHq32sueTQx9vTpY8EI9UQoR4G3BbgjFipXucTEyBQCQofuO1Z1jQSuOW9o/fgz1QY 5TVFY1l2363B+pgc7Z6RrCAZ5o2+pjfADGW4/zpLTDt4atP8qbQUIlBHhHLKa2It/5FU7t31Q4Gk YUDU4/AE2KIsMF/6oXnkYnlPbEZ6klbg/wrsGSHvU36c/7enLt09QILPfG8o5g6IIfyzDndZ5pjV hHllAU+wbl1uLYmeXBoQ0N7tBg7NpNTyvurumN2X/HqhgNlYS5xcRpGQmWORcFlwcMkc1Hj+X0ne dYulz0/NXLW9AEOAqHtbKZF9LPsK9Zfqi/SAFCh4rqafPshG8AZVW8XpoADNd6hnfEyhSQhw2GeZ BzIldrikplitmQGJTYgaOz3ml3aVuxfRJqUoiTN0NOqapAIkMnxD4U1hgdRXNO1Tko8PLuLPR7iu ++pxBtCoBezS+2RvIg1MR6lDYF/nv6eVUP0zVObhAL5RuU50vrkodKTPXbXJRmhY/qeJczkH9Vsn L8hbm5CtxG5w3GNtoXAVrftmZSuYctgMhG2dgd0TlKt+/4NiLVD/dMoEWS+XcKX5pIK+b3O2my// U8et955Rt83ONBr5bl3Rt2sVAbprN9zYoyBALrTC4/jAcUBPUGQIIsHm6qdSpJtSpqYDxGFaqTIS i02f3H68LFwTGTPCBR7K976ibsGCCc1ovL3prfL96HYvdM+Fd/gYi4Of9W40km1qDOPvgr+AB9DX EgtsXsrm1wCyRZEaAe7e3hJsFpsTP+Kg1fne9XAtblCUeVIpavJIk9lT/XgFM4OHuSM4ZWMglphy EN8sIWHJTYJ/89InHhzg0DAlohY9+nY+exTPbqJfCvd5yXylk7WWZvYcMnFasDQkg3NJeRRfyZnT ybCmehuBmaYPzhf7xGrGqOTJ7ZGhmkRQGK90qpihmVYvMDMILrYkJo25IFkEDOLCJi1+cMC9iW69 lF0Wl4jBaUjiSvCTEvhe7u6uEIhP48TGxxhUTZqEPXTjo/xvdwhYVZFLua/Nc1M4dID+Mxi40I1u UD7s96zGvM4wXYwG3X7RwjprAKKqa9BDRazGdGTC7Tw8jApQrSYILT96U0XL22/HAyJvFlV0kvI3 gKV0/jXCCUVSFloF3Xjr/NVhZwdk1gYbyg+u3S5LMK4ea53FMZrUSUnz8BiUtif61j77dzBfSif+ ZQX3iObuiuv+RROZVZ87C46fEI25Iz99lnAT5F4ZrM1lO2IWWAgGAvXrZ+lWaQV5YbMbGdzcJ6Hf 8I2/XNMJYDXXItm6GEKddeVtVKg6PvmbDTrNseDUtomdjnA+1ZuLgj0kx8iEq5ss6fJ1dJ1Wd0/u aFL2ZCjtxTSPKJOg9ANq44H3XWygeR/wlinA6Rnsi1KykyXiATPaU6DiD0apWvFawTj7K7K1zn2u c1owa5B8VKOiTzHi9Tni1onQ6RM7X8TJUwyI+iKrsnTQ90h/awwt7Kh5rKvTZdfh9+ccju3Vf4Ls S7VRAHCv71UXOoL6Dm9TDrKRG992owDH2pE4VgA8L6pEK7AqvfpXudstxKhKSqtpjjtCSItSWUlu tY+CtBG+WxW7EA4Q3l85hsAA80HAJcbJ8eiQwS/GVfaqrhYKtnGgW0YElVzLl9ha6E4NPW5vkJug iUp67VdAhuVL6MapLBsflctjqSetUj9eZkmLqYDAtzQc1i61IuWXRsiGVHkizYnBdBV1klWv5GDB dczOubTLYvEZFvMLBoou96c+P4IRcmb+NQ4gbJtVSQ5JYNO+lqKX121Ow5OUmHDEGwfsgryw7hSa +QKf7PxEdaqqPNnj7oqZkAVwBQSJyjondirXmZjDUwbgWF/PrrBn57oVkTFOAUtXTONBpSc7XMvG kTMlZ1TKQm8q+pUhQyPo02CsO8ueR9FM1xc+ALTnmfaRvygq+9aeCvG94q6CfDCh7F5dLOkW29wL OPIF6gkEHSqwh9CtOpe69bazA0Tww2+19QsWtg2PAVl0qNwIu30eCR+PbC763fBJIp5G032QDTyg TCG6KlMRZkETrx5Xx1BP8jG/sffhySsZzjsLGdwh6jLCjn9OeYmrycQdBG3K6oHDEWoHwCXQB08w pnLhkJKv7d/Engv8xjlNCUoklwz1WiUI3z4GcwD1wTamqCJg6fvNOnYiAPp3Tl1iv1Di12gH2i8a 6svHaqQmbUU57HMJZQu4/9f7ZQdbaECO34eS+WAljOQHXcIBfLkElHCSA6ULa+m5gyKi4KncIP1g ol5ILci6UOc53uM2nMcF/yjswl94RlPyA/q3qBw4jIt3Bz4nxDVgujPzdKEuwwkuMGVZxqFSvjqg OuFtdHefxj/tMlXoIo/Yg1Sfnwh3NuWgFKB1J6oQMYs8hUoTQlC6FA+CC+nHHWaVp3liF9MISo5Y yLWszWTqG1AJ/kGq5vt0go/MLg7AZsHgPqEdPTkyPf4Dvx0CQyz8FEoaJCRnMPpVv5bYJ0OA4yup u7C6wQBReQVOLTNFnaTuQNYpl+5hDxRulaPNZ7kwCrDCq+cbxWsDuE0NWHp3GYK64150zlqTkn2A FWNvaUK0GjbnQ8uTfxHbzmEtoywgt7hc+paUisLx6jTvY0F5wa7O0UgVs7hu5EpZv3NzKh1PtXqe /CEyWywTaL+fu1dXVwLY4MhUUtU3kSnVAXkQgx2QlpYIrsiP+W6JCWe1RqXeigqKAH78aqbk9189 qFI+yk3yv5spwBBU2uFHtuWGGHErFvv0UCxmuyYktmSoG2TtRtMhuRaL1I3QHDBcJxGjjuQc4OIw 5f0JJP7E2+2YDEhS7vEnCgHnP7QryhXmbGhsFP8As5lcglv4IIP0gBMPt+taNn/c4ezSxT0Rhtdq FNzhEGEUfRAHujOwwIt4GVBDK/ooiCI9cL8D9mJroiFmefTb77uKHH4VRqWD0/6VYXGS3K1C+R+7 FVUArpwkOHiTePnVjJ3ekV2/TpLgpqiR8iODKfAFGVKktBN8U4fAW1CRPsi5zD7VE5HPZiDSLaAe ygxQNf0Fm0gFcIWBlSDg5dw/F9Tt1fNDdg6xxVW1tyPpZjJI4V/ifLMMCilIXsodOaKepQcSPmtt 3j4iQ5YGI2ugsyrE2ymahZRfPDvTIg0ObuAKKg2lh/Glk65cMUdeBZ9Updn4uuJ9gZgagqrUntJ2 AWByOIaWpw9VQhekxzzOXALRe3MA/Ew9kb2x5BmBtoby8i9YR1OSAMjuypCWETtKqSvHY/Z/St2i SSSZqKsKRQPxRl6IsmFDHCBLayqrqulcp0biusAmK5iyzgdZ4I2CHuo4XpAS9ABBTOPwIwQJMIUa QI3oZTFYQQSHRQhXECFT313W0RuCYxbcqrmwL3lGZafoQgjnMSNRW5055PH+b9DXpGIZY/UbW34d ywTdRVdnAZ3YJw50rQ8LXA1n6k+Qjj/pU3lMtbaUCg3NagCP/WS8hcXelNwFTiH+qHXBW0aamZPH jDwwQL/6EnsuTI+uAZVuhS+dYALZGx18JTMoNYPh6R4kla4+KcdZGkh7cHgxQ5KHj57avmwInlMV QHWYX0SDzbPwR8O352cyNWuS/6njAED7xGxdQG3undtZ2q8E88iTiK/+4DG+1jRRRoGziH7oib2A dTHOAJ/2qbdoq9UhcU1Febj9Vkpf/so7MMZqJ8HHTw3cNj1mEI1W5XynF29LiFlVv61xMZBsSj6Z 7+ui+GOL9OYeJMQh3U/Ams42XsROgs8uahU1XNKzd6RRPlWyXKxuOIZK0FVI2t4UbmMV0k7nLkYC yDtLXUamuTyacl98xN3Hm4q37tzkk9UyB6hIZ+5GHQPIbQQzyXakEU1d3SBbOvawnKXXa0bSQZum MA6J/Z+6pVzuHyjeB/Pn1zfAa+YG39dtaS3XFRN18DYqqL7Sb7VAqs3Yps70AnSxtmSpRgzfO2so 8q5pFaPVmw1LDGlnWXQ3L78WLm3iOSMPFUqhsTgYp7P6TwPI/GxCpzBTm+G3bM+4cZiyyDQRtWgV BmVeJFmmf5yjFXZznXpEDlI5etgdYR7cM5qMM1jm2ZOshsqBh54/rHixPO1yDR1QFidMrfN7Q6DA eCt0YsWerdMI71epsSvtH1zfHcfQZ31eTZ0Y6aPtThxVnaq3M9adJpeCwi65/EvW22T0nC18Pfhy PM9tU4I7U9y7dLwR7wU0vGtXNkbL+5nRCwIlYPx/OCHTbR9UgDxMCIK1XTfx66xUl0wte5f5yflG mRQuFXv0e6H7Yufu2Es+yly1oImQ1ZAzBoL3oX2vlUNYlrUL2m1ir9BrWLnWtmljLSH0DsUthCoJ vaIzCJoGMdmeGLOo88092DQXPzSS2PJSPr+QTCblP/e83q2ZN258lhzkTfLUm7A0FmWyetaa8UCD r1gByDmBRZGgmHw5l1nmdJtrsSRt81zNB5Ta5DMX/dhDO1+s8wcKttdyNcLSnPqVXVTEgnXhB2B8 gAjMX3xd8q4yzLL8qv40mliJ5gSHOPC2cAVKqqdNACvMFvxbZHZ08M/dS493fczl3VM7YV9go8kt 1aTqYMHzzZ4tbAuzZuPI5M+7Q63e21RVGOpCeu/mnyoV310Law2X0KRUudNpgu/SlxiYNjfBQg3Y imnJb0aVqDGBrR+xdso+hQAwKt8hDEQxaT5+tuJEQWORr7KN4RNOnJinErP0+xQ6+qIu8hqCe2GA RnrY4q7dnlH4SIsPiKuAT1AMcTrQWegwheFJRXWRlLnY77JqhDMAnlZlWLbKvoo+qbGZpVO4l7Zc w0PbLSLP+bxUNkw6wCggFabeLwnKPvekUEMuiwYbEupNuUPIWH09DYRitz4vv58Ih0R0FzAHisJK zVm3Yh7xxCFt8fQSbGD8rIB6kEux9UBmMOwJ16CYWJ7N3BZ0lv4U2tRl1QpNR0HAccWCVq/Kahd1 GcAM/lyKMTmwS3i5Z4tEytumw22kROTZlVaI8AdnPU0L7p0Lt8DTXFCzllO3lCaAus7OPM4ez7h9 mncO2VrslXlnjkkviKYTzBt48zq5NQcjNu90Hz4XzQvlATeIp9MWf+uPgoDqxZwxCpR52Jl1EQ+g njqAN6T2lX8wQuP2tCBcBccvN4pkUx5EhgWUMeyE+d9bQNEd9a70YDQcfHRUdGl+am/smDOUcfxb Pzs2AbKNX4+7o9aUQPCg8cmHXuHL0JIHR4M12q38/7Sem6nam5aetZhYWHC6b1gELSJBB5ToHgzB gMnSvrhe6RxDqbLZKpjvY0EZ7xTeiRKLOQ1nna4+2NGa/bJYduva3BIy0veDE/JiU5jfeG8g/kr0 t/mA6ICscBMpU89+J3fBMSfPCFh6tuPoFL4qTtrnnBWhFi03I2oAMGWq+GmkFDMsWDGAWfDr4IOl V8xY4xQiAOGkFLdYts0f63q1j1pIyDuvd9ZuBbbtAmN6rk7QVD3w9fJ8zRAq6urjF/ovMJ7couSh Two3h3SO5HM7wa13wzNoBYMBIWz/qSYha5SkEpgbIQDxDTgLc4FP4tHSrwxyGjEkCAaIa6XL29gQ 2O/06KgOELMecUdo2GthZcRAtZLMXxmR3JIt+vH+ZzwEKJvW/DKjs9fl5RwAzt9xVDdj9gswv1SL atDsHh7clUD3mgFD/sMT9Qp4gZix/Tl+vS/mkKqsTuQC8zEzhkeLYJ/DucY78DplYJ/ZPprrh/Mj XVfFvtyqhZcmpawKslHxKejyN9MrmTOaHm/4XsPe1cOyo+NEYbUZOLdR93+QBVvKQ0TZmUqpwQhE 6umvXVajAhtxs5H3EjcPkT2Ti41rA/UPYZ4JZYXztz/Jqh0we4a/Ddi1mwwq1r1H9JT0SoUTX/TC Z+3e8NTEA9nkHptgJEdF38kFK+XKNHY/K2xcxr5BhmVxbPNMYNXDEu4VVrRcdgXtOtPJMPcLMQVp wa9XHUn4k3h0Gne4f8ICFCAbOfnmYBVEaJtKyJqUlXa9NkgUQdxrcca6uxgUUZbijlpia8dns8vM KFHrdgGXjMEFBNTnlXtnNVUWlq9Rns/CqVoqFRK4srUnbx486O9PAofncRnk71vvoiHJS89zsYXU nkqW+pDnvcBZIedJ1Sgqh5S5pJMM8wn4zVQPeLBhyt6IRFiddRExsHOTmYtHc3dw2q/7GLOHuwfV 5OdUpgM3tNjuPWm95WG1g6eXtbr+t4JiG7A9iMoxlF1Fsc41qir9T7MQ2+OgXR+pMqJgMxHNXB9r uZ+9lqMzEQyiMq5lyrwUBPX9eJaSRu+PO3aXRqbLtc5rcvnOFtU7XozqGge5fZg5bzjfOY1bduDS 90eHiBNRCipbLMQz/cYGBBPf6dyqSGBpwxlSGFUJHDyMrbDPwMeq/PbCnM5QdSFAHEiCjJKCTRi+ a1Nd4cYAhNrQy5X8OgDMq5t1UJrJM0jsrnw9NbxmZYuTZlN0elKqWULOwAWn12USrhO7aSYyM8Oj SUu/+e7ZN8Ow2kNkUjSL1Baze0jIVkpLMBfN79sxlED7yVTMjbOTELG0Dha1v5BBOQ1xDcxhSzuE fRaTWqsBNTHC+HUsnoSZXwS4ClfJopUTnUdK5gqnDd0M4ao0JWVTzmxq+4xBz5uuReTP8VZYg6Kw QhVvuWv+vBKWo/lldN6tRTfNotbz+xNOP+Zukb1ihk6sqUPETSSZyomJ9NthkEPZwQ6HShwgaSKy lGWEuui4sKYdJCh6nrQNj7feZFlYrXpjusiOCNVpzQPrsZL3sCoc7mJ+R7V7vzPn4EECIFj9qMmw 3EcOFRS1cyBycHqo+zo55mkcw1ZD3l9kyuEgsp7hkkyDyrRhldndaA7Z6dhs2o+zrYVE+oDK2hxG CQrrcWc4+OtZWRuQEvoO8mStmAR/fujMq1JX5kdxXCc8jhYRuSmPtN92Rb/m9nGohMNDO+mRfm0f rr256j/20iyQ2CHfTSR6vJdaWgxFIvFoYdnGa7hinvNlQzd9BQGt3lQ7pQfGUkFaVMPQ2LE38QYe JUGqYG4n/Sa/9hgs4+UUyK8Bu6lwhUGZR+R9rtrhJgsNJ2hxoJ+Zq6xZwWlkac9gKiDaj+Glf8g8 oNjwdiSHgLDhDE+h8SfnSDiG5OMNr0+ZpWmywSyWRVDEGuBsUU4UM8zheeo2fsZ01rNLiJswyuMY gDnYC0t/SUnYRj72KMx5AotAXDWG1xNGwMm/+Eog4SHgd3IT9Aqku9vkyUTgyLiyO3qxoTcnQMc1 Y7Ue7CDdimEvghvG5W4cuudm7qQpEbtx5N46Fr7q3dLjgBz4W3CC7pBUgJN+t+e4R9nZ/tD9eRQH NHSs35vpbl0XQzYoZ6V5GXYAeMChmJautl1r7uHz4+B3wgJ4yRbL0ShWZK9pbIDGsMKuWFLNxMUx 7lZg9213HDOLM63HEx0WmLdOK01XQQvHhXADEWcz0I6taRGUsE7q7Rgp10Mn7/20bkAkJ8WMWnvD Mkp0ajMqbCSrpMvE1i46xd7+OqckvBVWJ52xeXec4E3Mkg9LFB2PuDRy2tYojKpoZg++p5ACGwGO LW3GmYkF4e2SKX114U+gz1ygNJP0Xcr2QDkf0r8G91yzKPSBixoKtUI9L9JEk0LosRxQFYAp5RBw bx+a8GwBE22KMSho2a/dt7rXKzA8QIemSq/lLdrklCs4PsngsEb7itW34EWBBmwGjhdXnpSAynTQ zVKzK3UCTXv4rk62FLxPgglw7GtBLSeEFzXqDObutl7nomgbSKGtSZIY8p3Q+LOI5pfQ68P3sXgJ RJmAlUUhYKIaay4+yY2pVGjPgRp+MvcsbXvikJ82BgEQTPHwuVUuzoOQRQcGV/vGIRhSkf8dnLLs Zowg282iFOcTnJb+lxK29l0m0wYGYizMRqWHqGFtk1ZtYeZVHAt3BklqapPEsXlUFV2vkNI7meRr NLL9INv8eJC0fHsipWpAb+VLCBQrDBufMa1qLpmSStUn6dwRLlJD0s77m8065XkO1Y1HckvFMCBT BAOJ67Mav/NrNeeVf2AW37yiY04tFMgki8RHUZLJKBlwLH7FcVIcXZw8cv4qV0ZrNjesN5DNY4n1 eUnLrz0cSs1aJHhm7RRBpRaqq40633qena+G/uhkDiEd9hsAzhfchxq8RQmIqyNtU4wWdqjIELhV JsIp5Hvwp9vVMBdBvn0OV/nJ59JifMkihsSgpdApF+Z4AHnH6o6f07FxKWdXrUA3q2UwpCpqXtor O9xYx48UBJFr8Z664gdIWDgcaeXGp8vKRmYnqd57UR4XiFoOP8ce4inQLOoLRxJdQN3rSMd10PN3 yGoFrSmLZhXMwQnFwPR7ojFx5+F/L0/LNnAHVPcvqQ1msIpW3y/sG6Yc8OIstuGD1rPKfQPMZsnM oW8hXBSeErHNSXkDN0ySzGuz70AEXYmYV2OLOWTF0VEVJPqUsUNqh9NbHQpasmd4C0uPTdIKlukl R/qbbuIR9i/EHWpJQOx4wFHsMIIe5uBbEY4PC4ZHFXBXbVIMiCwigAYPhk8ehVTe1fZHPbTkd2AK Rtfi8lokPSctcuWD3EndZC4WsO7rgmLXLMXAI4cTEdyBzo5GPrtE9mCBzMMzn2kjfmZqdtVXWjOn YtJMyJoohQkDmiIHSVol28/oFvpVvDwgxHw65WL0PwBW2ufdPk+hC2lQahAroj5b9Mx7pPV3ycm6 UiYz1RH/FwVvP9//ZuIvoKJV/4PZIlrCtExx060O6nxkoGbFCtxdUr/mMHSi6kabp3qdJnWDysK4 JBXwArqGr2vM3eawunduaYxMIijF0faVOmF1hhKh0//BMEHfPNEM9Hx2PvmvX7esj5MRKX6+HbQD nTEqckhU5JeOZOWAFs05K5Yg/P4K/9cpzNcXUZ1qTP0bTtznyBfwzOS7uxZVJNKkcFU5tDETqNLU lJRadwXzTboCFceJsGjN2q7R1OJwgRE2BbS03NBprykf6ZKW40MqDbRk7R6Zy57rsk/25BbFro+k 106sFmM0moU6g7qgRCKDKgDWETT7Z1SMUw+UVBDv7pWhBMqtl+qsyUc41YnvmgFXTp1wWtRcqH0d Khnu+P3HWe/Y0Jt+4HLaFL3XEvZOlHtfhshTpaXyz95I7xX/aizYVG0uSoFmlybUS3wxTAcdZw+V oaqHLshfaGchk8g4TKpL5GTvGthJaqZ7tpd2KQJ3yEZYJJ/6HsstdETJ3+3xpscLTD784g4peVTd tFRJXp2yT4jLv5lYpY0EDHAgu1bTaDYUBqK5Xn1LeVIK0KwvpJhwEdMe+JlkNpfkJN55cmWNiv/B LIM2Hw84okEtnqvtv5WlApuEYI4ldx5k9PpTGpPA9jLb5o1TIG1JjD9qlPt64jJS8dXJF+BNIphH qyMdwULFzFW/FfW4E1/gMivEGFY/WxXTwAunXS/Q+DFMM6Vwota/IQnrs+95xAJKQNofD/Oa6NAL s/GP6jCQDSkoJN3OHec5cNbQ8IAW7uPtCc6mNMdakVwsjcxEwkKtrd+XGzrd/txR6XhGxCMSTYTq bGIxADFBNum8CvBX1/FlBpfAeV9nEoUP6K9GcylgUrem0Vla0NRmYuYXPEdizVQ4B/JCp+FUNftm V4CCpHSx5EY9ohK+HLcnprk8kY2810YZDzC2VRiH3K34fcZqw5+P/jL2bKX1cXM3hhVfBRXyLMfP +TiGxCzGXfOdS6AaOW3+mAj1O+7lMD1B0mPQI5+IOoF42RNqY/0UZL7jJasg4EbYDq2UDqKbL9O8 CCMm0288y8A0tQE/EGec7XijxR2ohT39u5sPq18fgvHZt+c4knEFxtmUfNla9DR9pA2LWdBdCCeR nsymFooY0Qks0+sjtE3rEkomfqjGRPGES0RumnVwYtoPmGpoYvDuXM1qYPsqrAzrOmuImOCpo7vR O7ZoFvqfWwA6OcRFOwffo7PtNM+yYu3G3V60ghUWzsidVMSnsF1actxrxPh/dkQyamgFKBPInS1s PXwKJ4GSRZsIflNMyQxhD7jQEB2s4nIn/LqUMjkTdkSQx/aUJIGhnEcu1pDiXqzkFmZ7C7/tNkox uvQ2sePA0+0K+Fq2XejM6SfLNZdFEuerqXdh9M0KxY0yW+3I060R5CvB9tsUDt+u0A1r4egASDe/ 5zVjuBRmMSEFzQm0vUPcmzYWPqRJFDX2AIzi3RH0OdO+6y6VlaX3K0nG+cd/NmT3ftkenBURdh9m rMyKuyVTpP4i7cn7q9Qm223HfrthJL2v1IjkxpAs9mOSW/gZvp3ZqySqwU7mFdMTTInJDt5paBJR RcOiZ7GrV2iCyzIx0VtHS7RbgQUJBlbr5ziVVnaSDTaz2yAhJlqF/J67ag37yOw4MWDjCTRg52CT +vTEPanf3TmNw4+bvWTm7TVDc/raTVIhcTSnpFpGsk/i7VgdwdjN454bHGq6beN0ceJ8+8DYtLaS CDA78u+rONahzNLCDDLuYWoDYj/CaosDlAcZ70iej480+RCDOIo8cuZvMw/bBn9ua8+2P8I5dJ9x ArMFDuWqBxMllG9gIaL/8dHHZMKO0xrh34jb1AGjrcLeQkttp6Yk3P4YvgSnmM8swiwbnmoVwhVh b+6CcTSTHzgxRBkG1l6vZ6OoV3WRU8JFm0YE2dd63SDhJsblHhXV6rDSnY9rsyznstX0KwLIdd/t 3vEUhLtV5RNyWK1BvD40jyTz3PjJq2RllqAvirGJTKMkhiFzIYbJuMQDTX1hxO1WpZGTkcMxuRnW YVD1ZqTCHlOI5/p5DjHKrxhMi2gSXgCUPxqHraGhseJDVbpdFiTzV3j/PnBWGgox36aDQ4PnfUM3 Cm92xMcnIDxVZG3oykMMqAPC2MJFtsr19TeOwjqIsZdhfN5m7rsAZ7gviRvL/zl111Z0Xk+O9b3B N7YPMXMA3+2m36xck+ri4e/QFLYfetvCV5RbtMQZrSFzGIyjqUU41gEn+xu4EKyVGkV7D9IPpeZz in/kDmQ63engVBGJafN/nzjlwm/UgLW/bJJ/Pv08vnTNNiQ4seWJVuf6cc/c9mha0mOEf6l+AUh1 AxLWaCiFMg+Nc23YJ0HjdWlLvhUthkvErvpGMPyE1yKO5CQ4rz9yoF7+VMgrJDRlVQBvtyY+d91a SqUgE29JrfKvD0F9QdzBeJriah6JVkFOh5vto7+R5mhau5UwOKMrCCwdArUK2zPf0F3Qcb2XNBq0 mNKqh6kn43cF6kJGfpLu7u44mb/MikVBAKM0O4GTOqGO9eZpsbzOBUQKFwk9xDPhbFHJxmMab3jj wxwNBscymfINP0FP8Afk1WE/exIEMCKIMroYZEk+ai3eXmnKOAKFa9WX0Kzp+Y0Qgb7+TFHw+Lfz /m2mf7b/YOOd4GLkfE7EemxyqomLzeHafUEDpqeTa1YS+7NV03ETlXwiySZcnk+SRaS4gfaiTqKE rCECr86SGEjH/Cc2zsJSmy+lT0YDH1CE3HHkAAfxTglqL+y/p8wNot+VgxGmM9DkfGU8UUlIWReC lBjMXy84MyMxp7jzZZwwo+4F1bJn/Yczni8CIJH+S4uNVusxerqHhnIvkFgviDxqgpWPWa7IUIta lxsFxnj6tsZz0Za8kX6LC4SoDPIGycLLTp7nAgjRE79yyRnsZyupnh+JwHS4NFzeP8ZWCrJPG7ZT oiuaWYm5K4vF6ey/sIFudpjVsKtzIUiRHPWpGdNJSaDAbqyBEZWjT8xTtBy1dWCBccwrZ+BxpxRu IgTtfBY4IkGWXDIY+jwN9pDjeYj0WbtMDcaTvpqTAenTLOLiDaCA7F0468CUkEIbS5FuIDlx8Wek QJETSxiQ1oQvof8V4u0HGixQrZ0YOoM3HcvNooSCbvTDVCrLmmxIzi5+2AbfWzLYfN5d98N3cXpb 8n/AlnvnZownyq7fTVDocE00Eo6i8azfaTeP8e6bgS3SpN9Yr+Uwt/7yWYJOzlVO7QS3ugZPAKe/ 3TNd77sa9YoCTJJ7LtXPVvEmWnl5Rx18EIKweF9A5Mkg/qiUhvLSi6HsA6WPkdY8F9v4AzeUXF9k uzPC7+8rljtQrdBW6na4icsqQbDw2wMCoxiVRSzQnCIpx9VXzd1NcH5eTDwS2oKizJ20w6XFo7R8 fGTimoIzJxQvPOGQ+aS8iAXX8Vq2JqDHSlYGxsdPOmMGch4CA8W9fySWUEp5ca8WEzkomNCvsevy onArxgiF1+2jrfc7OxojKGpaINsjKNrikZy3cJVj6DLirED4K8nsL0O8IM/+MCdKuSW14n5bNuWo +Hw6GGmFij/dmUvPLV3nd6x9Nd+aSG+8K5Gj8Nn1ERjj+b4PxLHS/kPEWIbM9F8BBLBjU0ASI5Hq 1/4BlLJ6MI8TKIqVHhfePTZ7HROZtrOkzWhHHwsLZHYQ8NaKF99zO4iEPfiKcWnZT8YhtX3g4N9y HcC2/XAllDdIai/6JsN9+GB/TG8LpInvAEam8XGpClwapbqeiY580R2gv2GXoYMvbTAJGJFTRqau GXTkfWdh9UK2z6iYYlwYAexURaHvzqWDxaLFcbJlYqCH7UvMnG0UwftH5a/sXooClgtTwYWX3HK/ YiOWrTJSIBbbGi1FWtOu6lod1dLBvBlja4Q5HRZOeI/KMf0TSKFAN61P0ZIjoCMQnYzLSv+qDEX+ QA/bk/8eT8hAS1pOgZJVqRWf9459oMr+/F4H2R9H/Fu0zoc0Al+4Hwc12y+HV44EFn1pSY3qHHO5 5dMw9CcoivLsjAfzmMtfmileblbtzPyg8LmzT4u1jSBNGlCFtNKQ6CT8uh4Krd0rY8XcYntX/3oy o23aeoXbaWRx984gZYr6GcvIWYz9nB3TjMNGjdob57HQQtQ4vj6VasxuZhcygr3XtGuiG6lcV9ZM oB1xcGomm7gBJrdxE3rFICc8Qv3hyLoSMlymdP9CWc4JMFleYyDy6hAbvXd4sNhDBG0M2L7wrGY9 oUT/P3fAzO8o1NOAZ7pNnLYl9IcRNEFUx0+/vvuDrE2s4i35mR6dDgYhH1Sg4k5YkgP1kJc/pM+i zqCkGD+p4K2E+YPf8Ybv2FgkxcUrn84PMT/F3emspODInJL7otJkTX7PRVE8J9axnii9UWe+FOwj Fp5afX6e+xUtrttUoIBhgi+p17K1LyX2fanl1n9aTaRR0vMX90l6uuC6mLmNNcR/GBQsX7LsJ2KT OCv/IbSyRqjw2jz5lulUtctwdSuD8bHDVN/xf9ckE3HRQGWOzdfIQ1UXKjv8HwZoyF0QDpexvtNC RvVZNhto/i/G3HWZ0fNdzCgdTYao4VtPIo5oRMSKT3OR5faT0K/SHgDbLJCemVqv4Jb1PF6b7Kcl nkJBpbxx3wGfyjR4BC++S7erlqMKFgpmVkTqKdHeFMoxRC1QBQjr2BfPzyLzaj8Pv628MJ/hQJZc QSQYQzFs5lUaO85ajQgdphrUZLPPwZMVc7o6K/X2M/4gNAn0xrozqAWIS5EkLxHVHagseMtwlKIv q+spaIVc+rnRyz4lnmWLwVjU+wgX6iSyy8bsCVE2rKtZvYEalWSQ4jDeYdWYWULExWNFvdMCCreo n+CoKkuZrZIorXC7NRK5sNH8v6K1H4m8ymF09gy94VbzB9JFrZ7mVQ9tuTYTIBvNfKPXsIvT1rB2 Ie7ebfUPe7qJN+Qx19EKRA87ef+2ltcsb+5Nilv/28Tdj9vLLl8+TcJ4u8X8qUAP26VjhdDx+WZB 0Kc81uod+IrDvHschSoyNqZdAv5ZnZQ0oehN9HuR+WaFeGAHSILHNzOvSit67M6aR0QO4unbbHI8 4ZRT9OZLl29Cbr3YO2PJMuwijvJONpWDztqcu9SpDAV0k/NZwoL3z6ZZX5EdXKh86SWTKzxwS/Zw 3LxJc2818aubuqsMjglDu3gXHvEAvcAfh8kgk+c2jm8sF1eCP+ps0iboDsMjMwmw3C1TFhSiHuaA C+L/X70DcsxkANpWPsU5TcF/1b5nLHN5wHyxIEo+VnaVBmkWIVOQSQQphl3lbc0BCyebGh2bJt0L t1xfur9N2BeY2aW4SoSC7tLeFAf8z/Hruk4zw1SAevsmuQndiN9JyoozuzH0GcU/Odo+vtBN7hKT cGPg/Uo14a5/IOts45lW3/SoEZ0kR2w4ljbTto2vg008 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DI9CvK24CZDgv60ww5OkEEAC/h48/DFLxAbP4dyJpfqAt71PEbV47RrWXkc0xXmY5NSLN+mQQTqA eXgY/fercw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GASEm0xSmlPctZwTXvpoEQN/9eJhQ1AGpGXXiTueqnzITI8rSM2AbKq431hSrAsltCOgNIqDOrT8 MhzoDIp4oiTdYrz7J1Td/CJmW/LP0AQkn3BDyCRc9WKT0uFYgNFtdhX81GMWi7cOvLLYEWEPVmqg G7yiI99gIdh/lJsPm60= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z6zE5Z3wXzno7jlTDhbpkW+T6NUzXwWGA1KaYiwTcrkPfARWQulUAywKFkjIO3ZTlmcSnqqhspmF sHKCeraWm6jKbAkCuDd5xe64Qb3vZ5Gi+iYDKB9XwZ3sXMeT/bvDS7i5YtOuig2BCNCr1bBeNsDo Y1JUWuRk8Ii29yyUrPvLvoS8VMVUzmshjCrjDOoncQDCJGvSLY+OrudUbh12vkdyK4+VQ7K9iP6m copAYGm2qfTuNXWBr15awpd79pWavGwM8mMpgnn4hlZgZZ/cyJHZEiv5agWToTMPyMKTeV8t/FXZ YPS0mMbmLv89I6k6sxRtnhrsA8DEisNVFZSjuA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pryyXHTPMxb+u8YEnq13WEDw+QruQPf4iDPxPaJFgivVYdYuzXTjK+Qs/Gn6iV2CqVSv1QHUefTi 953ix0o4wbOK0+0vq/CshLbp20nyljNx0KImfjG2EmgmSD3nUDXKaMPFs8saVU76CLB5jSKACphU 6CCZBj3e8qQJtwbm5F8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IGMWxE/I6qL20dzACKafXnXDs8rbk+2PfVkqj5krW2Ko6YhlfZbZi0qZRAQH8dkJx+4w0SSmLIDb fIdXPmK5y/kszUvr2dcRJBJ2AYfJ1N9mF3IMunWjIozl9hYE2jiQ1Sn3Fi6rAJCD7PqQFgtv50/I 6mQoamegpemSMAMQrtZl1gCUPTiou/0rUvSdeWl0EhORKdxOmZiOTzbpJ51wptHiIUhiLX5rtAVE QT1gG7zllQZKl57XViqRiW27lFiQdQBOo1aSkq8/4GcBDsyTuOxELbGEJIoA+JjsLaLLxyCh/+hK kEi4m9ovqaDqY/CUkQ8fjomaW/f7M4BGfOJMIw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 75216) `protect data_block /+TZlneZ8stWWHFm69mBXBQwJI84ZHJd5XtOYXmUvsg5NJrSEZTV0TmDWVaFUqgphxVSsP+4GgJJ 95LVPL1e9QWERyCHkLgc860HFp+diVuDClPVYh5gZKTWQV1lVdWwfL6AT50XySs+ULbgnx73Dk9y Quogf1v2wUyZZbXoEG6vgCotyN8rXWf9ZkoewnEDSXzfrWVIj3l5uKNFXryLT8Clusqtu+q6FpqY 3eAkPcgqUOVcZ4Bw4MPJu04wI9XueVMV4SGriUO/Ah6izkTfUch/y2XUywIE3y1AVqkHBGdjeg4V lE571LJ87ddUsKEaYzlXL+9lcCbPkaG+8AfZiwtC28c46Ct3ZyfQkJOFmqzBLFTVby3oTzEGVuNM 5MaAG8hzjhCJs7j3PQwsu9U7BNjtRR0sRMrWuMFFhtSxDPtCCrR5lzH2qe4GUpV4rokfKXVwpW3Y M/u5HRgVbg60A4bzql15solOext9Yyk0EOni2QzLsrfXDq6DcREuId1f/eVSYEUeCBKl2ltALA0r GW5uy9dGlB8vRmctpUHPfq42YMrOjJ0Hac4g+iMSW/JfS4iG3qIubW9o4R/c4L2fDeQHUK5zotKR o8kCVYmPMNSVkVCfwHZGZojRupaAJqhnOlzuHbi4VdlHnlxmHuygGVuNsZwh/RsjS3K7znR2IigY E26WpYUFd9Hr4/mw/2FgycTUFbYJ37DjKqWYRiW9s+WV6zALFM+UJJnFJ1GmvaMcE+apT6PgZif0 Sul/fPX671DMM5T9tO5V+ojDKae8RKnhCEX4LpSSOIkFhPUgzDecb1LRf1jAkHyNS4irGxABOlf1 j3rM7X4NfETFQ++sFWBeAsrPxP9j6JDru8//CTBsu2Mg482rEMSjResvoZBLUt1HQh0GvOX7pelw UuujQLJzv19Y/p648tqABN7s5vvKohMmqtl2t9PVvDegwzM1lubEyUmGQTeGOwBGa0nilXcie+pG DqCd6Yscek4QSxB0s3gv4QGHK02QEIoyHOAKuGIfVHlnVBTDGWX9kZNAuugOrEtw3UfALzX2zfUz akl9IV23LAz6K0r323gku5I+pT9BDQyGEePol6UqlHJ8qYfXWOq1Wg3FMrS51aN6P+7SCtLQYQyN sRerjFU3g1RvYOFGDJuNuDK51UDPID98jsMGJPUxdJ3s/u+92AGCQwTf5F0q6vNIxxWcOyfH4kVn bPIURuSq9sdNR/BIILGeM3aFbzVPCn46iebhiPvYLdgiaCAeumNJAqGF49cpC07lumBgrqAWzKTd AR52klZlQd3cLltiuKqYw/Er1jGXpMBwBX2AGEC5U2eFhHcxMG6UVqXYKQ98RNszCXwzYWSOOd6l rJ64trlsn+qKj27AXqFQxl1SN36EGn7kjvi91V6hPOKM/whwRSTG+kVql2IjGlfENVZLxaLAKZoc m1mGj/4GBqaKKrhlEzOCNvq2lt4oON91w1BqFmWcy5kioWjQqIC0rNdWVjGvlyWq7a9EvJxujHWc DQ09wxP5QeySQtURtD5vkY4wh3EEgccAujVLY6KJ/Fph2JDqttQpCzbgikqfF6eDsl2ZLWa9Nf0v v2mAf9IxtY6R8JwNYpUl4D29SXGE3o/AEEwxDaIV+Cw1vE14ztmLE3rjt9O6Q9nTbvFHlzyMsD0R T8p1pTH0XupxiK0q4Z9CVQ45G3aeadBfdhhyQ+Y3otEz2xCKghApq5kXK/fhtvckLGSSiVCEuXq2 hjDxxX+7wvs8aOvqh6EsB7pn8kUGRyMga2oe6T2F5WWoBsnLIhUaslffqTfxcqiUywHr5fEFAkU0 btFCJOnPbeqOluPCKea2o+WquF/0ktpP/LIhPW4MRRfMtbu8bQU1X0eUIXzrkeZga8du/hKIJXPA V+W8l2eCglFqB3Uksv/pZSoG9Lzeoe5Ri/JjciF2AcQpMVWXscThKduOoifIwCxn1jNVHD6IGEJs 5PqlwJ8izrExxBppcsX9RF+h8ytkJ/skdEvt2sQrfiit3Vm/Buk2oQ324F8tLzzYoUnhjAPQ7n+c gvqNPgt+YhFqhewL1+j0ZDNlRlzQqj1i3DSeA1i/lO0N/iwogs15uap7ZG0wY4GFUsmtYqbgg+4q 1cHt5LcMqqechALttmIOl4EgW5yqFbhktDofwLc3zAFKApdpy8IBYE5vc17UIwH5H8mJkNitE7au 3cgFz8DSqnx+vtzvWMtzgV/Uu4IjKPrdpiSlLDMRGh7LloYFbnXtbcZlG14VBzMrRCSOyr6sUuJ4 q/e5r5pFRXgyST/hTeGO84pjv7yn1UivaclFi+rJxsoj29Ppw64DvCzqQ+WAWntjUgtJqpI3uKgn u9FZoPaQVC5ssJNhAK4kTiNjzZbskASLtSAMt9N9IAfiTspN5RvzLm8hVz+pJuB4VIRHHXZfHLcI YU7Zs/71FTex1kvMi4NlNkb5r7u1rE5vVwLXC3aECh7+IT27yBUAeeO6NMBcLfCI8L3fYFIqCkIv 4bx9VxI30SV3y3VIkBSwY5j3vgiozBiZIrC3f8Ozqsm3KEtUg9EOzRpSsoBtsCU/2HV6sbInatnF kGK4fL5dQrDWwjaZ9ZaeDRx9aPNBLFOYwtq5f4H1F4pr/RfcN/Lm9Th/bJa0Ya3xRDSJTRb/pJSz 0oF+p+wQ7KP3RmJ4N9lsXTngVEGiBy80OpGCxQzV1CDmcG0ntKmkLmeWagTORDPhvX5dSyA+rRn4 XL4gKobNPBuT6b2r59AJ1HG44Z3fsmZLbrhUuMy0zkE/EBofMYAYtPUUIujDK+YF10xSI3oWWO+0 H2RTnrgGWt91uv08x9g52eUf3WlnXsrTNtblU/gHQSgWgabF7DN8BzUWyKeUkYWa245ZaQx6H94g SZBYt6u+u57EhxQoVOrmCWOIHzhJp2n+6HrQxPFzZKWBlcPQXeRI7zmEF99Ezr4MnVnRzq/tpStb G2PoJj+r8pNO8ktfa9m142vrbIpX9FfvuquQcnT6VnQwOZneA0Uo3kFQZiZYrCWsMS1QJc2aWsv1 +vG/nzCx8+eaFamzO9OKTaS22wf+z6XgWflOuIZSesBk5x79mDOOUFiaNSyACdXydOt/4NYwViA6 qIG4G+sP2EsRSKad+q5B6hCzTXz8ItTBb4txgUjohS1dTEDBjTQGmRg/kegZH+vEx5nIzK8IGxqY IqFRn4lU9sGnZr1fGcqobMevUT6yBTJ4wuXivtTKrL0VpnYAi5guMgKd75E/8t/kcFx8dmljDb+m PEObCFNLX5nj5Y9GdAhPdrQPgjhNG+J12rui18q9TnHz++rJP+dXfd8IyveiQPEMoQXFc9JmqC9H acMSzy735874UaKJ/+KfukKQpAmGu6rqes2RNldtOfmb0JKcZh5fdHsHlDMxXRqQq/XjZ0P0bNPI 1xh8Kz+9ljbSLBppCeRcpmocnL+IjN65+vVUdQhq2diV7lzg2TVWb9QQ3YvB3rgyvq9OGztNOKpZ I7MDvqn6yAv/RPhJiXgAil2KIWgyjclwsPkNgvi4dRfRAaBhFdwrwW+B/xO7WapP5F5+/pPEh90W H56957MuQYA/chrW1Aa5/5W3xJ8e+XwH7Y+viMg3zvxT7ZmnWjAO0QkblpoWel0OEwrXWOPYZHLU UbbJMh63jo87T4he5fj0t6Dk/mCytUAvMzlQ4O+8AdrmXppz3L3Dzta3xJS//wy9/FsWQiLipuIv d22QZJeXVRrqSnJ6b+i4TmCN2erhMwWcJ37myXpgl1DiM+tTOc5C2ivpuoEtdcLmZo7xaQioU0fH tpJJoZWiFnHK1Fd7JUIXSFl4Bu97HFEBMf0fY4fqtcN4Ua/rKZg5x3xCzdfmVN5Km0y9e1TDDq99 BkQIMy0pz/fMtChtUIR5qtynMhpVRpJ/NageeEdOrAaFKy675HVwP1BlTlKgBpAPEEidCA33hYlm RCoV6Kd8HqblMBt1ts7tNkbKSXlBeF/i/86cyXgoE5o8m3qFdejf+ktDzIdf0cm89yyQqUGyyRYM vAHPPPWJ2lXnhBdRwkV5XA2gF/IFOcpVuo7wW1LXeSlsN0jUsSM0CWDjTgRTQgL8sWi9b3YYh6LY VG47l4piulP/CifwM/Rn4uke36LY9F/3FO6zkDhlCgZS5Rm9GtTn9RZpve+S3u2oMLJyIqXJ+TWk mKRhTRFH9/Z5Ge8yfRuWFb7AjD03bJzxnr3702LZOT9k0TQFBPkQw0Ma/ExuXaV0NrFIWIV9y2XW AXDjrfEE6IR4NzrcI//HFHnzSDemQh9+5xj/IuCxtvVmIhBI+AxMAsEpl3+h1pGwTFcA8a7DP6wP vkusbH7XcbgcQOeyBf0Aef9vkU/MpKI4YkjlKf+FNXId7+pdyWoeFJJ9/fl6jUAMBs7Zh1juNEy4 mRovSjB/U1A28Y1l0zEwWRJXaC4nfVg8iaPvRbheH+tEYq8aePmMutjzJsoJW1YawkuJEPepOZEm qvKX3ZsoOmpcJwRlKfU87xAdpEijZbNAdj7x2roqrzWZzXNMYBL4qqf38/wTY+azkAJTReo/NREm BrvRIP9Q1pKaYLCoiKGlk8y47a7R0M7fPafUdNd263qfXCGvLaPVAn5ck4z178MltQ9+UcN3qGDj PmH33XV8NumuIqfSPZ9t+alg/wPTfbD0vDLfAWgHh34aRYTAiGhmX3gn05Edz297itPAKPA9gzwo WRD5+niqojflyow+CWVD5PGJrFjV0ZeYkZ1r/K4//5sOVkAXTtXqJBiwbOhqrJmqsl6DexLw2JcM 7Ti2eKRyrTQf2ALGDc52B4ZLdxRO4imrlyQZg7XKVmMy1t6Y3KrjsEDGfnEqYH+074vUIFMrvVU+ 1GUqqzkhKZuMnpUfHmq9320KsTHbKBfGz1T4/KTPetYJ3M4mqpAmt+2sJt9SDhu/TVkGmI9qcbZG 4pD1228WDtVkM5zOR9eGqJTGC2FrGvw2nRG7EDfT7Vb/20VRU3Q94gjJI3SxZD78wTD8CokPzRCo lQesSNAVOs34Vxg1fCI+gakfAKpXkCrNG5GPhWs+FJaZcimBJOkY1sUMkkHg8CSP7SDppwVItXKN xdHLcVhprxKj0Bij7Dn25c+ik8OlCa+eb1gxyPteFsRhWf7UQ5QhbWJOAWAb5lVc5l/mANZDuvvp gJ8k9PnNR76mSoW9T32scWQLSvumMmCllW1CQ6jpseGwn9v02TSw2MWCRUf3/EoUzU+yBJzUg4pb dOy8THFasWKqI4NsXh2CnNdfaGTkNLTraL6PDYpHTHPflU5i/vuJmnCHgluQ8lCLdZQfSw7BTMKv kk4lE/OqkvrFa+3sS0OEvUTXa9z1nQ33nFwvO186TsIz1JWyGMrZwSRz8OnvVF8A54Jt1U70Kwvu 6kjtmt29ugNtnvKhtBZ9LQrLGBs9GHtHCznY+TuuwiM++V+sI/tO79dyUCGuoonS4lGn51QxVv0t W8LN9o30WUl98QAy25CBIH7//uZDEXKmGkqJdAVaTTBgVTGIJjmcQ6PkK2hQ11RHWZ0kbYvthITu QUFmXWg8cFYcZ7SpyW5m5oHYvybLAx8ThhDzRLh63A+cJE1arGuiFYsK6Aq+GorCA3HqNVpSQ9sP ZBM2HFTyxB3xNpCeR+dDk3qU4mqLQKZLrmDL8b0N+7YL5mYTMYMHl4cXFgcSC6AJQI2sNyuGf6Ck KAqvssLbd9vCfq42ajU/ACe32rMiUZU8tND98Vddxlq66jPz6IJ2VwaqOtAB55lqxIF+oSNt2Rnl ko6vzbcDrjZGLxdFawuddQd5BpjOowU+nrGXr7BBIAtX44HI14L8nVZ+tpIZv9yopfoavzkDyZJB WzG5sfU1AsVfSgDJyFGiFVCbPHAc/o1sz6Z65yVZv2bWjlgFgnYZcML8e2pLtvq8WmmkqbKpSm6I XKihsJeX4OHnLtgSfHWXwfJVR6QtpxPRhHYFIJ8RRJXL+c03uyIRsnVc2EOL+/VYcwTfUAdxm1Yk cS73xFpx+53vicCD7mbs1H+4VyIiTwR89NsNiuVoxyG8veICI532enrEq2YvoltLEzysA+5qoM2A FRMM5g4MVodgF7XdUZT/n5EqK/5MdWdNJskjITBuJaDEmpYkYJkrG1p4lYOD2U/EozDUE3EEuFlT /VIYUhGNtyFeqERhPznWIgVeXHofTXirBWdQOz3EDnqWV5/UeZsQ4f92cv0tHEx3RoKgQwcydHhF TizoTDimueYydPZnxD0bkL6ZH02yvw5W5YanMNV7PIMwPmFcGtfxkh1q919q/9mSMravizexK26O W3/rjHZ0bXcj7u/CWY0fnG5/0o/S7KPFCCDlWA3HiNcef2G5mAthfS1BrN53IrqMwjQEtsua/kqW 04RXcQ7l44KrwMMRf8V4HBiT8K4c+k0qI5y0Sc+hW4bLT4Z4T8R/zn/Cf00rWOKOSMArnE4Y89nj TvfPi7JjKPA0RTO+DrQy8pfKHjixHkiUohCezHB5ChmO4uNlXJGd7S+C4IbKHgM2/qmq81DMRJJY TYKWBCec+zwVJK2S3/mMksY/iMai5kAimWcajN0tlZZsZuJg3xcRxGJiwVeLl+2vizjhdjyVQ5Sn 5S+RpJexPYngIUCIjMQZZeE3C67nzbp2TpBMVsiVx+Bn+F2e4ytJUQA8FsLdKrf6ZpiuJjMJQqbR mAcYt3J/tF/z0f1akMTng4wO+TLcpJETYDavlYNcz5puhgmRuzEzxiCiAyUsklKtTM+l4tnTSz3e BsrRhjUI4al+0n6k8t4UMjBJ2sNFQI1o4uGDnFx7L5X7a61cS6g/IF2IHm0AYkFKBYIvHDXEzZTY uPpUMkqWg6KU1QGZOv6IhjlaYwyWlrHZUZhoibTYXhxrAQadjfFfn0UJyGEYx0rnVif0vlr4kmqD 4JbnAl1sQgJA/5yzWxr3/a6no1iEhFOQeCyqRROOWbd609QMVwroSCivI1YjyEFwoXTlr9OvUOAg 4579cN7YPOvthWk9vP0GYnNFKoBizZvciht8zESOZjicZf4C4g2z1aBrIqQJsM/F8x48ZqMlMOK0 91jz4Bqw4CDbYd5WTNca5LIRWHmpRwiYe85rwH+ZFlJ1kqmXzJPofi7OMmeBwLfy5d5XeCfGbbCQ nucoxCvrlOlI1ao+yQwPd3ZzBk4E6o3HKhKunLyNgNtWlCm9JBXhsGpxdCueudzJBivhRcPE5lgh 8+TukvbJxuJ3zipj05ZxNvj214jeXaEKNlgKvmxZq81F5VYNbgLaJTC3i5rny8EK/f0QU242hh6r QVh0c50ipuiMdjJ8zi47uvPHACFMlsnzOjloxbq6+N/aeD70UQBFbrKFT3gjg62Nkk0LSlSa8la5 2qr43w0DIgJaS6yC29ugQdL/9R7OGoXjITA3HNLTvILfip0mdOBDocq8zf9gR6TqVrSOOLM/SrW9 jgc/IrOR2ycktHMvJ0yMWJ4LSXFDjSJXSWwfOkx2UD7scfdovAlAebfUnymmC1A2xFkXVnljxCNw HxLxNSuSR4bBkxd4YHUGFGW6CSZmwxO/gFiuNA4Xz5HUR5NKmS+50nsgrBBwc5ZsCt0UX6NdDL6I AMqH1kL7qQrAjxMYJPY4Pq0kbOSIbeUKV4bL7CIj7EiGpdixv3AjWSBZXb7+vrCNbhb4Y15O3O1w QAFMbvQfSDxt4zwEr6NWt3p2wpuLUSRY5uFNK9lmjcP7tZUDwX/W3XwaA3xGiwkc9G4cb4z/J5Hf LC34torsnMcyiE/NmOJdshCmVr/5ggm09DD8YYBNhkRJqy0n/h667iSwf6ww8D8ZaGKKQCZP7K4U e7U1rJnLKU7n3216UicFzz+X1f+7kqDVtXlc+/S2ldPu+30fcUD5tdPmdJzs+MXMDtBfkDRpuAPg 2gpRSOCzcf9IgomBgJcUg6dVrqRSK8SVFGbSEP1q5Aandt4ATt2gO3XJrzw1v74AUiTKczq7qn7u 2P8a0/BpQ/FYWWagH+1Ec/lClkJs0IkfzEz+E7a+GfGNiG89iOhSU1dqHUauCytt75SSQ0YMQ0Io 5o4ut591bf3QCPGgt9WqeiPlFurEpd+7L6UJkH8eSK57gOCCWRZtBMeRwcOdqCNPyEelqyfMfDvZ h38kZbm7mpur5tVCRvGUfF3dVvOJ9QdgPmn9Rl21Dm6AtnWR69X05GDvda+Oo9nGhnGqSUwLyZcW wrr2hOJwDib+PYN8N3nYd9W4H+/uIq1tihkBEU9LH7KlGGcDIrLbw+t9I/wmBVyfhddIqSNGc6GC ZtVdDKPam+uQlMMUcCFuhgfbLUM/VJJ6Br4rClCDrUwJaOE0ietwemsqoQgrUhK96USHEHXdlMxV LAdrd7WInKAVBbv0wNTAplhVDW0ok9TvhLdOSjRGuK/u5haIXYsCbnVnBEKwFoyzTYLla6R97zRL tRBmdWeBxIMe/54fEzV0iDznkeY80Fkomv8w6PD7n65OnVsi/8qkRwTaa1y8TBGm/R/bQW3heTIS Ce0YEYGpSOA4uEZd43gDeNleQiPo6kIQ9rT5h/0JrshFBGntrHgJTPsqI1a2ckO/Sr+w+fP/bLFl KzwAJe7/UHVyK0LrGxuE+14oerPFpE2O/tibmxck6twPTtcosnJmyQhInGWsdUXwG46W7YKXRyl5 roAVHJdwidFrcQIwjEnLhrJPAXDCwLSHH56w7vsPsTqDh5oYq6Lcuo0UfaKHDp8iLczt3bI+fTm4 YHursIzDpX12G9DmC9u0s/Rzmn/AsBnXdhVeAG6bx+z8rSkvUhphYjuO7nUuFw4UbSFpSJUGURLL VeTWglSocoA1hpPnHlwkwyZ5TGmTrMDWkJNVbpiAQnVmlZ9ydXIk2Vb5EuDjfa7qEnBhLdLuK/vS HyuMiPk0uG/cjODVm97wbB7gJDfCP+YsGu5qqAtewe/IdiFFGCkmRBsfFNrm5bX7oecU9ihEZ1cH /t1phaRbgGIss+6Bh+aITc6JivzXGJi0As6ZkzWtt4uHEFdcmANF3RnPGu7oGByrDjqH6OaFF/JR QNcNAzUDV9ZMxs/H5Jx1aQeVD6V6oUj2d9qd0gu5G/czntZDaLa96d2Cb0Ssm436GnfoSTis6gxM ebcKmdY3/kYCQvJCnGPVRGjGHbmob1+bqUEbhgarjXl63zIKWH2sVezszoVL5fOUZR6hMG1PPyV+ Co7i7dQSZRrgVMnOuYdYfpv5uSaLpYjpzFVkNQ2dIMI9zgdahYRzrZ0Z0RM1r+JRgQ7sNlrT7PVc cVYZGu2VEIbPzM7/AYaV1ziqDmvXtIaSX73SWjF/J3eRWHDKxOpqGRs3nNXADPe31KPNJyhcSF7x MyO6LW+YXhNzYMdVzWkxELToaJIkb4+qfPJIoUUvaa6fW14hT0xPI2+aHK+IcxbSgEy2OkGVcyYh PxBXPcopqsQWjXwK7BIl6mEQe3wB91qQBBtiLR/FvjmwtWV6tmsoGATfEemliPWHrwl5l73ccEEx JaiIU5/Wr55bnUoKoM9bvUzJIiDbPVtYZNcu2OqODUXfocIDgu4W9ZpUhEpr/pVuwHMmYkuV0WIU +jQCnKFKn42qsZg0e8oUO8ClzwTmNFaCivkYr7b5DHz1FQzDlz1YjxGDgd6QaVejpcEpVSOJW5TH 9z3H0JdS6X+aa63V689wIMzrgnvsK4Qd5UGSa8KiIFLXHxZl6bdmSuXYVwFJIgXDf9RltWAqsG/x eLjpH7XGFUxvNIwnJiSD2QUDRpcAtWlS6CRxZBzIOxlDkABmW6HXBOEmJiYWYLNnkoHNPjWPaE12 RooFmH2J1dxQ3MuTxBn06fvOGEN2er3AUCDAxoiToO7lpjCVdyhHPdQ4borX1lWJpKByZXLxdDyc zD9T5vcSrJWwhCGXPbGJz2E6m+nPgDI02i6dYl18fjBj18ZBfynVpECyIGvZf8m8AfZdGunW93Gm 1EtZhrcORkiNOyxPP7rflGv2IgAYiqD8XC2MeP9qLclCJaTfjZLvKekO8cds7p8iBMwBtNMBg1WB aEM86Eetp6qjt91w9ZJjUDiwwHLPhS2kFxhrNKWssXF3OGs/+OKfpSevsScgvzx9e/O+h0gw47A5 iHZ+axmL3LhjJQVwWbHqiWrO+W+K18fFc8YCqiw7z4Cb1u+xlYYn2CG8I+4wUaMZFJB5l/OWB3JL iSN+PO0EDZb0FsFGkkFr7u1B1DndELCL7qj9SbhzR3fGhSwsrz3IMZ87YIalHSAc/jNog82OF8Yr gyHHYAr8WjfbZd9974cj8dOWGNUaWlwFYPMUk4RCExQW6T6V13M/haqut8o16fmW6doSA7N7MBN8 O4gGktP2zg6rYlYSlYhGkWIlH791xhO0RQtMcjUiVcok4MkNl37fbSNl6AYYSb3Ay+Vd8mZz695W plMGGA+hgAW5zp1QPGIw5jO4jgyEhfpjHFblkL8U97n1+E8Qns6N3PbGu6WB/AGoAkgguuTZ4Rty lTzgoco1aDxtiZaxLwUVVX/GlkuJVfqGBwXhtUTR48hZ6EhuAABac5ZrBrHWzP/UR+X5CjXKg2T9 29AXYuN4UM5FWivddPLJRSV0u36O6IvaRTh86oxv239mrj7DcLRa6BwCcEyfl7V1TGtPZ+8NSeSX JEi0vDUk6VmYtLKn6WL7H8iwoj3gcs+bNLqdr+27Gs4pmp+Kvz7aUkuYXwZ73KHIAmNylil58LDM 8DGEA37lAWmLfjUpA34FLEN0U/+OaiwZLEJ9onXV+4BbrAWJKoAWkep6ZozS46W9QE0m+QIT9VyQ ElrgAdTrM+OXPBBaPWdO2R6/RS7dvjkuk31o4+XljrezdQkk+YcI8bBcHCvcR9RLBYQWgI1qOCmV KIW8kb1YaRd4ffyzdg4yJKBHr7ak3f887/QR+mKIRCeUUYpUr2KEz1jkeEPFRVrCxlJf9Sk7rhwt KZSntpt9WAVegr/ubFashjJI6f2Z6tR97XT0lNZoRp0LgV0oTkz5Xe0c6KS2R7pabgOHSXTQ8cIP 9fqq2bX1I9zjfDSKWwS81aoixMTvIKz5N5pC8462yDhzELsP3lehbOd0IvXMpqz+X4bNXIpqtOsL ohdSxYqU3Q9rzViN8dkgcJnonVHq7wCtfU5W0BuEflnmj+93QrB/mKVTZN6jTHJoOi4YAA2z8+9/ D3Rnf0CawfqK8cvLwCmyTIsz1BPot6FOwUBSnBlh4honSWbQ/8o15ZXqXFR4b2gEREV8YsinVH2Q jKwUQ2jFzoQt/CZlVL84H19LqlEApU9w8pG15s90h+WeFsnDpxTWeeBZ7MAe/wUGtFaz97ZfxiD6 DxxkDC7CgfjBsYpO+T0XHiwp/31klhHBjdWkJMfwulL8AKO/CiIKlznzAInldod0+TcQXVF+pcvZ +Rt8Qw9v0dusgdmyQjrH2dF6sJsvIjXcewyBylmsczQ5U9wEGOqvx3Sqs3pFQC3MnEY5kNlOLS6o J2TIG24HgsStjPDK2WtHDnP2y2eivMD6V8j4MzCeeqhbKB+XBsJ7m7IqJr0U1H1Y4bFHWhDoFOSG Y28yeocmze9zs79O2PtKrrQzwut0ZBg2VLb99iS9udl/l21l58QiaUFkoMS6+JK3gsKQfYXpPgZp 1dZFeD+SBzVMP57Qne07Wx9+Wp8a/ZaORQggFwsME1bXkXD2I2uiE8/L9TytZrr5YixInti06oFX 1dwJThWACCbPcQVXvQzINlvFa+h8C0JXRM3b3XQ4+4t8QQ4IiWzj3d3udBc1X8S11ZfxjUAYLywr jjavhTnC+n7MfbG6+Z0yssKtcLrgYzL/TuNp/fisVG8ULL8n+6jLzDCFflc/fsfBGNEkcKWaC3Da jo/1krlBwsqWxw8nhTAmdJN/7r2EJ0JVwOYOrlC7gXwK5uVkdMp/9EQ4D1L3ySr5wKfqtG4fD6QB 2d1uNu0XAXPH9Nld5lEr2LS3Qxwi+k5gmlPT6dyaOpBoeWhKbibhKfelOb6ngkCGfcwWVB1rLbEy hiQciXRsFvYOEt+CtipNvJzeZe4V0wgv2lT8+3oZ9324On0IICSsh2a4pfd/SVVMIH7NOya+TTPN Fyfm0Wu/c4nIy8Qd5rHhj30+GakaRak5syLbwKP7kP6quZvzFSNMXIOEzr0MzgYVSeeByCOJcAeW vfVcA89VTgGnwd4EbZQPmggxJ/QOWO6Mt9+It7Frmx55TEJap4thMHC0UnzuowaX/CItettNcFSU f6iQ5rISVCG7Q6RWLEuijKGCmvf7m6MFUPfEVlchsTsEp9H02UhX927SJHKhSYMShkk1DBCMZJ61 9A6EEnwQ/4P4OBy+N4FDuXAwgETprDKkY9B6VdDG25aECWkY6Fjs9x5FKC2Xlzdpj3TBL9RqRJts EKFUGnvUZy/NsrWjw6qRntBayYBk6RuUm14Q/i7pDSo5ap0vh8w7NtSnp2GwTwcot7BbdQzG9iHB ZAbrJNA8OOrsJp99Pip4S/y7NETWIzgZ7lbab26Xq+onbpd6iOeY5FQtdUVQu7EXJRUDcI2t/4Wf 7tA1l0cuO1Y+mEUQYoeXr3TRD/XyFYkDsEATdpXGu3/E8LqGXu/dXAmn3brAcQ3LSr/2JTS+HZdf h/NuZ3P36R7nXQcZFFfVbLsEXHXODqJjo/eacB1JHcxpIlXz8kVDMtJYM2nrPpuiPeujxMqRVhMv sWBwkY3MkCyl1t1gdapck91kKEzCg3ckEnzEzR0NEZ2+0rOeehxMAR3wjx1Wk2MkSc4Qg0zu8pNW k620M4AnKJI7/nlViwWqoZ7cHpyXz4E51s3AEQtHNymu0udSS3lem+U0/DtI6AZCVnOA+EcqUQhK LTYcFhk70ae2iILw2g/pK8Bpb0wZ7jR9ZELs/m04J4MNjggaCuJchR6ayL5q2X7gurLE/aFwEjdA ekzy8T24AnXu+mysNxNrcp+RJwr8mluz72ysCnrQjirkwn86zMuMMKYSKfQ26Sxt94CXTVNjzHn1 +awW+u4rF8/Tkilln89h73bdaA2qh1ej7+K7O+Jqu0q8nxWbZ4BMGeMNLkkuN3teZa+dIIDqDnA+ 6CswTGOTMHsAPUi8pVhGiZPH6PCZNj0yjnGMxVer5+7Vm9FSc3fOBeT7kdzD3L1sKmV8Y7OKT5Z5 uHw/dSaRwZYghq68KBmUhaWucn6xho1wkf1tW6Mt052Qe2CqOr6N3ci2neAJ+pszZJNShUa5CjPd dctDJyR0gg8jFhABF5UnQ/11sscyUuv77Yddy5tGU5CmwJBv5aErB9td/v24Tq1G+yVGFmNxNyGR gqy/eIVIxJkDhxTZDTY8OhemFaPH8GX5yIzxLKJVU13nVDqse987y06kamMZfS44C+2BwddB0XUF vlGZ8GsLNo7ThgX5h7DXY3KxP0y8NVKFH+rfDe7XMYDoRIhz5WhyIQkDw4H9YI9HA5I1Xzl0tI94 OXKm78CjNh/ZyO0voVsLG8y7lAYBylHX8DuwubTXEuzjF1hFtIGE0qldlQSa/ZqLkJ8iMU+C0Iug FCeDKShZ+T2CfPAWvaus/MN6X8gQZ1bluAgMd8967Cu7O7xrCCuNODLEKcKcDeh342kMUcB4pgeF ilS6w4FFm2b8gKq5pNFGzRwYgm14q4LxgBlaF7oHfIUgE8Loi5XWqspitndDFrF15GLGOfnNa3YO MjDThZS5VBUqtlEqr7vDYM3t1QnyCzE2VMCQz19QibyKXTl30gwFS8DftrbPPVdQxNvZ8YU75Mlq NkRVfDI4iF+9Wo11z58KxTQJGVNVP2XoNB/himw7qc2i7q/HbqWm2+C7+PD5/ZWZueJS9HGen3B+ aDdBnadqEZ6HKgK3r03Ff6KVQskuaVEZtsnstbsbdZF18mfZoyTEThOfDc3vuSh+fJuVC00ogpfh RpH6SLqEfk+hYS51X0lzCp0WNb58S5LOZCm58L7KJkzrvcPBiIdiLY7qZdRTgO4DrJAnU4Rz0tSC yho/5+18NWkQTmp1mWgfWMXB02aoCrIyKGBNCDlmd1gfOH7rdlelH/7Ip9UnumU/G58zhCnl5ce9 54YCORzPR1T0XfcEOV4c58AkB5hDkaUQuSacECbedINfu13ISVbBHjRjIcC5QAXBgBoYtKwEmcvR BarEhnSmCxof4uaFD6vW6WxbPb4gVkCpdx/JUWPhFU3GdPqOU/0BJ/XeEznYixK8Ix2hMBMnj+Cq aJAWhCfjHitVhUlCRO8nhDSUVznbZZZHOjYRF+i72qJYM0hRL/qx/625vTeFLNsd1y7/hIBkKUhE ME4yI/Mm4E5EbjCptDrRj0ChAz96N/AG6vlVrv4zsMKYMSPuuOBi7sdEcii3qa4NPGxjhJi1UG9Y zf7fsix4g25LBh0h0w/LONNirPbDn92EzmC/FcF2E6OqdeWSywyCMxA1sVKB05UO7+TNSAA3+sL8 yX5XGu+JS8+3a0clYlKjCh1svVZF/m18aZDaM60ww43S1a9koLgdKpUzFnrv0A74CNDI6+aTb4q3 8yV9oNr6mS3JSYdUhTo9evE5Op4WpWXfMdwIUB23k9Pv7L1Uh2/KL317K7ex2QW3MM5faI3XwRzW Ss8qFVAL4XuXrXvc9mccDpZ0ehM0YfwXFRTT68kRngREogGMNFD/9Y+JjyR90sR2h1c2SKkLCp9N KVMN95btWlTFO6qrWwUpdnGKMTsRwBXHe2laQWBmVnosV9RRBPGhlYHiXqGWZOMCkKpd3adUeqzq MGYrWEVVndd6KFc3kmFe57NeVWfJLtnx7m8Rpyb3IRVpmw9jMzPjoZVFyBZgZsk2yhBFDAHAbBqa FeCyWrtbn3ZMRyrYMh6UC7BlxIE2+oV7Zbr5XobrvDr/5nbE7HnjMLp8xI/h6mdJaKf5lxLK7EQd 4prk3rR+mf46YSh9LsPXnowIOaF4u5kyytbegUiMdmDiTh72B3ZmLx/Cx/mpEOs7MV5SXD4rsJDR 1f2o2aR5l7llM++z7b9UT21t6a9ymbHUBYImwsm0eqMZ2unNNx4x1msN00cvq5kcv6amu2lEtAOY wjQ6w/aYTpwkdeqzzSWxzMbuz+s5bSn2cRfPEuO8Hdp2JLDQ0q6E4O2WRA3g7uBU2K4Qaqadn0HJ JdNAESwYQl0P1BVuyIT6VeOPV1DCTvzoiuRkteDnn84rSk6SjT/Wn732hBBPyUGYdpptSbhZEDv5 VNQgYL3+binReht2dYS25Ndd6b+O35p39pBSXjRPML15ZnJim02k1V4/B9bYoJnKC4GuWXB3Qdxb nTBA1DfPSLe3gyoyXez7JA95EQO46kNBWmncZTjX+c596ptLarZ0FjVZnWBq5XC5WIex3fTlzFrB 0i3HktsEnanL738gH9KCj8oq/i6IJAIoqFoxoaBK8YmFxzPyLrL2DEZ2QO2rOV6fLNzj4pWy2/9L rXszoEjE44uyhZLYtiflOZg6J2EXdtPnOTzHk+qxya8kKFPaTZGZBbA921xP01ciMFKFS7TwKJNy D2UI1qxs4PlOAc1lh64H//O2HTQ9RxzwtZpP5axBWHiTHSdiVSem9u7WVz6XKxD8GyJOg75jI17a SwE2839eSEpKkDnjWZohcs8NJF1chEfCQAuc6CsXBR49ZuS0bSTNuknFe60nH2yvTeycFeU3elbi 9yAQuIFxFXo+SG02R9WWIjGjt+15Hrdolt4MbEspcKnbEvWIJcBjwHQyaRXtQmCWXHENpS9Rb7x+ JDfas2/Z/eIK2SCJdHDAuD6s04D1N/RfpuLNsmCyVtMM0pUFtRMNekGAAbdG1mfrrpMDIo1FSMMF rI4wELERaZyZEnBsKCJiA+G0rHAd+5f7Hq67DL5koQiXVZ1Jn5zNueBQZL8Uxlz/2mL9qwAh4a9q mkldEMNdCirNUUPUXMnn556MbLSQU++Qx3u1r+2rj+CZ2QmbmjqvSr7cIMhPxRxTxTkvvXEvfWyB Vc5M9dJWevLOL0+yZIH1YTG8ixvbe6p2eRD0gZ3a1X/m7Ip/ypoLtSgfKK31r5mzOfEoOpC2bkpZ j9Y7og4Dhi2U7WZPQxRnVla1DSmeuz405KQQ2GAz+e/75hPgERBROBZbq9nQW/ytpFMpZHQgk7SG BrddUnt7j+GFKQY8pkx3UlRZOn4wKX8D5OcxjIvhqWJp0V/gsvdftnjJY0xb5Xoke7yyX2mxX6qu KGHo6jmziheC3exApjNxJfzaS5YTyeUkGkTapqcL+6jpkcox+5q+x4ifEFDtiLEF8b+E375h05jq YIW1ZJFGfxYLgXQolwglnmUNVwpwHFMA+PuLAhzr0PbZ3Wnjizkj12wqG0mNiHXvIa2QzYIVE7OW gBV9NrVzZTwmD/kZM81ljE5cwfP/WhY9nAPsEY+o/Ou/psI3G8lBL/M+JFENy9/ZwMMcDO1I+eFZ YhwkZnnRVxuch34FHK4s2bcAtieHR7oNtHApKGmnNDjo3/HOd8nIojpKm8YSQygah0tU2bbGUHXp PjH+e32F6dywQbEGnntfJIjjZZTDHtUHWxCLrmVeKsIyvjQNQCerzyVJFrrQz2EQou6YVQ4XssKe Vo0f1js9I8G31rLMGe9cgrqkqc37t7FfMkAovB3ionkwm0yZHBNK7v24u0FeT7DYsWpWgiBrPx3a gL+qilmsklUh3RfftCCqPeKF10uptzh88YkhD1KUynRSf7DU3tSAbo+pBa0bRdbrPbWkT1RNNHSp abyCJXlmjWZxOlteSWHqYgcLQQl/lFRm2OYklJ/UtwNtCJMpRJPOqQkj17H0mtNC47sz9zrQ4zOA IBgl99qKM8DEP7LGvSpsESntj1UYo4eNI6/QvDSJnJPNOwQKwso1RLF/TSwQhlWc+fv3uqUum9R5 FwMB4d/BO3M1oiIwKtqFV/PNAjk0OfD5Oz2l2bhLFFtxQiIJA+qQlL+slkKuy0+ywtz57eH+eqTo kNLHa+XA9qBcAFXdrksLvWljbFpTP/mwGZ5UF/zFxxkYm1cdeK2vo3mxuuGqDmlLx3srtCAP++aO C2VLDqqFXPa39Qt4TnRasjw9NbMrZjW+sTDzbMmR9T3GDcEVTVWLinkTGa0RLvgv+NbzcKR2j7Kg /vcDgbM9pi5n1JGB1M0z0c0c1KFCDAJT9KKAhINVCeLqHQC02Or/uQRJfnvzfy+61CJZ5jHW6tJ+ F6DFEBEGUy8istOeklvhps2nTuOTjzsK7gH6cVf+ElN9Gx1kfwC+9Tp522YDgnAR1xREg2bkkwH/ dTYS5eiAO0zfnCk3dlwnBRj3ZeG1HILz6Rtovrre5bw0kv+dC0HC0Lc+KEpMkWV2mCspv3i7piGI krN/N17/WAGwOKSyWvM2iiSjfmuHto620/IMIZqirW5i8LQnN0Gak3qaTsSU19YidTCJC2/jgQWf dHaqkXdtVPFfF7poESiCqcB6bbz4hZKW8fq5d5zdLRUGgi8aBoSLucYlyn0xYQxJacakLg1Rhs2Q KZJiJfDJIMCvax6+/kChDP0ldOZ3jYrzhHE0eQe7+Efisd4Bmeg0FzAUWnHyaENZ/4ufoW6E2Vsp uNle3fXNhRsnfVZ4cW+K8TEvYe62X3YuLHvlEXaXvGBNtdy1r5Ex3+WFXdlKkzAESCWyICF8NDI2 tDEcLi8TREoI0h859R0TafDLqr4qQ1EZRkMvnNcwwGxMZ1OP+gLcJyVZfdlD8m2SgJhBbGEZL3Z2 e+WvfHTKtIrmXs9Ot+AzFfonbQ8UqlX7yNavyqVmvsXOU272e3EMGWmgTe6SmgVI00+WyOJaJ/4G 4tbqWVXT/irFUq4Wps7O0nc3586XJVyN0/L/+Fwp8K1pFnsPwZaM739TMu2l3hFCHgzu7VC2Lbpu MJ1woyii95BSZN/7pKcXmPq81moWofRKddUAC1dszfA2QCpIaW/r3svUf/0hac4N/qsia32qgUsf n8V1qHfho2k27+grrqMeiEWYv4pIUjpBD9/j9pBDVltb/OXFt1im8WECyKX41v9ZVQ2SNaDs9KpL giTO5gB1uGhcEJ12pmec09vBarYfQhlljUiLJ4oVIFK3nSpFGPDacvcg+Fvpvmp/QKUqPTv2ZGHE SC+SDutAefRPOokGMmygb7uhnp40ohzMGOOs4MnptV73g638D9dzqwA5WA28zxX4WM1pS2k6DhHL Viyh/dW+ncXZgR/EOYd/SaK/cohyyO8JAQxEZKnrMVUg604LGYvcJx+xJ6Iq0Nbcln3ColZtvTnV SLdm7ujGdm7pQq3B1Mlyeko9J5DMrYuxcVjFw95tpsifU0mYasMDLh8r6vt9mh3OL9RjJyfcEzOq ChGc1TWeyyU4PlIY3vai50OGr6eC9lbDFal279ExpGgmGHpYucgkSDegobHjxJynWpYFpG+eKG2A S0zOCXNz/PSrap8+8BAe0aEFW5xWlK+nIDNzsZvCbZxvodOPCQMhFrhpf0+3lsCiKRVF50oZoZbk W2fUnp/MWw1b8vsvsVwt1hiXtGoRWe/vzKgI15DDfePVW4YwdvCWtsa9L9ft+T0TzxOxukd/5l2F gqqqTgeBQ0/NxJ1+SqBKjSxI3mgfHgSfoH6ZwS9+Nvn4RNHZnntYjOROSfgur97lCYi/UEwscKMU idymxl5UBoQOQR4a0GP81tyK5iN3MDmPdYRDLpyvKlC70sI3SkD8bQ+y3etT1oYkYRPCTHMRKb+B tZg5rLlG0k9QdHIVUwvKAHLigdey9caJISs/4BGNEBbbLDy0WvVlCoYdO5o6Vpq/DYbje0Hq6Zcn SW6esG34en7Uu+rt9pkVZCekAEMcKsqKympdSKts7EtenO3eH2/mYpmz5lVpMz+ocOEdKJESwJDC XieBQVel1x8O0qN7Q3oJfBj67tN2gcQZ4dvMYYTTrGRv0MnbKM4vH5U1VgKj6y5WmlJ/JXBXtOPg j0YLZnd33bvG7dqiPKPoAV/FXBHo3S+9C5ffuaiQ7Q8s0KbT56qDA9CGl/TFjW3AoYpEOQHaJt+Y ErXskJoxyPg/vmbfsYtkkatUBestkzBy2w1R9kI4wHffelhD1PorBhWXEeJt8ZWaU4l8Gt0yFMlG xIZ6OZvQk0n/OPwNPJOCryiYRWU3vSaQHCRofXetzP+pHsJeA2V+wGMmB9/49hnGg5S457Y/f0i8 MbMWlC/D92EVUmBesgaanszrP7Ux6447jevkXAXJCq/y5PFYVAv1lDLPoRwvAbOOC1vrNEcraQK5 zV89wLmf/hrKnM9dvQ8FROUXPg2bNDK3Rl8+tEeMDuR4vVwvAzNMEkwBRSIRn3ugt5Aqd7ZW/1P0 n+UiWyKBETeAwNt09Xdou4TJL1KF8mdJKtAdBADVZ2bF36s5hzJWyjGWLHJYG/4n1O6RGPtVzBqz wXs3V63y0n3OPkKmJKUQfwWH39Kf8MecCQ/vDlqIvIS60gMETiMySwYZwzD0r3Z13uxAVbJNzb3P 3IvfBBmGfvbjhTHifjEMJHvupoQ4GoW9Ggmi73u4tpLjKeTWtuL7vzhKdIa7I3+09sNk5H0VQIN1 0RQAXy426vd/nj+yRbkw7WmOOAJ5fl19iQcHWhMxgMay83MbqKN51Kx/Hdsih9hx7hq/+WcHUEPn sgwngcEmxs/HrH22kwjpLOnS+uofz5kpIo1XyJA/7Cf9TyldcGVOitVTLClkxmKcwjM2RYqw06lS UE2BaszTXyi1G4G+dtV4l624jfV8gOd24ToQS8DmNAVGuoZdWia2DrK8owK5CuiDix+hbG3Ne3+v ggePArHsYxrg03hCpd/2VePwXSnZmqO3ZP3nhYk9l7joYaQiTUXoj7Rt5ZMYg7kioqezXpSbo31E Nu8KApPzvPUUt2x/azmu5uCAr/l67euOhDaKdpzZ7Jc/1fgVEEraebYY7N7o6tikKSjaMstt0kxy auDIeds9iO4huShN6I0vb58vRhA6g9LWZmyw9qwNJg2g7RXFgediazTeDue+HgPzLsOXzoPQaXf6 XIOR03hxIO/2S1O6eL+XXZlGeycRpilZlYInHa44sZ5zOsbsHmXfFtVgW0RvxcHrouQPQR+OsxY0 ZBHd4eb7q4RYtdMzPu2CWAUb7C84PGMRezK94jX2yI8Z71N9pXxifX+NMFxpxMU9EW/UCrsxrkTo okih4YSt0a3tFXD5GVaIVcxOVp09VxSIxStrKID7gnoWyMFXG7MSPYG1EKSqSSAlLZfW14KZlqPW 2B0VJwrE6p/L/O1GzpR+xCHEbpABU0xCqOp3DtTqZWOZd1IIcvvx64teBanr7CB0U8S6Ls908elP k76xXXsAFrYntTUF0La58Yr5kPM/83yd8akIb4mGSZMKPs8RoWvd/oQXUk+hp4tLmlJ0mb3XnDqq i1oThbpDJdcOImElqmNouPvEUQ+R12mKE51FsnAQ0brLNi5n98UImm6GZBwKpD2QqCMURLJGp7wT IwMMYypYAr5mgbVkpBqbAuIK1ggJUVC1AIlJ3Fp4yOfotGiyDc5u3igjprkeDt4TMfEa8tq/kMkZ z9MSxlT5UfJzfBSUtnGGaK0jLkb+lQ5AxmgDXO4VxY4Aut8jUOv/AygcHVHE5VgEjLDXE6/rsLWz BhcCU+UsuTD6hBYT67XvYbU86WPqd/SuR1U1/Yhau+GuYmIXALpRnLnWr8Te4RAHA36fuJlicT3T Ze6ZaWeiy8H9XqKUPLLtHOMrU3jFbAnGUyGGDjH+rBBk8jMB/eqg+++bIx0bpKwcJq7uOsWFag3e wStstrAPtP4MoCreNU4+nkfDZz3y/bkMLY0FhFi7Yd4PFLNibwmBS24AaWIh30oUj3X5LsRwUE3a 9z5d7nwGaHHVFYwJXWSrxpxFO+bXWNzzgurvWACX5K7ul4kSzylgFJDet5KrJJ1QogqHa2My6aBz 6lCrE8rTvkRmKXWgpimYhlbYK3DU4fbu0dW4FiLfrcSZDLdwiI8KdJJkZY6Jk3KlsxFAQ/5mZxbB YC43HaFJWusAurbNMRbRNogZPW7r93Z6yANSNQ+yDgSr1uDE5UEIs+CKxjSaib8DoFRV7/NCiTsO wEmRzCiIMTYv+oR7xRl7KH0p7PQ7+Lrxe+mXcdBYRqJKh6g6kqT3Lm+/biPkt3nzZTQ1/yVRAJhA 2LuA8RhI8Ja9S2/q6qGDqhHE3qhcazclHB5jdsG8eMVIUqmRVYoJDmkw9Ng7u3YYQEzfEA0+e3ff pmBJiIdAnXFXI/0T0iQ4cx7kTcjiwrWS4nHCoBKr50RsA43b186JIQ1HMDIQqJAbKWr5DGPC8JmI RXFSWw5joZ/GY9FV9AVb8OfShNUsoVjc480bNg+y/ihv//NOCa5xryWsVqGtQ+UxcTet1xJWyYnE bjmt7LdYfMrc7QpyAjuoMBTW8RiS7wGO1izWlAOzRpvhhmoYInrkRdQrOJKRXM99X1pCUBLba1jh hLyHKubmYtI9BNDGhKLW/klW7JFSvli+53DcBhF+JzrXwhjMQSmEJBilJ4hhm5tBwDLSCmLwK14J DkhQ5YC6IyPZ5zcjuGIDfvuBJEdbgwHfE82EXf4El6/fDrL8abGaF7luJqPfCD4m+bLG5oWRyPl3 g7bGXbNYhHEEMgAoLyxu0OvKF+0lD1j2cwduc5ck0tHSkdyKkaXvnKqNm/eo97jND6DPu8RrtThB Q6af32DO+HOYzqvuIuX5GP+VLTvKV2kvHUqvJ/Fftb26OBaaJJRViGUdg1b+uxVj9Q8vcL1woWTf Ey+oYx5PYFfptPc/fsx2DS0R5BnyGSBcKDX8Ejtzol6UI1frlJ0bS75mfl4zdmYsQSKHUmihhK76 ZEzbvJVQkrSGsnndyY5YZjVxjZMncEoBpiDBRADErnKl9fnOshp9FDbdflH6hISce1GwR5zoKAIg /kIMkqiN00EHqQcweN3s5sH9VbZVLDpCqe3lUemPCBnLcl87QOujwXfbf3nVSLr9vtcGKpZqnOFg 0RF18nNkufp4pZP82pYjFMMYZhZT86UBJmgNnJxfJqKlEnrjdJzPH/GgO68Fukm4XXNnJZCJivr5 RbnXXzZABD+vxGfI0PGqAxhRm4XdCscl1YSNxU0f/syM8p5DHeYmvYtMwGts9wp72tjehb2CxYjv NcFQCso5H2YrxyDWF4WB41b2EPAAw/ijAkQ9vIBM0+9z1lZxIzUVhFvZuqW5M5vDcbUMGDbP+UEu 7AEjcxI0e3H5RURBJb+ftIXe3ODR2DFmoR32o9j+SLk6dmG3hygp76BuUicMvIcjpAvy7Nqy2iZD 9BaA5AIHPHXVjuT6zHw7e7bwFDcBwjFHI3rRHDqZJPhlVOsYRn+Nl3KhZJHJ49shzsCHi8ey1I0/ xJ46eFnbASDgA/U1IgAfx6lX1o2X7hbPl+41k08keBNrir9qc6vp5bhQrgzKSa2CIRjgfHTzL3je SlcK6auAmCHVCKQGfRlU6JSzD/b3CNu5ZvxoutfE/qDmFxiP1C30KgUJoyCgBY5FBUlTCpTgY8q+ 6rdLLWAaV+1sp9n6zuKv95Jx5c18439IarhcJi8v7yR89bDuf/ihqNKrtjE51kU5EsrTxvQcoHOR hz9ZcFI/ClfpZk+WU9FkLxaFrQr583TjmoU7kV+OQmXy2DoZOXUPNRmGlJGOgUA1vDHFTNmcVWQt POSK5F1yuO2m6t+wOnHcIIDc/FVYDr8ISkdXv0UpH0gxj9SHxmbupS36H8SlQSzIz5WmDp1yuC5D LzrCVg5STClwpyWD2sS+iHZuuB3E98rZNHZYTd2TvOHb1BN4dPcOmnsqTJSdZi6leSvNn01oSPHI XCaU1u47XC4xjLIe7AMLg4rsbgFMgiFxk6OdgZMOzm4PIAYImZ/u+B7OK6hvKJ+YmeWCEw2L6ONA SpzrJcsWJ+EjEq9/jIp73PDX/JzrRPVhS5NoIcqh7Bx6X7cU+tTXNQ2rSJBSy1iPOEsQ8TmuSaUr Mtj29m0EkqrhkrKaOUEIY56ORzf21pecumaSJMpFeRlYR+5Lr8KgRQs4zItr9G8Eub4BSPiPfJ1b 5NMqH24bPAqnfGtA2GqUx9fMRkqSr5Kwk63rBXCnmQ//ah5haTa6EF2BD9vzl6/Wk/YHlP/GC+B8 H5H4neArwzE4KVSJsyCfEzVyCH/C6i+r3dmsgEgrnSMU/H/SQ8C+vw3kvePcoJCWTZ3U0jp7DE92 hWreFy8ZjEuZBogdYXybFKYQlcXZII4XjuGS2zgPICA/xVRzRdqWoty+tj7Aw4jcOC8cHh0NqLU9 CRMOw/UMoNa+FA0gZbM9oPkWbZQiIKS5Arzi7kXfEHzrl2Bo6exnMkeoszLiCxzpqRJ5HnWNTIbw h1dGIwImbv+hhn3HjyNQfh82rkGLk4f2dQ2izOHOTaTpm98XDJQZ98BjilXOfpkWpJAAAfUBLuGf daeiPANoUuBy0Qc1RW/AJjCVhJoEH7hqewC4ULOI/t4LDJrlHk+zVivcfP1bBNCxiOZ9PxTzDjRU T3YHGf6QgPSsQbRG1/i+UMBZn/s60U9Sl5AuOtAHmz9Bwrq/5ovgW18DKvC/HjzCFI/Y56P60ep/ ZU9nnouSS/FiHAEI4Tf8KcqdpAx75FjbX9bl2iKUhe8vJvGyfvFocCn/V+LkZNrWh6OKUlHCnTsy uXy7HQW3HmqgdkjIYYjgQFi4+D5F+iFCwSY84PbndNmE5sYN+jKtK3vElToRhjrAScg/5usguTaT UHXNWUFm7a3kzoLL4vOf/IC4JM17coPL9bJuxUPOLb9ObczEvfwxGD5B8z1JEFLBh5DQDsRsqkV2 hNQ8dhJoaqswZaZylkSAn3aGCXbJUkTZoiPWcYdrA9Y2rmQMIn5q+ayrnZiXGFqWoBSUOynSYgAa msGkRQQU1PWnFBBj5DeAikbIcKI3zgp8eRH9QjAV+6ugKLLwOULfRGN8bqVnYkQkHsUU/TJFCkSQ HgYhHFtS7rBapeWXd0AtMWIl/rN+A5jFAt1A8hyppC4TyFBPkt3XG+q5kxDtOkWQ4fL7+Kw9qlyv jOJf1uw2A1VMpCG0zgbQyG/p1dhOhPFthaWuAd8V82ZmcVnNcmXWuJET5Ml+n8tCEOu17ciGVyrt 7f8gyxf/iDJRdOFbvtnhFSB4tDfyq4r17oPf+sO9zDNLW+AwqYxP7xHIz5gEr6i6HNOi0vPeER+T 0GhJNXFzGRnw5sGpAql6XgVvG40IV8HGLBNj39UdW75nbMasXt1m+t6H4Bf/mVZx0/lCuhOx9vGA Pq/6hhSXw8T56Bk63SAmAmclYiAasezUAKsUPCx4foeceKI1sB8qZZuM49HpBTv5vRvIhoHRpmf4 mbWcPx42NBdkp07w7AdPk84mF6OvJUzeyZrVbyRY2he7wmYnLLjRUQbx4YaZUFZvfOH4R8jPR4nn JhI0LPeZbYDZ0vooHjFGUUHKEZr5i/6dSq4e8/+zJrKU08RjrhYZ/iXM43C86wADCWqcQzaeK6Eg FvozO/WVW5l+RlqbYK4qYaIOfyEZKrccqOLIFH9z2oiEr55QpPV53nxSExH9qhZi42P8ZpHtmNcO 73IyaRlS91M+yiSeE4h6lbkQLmooAf8S/jIKd97Z0QxLjuU191yi/C42atjaOj2WC8VzgG/zcvzh p7YQyzGCCDxPxMoFM2ut4qbG2PHkMgc6wd3+uZnuRFxtzAg0gvdvk7xJdTXYNNGON5OMWBjlzFfk HNc6NsOHuf8bPgcfEes0SX3G9EILO4/Y0FSWdTXRahgTkNCjA6x+b+pL/oOyE0dnqRfFbHrajLU2 R87jRHmTZYrsiLTx/cIsZ5MozNkoqEZlybFqUN942UdrYYkAncxsMKmr6k9VmYGjgv4WS2VqU6x1 dqPYrVzUi2OGnRfFz/jMhNh0q6oZ3x25uIswZE+f4Sm7fSjBmVbjfVxUmhV+YucGHQDPmMJJkEZJ GUqXL9fC43BOtZ5wxt/jrbDDzFEicfDlKNreKEGZXLHFK6nhDS1kN4YBc3pkbDzkL3Lc2EE2/raI BF2/3sqUWTp4Piw9gu+tyXytTOJjkyTe0xpm8Ync8pgUKnrd9WmDfjw6ItJe8xQP3xU8sMLHVreW PPa24MuoritryPQh2AKcdnyX3O0ZzTq0D9sf3lvp0eaEUXlrjl4aqCSDWvVN/YxcysE5E4hjqg1R va0me+NWYGIsdzWDOK0RHlTTpQAVhYEZSEGZHsAWRd8tKzlUG+MGPXBMssN/tG4lk0Ssb02Updao pNXCvSEeFi6qDNqp/1F9gSu3yYLVAcZtXtHvJlRPGIxg8LLFiBKSGOPMoeeVV6C5OrGbXNvVuvJE qQ9X7x2GurzzHy+Id2WFCBSVDdDyphjwIoOgzr8PuzbHHvRPlggpsbbe5PBlugRCDPLgcG9PmkR+ Q8Tmo+ZEweqKOZYrJU56TtiJ44X/06dP0BSz46bOXeCejwSfg9LFKa2Xfs3eRgtszj/fKu9+UE0N cmuPUztHfbMYe1f3ocFTHTm7uJj2LnAyrIvebBRqpdIn2IaKWKJJmUXbVT27SNLV2X7kEl5NP84Q YeMxCMj/KxqS6oTR++L1BF7kX1RB5UausoPc9n2ATcD5INsgBW4a8m9zGxTE6+WBxprcdgi+xMf/ vhNkmT7HPLCjacHnNGJ8XGR+UhxSReUHIfRjuwkZIQSE7Ksw3tYIVvs+iiEHs6FYw9w5K0CdVj7q sx/toV6elk1aWeKLrqKZiVwkSr2TfI5aIJM9nMLKZkrr5Hs3pgiVY9RbEPfdFEq4FpOhv8GJQ8wF nrJZQ7IwwYJaJAnL0iq6DlqcqLAi9uS6UkpT7Bz5kHbSTAS5WVYtFnfYWjC0ICh/UyTlJye0cixz DqG1T9arE+Va9D8oWdvA6PhXeqifekK3ML0sVYKS4ZZjrs1i+sHr5a4XHiDu7FuujAkvUA2C7tMT HhwtcMF1fzpDuxyLJPjj78zc0HxD7NBSzY4uNhoLDJ1L76XNUiTcWP6CsWarwfF/IKY+11T2qOtF YitQrFjiIup3lEFzGoFI8gUTrAPbLxjZd1Jye1tH/cHOjJ43Inu2LJaGrmh9+ErkooAsS/KbgWDF T64G8bqsXKWp+kngArtLCrnkpEHDj4qgrccg8ny3nsg40NVSSzE60z4UldX06b8q7lNrILEICVa2 0RuWqrPzdGgLVNgmwJCWeZ8lTCGQaRFGmPTBNbY5x673CLiJ4ueB9iTPv48GJKqRngm2u9Wyh+OW IzeGLIe/ZA+SKWDvVEavAvKiFl9aivMcbayPF+SqDCzlJE9nWU22FadtvxX5MWxppjLAjt/QWsX4 Tbtuwfmxy1xexi0HmsXv90EM1qW9GZk3CbgebxsB7jJUN0xVRB74nE9H48r030EkOYVRQIMfHJbF cu1VcJusJQNQf3NYS6fUfDorMaoAfLzIbaxD87Vy8GCK/s4TuqLaDLxZPOhxHq9XZk9w7nEWJfzS R5Lx9CFWzVAwmQVdyXmouHZL8sFrNTDCpS9f0SmnAtZvc3Pd1QzfN1fOaNQX6cY4y0Sa6RdcsyIV DLVQWJgD/YpSJenJLskPMiAPvJd29raIOy9u7xWEWK5LfgkPduWySTmyTglSbIBvs+h1gp7lXrf2 fmtPu1RjZWBTbMq7rZwjs8RMxUO6b6kqvC7aDGq7VutA0YnHHF9cepct57Kx/w1hjBLicl7o2rCl MnoEh4KEnz9t7Sv0vJE7z6QwOw3vhRLuPwCZ9rpLsmiDxVt8Zm7GlK0mr2cuyx7zknK363ekWXTJ Typb3JLAD7wgwmQ0JbVLRVXpcBAwtq/U7WWpBQXR7pF5gQNo9qop0yevVWtXDQvxq+UldmA534Q5 FI6vcj0uHmwUi86+lNAeR7iIf7TbfBMGKFYY5kPjw23oI6EjIaeWYUf8nCOUFN8H5PSRtrk15eCt xrbhmJeaeRSNOM4RbkN7Jpo8rLrqL6sUSQKJxLJZBogI0e/hrkLTrSiT5pwgfYuLrVmXuvnHQnK3 ULDkXHLWxNJMsxabY2Nz7uVrjqj+wu6sgZ9Cny8B8/OOGLHXSNcU+8ZC/O6spfAANqx3tQUSEgaA 0xW0vDkEmGz5zU6zCDF7gmTyGXC1fUHRzteGCv1Ui5JvpbmPd+BXSKUyOO2KzelCmSI2boJ95w0v RL6pBPiUN8K0EWREF+pAFF9ZsLk3nIyOLloGoA3dwKCSvOPzNnBAicksbVXlz47/Rg/R4NHfG4vZ 3ZrllnxBvckRAJRwLJJlMBUfh2w/wXm2i2Q1v5EOXkH28T5fES1rPJGNk/o1Y2T6a9W/NAhuS9iy r8qUZ2VGPi48frXGVAKt1UJwyk4UTmy6w25P7o03A6Zhgev2H7T+V9ap8a5e6qy6Q2nbnnJFjz/M mGFHbKOoK5PtfmedrK7PFHUH79zv3iuGH/jNeMinIRiMfBz926lj3hlOCTRPnGibvohTVdvQZqdN DNIWHYz1sNHDJ7+Ndbb2ueb5ppGFP6vEPAVQPhg9n47lcwv9xy1lMdYfkBeq/0poPf8KEAbpiEkX KAcFV2CSWmldODCTq8Wg5aEfEBE+bPVSkAI87drd9CISEy72keiiVPIJeMYBDsQvdgC8qkOEcdp4 DCQvB91KAREdaxuTWctm+0fmTm3jI3dru4CYjz8mXR3KGN2bkdsWSya+iWkG+OIo1Uv6qWI5sVVH BTJ2GiKme7uE3plTR/t9w8D51giAT4L6uT0hBSgvMKsGtSQiWYoxdadiATtB2IsD4L5AX123FgLv qmdAZZ/3DQBdEXY03WL9DjLQniD45kvVXscBXkRKuniHp2rVR4h4OmaPO0IBD6vsDHUF+0Wm3Wnw sx3Zj5MBYJcNBlDSSbfhQ42jC+if+pO2pdJy5IeFH5Xam7K9u7AnWorjmRxmJPXWCHS9/LhuPVgD Mju6Uu3i55m363j1YpKBRki5kTrCSM17w/HUWKSX1MHX3OBv5O9J1dnIcO4D5lqmO3aCsFWBTm92 nCsRPVVSVfOCRjUJZEuiB94Cq9clSMJQisPnJiZYoqHWQgUtRcMMmfFji7n20Iy2Sj9qXSZ3h1vS HUnTuqqCKXLyKxHS1ymw0bWoiQMQ1d8MDp5bSVEJ2ln/iOOxDwRA5/wKKO4qGpV276iKH+GTxLxb 1SdAJBsOHD0Pci+NuCAowSK5PELN16NYw3FrQDA/MToNDzUrDFxuO0xBNBVXHheJmG+aV2Gp4kS5 2UcFwTJw2MOkCva5Kf+K2AbE1CAb2FwesOAbiLsOhPvwQm5Yj+PKYSJj0nZMNDlPqyfoBxnCucYL 7rCqu1C0lcEaLazQODVGz4AVxAU6w5r0pKHmrsBBEJ2mZcBTg5ZtCN3iLhqnAN7x3vqOLJJcrIkQ 84FJeRF/gYwviQvFRRE4f0M4P0Cnft71si1BhROHWrh2VezyxGlsOXMy4OgCZ5jwHy7v5ZRuxYRt N+jMr1HlGsvXhX7uM2XxUnaPB5T1qd8B/Y4SR1itI+l78Yu6MANvjIY+mVKQfQcFtFbqYzHvUYsQ wrhG61pL+NBZc+w9JaTawZZVyAdOa6cR68dQ5ZzqAj8dKFbQ2/wbrwUfLfU8c1NA4Qw9QeO9Uke0 0j0XWazThmweT9xZJh+kjIULYhiCZSnC5CvjXegxh/D71nhxRTyrS2l9IXbocoNu8iN5DGeIcBOZ dspc0Q1QIgY/R5yYhOHP7BoYMTvwShfIhk0WOJCsJW/bdUek28gcKiR3hP7woGmlRUNsWH29OKgi onIWVoYhZrptiEGrmz7DjNFqo5DWDZz52/A6emJ3jO9FqMVLCBO7z1PdBO+5TT0fWHB5paUSGzq6 f6kTrXD+lxaNfyfArEpUH79UwXp9YZqrLc4ZDccOweb7wiAa+r40uCcL9nqMp7ZDZhoUPVCPGPdM roFzGJ1WGqNWvwShZE10ZyXbn6LgrZjZ7AIWX8DValsMb3+MxXeUNtDyfoyAiBTsr7BpgsuwXi0b fpfIZCZ9w7aYh8Oy9esisSRDfKs5iC8DN9Mb/fIZD4VM0F61sYpnyOx95iLJpuV2hCQzadcqd7ke v6/lbLbelrRZrEWg4qQCj02KFg7geBPEZM1jDBgI1scvv3Ygc4+Os+YnXL3NrHF+nEAPixz9RYyZ CNgNSj3op6SU7pYo9htfIpa5qtT+Azf7UvuRLLztpe9bGcwvRzDj9k73A+W1TZOonOnEPE5qi93V CReRJ+aAiylBjMB3KWWtfccdJMpSKBRzL46cinDSAUvLKNjxgMwFKw2myPHSmD6wYVz/d0MOTHz6 kAmaykmWjzYkM7c3PLd5ZuHvPjWcrdJXzy4xQbCK7eZaY8SboPQ//9tSyWGIaXr+Q2tkC0aSpkcM m+ioUrcBkpvPz9tQTsOPwR4Rcf/nhfMG6WzVT4DFfwnkr4BmmUMBmw3MQc9EvfShCSKLEnxEo0hl o2YVoAcklonrBjPRVsQ4mJpQ7n3Dq84FdedXNrVbNHHtMRJadxLc2HcXy/hV5MX4fhLxvV6HW984 Lo1X2oyUIwjvsqfUVu1UBeu2G1OWO7vqHeWA8uS1MZbw5XvsfKnOIv8gCmvtwX3NDmS6XaTGPeNw iLpxYCx2A5K8XjBWuYbUx0rDwKESKfcLR7xFn9xVgYSRHrKU4BFURCqFMPmHq5J18/B5958GCFaM bkhsxl7glvT3xGakvXcEE20oFaBJW5A00NoL/kHZAcxP8ckNcAE34C0NbznUXjrttNzLQ1lhW/3Q bGhyFSjVxE5gKH49WGGXr/RaP8FvrvdMCdo60PsisWGphI7D1EWqgGYXIdSZWASJMqTuuGpg1np1 0oAUTxD+3sYnQHf9u1+JhHWEteyHgeThGsRewxt12wjqlupvvjKLbe/i3pfBoOfX18QCr4Cld8s3 3HGQpOdjXi+NWFmCwkNkUM4YZFsj1qVoZn7H94l8ljyjLnbqsBUkVoDMgu3vZ9k6PjRaLzN9dW8F wu8k/OSLqpfB4V4/xJ1FA5MDanqMUPat+csK+042Fk6fZAU3h3VbUa8ls1e1bEEXph5fJYnBiE83 GBy2GhjkHWDRCnKBDrSTgsNGRQOPi0NS5wD0+Vqkmx91NQv7nPhKBGrX4EJ3ZnlLGr+/V3cHB2pY 458hNnh5l7wOAeTjGQ7VSspFX+WUirZNTE82yLRNYYv5oLqFZcQ3kWD7MSxqNe6ly7dU64VCqzuD 3/1wvXAdmt0xBWAiFLmX3SP7rVSwJh+oQoJPWEs8L8cU3yyI8vAxnMmTVMFvBAqP7ZweJJexundn u0olOSwwObGLhoxDhshZYllg9HGs1of2geDLlW9Q6X5UuynGEcilR9AewIroyt8pD5v47f78I6PO lLHF6tf9SPSmPgT9i34kZtw/kjm+6Bp9qOxtuMJEiq8UpLUQ78smkPtGuaNHhsVZIwBAZGgAGCC4 co6XQwQD8uevhJcXvhtMLmNctFmTumIBASrqs3ndKm9RzKzjiDQ5A1BB5YnqXd5LCLfrbpDRhjEx WewXTlQ37KBt7Wk5okwVs9BP60h3S1PbUWVpMXlbpBnIZk5/Bbo+lZFz36cmuF/43Bf6F9ta1VAY qxK5LbqMECtJKWM5IDIUhItFbGqoQyIHWkfCoQQ6hHETVNkqlI/C7JQUIsg88u9AXXP2viVQ0E6Y hi6pTGyia+X+s6eSAiVm0qflMbGOM+8yTtbDHXNQ0H/C4O/THI8OL2aObWQHKhsPeMKjcZCBoCKt 7HA6HMYTFln/Y1p/X9LhZK3SgA65pHeSTeNJwcPz1quSC8zRBLw+uEvjAx1b2xZpIk54vorf49xN xjhNehauSnvSL6QACnCB9p+rHPKL1nnNnnPKbGAY2AZx4wh9uHS37R+Lh4b239oSUcigWPv8xxrm YZXwYMcWIf+cs/VIpwL6b6+LGb31RvmCsCXz9EhRf3Ny3Owv3yiHiGI63g0Fn0LpG9UlnUiZmmE2 8w1XRYSDPaCEWBbhyXH5pTLzX0A98crC49dwm3LCKlhrZuhnYgpWU6KBRhOeFHF36/WipPo9KMx3 YSL4O//UIB84IMB3M5Myyccq3PvIW02kQQFd5LNSmcSJQz0/fMPCgPjxYgJ2O0lvsxMI+3lX24bP 8uv68ySUZLyhTCY+kDzm0oqfmc3Xg997dBXfq62pUvzhYix2kTGc+juPqjspvsNQw3ZrEZzxBrpA wiu4xgJNvNhUvKhLrIEi2GhvYlnl4AtJJiCnIdEZteGL0OViWoI3cXXrDHZu4Yf0gih+HQhUdugZ 9LFtVDnnIarf/gQ4L+Sb5wwCh4AEsiZEC5uOdFk5sBOPqh5Y+NPWX7ziOdRYUv5OVmCciUqrNR+a Tp18lkg7JOzO0gssrZQ9GDRMkWvvIVKaR465FNzoGO6Ubdq5FACRCF4XyB1K6W/zrm1hgdEs9BuN EEIfaR01anCz2kOtuqC8UL1CnD4WXjXbkqgfq6jWaV4rKGtC9qSkmkfmQe1aiWZ6UAgVhEEfmt1R 9h1u0Y+It4ZKgArOrQ9wCNBgnqt//At2VWAHPH9HtItg3uEiY1NxvFy0sqoLYyTHiyN9e1aPkEZ+ AdKH/JyRs9HuhWZPVmcBKCXYunoums1DD1XaR9ClTSjXFNdwTZcgg0xiovgEgyPBPvL+2JiOvMJU KjfCkcNCa/6W+xdCniusaWZUeAqAK9CzRNzo/I8yFO1qxaCZ/Ljo8m1qF/bE9sKQVRrnDFm9Vs99 TDKIm8EalxMMvy5jaEGfOxpOszocK7M0DY27M+e3Nt/6iOh+SF/EIkALufgEK6URlGmB8k74YP1Y 7yNsIgYeHXo0GBmGmSV05dGDLJ1TxbiNsjsz6JDI2Bor1puchEROk51xJMQeWTAB9eU4g8YPkGdQ S4bHurQYsFRW3QJ6fVORCZdB7SxhZMagZ+issLdcqfbjJ3yyTNr+zgZNg7qkJxeLYoC5UQMbPYcc AVRPOTcMtT7hsoHLTee5fqpa4ru+JExplEUPu/DtX2cmT7EenB1PbRwHdVXXaJIXmws7WhKFxYGL g+6v2DZwZAQQyhRkM4cwYLiQmfnEGUd11e/xLpZF2oDwqY2gt1HQBm9c6MW37Hrs69LkSDvamUXH 6p67Fu4QhOEYz1kUUPvXTZ4QKyQCLoZN44aC7DM36BpZ7vpZFImDBBq+h2BpYxPMMTSHYf1l+ZmG B9cx3BTjhBGTNLmPoNstcGx+T3VxTP7/1na5/j4Tx17jNH3x550yXrtaaPi2vjpuPAAsv9Vso+EA YGW8FsNkKTqBCIEDMTgyJBCgz6VWvA9q1OvN4FjbKPnA4w/xoEamaMXn3W40WhQj0htHBNi6mTTh Qk7g5bilA8Q8H6ZE0S9itBDhq6/IsAB6fc8NXPI77Kvor8RLg5ZaOepGIIJI+58TDhjYSfhEjbsC ZgspjtLGk6ywC7sFOaGXKkM75ae7YC2OLopmz+ugW8TUhyBr472+orJwMVf95GLeXQpd4Y4vLpjl BUaKnp50pJO2fJOYQLxDmZ3oSpGJJR+KoRFqGxwFQp/Idi1/6WVCnyzIRe+VCKvsbQKtXGqZmUgn p8BJLqMkpGnwwcTz9uaL+44Kf3brUUgyTb8gkT7o+krO+XPM55ucC4pJhaf3by3iNMNNsM4/3l03 yij81/6ErHZi16TeXIrGk4Rz8d6pV3VwI8Fdq1h4OCGcSklYq5wSOne4f7TJ7p8f/LnpmsdbG0cV QpSLQqhYNnMhkPaieg8cPIDhiJuHJPIwLjZlX4JPm2RKJoXkWzjm1L/8TFWlMFlhGF5d68oixDaG Zi4gIf/4ZbWGCzBHE45ahKK6yMZQpuhSaNCGxxEj7WcY7WHSt+lhTPbqEa9XCZKatzgAck1xaNWs DrxxL/E311v4CQIo45TrLNtvA5BSOLYRZUKGk10dZUYFDcVecv700dUG/en1tC10XeweXj6YaEx5 McnZF+xujrspPNkWVF46LdavIMPednoogDsav8urud95GzLO+fdg1w6RKgsIs/OA/QlInRi2FRc/ 7JnFq42T7jl0vio3U+IRXzlOgMtWQ8hKMW6gKc4VgsB6CFqYhuHqbC+0bz9H8JQ1cjkPlxUsBrz0 JeC3GjJjbsU++uq9vSlxlEjnOD6zz+loaeb3r35fL8TT5fAEZff1s8Br31CuE3RAmlzvuT1KUbt/ JAdp/2eN5NbV3OKmgMINsmXjt577p1OzBswZAfflRop8OHX+0E+tXSogg0vVft3ianqWOxzJsSCF RNmPLKnr18+RZ49TRhwko9NpdlWaba1+BujeSP4CXlw92xU4bTOMU0JsP6P/8LuqqOirfhdgZEes OaScXC8Monb1g7vrypVzbiobdOsPg776XGAZJw4zEXqvjs3qwDqdSr01R5C2wf77y0L6Ecsjg/e9 vB+ikBAjMyulNQWImKvYu8zoT9UrTsY6VwkPJQuM6JOhqowMi/qyl3vI1JWKN25mjMKbCPjyuaAb l+jh2aLEBt2pXpi9RpFK36AChqu4zMiwKrEZqxeZgXfnwuPFWVtuhloQaoPSWsKtTsEBflSRWCaQ k+nBNDPUGOeXkkqslOaag8Vh3TRUCYr8wrcGtsss+1aShC7XYZIh9AqUyONE8/N4481JIaY5BV2G zu3Z1N2FgPvJwie0TOgNe5vTg7tmOoW9Wb7vF4dQXd+fiheTWHXgqXX1rnN6WSAIbqWWNSO/avTL Ri4iqQPeY+wc6M8YPBEqQ3YGjeK5R3BIgIk8W3EfB+NtBQVDUeyGdtZPrpT7Zgmm0uvt92CoGJYK 3ODdKhkMXNT99U8KCqDPvDxKPK02xtSHU5GxwfSxLkzlJLjYwK3ccQhSxeAajK0Jk+lELOcvlRuw 67lVS2Ul+sozk27YfQAMnQ8RYtMPE2r04NJpAgL+cs6QxwgNLPbwKfODUAgpHHaIXdXYYWW1DKR9 0M543kR+T9RNEv0Zj6aSetZBBPW4cjFs4xraV/bvFcZUpTo2+KyKraQFZWCF83b6b/hH+nAgryuY iQGk1EfhISY3T2mAd8IUhG3xD76Jv+R74g7VCAXPHW/sZohEIXRLh2jPgXOViPYPMidHzc18cUFW 9mMJtZ0PY0LdwAgit1VGdANG19VPWhUBrgCC9rVMek5oOEZ8JPgb28e9sIQ5knRGZ0C/aqjb6KNk QhXgYgO5LIvdSXJJcFQDhSS3l6gkDXlUWuiHJWWvDSv4F0yx4IK9HFx/dFyokkELpOWjAeRg/YUt tV76rxjVzXuk5s1B9HexZMUee/nUo7phRRKoMVIbP6i4DWcQO6bB4pJVVTZfRKeR1jvHhtlllSAm Dp0KyR/W0E1vmhGA7tiFAmKeZ7N9rocohEpeZCYPOqeyoD9iChq+9i0vOUjLnDIDNCgV8heaxwa0 5uCX0Hoz4nW6jGZQfBICxwTyTxg5G/+0pvlBFv9h5VjBe6CwoRWqXM/uWevw5PJnpilv3cwLYdOf 6sUn2uvytyToNsgOt5yfpdBfjjRh6FEN909lniKLcHw0UwoIuzi8CMYbiYT9lDrHekj9eKVPkc1p UBIRo86gnQKWva6Sfhb4zLBdv+OI4LhNimV1/6YF6l1Js7MSWwtErIoaGnwSvJtje1mddjdaDzs7 yTs0CPk4uGVuUSW0OCl4pdki+4h6WV1+JXaV4LWBs11jBKm/zn2J3k4UXm/UK5KQriTbUb12D/yx Bj6ZFunZlkD9+qsEiO+sn5pYDTaq74QjiFglN8ikYQxwVkj3w6xofF6RdFjICYbBG0GjWBYUYvlK 9uRmxYzVAsuujY18j6EmNaMKqcYW+9zlfau5GhkZYGL9pm7aAN94M/dHzpRAURtaxlj/G80QGOGO 39VW3nhJV92NMCPmn5yr67pe+UUMQWea6etCN2h5yUsKDVxMdXANnkTm3PRqa0DA7RZIW/A+GAso cduAyGYa5oGpFs3wX4WtB2FVf18A/pjCoiUCleAoaKDZYrX9dklR4oH6PnpQj4y6NXRUU1uWjR/t hqtKmH6DTu8Pl5A6Arob0kZM7UbAuWQPn9C77YVrTl1mGsF4mYXiEZ9kuocPiPI6KY6zxdRfVVye r8CZHsX/cE43DJn4WulJ+hWtl4DomWTWCR9auYZU4ZpAD2KiVSqZW2jkrM/lXqLSGYkspuU3QclD BNIBbmBcvilr7jsIA8n/1uZAPm4/udK4lhKi+VbT/7R3ggatDaL0lqMg9AktJ/RcGkOexhfL7s6U 7F8mZIHw2KLrJTyM3Kbczt9R9QYzWNv+/J5dsNYO/VqQOH4CpO5czz5a4/2/JhlPnZO2FEJfNZOJ 7A0OANgL5XZV/QshExxq1GlWc+YpxJDON89pRVsxXuCl/EyN2cnbpameQp1gXE1u7Ueo6+jeaV8N PEUjxqQ7qsbq8JEyNRAHDKFbGwSzZtmZy4gYGis916mETWXXB875riIj0YpQ+6qtyJpaqPD5/tLn xFp7SliW7u/3bl12RwGxQooV5t6CEIQYZH5c7AjGzN96TSnSEd9aUgkVnlsLePBIhKhMw+Dcyv9o s3fSydw2QI4tJrEtl2cgP7asnBhQ0GwYu7mn2ZykXST1AcWz5t9xl4Ep5qWwuwumCxwMyDkdUyns xOIE4nbQ7fXtoYUKTzKVkAyycw9qgNyuGuDfjKQX+vqvjFKOFhrXqMdkpuo7PUERSleJaXYRUa9e DhSCY4H2rjH4018OTsGmlw5opUEoM1j3Q4tfx5wPBHwYLfqrFmbmmxx6rGAlXhVfx2SXDZjXVCgk 8qhw5nErGhDoeSmuJY+7xp34l2kTqQVqPTyWNwd8ZjaUO6DBv8VLIqfVJW6VnYDVtS0QF/dEkq1g hK8vGVP/WIRbYN5kyxZ6yc26t4JbIQW2UIs8ZRkC4XqUjtA0af2JUDt9ciG1YHZgrsnZ0Q3vu0/q GtXmllKCK1C3R/50cITc3JBQCeyzjbN+w4TcHNcGGHlvX/c40boqjWuYBysDS47psX7qYDcKNeU8 S90iF+rXI3Mb7MU7TbxVslegJuByXVwOETKaxK/AijqNwrSJgZm6InV6WzxH4gLpbUUdSaJbUN3B PoIXYhlSGM7UdtLqGk+9N0A4x0u4JI3ISXw+rjQZQdfJge4SNfmHZ6GoJUb5iM9xDrMO/OnFm0cy twNzisq5ZsPkHxaWftbbhwYM/n6oXMrl0/V5VeICdJXeZ4ZypzveFi6CxPCKGGDJz9N9bfpUX6SK CsCyzxao35Q68FyjgMkVkKqf44n1wKjYnOOBYsNqUomeS3hONV5KUkDA4DsRBmR7gsdfF/vxWQFt Gx/lrUP7eAArBr08CvE7pOOj0ltPpUd7cmVLjnhMDxWSWh+1p6jEJ99lsWVMAK83NmMHSwId9WJn LXc/Dluqf60sMIDy1S0dGWiupiTpyEm8v8pUf4UiNXqPJF5FXJPlXEApsKAV/JbSPWzJJybbnn0a rqubdjx0GYZIFanrSUZiDGj7hVWB5ssfDBe4Yh90DXbyiZuWLXu4/ikV5fF6a8N0lW2Pr4oUWeab fMD0NLUc5yYAhGRqV1NupGCDjMx9yXHYTJrL3cu5oHWu94e1VF/R0JhEe2ogCj/bvSowvOhEm0ab NghdT1ajMtKDQa04KdAdH5Gk1F14r0iuRBJpMr/xeYQ8PT3Iw7HwvDk00dy+IXQ/CTW56CVJMzu4 X6fSlnAi2XYfgM7ROrmMPOJ5/fhULyH3j7/HROlYqATAOVI260i+WY8ab2b8o3xGXrVtwVHg5vkS IQMEjsiqY+HKyzMpLeae9R7REIdygF5zg1C7E+xuwuWVgjfBX6BsTm99nfeIGRI6HkL/bQl2P4dY c9HXi3qtkA4VOeFPB+0omLVUqB9NJkx3V7wwXvfFqC4WBsenjgseOH5P7zII5ADRNd/W1tIP+hOQ g2RKrSwLI1kq7PvOciimbY737tP8mkjKClCPwxuLA8C/2i+HYBl4/i47hirgGEdkjlv6aTrnIum2 khofdjm9PQHEdhb49aK4KQkipub+z3fFTWPSr02XMwZ1C7ImQWwq2Z9zuTYaxY8iuNXYKZiQjT5b te4WOZw1Yc89MdkXx134fvuHciOuIX+Vxw+bed//mhHlzmjXNbXqv45WtgQuDBaEumE6NUVK3eJ7 ZIM8oEMkhnP5CBwWDL1alSLh4d+igizqTVW/OHu6d8IAyew+pIQgH+JD5yBujkXfLRdxN0g58qgj vN9RBiVuDYVGuGgoEqt+KXVjlMCPvQy/zirBmRB0QH7WWG/27rWF6ajyQAj+h4Qi0w7YJ40oTCBF SmsdAbe9R1RqaJNlJUkJZwIhhIQ8+iULloN7WNpJIiB+BK40eC4F7D7fGnyVrb30WXp8N96Yw1DF BLL2u/naauOyOWNDD7TuiaerT5jpanRT7DmWaM2kZHVhSJhwAoRROVxdNNxcAXwu+nBCPpW4YIf9 PsBMlHpKd10TLihDTjd6S3t+Wdz97mz7TyVptvXr6Su/xMVD+1Xwp4oaKjRZdNU3AGOtLaBHWFil eQiy+45Bb90aMV4HJ4yh87ekIoUMgiiA/+UVuskZZ5p6T0PTfLojs7QNhKuuoXzcaguy5L+TD/Ym pslBKvgYAJO9+4bkIwBua/N7KkEnjXIMzJDar90ov4TyUZkUcQMJOosKu0EiFrWKfViNOsrvJiL/ 1eO72s/d+Fujj6JPec1gDtsEXhyobu+JOqKA9bia7srbyVVtm2lcNmeb79VZYsnpUdb83w2sPA/A VuT/Bd6XODOHiUjRf5WxnFft30M/9Yj6NzF4FDB7EcbmbjwRN6LfXD1UXQ+7cdkdUyzoDmoQGZi7 vLVqzZ1/CVJLqI9FALWtN7G4r8jrOs5z/HbnXMDAJyQtU2tDvVTmnBAas2ZLhH5xInjtz95mXYbH zgiT58S2/rIjDYWfWpFIzOCeLTThukriVDExX0GCZbbgvTZs/cr1+830SrqH2BEsgyYn1bUfYpir +QK0D1PBh5aAWnxAzk+wGF+MseC4wtbaCTr3WRkOKsZfYEOGm0B3FOErdqvCzW85zTOFC4dx4xJ2 cWdL7erEJLbE13c/TnxfF38JNTc0aeM7f/BaArsKwyp2RU1VvcV8k8fvSBYYMoEGkF1XG0NygXD9 iwFZDbe0erWc4JyOVsLCbPWQXGc7cLbJur/8hwet6geyv6XkjtmY9+pMbUqPvCiVvjBfJ8HNaPHH rkLCRLipMOlB0OkxDN0XyUZujg/NhLGDHx0QsUPRcw9DYJ408pZkB1Mu8cElBkCXasz28Bxczzt5 BWz1wBDL8XJj0wnE0BDvjsnF6EPhx173F0MAQ7lWOj32gqO5mGE9wlnVkDA0jyJhEHd75U4keq5e grS71/74AGZIT6R0LNO/JzcTbQ5Y+bzmvdkPyPp+/lAhnyuGEjs8D1RXuKE3q26CWPbyQNWBGZkJ 18rpsbf2RP3FzEwY5efK4Mp68Zxfgv8vPGvJKVuxkBEFwefGKR/OUurveBqNECNR+eIqtbdq3BR8 RKicAVxrMws2Qm/0GPEqXMv8A04HjxJeAVqJDUqFvdqd/MlGGe5/oqIjljsfTfr8uZTWjQLgr8kk cB5t9H6S2jirfJ2k8kbfgZzqLAtTK1WSdjLNTf0WoNCA8/y9Y4ICD4u+N4/pprYUyh+DHXJ2Vq4J 2UTMcKWGaq7o90A7+I4m9L/ZNs2lQ7YPGvpjMrTG3zRahOsDvBesD3MF9V9tE663TSnJgio+frqo nyWff0BGr9seSI6cTmvqLppVcMuxXjFSMJEqUfTmKaz2vR6IqxkZkTF5vPXzEqHGhccBbTi4o/ja lxvxXCS2KJnYGOpvPuOtBmQ518rJ/YjynZV9R82LJZuy4sjfcooOplqXEySdaizUiolxV1dMsye2 Khc5q2lbE3GOKcj3wGJ0YTBc4KORMTy6t5Q5/u+Rtc1+4zaHDjCM7r2eSVV4joCVQCA+p99RlHYG OXbItRYhUlzjcGmWgzvkwqn/G1Tbwscq+v8mOX3/KF1HxcYKcKEuMRvofYQJeU6zMkwerjJeuSiJ uPDj/0fm1tL65GZCYa2hqvTHb3TwYeTk+0tXmX6hTrEMA0Warbie8ICl4NY44IMzfbr7b2Tke5KE A07uFhhv39ZdAokJviifrqqbzne30yPFta8KHCn/JklkUKYticYc3S5TKTvPP6hxhbuTUE21G8DO EHt5QPL+Xv7E8nEVSDZos91qTSVvk500UAGsxAAfecXO+vwKuNZszRUuu75qiuck2l5NbbkjTQVK 2UkHyPZeLMaEmsINZMZmxfE+c8cj9SWFCjDHun2z++PYfjNadG/+NMT4MmgiCMcEmiSFneUCJywm p0DmCsHpKuO3H8otT2TQ8xOxMXe6TC0+8+tl24qqhpO6q3RbLKyvIG5BA/RIWHuR3F/18BWbC+1J xzkzPiWgaMleptZ/7Yox5Aro4kQQs4A0W9Io/00rcLLkYGgQatTXDmnMb7Sw9+UO2HjZNYk3UXmr /z9D9Baap2CnNWhVUDW8qtVgLHG47Va+DXmhHWYUuxxG1FwGmvYJjig43hdy63bQvDzcQIKM46Yp fm7ezY0nK0y9AxxKL2DJm15gn6uhBfTyaUF9KNIVSH30R0XzU4cX2Y4C3X5TA4Qa9fzHcVrIuPgD 9qccbWht10iFQ+YDNmqVNoC+53tJVAFv4zFLY/ppfQbv9txzUjGMI5lsR9HSy3f0XCSJmTbPOtE2 vnnDvsiWMQaylG5UICeiMlepbD2tCEWJO0L4UHQmN62AdCp1ai8IG6JbDoLHKMf6jZuhy8I0IF6w YthTBJ4yBjq8NiZLN5gOi2tk5xojtMIIH60NwTZmkGzKh2in7j0aMOKSTVH9tNzwHP4E0FxvxpYM ObbKuDBrusYvC7BPhRLCS7kqlMSNhop8VcxnXqrvmjKVn1O17m9riy+FkPbhXKnohvURtrX67XBG m8KgXCOVv2R5OhUIS0JeVvQDix1X3OlVdHLUT7wnQ9y1KEt4ivSI2sUD7u0ojdnpUQEzzOIukN5y fgJpSu2hYvwCNeyzjpXYNOGr0i3Eu7YzUeFVTW3ag0vAmCOZ8SOxKPjAcU1wfpATNxRE/RWOKwJP BxnHG6O0iwnI6Uxd6fGOYibSiO1773wEwoloxmm0KAldWQKNstD4YtZy9aMp30uSrUt/E4tiDm0E iMFqpn0+dM3/hY7SfeKbPg8Oew6a5jFoHOIHmXV+G1OW1jyOGCeByti7e4/uf/It0WcFgkv0wWFd 26umPLBNpBdUjwgIT3bSuozN4tGRTCDgHrGiZI/Dr3gPeAmK1cooQNWiZ3TWUDxzuyu0dqyTojRM KqOdBFZd5++aqNMo4eKxt7okUzIfDHRqFRoo+1s2PkAHwKaLyvVWfzaGnxRktqjG6TBrGABGQq+U sgZt6CDkQ3ftXCNbZYL+bof7oCPRqt1fIeFhZAT8YrkLUW39XMtLOdIiqIrdlC7nWHJgT7FWEzWA a5cZ05GwBHKlOsh9Mns7wQHokjji9aPcuN3LdCYj0JRB+RFReez543A0h11OvyF9BP+OdG+yDkza KEmT9BF/SpI7JbFN7xz/A9Rb5rOgekZgOyWt5y6cFLmL6OobNkmE/wYkO5MVKkcSRPsx1gvwUMTH 8FoVAAmCk6xlJ9M1m89XbTl+vo3aXXbxdmuO/PI+dXVjjd8SZX1g6xgC6RH0gA4Z0PXHggoQu1r2 /m/DFz2j6eyWj7e0cXm8SVM2ePemC9jwgAFvgsQBaCzVSSANiYVaHkt0j4pgqoXNJDkmPsBlrKC2 KUG/66v9fGvT80EbwDbavp/Xc3H7j9vvBwIGzw3w0tlA0nI94xECu3TIyPNS96YM5vIvREe+zQpD Dam4sTPFkP0j8le8u2aOxOr8HCK8WeLuTm7f9l3Oey1F2zXzQf4JJQl9A2SQYh1YjA8VG2qVcbB9 LgbiK9wWmx3/UJuppajyubsMuC0+Z77PUjF3a91GwsUCWy8eUZqg/tk+mif8TV2XqDjkFWJfrk5j ABK0QwuTT2Ed4oPJ45vYV0DDC1lbeeeWE7yIDiiVbszebtk+X7aOgali/VGVgQrH2d0xqVVmy76T xzduuDgh3NU8wSD47Q/p/aI4NNz3L4CLpyQX7vFoFVpeUNha8dNJZjcQepq5f6iKelhsVWaGkFAx 24jHLl5DKlnhpU33QHZM7IURgxvjAoDq5GvvLgpAY7iDpig+5mENfdLVCQ6S3YdrmO/vb/dh77G3 G7QcTDayA9qpnq7oIJp4zo0I+VmvMtOXAD/iNzvJA8aY/9lDhFzt5W86JUuD0gpHWrm3TShbJDwa 85fRqUOwC+T/NxPbUzV1DiAhBBzvDBZ3cjHiYwOj9BwZ0j0MkaMXPICALDrJR+/XN26JU0qNdTrz 44wmBmqmHqzdM+0oJVZZKK8zyn1yr7pGTGj6YlScVredCEY+RnRn0smYmjWMewY/fxx4KBI26Q/V QQYKScpyn17mqPvXzMU3QawHaUevb+tgxWy7cCeBafURH893bymjhD0vNziDj1vl/B5nIDg9pj9V Z5EyH2n+a5OfY52LEiY6gSkbfkxzjpe6RenUyveHtuLwaUKcyRdQvzgLDJo69v3VILYLOno4mzEt ioe16V9eBPdHHqfdH4pzefj0qO3mAsUzzjpWEabs0QlsslxwzwFySHe/JlrH2uI9GRvRLbLBIz6r v8+S4quvlntc3LsCCxeFEQ9oIu2hi6c0WS71oLIdQxZL/4nYg67jhUMD1IxTtwYnZPOWuqQy8VPW GrdnCubJ+jMuJJQxsQaQ4yTTWxlO6MTMtUNmYQe7LIfPwQCIEb5wmQzPMtx4JXqBnjcWn7tXpVRw tQiJoRAsbfOJULdcDFowIk6XSEbJSoYCg4J08ZvPCuhkD3RIDEV1G5ogC35Vb69i5nYb3zosOKG+ uWofxaCPRkyY219fzsAr50AGOfjWj1c/WkNNEundxbXxBVwegdU6f/nolmCtFw2SdIpqhr5IIrfS TgGMGd+AY+uU6kITKye0+BUkK9mUIeuULyQgSsIdjgElb+7+J3jQvq5PnP63XxwpyNb1wfAK96U1 ZOj6kUhAToLSpS0XCUkZv0Tgi1PIhWYcNVMdMqkF7IzzllwNTziA14g4+wTUaY08fAZ4dD11sa4q ARv5tU19iCxpgT9XK6X2W6wmqUoi7PJ7o4U8khcL2folYFbau9d4/YGaTBrWt3P7U016V1zeEpkX Qa9mASvV3JjvTfWhrHqDg9KdoG8hp26EIkTEBCOY+IT54IikMeWqlgn0hsFvxM96Cb2nsMmIQkhm MshidUel4LhmX4cPfPXS1oJmXxZP+7Wy3xFR6V+7Xb9c2EPrAR+octIbO6eCDcfNHB0P4exv2W6X gu6+zofNl94fSOHbOHLoNgL8zKHIINBRZjzqWNs9ZdD3JxVLRzmpI01sJ2Lf4nWrVE4qaNwNyebM JNB8A1snVztGwS2K4mWAVEQFRkSkMALbAa+dHELeuG+X0UAJQH8lR3gjoO+unCSvLRdZvhWIAEBR eelC+GI6PHIwRn/mCw8DsYpIsXrzKPpGqBnUcS46mRFBHJyi5V3DTubkq8RRi1z86foGKEA0KMTN fgz8q85Dw4Bce6BWtR5EHq1BJrMYj7atmye04G9snpYHuV6qb16lhwIUyOzAUaBVD2sRQ+SGsrH9 a5sLcujyWaNZBnbdQ/dHrr492DyRdNbiUJ+ep1ekiRukEeEBqq7cqVK5fwa9oVcxE45Ml57OEamh I3XgpfZd3ElyTmqjsc87Po3afLcO4MlKOHj6BvW73AjNpyBouSyPEGbcExTwfTaqzubDkdQcO9wL 6Qw47Zo2u7V1/8FUqTjaopVeXDUjNVQnp5dbqKJe61zZzpxHYdxS1gRnn4zmBBjGRJk8d2Y8Rs55 lOfeiwfQLDuHl6wlJE+soNu04xO6y+OYehMRO2G0vzeIEtzjFtEASZxRGmbzu9e/27NpjSWm4B0/ d5Yvx82KN1s90qTiBT4xXOOcIH+FYI0zofRtabk34cIC4kJIz/irJHlA/5zLO+fx7nGcBic/5+Oy XEqqcF47QS2nwBl0M8cPTQUNAdoT8BKXIcZ5mYFYIL+4dmQnQB8dBU5gaY/L5YKSoUYasV4pw/hY LhJ3rzmm2UtEUuv5k/ti6YBS169lmypas8arF81CYz3mdA9ao7Kwvo1itIS4dxmGG6oQqWo6PdA6 ViunRCOrgxCocdLkgrMMMEzy8HZ9y0+HmwYxhVo0/bqeFRHClFqIKyizxQyElxfv5KafwrG6vnHG 6CA2ysvd7FT1YVHKr2PegOHh9NA1aML+Eymzy8vjrvqwzvJykY8NT1a6YzKfCGATy11nV2tZbrY8 v+pbloEcK2HbySQ5I49QjgYa9V+64AYQJwluez47HSgBGUuVJqJ/TiqOHEjCIeVNcChmr6eiW16d jeBbKdeaw0HyxzzgeZZgW9by/Hm4MEZRxW2XeOCDEWR4E6IauorM4Sesf9Bi7CCgbdrJ6FTew86b aODrOxP8TGmiWs5A6JDiJh4yOE6TO0mvE7l+XKZF/yEPXgFxkB7hWuD/PF5ofA16PCmii4GsnMTn sgpGjCXtZu9vW+ihBVeMPzpTuPGAG3pJhXKmcqz55Dl8ZeUT5tZtbAf25+ab8e8FR5YFF2L8zfLI 2DZSqCfJYeIkQg8Uk9kNxYBJnMn67p5CZAj8CzxulalRA0kkZLQqjoNt/Lm4oEexn1n9V7hk1WJl 5AncE3IJDoLfNX5KdijtPQ3YuYYCrkE1mjUXFdhpXt2/H75qvQDNHiFz6IllExfn6SS7lFh31fiO uHUwWt6+i7yHm6m3G63CB7nNr4q4siV2txxcvq/ZUderzYegyYGQKDvmEVfqNhNNMNyIKxxY7VQW rkvEurPrTkdcwpYI8F8jNNyCjaHM+A4MxgXpbrcW5HKZZ2KE4UyjFgAQopjyoUy+0uxWqbQDv+yL ckpyILfTli4qQnfl663+h5QVcJC5aN3JuQm1ZSe687FOkb2qXerQmlcF3BipMNbGUoNKUgwCrbuv v2OaLz31w6TV2b+q3aOLo81dcOUbH0O6Kr00P4RXWARABn96h7LUidjVfbFWVdC7x+V2SzCmZ6W3 d4LWW62pZFfkr2yO8tzpCWbIMNBIvwSBk9arq1T+x0SrTYKGAdkyP5t1EGLq3mCF9vLnKVoY5EEE xzv/Z05oLNl4qT0utDGlOTZRdbNGwFvd+0eBIHVEEO6GQLXWRlqoQNZq+BRIF1IcnTewpL2GLJTp naxHaZb5DLNEXyPTt1rCKFyaKgR9hGZqmvCaudoJntMMXT41oeyH39IEqM5KsqiuG12JBHysuoj/ LzQDfJoseHU+ITclT/jIipzoyPmtEnXKk9A3rcnTfaf0z7QwwbwO+FAQiw0aTYHqNYpIN8Ceetzw xicSkw3nqtqbvjdO2omF7fHl+iqB+ah9FVFgqcdWdA4F5AfiRoi8c1Oi7OgMys09Cpij3OQuAvN9 fvU+pWuazY5KPzybx1NViFTvT1tH6SOH51VG+ESmzhTx0WYc45wMShZKe3yNWtnb7MN50TmYGVvb jk48pkOQqv3j5YMyGSHj3MTiiimwPtWq+7v1ifFUyEe9rv3CGOokqeoHrKJIu4Gn4uzFQASgPNAY 7LdqVblqUztrfG7H5KwefHQYlX3rL4t5RkdHmQBJ4Kr/mC3A7EnZOZ3fP4EjFMjJzgL/gt485Z14 4ny9uyf/owAEJtQ1t1N7iVI1ZxOI6pw5/Go6QXzNc5JL2QXlFBcUE/USrK0zhwuwJTcyNGiAaq7w iwTkgCmtZ1rq7A+RecUVXn0cZsW/8OmKgsDumoVCTbVhFP8nJNzW7jgkWUfAd8VzBqLKdSbXaSZG OCvjv45hDbLJGRocLjeYBDAFe5OwCgfuOTt6pVVQLzSWo4Q06LuwJNfQ+II1gdmKuXCwXA4ThRM8 HNqZzVfSkgMZPao81EgRl4OjhqhchomfEk70FyoH5jspNznj7sJnFZIjvY1gwjJSPX0GkSZpD3wB 7lyzwxUpSOfz7JYZVIiBB6eQrSo82pTDeJ6VZh6kVcebNRQULn0TlW7B8SwZZvMwJhE2gfn6OR+8 BLq7rG2e12AI1LxU2ANV6hrzHk8rfqRFHzPwEBG5OTJzuU8eA9kKjhhdclnD2out9Wz4kJ2iUCac QxOCi6ZHb0/ELgez3DnwsYq/aD13pfMycgrh1hEzgnkMkFlzxUJCeufH8FqexNXmb2EiLKVDnfBg VSWRXbkjr+Lb27DJYX9yIhGVjjUYa9qnIjbYplQx+BJgyXlTaNLSVATdPBfDG62FOUcdkl+FVDlL 9qY2e0SVd0UxMvggGfmcNpmTDSrHFIGRjuoQwucsZeD/JAuYBjhrfYvIC3owereEiK6xgJKDtFLL T+fz5Xyood8dymPVI3P/SEz88epm4qQdTYXxT7O5aelLZ1DLxNAAtrJLVI8yHBcbhkE1bpzA4fpY Mxw7ByMjByD3xAqxhYp5IczEsWQ5ew9bcGs99wCJGoDeRngNroWnD1i9Dx+Zfok2vB7s1XCWBlan KTizUO1c0LjYzlju/8xOQPSi53xAtvFpfbIqeW1Bh9J711nlmyoIU8t2XAVq5lCNtSA+4wLLqw3s 3aUaORriFSuX6Yh5tE7s2+MGo9HMpX/w3nukCqSImK3FxxnwGHu0gj4jAlxzE2mBX4WKfgNW6aFh HnjkKPlLoS094TNXkk9oySUEXzN6XnErieoulAk/pEi3M2fINrWSHDEGHE0ChmrOKkAqNmc5jxOF N1mHPhxxgBdkJjHTT/xSOcowkF0UbK9nYUwylPgp45Nu1MfP373efWflmgp7oZUBuDEr0bx9d0g4 lpBMTFgGKNrtPPT/i8F1C+bXq9dYEpO1bAo+hca50v6rZscOY4vln40WxIYphCNXKxczrDJJKro0 kH8IfujHs6BZPeaLtfYey9rbeb7Zeu2HCiZqSytu7/Dcs/TT5omDRgPiftr5Jyo1PPyeS6AHIzX4 gHBPuipAWFUz2Qi8KhuWGTGrhWZUklIdU5RT1ELdLn8FwQsTf1MpSxmNGMnWRQt/INZjP7nRFvjn idqB7H/gFXwsb6IW2l9WkDQQQSWLV9l1X9J7B+9/5HFUklwiYINUBW+o6Eyi+j32GbISMxRzkwL1 t+fFB1Q0ayZko7jtwAo0uCHil/xtZL49PdsgrNjT8IFFnPdBQlzdEVDHumNF1sl7UGo6yqVI6TZm VhFqcJVXh02FmO1CiaAUxo73VFixQ7d66wc0XfrUsGCXl3QjZNvjfk+xUmg7RuQ0DzRGavF1b9HV jeWCFLY0lo9wILHJ/fwKdo2ma/Y+11W8uLFZs/tdVmham1OogRc4TjRvIHPSO3i5ESfMnBsPGlni hRuLvMwiO0aa3ILB2QnOT1FRbdpoRnt/Wpp2V/sIN7rg7qs82T6jyDqPFRCDzKkqn41OE8/zCp2H VVXIIyd3rDN/YOLjNamw9N4WFjDNjU4Dw/rM+CLnoXSrizaBhQKnliZVfNAvDSYexM0r5WrmgsuD 6o71mkr6b6BNHwlEicSUBeY6zj9LZ4s9pLosQ3mhuXvWuA7YDyniCWpj72sxbxYtsoiGomXWY14A MRCgi1eu6mXc/oqfBKUsuUwpRE9HD075JfPGBqjPHpLe92f7tOOnxR+DxPBunRSahJ+yUc7M23YY QqT7QGrr7/E24DWFYEWH9zd3z9pIjRcHP/ZgRwHWlkTz5XcePyW6cB6Y5bjiWqrxJXl2bwCF5Ayf og3Mwyla3WUvekqwt5tNy8fyCg4yCtvUdK7IS2EcDgpW8n02dtOkYBidsRzF3tpIrpE2cHxMjY3J Pks3Jy1m8/LPlQNNlCPlu6gZqG1RJGK43jHfE1HfXxYd5pRPWTZt6FRltLQze7OK1MZvUjH9eXhV sEe5DdT3P+oXjGKCBfaJVieZhMXv2XXqGyGWdHbR8++fuBMo5V5SWmiCM3r/vO1yp9lO/yQ3udQH r1XpFHZx6ZNMtlsw+Zb4dl11/cIykuPXoza1/za+qYbcSST71ki7ynvg0DtTfPLICNz0elNdK+mm kkZrzNUiB7XmhuGvmbu3lb3mLrVOyOMI4gKVikBx0OeIhdM1lEEejkvUnxW4pnASI5PQzHOiSbEP cp+LBj3P3TWZ7sAMhTrb5/PeBhVHnHREarssygRMPD4h4z5diVKfhWfJ1YrZ1V1ReRE+cAQUqsQy jqRNXTpThcYw0TE8K5lF8a/qdHnRoC1h6uCAdM/KxIboz9FT8yd6NpNXSN59y3RH8STIbeuA044n s8VKa+LjtRJ0GkC2PlLZbAflKaY4rTf4/vbrzmCHWlq15hHnN6QuWfZV8E8PR8b4uZtiCBU8tbB+ reOtNT4uwuj7KcN4MsqQ1Tfx70WbDfWbHvMgESbvAnqjc4SqAyukj/IbxzkGbvvCKt8yiC+wtqnz ILXGfiaNrVEwT3OLmsxiI6ZD6Hq8ujhEbA30oMyq8DHMTZcM/hu8mKnLKP/pn9lr4pN1Veq2/w/4 srI71LJ4f8S0eSOUSNlUX8sOjKBeeIjIl9WSF1qaLMRlRHDoFAreBaj1tX7h9d4cvjrUK8/qxB2H 3JXidzERNWG5YCCtWHjvJ+pDTd1T4/HGGyzukDOGOmqR41BTu43/LPOrGUzXlBjU3u3sJdwUWPv2 gF6dhuV6aNaiyedzCmNj6SCqRQuJqKraejiwEhL3P/N53K303sHp1eAJB7aPVl55GH9MVHwFSt3o T8Zu2N3mTs4t8dT8h2NHsh03hneohj9JGa8Y7626538e94Of1eGVOCeMfB9t4vdr6/DC9PmFuDNS 80krPQW+adJjOBqK/WhzZ6NUgecxS3951b7F+kJ3m3QkCQv5D3hU3nRg1Ho3/uvQNoHRsh9swlsq XB62EEZ2XkzifON4woDlccDxIk2AJOU82PUwyjy25/rFV/DcDsIW4opqQDQk01r4ApaOfrPaPkA3 2PEPyEEp8ejKaFKgFx49fyD2YHLEnu0J4ZOOKxfOPQnzJhNbVrY7RSeieltKPaSWCZJO1/nrploM RU/QYJFaM2BNWGIcv9d3VU6uhcJIU6FSzhCerYP+vlfqt7bls56WLBqUehbdUO5uW5TDkg/osqQw QWVldbRFPvko7o8oUQnLu2G+zDY+BbjZcS+ooppj7d5V8HyUZl7+GtpBlKlM/zIKgWqFxCd62tNh CkGSDJriy+/GPS/WSa3T9ZR58yM+8nPR5IhdYAl+BLp6QUqLmlfdYysTAIYd5A1BRaZ6kRIR+16p dVGOLd3UKxW7pCmExKahCnXWY0O72iaIQFZ7BFfqy0jLONV6wp/LlqoB9C0Ql5GJppTBFGEPQM8y jC+ne6nWtPMKdlf4+/1arMBPx3acaGE+e+m2M3lHbH7jT9eJxUzN/yHaVF04Lq1a2pwoC7VnF8/z z+XYvWdeKadsUib/dby7FQpK6rE8vQrZuAvbG4GHCjnJXDlav6YLgpYMXCy0FeiOik9glz5wJZTr WRVmqwYoHtAbLzuVhn9HdCUdr9vOU6EApBr7TEV3xKOV1UWgSRnK1AysFEexSTkgXfNw23wQATHh 9uQ5gW+E9eKEH014KABsLkbS9DUWG8xE963oHNWMdHnLDIKwG9ldJ3UFwpLg0+YAI87MQJwBpGUu Kiw2V6pajuWzYH0o6VjgMszvlrWSvi0Ec3Z5dFBmBDdg1BRYLng7lxWFT87Tj9h7kGFsXy94Jc3Z pqgHIo0BJ1ZFlX9IIaBAhZiMC8UKpajD1rBQej0v6QuaMcX0e4+c6SC3j9iQToo0tYfefisjHgQ2 eZ6MdUCoZJtU9iCNartYzOoL+78tNtzi2XGW97r7+KWL4nz6JlpD8pfw0HbkRIhBIpu+NmoIE5O4 pY0aXnEvu1uauwRcdDqtPcplePl3sM1EhuB2iBGI6GiMDoagN0xweif3NAEEMpHHPY015T7phyTI LVBtMwUUeeZTp4l+9ARNBWiOK/UcaWk/A/tj+Ldhp5dFLoTezYgbdE3j2yS8WXruLX6ZA/pmJh9h yB5WZnGawBU8y2Ch8NGKqBOhFvJhl8eUl1e65N4ExMVohOgzYRFBMeOealqiOP77L8f44/vqjr6t dC7hCVWyQhUmkaGwwHaBbCS/nM0O5vcOkUA4Fl2GqsPiXztryshRqEiZshzEWUbEIC58BcfzybXR BZBVgkMZRGOzamvbAmF1xDMdGlkSge4s4ZSSk652CD00N7Qp28yIrl5qfY4SiPKTDKV6JU6yGk0K 1+wr9OgkJV7dRtOMEF0nagUI6gN4Qi/OMxA8dFJ5CppEeXgFoo8IRgx8THmSDaA5w9XeWj6XLzSe kdRoJQsozbwVdFbCqRrfEBOwCKvli3Tyi0IYID/Bt2ZRjbGdPFA/7yySMoCVal8epjLS/+x8i/Ux 60GWJ7XgJ8erRz9wmknlD1SqazMegwLqzz0ppCRaOCW0pps7AyZ/+TgXIbgbCFaOaeEdPLHIAU98 bVl6YBMbBzQs2PVNl7CjQ5WpjDLlYRDlIL/uKEtrWm+QsRq+RxsvzVZj+7cMTg7sJ9r7gFRfcXoh kl9oDFc57YuUw+aZ06jtdLOLqt1fsI02k0aXu+11XU+Hgde/8FR7FH2y/xgM3mIkczm/No69cEN7 YT+IOTKACbVB770X36AEnUiOHsKVQmc2e/PZ5y8ryfc9RFUQJlCAm7F7/5E/1BzKyWXSGHPHorkA 5wrZpnyco8u2VuADKi+lbJr0i7wCT6xDTmc+C0X0D+GZWku6yiI5/LH0BkHzS+TYceOZESJhmTZ7 nN908r71+AK3hILgxjS+NK81Czr0hNBgrjrsBva6agtmlyolQ0kc73YUg9abLsDdadQV4H2kdl1H eyJqVCo6JEc9Gb+4rGzlaICy6TUj6fKczkEtD40GqkJqswJTOtaQ7KYH24O53zWiueKvFPnW7Psl urLAXq3zM1vh+HYFp+61JE1HTH5CMK0eJL8KFx/Q3NM3vEA0YmxF5j4t3TLQAAOnkPZxpFo86aer tJXk0ZTyMzJ8y3GYjX/xx4ComOBJlWpiFEMUvY0ONSRyKjJUfeZ7QX7uSjHWEK/7Dh3T5LBCN1e0 vC48zhUOmCW+XKYqKvjsWkZdCcywqv7uvwNAQsk/v5czHQx0SRGUDydMFSOc/9FdtH+v7ziofEvf heaGfl7svmFeWMnlDJ+JCKiIPEOwYpQDiy4S87WpFQvLPjoLD4E9eEwVt0SQQwzb9k0/qlDb3bN0 bDYqq18hPybwrNAchKs5wkmdNgLC9M5UF9wpeRRDxdGXiHvxpwpJJb/rGprU6nBXRMHmo18zb6fg o3OeNbSGvwGoQibd8QbHGc8ziLc4XwllhRPd9HE4i9AdH3q799OPDcJt+EDznNt6Y3ZqRzed3SBL 2Y2YkcHDu8cGRsERjQOWiTpff8FjDBpWc8AbKCa3eIQhHk8eo8FbRzDtVuWZ0/wJf2FAcGezEWn5 JVNp1Foi+WBlg6uVXFuJVyiOf1nnqsuRHSvw+dnH+5qdAMOT7CUHeiSLijrdQWdYz5DFMrFWWviH k/zcCE4FL02E23oV7NzSiNDnWbcLcGfwCkF/+EsHWCBl9Dy6JQyfCDWq6LTWcsub6B9fz9GmQ+80 /JK9+i76wMMEwilXBLVPFTXHbDUsRQXzqD9KneAuEd0VlGYeX0QPnRbl+YchZArC9o4WvMEOX+0V bEhxVBs4FsRagJTs5dBSwi7Wrg2IPeK+HUF5P8xRwtwCg5AqFrjp4ZzoaBo/E18aPi4UcWt4NKB0 K2cShf5Vo4pf8VT1t63AjtxWnu/r0tNqgajUTWJgNs+20eTv0ri6WX4rXPcxR7iMutax6aQLKK/1 H2O7BDDI2LedpALXr5zxPnwb/BY4t2kqdAQ1zMry3RCL+wMwws1xGHOZejWk9QfTi4VxpgJbDei/ tQwf4asEnAn65bRQpKr5AuQDCffjKaQr6LMciksJtPO22yx62wHzqmz9EgYBSLu+8JpHvMAkrE4p HW+l/Jh7slbgSJklt2eVE1AfduHryH42a4KjjBIm7Qsy2C4wnscZ+uvYudBCwTZO3W2DEVZCtK7w UOOoJnfPuSSBf2xpridowIKRdz3n/2iq+bsDIXkvAyZx668VJ4NF9VDXHSzhHdObMWIUwqn1MUbV n1dsgc6L8B0n2ARDMo+xumTQ42GpwIkt6CQEh+g1Q3j2slFEiu4BnKwFXBrfa+Rs37NJ/qsIpyOA qO38yW2ArtIbdZCqnvm5gs7E4cHMpie8EYvklaTJPNEHnXV7F+x+kwlTFDmOkHVamGci736fum1W svw+yfis3JDgeyTvBgHL/1tgP9I/jMd4vxrVA2Tlj+Vi2GKEE/gcfi/0+AZAEzncTu6ZNeHm4O2+ tLXuuHMkVCi8vPt3KE/tw5JqlBGplT5VmQsPqH80Qo5DU0FTolIaGZd/fobBJl6JbatBkawus9I/ BWplpBD2rqV3mJq+xEUiWO7l20tOI0KZpEPyAqWQxwGlVf/lWuPwE8go2Zh+56RRX9lYh/hmMMxA BpQL3OoEe6JQ1ag3+fuSk6caPFKeQSBNCnE0D8TkD5MkZgMCEDs3G3QjG74qokJfnDjZBOTYl2em oWLHi3Sm/LsYGxNtDFob2JDyinpJCFYaeBJC6bOBKJt4rKXZMuslxFnUa3TwJuU99HOCykZwms3+ /J0jgGpDCnUy7pC//9EyvWobBqjB0SgdRaSqOMmoNhb74pT4h9QSLYXkCSBgSwrJ32PLHtECNkVp DJ0d5sG3l9rzGm4LsvFa9UH50QIyf8s/pmex7C1Gk6TWViQw+4HLB2z1NVOCewxGp7qfxhnU7JMd eEIardgE/shZ0Hx2zPQKPY1391RIF1NO4fpMMzmFQMXFOWj4TG0O3IJ2sZLZCOw2mJ04iyLDirIj FButMMPBw/mj2divd9KbfilH2tbgurO0tkJ182hxJQBg/j0PkxBd2dY+KRXg8xU6YbvsvtW/RnX3 qi5dQ52U52sh+tre/CwcaGKLJzTyqt+zu97oJ+PTVFG2YMQZp19gY4K827dW4g3XUjOyLCafIc9E Eekf4J2fe+5jGUYZQb9DgEjiJjA29arh3FfQBJAhH2VpHzaMqbM348jecVFx7jWVShoLb6JMx5K2 In36QvFPMPKJdVKI8Qo3AoCrekNv3XQ8rRXGVG+UtkGtFWIUHSswr/5Pex8jq0v5IMVTIGStEQyZ ilbL3O93uuNXtBCtEuhxKoftA/Z7wmwEcz2F435TcOBv2YOpS0PZnkKdh2On5rQn8LqeLJcJ9hMW gLveLMT5tG1oshShh9JG8fjoa4QtwbBEjZhiygLW8TL8KN993Y9lVQ4M/DLnRKe6UcuZCygFS37x yDi+wZ5uPy9/wd7GGpvtk/Jm8DcM2Q15409FBOu1JMzqfJEHWBEUB37M4XAgOnAPOKN/aldJiGu8 sMuKQwnUEvmgi72kqKob4GfcMzzTqADMocH+oi3s/MUvCQMotXcUuyoamfeZqw6XjNEsUyfeX2Ix PtMbX1H4IejbngkvXw5GfeiTRLQEAuZVOTL0VBsFPczKZUaynelxXBvVIbfHJIJg8YM4pjB3SG+X aKv08HY7EBdNbcFO/s515LAkTkqsyk0T0FbH1yCEdClWpg/R1EGCF2E9IlaLfcVUKCbV5c2I77zn xH3mNssou/TBBB9YIYhQs0e3EfziHHDbJrHnhRVpZRmOAn4FBmSCo8raQKunPSC7FXE/Ehl7LkJR XoC/YElNAzAPjcYcZh0o//MIiMLus0RkSLE28iiEjONAzfWULGSkLbf6HDuHpW7OhcqC4PvxWEH0 PWn7WtO3fF8mLVGE4T6hpqs9y8TwYKW1+LLSBDrN//Jag8ajXXnF5Z/D12kAp6dUmuzfK3syk7Cv foeQC/1lHdsTP/qPsQovw6np82aMleECiPNWiBIsBNURGzno6UnA52lAMAIkyi/30I4NGkZs2sd3 g9KVOYXHpaf3thHHXJYlgfAVPeMR2KHNiMLbXvdc2AWebJkeBLF6rknGdN7YnM4tBXKOzg53SPGA nP9Yvr2VKyCUnVkX4MBGZnHSrgKKvPe6GzTL63YIpinFsWddNj7RxJwvVC6UZaKAJl8Bm9nTAeZn K6EIS/j5idJ2x07LFpHwlCoPMOEJImgn43WmZ752wTLXG25YLwMiGp+qV9BtrHIgm6Xyl5wp43Lg /yEK6PEaOKry+to7ztEZNlB5DKw6S/yHepu/fmTX3HvQiM3IB6kUglRVVfSGfCS20d3YVEdBa+a4 5KFGOTtgmxgKKbnGf01RDvI20iZ6tbZ4dL0/hoSx8zChwVo++mAfT2muBoel530irBUpyGA+UcsE jk3CqqnWEmy1HFFRO0tSRUNjjmYmtiEypVJEGjiyDDXk1wWuzAbh1/yOaeP/FsGvEN6mFgCFOGbn wotNH1fl354SYmUnuwCq4vRJ8NNRcuvD1CllQ/LuqhatCOzh0enQ4TghMMxE8zlT5SvBdKzTkgrI QIPktv9wpN4UixargIrsW3A52UYK8tpfhIHiGtxVGvlTpI6VvEZoC2l3OM/PKxGMXD9xT5ZLnX3I yzbJvJ0qd72DQvkK3DUEpfBRrtk1nxT2KEOSghAY8gKLL12zZFwzQDcjsgP1/LMAzutR89LduC7S OPxqEqlBdLC/nVMY5k6B+Vv6VdroYPfeKtf7GWquT2TFTTYsLAj1lwjZ6BsE0jweF8zKdB+4hrku iDSCMNuJY8D0+ZCTTLcs+44LN49efkb7hnk/r/HpsJ7NaeyUWEmKxn62uJiXSlGwYh6h7g9F27Gk g3NBET5sKK9t++Npbh6UtYhpZS0wDOWBA5jSHkMzuymwZt4jvV/xNPp90iQiXnSmfefJqsnM860m rzfxJKRlyG5/UPt1j9y3fgV41msv5Mi5y6ShZeTXleRrO80QR237f1JBxzTAKOT4ZrP5YTFfa7uD VJsQbDx9TK9Sa2btyOizVMvGbojW1sMmGza7CYW7REAlhMZbAq02OZbr4yiMh71xfPMVfOZcqRgv mDDGJWFMybIYwMF9zAaczVApoDusYREafIsLq490SjKx92t4e6dIxHcRwKbR+sM6yrEq4BujXU2X 9hCqsJBbmwa3nH6+mNp7nuWbo7Azrrxs/bIdTu10ll2UCzB4PA1UHQcYZs33IAElQnzjHzUgTzWK bXGCxSqpNHp8yXmJKzgUYZ8NHSl2K7e7BqJ97oEREVeOaUCddlyHHaxa4w52wGm9Plj72bXch5zp 4Zt9JeQQT+dH4uJ4tOPrwQ0Z77mvjHHX0ADOUvawnXuiqR+d/zzU/1Xq6a53Q2xvYWTfTCAVNODJ DPnx721NasnYsYR1IF6g1djJwgnIs/lhe5Oy3k9PGZOQwOdqn6lpnMKFTr8Cu7FYnk29JqQVau5Z YKGapY1pZ5pk6LPBweUNc7TV0nOCftUbVF6t3ycgTHiWWVXTEZRoTrBRW9Lnd54SK4BvZtZL9Gm+ zGyLBgjkBPU2fl0E4Lt7+S5xm+SpGSXV4UT7xX8bptU/q4PbXVmZ2L6g0FT0ZcSrn+0EjSJeYzWB RBQCm+ysZZbRYNW38+G+WVo0cSe43fg1FphRo3s3N6yaIiTLaPLgiUSDKmUrT5UvezCPd1o+K5vA I288mV1iWdrduuHPDgmdFeWR7V4QaxZtoGIN7kPDJVPgSdKN1EB1hsFaNX/SEQn8d8oYbC2yBvgr oMOIONXcC23g1URSEP1E3YJjIXMLQoXI4Hhbr6XRgErPhhjerExMVwTA/0SU28De1Z08W56CmuWH eN/52gHpcqrvGOtr2UGWUiqAG69a+lenSG41EVr2RdXYoqEl8elEAcevLGGzxbm3EcqwIA2Nnlba VY79o79YUJiu08+jqEEyR6F65rYc9xYJGlvEs3qeF1MrxRCAD6j9HfcprmFlyvEuAoBs9ctq0iP2 7j/hvYrClyyw0GDUqx5bqQoXsNbKH9xY7xd/uX9Zbs+3KhS5fHLSA94dYn1CX2JabbvQyOhXhKV/ 37Y4muRP36f7Gi5sJbG5f1X8I5MG6dml6rf5+FUBuvQlhwocIJ5IWuqd4eF78hYBsa+8IjzpmjUi CIgK6yi0uq0lnkbSkqLjV5d1JnQMZWQRZTekuCnSH/k60TLswisX0+Fo2nbWEforPfneS4qCCLQB 1if2UBEnqFvy6VAhdFHj6fAfeY2Ua2/CQGTpGDsyxXtiHSILsWb4QcqiJ+Je0GDpKGuUIYqJwGRK u8M2DcndChj3Jsa9DD9pZSnoZs2MEHQX8eMBPu0vAF+B+Ds4AESykKMxdjBmlxcYKkpbEsnBw9Bm 02U2F0ApaXxoxh/GRZM/7JMdUmHKNnL0977PVyr1C98Yw7Pgaq6vA9BZzHt2zRM11/WIkbDqjnzm 9O7ZLrp6YMW81AtmoQwjGALrDl+zgcHwOZ1zPhVnOuL691Vi3W+0+rOasN2CrbrCeqIsy6q43BeB Q2Z8h5VG5EGWdPN2Pg2MaMdUMd4A6FAq7jBl1qw8cKVQ6njsjAl/Nexm0C+7KDDo7Gj8sNvh/5k/ XklsZQcWNBPtCOB8FXYA8+4UzOc8DKm+FauiWSezKRvFMZ/L4m8tO8l+jIBgbJxbnPqLYkP5pzmr 9UJlzUG6VLPnJTkwknIBBWATya7tnM7U6AHKJa8TTACBGLmS9Kff3qdlNMVdIvI1YHg5FR/rEUZ/ /tA10v83LJy12dJWKKuoV+DiqfLznMKOCMIYj2A8ZeO/4LDZRfWtkUyNx6ie/eMl5EOc2xP0OCgZ tda7ufqDUE+m2k+6IkG0zA3v7MwiDBFSdLccmzVqsC7Om3amokhIBlVlzWGEln3BeQYwM5RmW2O6 g2h80WLWocs3uOH+G3WqBiQf4Pe7D42W8rD/SV9eOklwLHTAfvKGLVAtaIrkvnzekdFUj3k8GYLw GrHhgItNBmviFRy9yfo6R/mBVW5G/Zp0bA9aYfH0gbvcTrd2S7ntQ68/TLv3vG5X5SpaRIzCfsRP O+LQCpFGugR5ilcFg7DoHi+5pFLbTuDPjUjB0WasltzgfnMnSD1m03IryLRAzvAsiq+pguN6mlwE efz6Kv5W9zEtRx3k4euMkZ9eFr2xWLXAwUYY5ZS5hvDHohV61KW5P0Cdg9PcyGbLnSMiLcSk8vHj BAOc00nLu+OuxV7KHjj0QMNMCj40oB+0NPbopaSLM3Wyu9STsVTd2eZDjduFB8uwjiVO635wLuSE vLL+4Oi+f4mxeAq0J8An0ya6MMlOO2p99KU5VlSmSXIqWgxMCNCY8WkWus3ufYGJzcNOaZJEwkhs WHj7j9ZXzF1DUDB3C1wVx7IJ7u1hkGAaMXxPDmRSOarQiq54z++S6lRHXU6SiPH0gTszhKqzefJW RwhIXeM9+hEy5tKTgy/5MFzVIvnpnufDwZIMkDh2l5mSdODPRO9a808jzYrzCzXl8Pkjlzp1/X2E 587PzV+VawCkaagxrLjgx1nFeAK8QtYf2L39G4FIpx4TMN1TtuOrdd1wBu7qglhPHyslpfn8Azbl eAn3Pzjza4aCyApxiOMjxUXAmELSdCNjJaj+rrOLAkZVO/EOJvUycXcxpvtHhAuoHVFlgoy4OVq1 gBlm9WB/hO24FJLFs9AUHYq2OHlSZ25aGdeiCArmJ75OOt1k/tHDnY9QVFcIzmgqu4J+6Z57unIm M/WVNiG6L/SuCQg8uUytomTdIDfBenvS+UrhABAULdHTX6n1nGlrWougf6zlBYJ4+FVqFi++673z zpTmFh2aHfR6f/QlszVWArCl7qa7J7/gMSDNn+7Y29h809TGH1Igt2H92rO+oYWNBgARoXV8v580 eCFItRMUE3nl4T9we+268XeMrmfUfWWavzMZd5sRnSYgQsd6mzmiCpQh+DMh9wGCsPr3Ia4DWGbS iE+uCvvCUjhT7D2JqS5HdAdke866KFhxXDIZl7q/oSl2xQh3XXAlHI0RqI+NQHEcLA4r/1mpJ/rl R82VytG6vNZOqjo/dLyphK8kA9TYaUEczKTypaEz9HOFAr595c/976+qmb13vfvyiK9R22zjieEV ieqCbs3AjS4EGyffV5BPWrEbsTTVYwaWtsis2huun3zqjSoux1ipyTsBQgn2lG/hiWa5FAYIgNX0 av+ux2tEXBSL80a/WUuOHrv9RXkdf5WSLkRSJowWcOKX3prns1uYV32B7GbAPr+450t53XvbYVaR grbp3oUVI55Uh8sMdRntiyppPLFt8p4a4V5NZMdLSdQkcnvz/oDNJ8UN3UA4e86CQM3+VD8nAj6W +eXZsi+fTq/tIx0VeKTA16k45F4emNmKIIJS/yRqOO1cnDXw+/Jm5cea9Nrd3H5OCSZ+7KyuVNrH +BU5/o/OUtYnLaxZ85aeZaHezXie8q/3JbutlUoxyfjKTuNbVcv+7KqgHOEsyiN4TzLW3NiAmObf FOoHPHWBdmhddBb+kodqEWMWwqXJJNq+7f7ikh9O0E3pf75dyqdclrs72WKjiiF4MqDu9p9FSu4N Ymfh/ri6flQHbzO5gnS3oeaRcSSOoZ8uz0wIYAvR7p8nU8o/1gsHMER/uLCxjjNg4eOtBJrqxdBx 4QajupZOvCQO/jPEgefw90jisnypd+tDCcdqnSBYMpUl5dS+KgyrmkBHNGD7qGWV5ifC57fiGMI+ u0UV20vIHDy/8Huq/wojX8NlJmOSjZMl9FR79fpNvXx5vX51E/Y8nAv0lcTWKSUFJtB7H6xAK6aQ ATP0NXJYpbBv+LOeyoFQ77u+F9X32eR/i26ECk0o15n/ewS2ZHV6M24fVHNtm4TlIOOl8XCfKrru bgp0qvEpcPEwKzEw9T+o9ARyWD960mNKxpz8izxpkSn4gwZK3wa/iyZJoI8L/2GA+A+pJ4Ecr8WD U7nukZu+cyYzXzEQ0z/fvYZAwvsMlv4EISIntnxPdex0TucopS287snainaHFmbBGw+TiRy4v2ZW B1i6HvTrEtwyVzwZ7cTbzYDnY1/Uyotc8efB8KylD83OcL9bqEOkclV/A5Wtd1SACUO9qa4aknQP 7+D5T5urT/Iv4kCiendhW3Kzh7SgPa1sQR9z0c6LayLVpn06t8sAg+vHzo+mD7sV54fFFh4ARnM9 H68sdv2YB2L8VdVc+ME6Vyk3dBN5u4Q5cKTguRqM+ePvMUNH3AnMNKzpzg1du4zEaf/+onqN27ha ig/9j4XtTQrOZ1x77OtL44FO4w62NwQUDmFV+j23V6JDqg7zNA2s7QGFX/PIXgSMuBTa9BnQz0BZ tsVeoT9klwqsW0e15lmbuOrcTUzz1ivBTer1YHuST7A3Y+27+2AvNRx28DJMebFSjqf7Yw4FtJOi EdcA15EEFQucY4qxkJTRy9CCDaOerdPp6UJeY94tS9XWChN8LJMk7p5NXa24F8pD9uZZYgNlbTa1 wRmDFHeZA6nceo22LkVG4VHE0n8nWhFCDkgRKmNMrrG2d9yIb/dsQuLIG/mDjXXa5jGhWNJeuKdT GnFhttnWCWdDxWbhNlewa7XwhHNS/dQsDNR+pxfH9X+GoT2yz2AAfiRrrQFNDGtSgOgb2L95PAHj EyluL4/Wwei9klDlO4/uCSI7NWFx00FEIKl/dDEpXCf+oxZ7mH2tLV2FMfdPD4X3bZo47LIm7HA8 1PEt0R4/g4LtE1l7g1YJZuMuM1hOPCiGdppBHZU9MQIPiP0OZoW2IyCdLHPgxdWw882nvu56oRgN bzDvnlbOBMKRc8dSn6pzfKX0HuF5EQ7RXSF0Vypgg4bge4HBfqbaREslQR6gUn1cla66xUfVnNgE P9wTFwezjqOIm+5QQEzfQswb8zRbwaTVvI4BC2X1Rt3HaMSUNoVwlIlqVBushFt47TmEh5u7x3N9 DbO+uK3e7gS99UCRwL1K8upQDcc8QIDkw73MrdsulbsAMY0NQSQ52h1h2g06unNdizhoe5UjVSAJ Ky1zxOJaSrUd0Eiowxl4Ti2SsqtPDBeXpnzq22kWQNIRn1+RFMSTnHR0hPXiZQ4fxrcrsZra7VDo 0p/zH5s6BuIC0f3MpO8VSN45tlQHvOGXPUjDG1tiKsbFikSMKDXEGrzWLQTsEP6RgwowljCJ6gv7 YriF8jgBWOMoNWcgM5NPFF+KmQ0MDWwvi7kdWIaFuG2f0I4rDF8zKap7SMEf3yjpMA4Xqf8yPSH7 L+ZAghmEmPm9LEBznPxU6v+eS5gDRC/J9sszkiUNzAzHbmlycatbwZUqOXzDWl/ZMR0SXp/hLxUa UHa4O2cQZISXRrbLiRcwD+94aQ6DpCr0DJKPzpxks0RuFJQObCmMQtqzuBDivA5o/aizIrxR7qXx qNKgbvp2a3MgBALhatb7XClAkYrH/VRAzshZgT0uYItlJyQ7ZQiR7W6gmdflZA2sO/XcaW0MAPjc 9Qe+3PEud5N1OFurWHuBdIPyYqGjVCqd8irs/K7LLbxKZC9C0HTW6Ma7gdTt834mAoinCSDsIHae ub5pGQNttBHJDN3nMmMTqskNdPm82fo8k4xh63LlbytdDBs/iN/cEEYG72BfR1eBPxCUoveqcLfo 9o8TD7ClYoii+NbIEUZ5IifRM5XFFhwbDRzzPC6mO75NJo/6//+H5c+gCd5nIMSng9QGOj3jM1OQ 2w4LM4TCrqLFrle/4L3xXBt/Hy86KJN8daEk3ip+jO6fN0pFj2QRfiOWrr4QsL0rmlGEP3U2gYS6 gOb4ZJMNFId4U2zQ2BqShem14ziSGlfWQfprRyqb50lWnVxrqZiSA/qdFEDJsvOnkP0KtLsoGGkS 6JKMsLJW6x6QAI4WSxg9ljQ/WZCiXVxpjWlRdSarURZ785eSe+kZ5NPNzsJOEUmgMzrxyzOj9obj ck57uhzP41q40sk8I0ziZKkPfuZMCNSW9+trjEd4OOuBDbafqy1G5oLGPpT87IhQhUaeCcgDwI/C S4TQVT0lNpg0sFOP8PaGzP1FliZM5U/O8RxxNxBx8UWDR62MRh5bupsEj3woMinWZ68Zq+qdj2L5 GAVOLG7UXESlm93CjF7dv06lLP8gwmPhHnlI/oZVA3oNWDkozEl016wWVQBJ31W/IruNsrFMl82C vUChPreGc7Lgs/eFdEifL4+5Fjol5khcTXxZYTl/WuXf74lnwgI2qp4eM2OcnY1gjrz+NjrSJVTM UECMpy1qVHf/hzp8qU1FzzFO5wyL7iwdOi+rQ36teyYzM5AXMPWTC3De69NIX8WLJYMK96R7ARkb 8hznlVy0USmwaHhfUsaKzplM6la8zrL+cJEj5JfZ6QEZ14pe85fMzizjwtre1F/J+HPkqF5so6Kt 1TM8/HvAz4qk3iZsYbgCXVBNSpkl5Dc1oB8D7wfqcuJtPGsB5cjh69Dk8M2JSlbJjeyMa2hcUlsD nqu8KxTo9BYZc7V809ijBPGnJyVujBOT+2eTaH+eIvqW0Nu0O7hkclrjwyOeBtvfenkKWqSllNJa trPVIC7GxY3+IVh9Xrpeq6gGmrk9ntztWLgEyx7E5yxC3tCdP79L9RE4GTK57by5Ioz05mQaqfa8 ZU1wcjeFI5P0g6UGeCGcOgO94XaBgD91RWWXYxhPTBbn9nlm7EtEFOijkn4u32FgAGNvFnYAM560 SI2Lo041wUv3vzTyy+GmGB1AWHAId/IAr5f8c5TL5NJMztxEhgQoQZ3eYsLJrYbXh3MYiTHurw7j BzA5bXF2fT2a7ikBM1nXiB+9Pt4/gniKzxqtTcwZ2rOfFaohe1bMf0KElthlF+in9XLKxK9SDrXc TfOe8iht3hDC4gcr6bnZmE0UF14ya1zjW2fEJdttVyvTomq/ckS15/Ifz6iveNAXrsAsb/DuJ3qA M0vNWVKrgwDtTDlOlnVfWf+5CKuvLCRl1VzrTj4CHO0XOtHf4iSuQB86AG9w09BH00P+u8Apdlbn B9rW/johy+a5PgLmACFMFQy0l8XKq0Wy29a6k59YBA6oV/JXjkMit2q3DcyjfKgZkz9SEKEwsquV ExhAGkULdPCMu15ZwiqHvJMCFE29Sb2fSV7uw11YXxeLVegUrh48EMhLRoyckEj7wc4/pFi5dA51 RhOUeaMVvjzyryTC96VbshiwFW0YkuEfqcVXxB07348JPe1l4zwmxCaSWmWqo+PAWbioCvE77OgW t0lP3QlFiPoyMQNG3ijFINeigQx4vC9dkVxe3sFBhJlGFhA5wbwCP2XpRRM3TKu6agdjSuaT254f Oqp1jbfMpzg9DN/6muJwZJk4HHfVieSgEFCcRzxcUs2WJBN5r++YdXxGO7FLiTgP61iE4qwHIXX1 vSDJFzPKa1S7AdgMgVUYp8U6LVuQFm8uLd7YZ8PLR9PKlQoPXDjnvG7yC3y+12ssH2sgdpFOVJhZ wv6KiHlaL1/x6KRGQY0K3i2jjAZ9v4iPYnODDKA5OTGf11C9JGKbJo0Yla/qx4CqYYxfUouAjLAy 2iUGoHM/D0UEpg/M5U/bAOq6ZifTNhnP3hMxRQcFX2kSJxEk5yatA2TikjHZ0/Owooj6Cq7sMUfy 5nTjvluJaNBWIoB/l67hjk8jbttA+f0Pia/EF20RlGPmNoh2FnjN3TFRCitbf1rCIVNe6L+4NbCD VpeLe0uFIh3ba7ih1YsgHSJ8eUBdqxQbqTOqw1aWrijJsxvMGJ3AKGyNwoC0J7xFW5HvQRrAs+O6 VfOmFEXfgSZ+lZUeSR/tgSWObHmP9qjR3g+AWOqf8uDzI2zse+QkdnOEdJS3j4k/FMHR6ySI2yL+ aJ0R9m2Uz29E4DawKPPod78oVocWhDUr3OL0Yz/kj/mcnAlF0TJmNM/NXB4wq1PdjnB0byDM1/yQ LnGOQ1ybN4TDYDlld0XuiUOyzhtL8Hoj7Cm3OqhFC4j/JvsT9aC3tfmybXlH0gGMeUdUen4tSYdW gtHlmhi8yKHvlnUG+tvpVKlIW4FrVtPuqAMUYpY1T8RN9YphAG/U6x53LD8nijm37PMliTuQDp8S k6Hi80tqUGXI21tJ+tG+vTvy6zml6xQzJxHwqwFbmH1iPGLnYX2p8lOI6xa9cVdjlVZJA9XKOh5N sx8hURcGJoc6f9sP2oqk2w6Ikx0eO6cxaAfD0hTeY2Wam/xl7E3j4psdu1yeEaLHFfL8YlheetdG krfR+HjtOlNg/uppzYBg2v2pI/33WFVzuOUP0U9qC9YiPqxK/SH4rRPyW+BzTmgh0lspdY9yBtvi t5AA0/KOONt6sLMrSy+XvraoZXJWTmO9Bk5EHmWe+6mzClk3CpwvX7gi9whWR9HRnh9liSVt6FnG j09ewNhu3PDVVcORYFi0/xSZQvoHUD/N+Enzm+lf5Q5sQPo9JzcdM54pWFLMBWD59fUMC7K/okhM SwJFJWRGzCjFb9O5kiAorGlAib+EOAVQO+LM9CmegK/vsnX6TkmkTgqiEGjloogQGVwGZuzMgHDQ H3GuuNle6UQ5ScxAQglikEwobV27sdko/2Nz7iFKdc/F/CVmCnUiWXIK9VVZI9jRAEhEONOOgPRL WE+FG+A0qO33LvKwv3DU7TpdfqCyLOX4F2gCHu7ddMSB49v8ya2sHdWjg61szPOUq6fYzwyJDxGn o8OEEWT0V/6WPcs1JMWVoqnbc1haaLcJ5XK2HSgA4GOljLr7uLcGbxU1BDFspIaWLKUSXhS88m7z E2LsLofuSNnnZ5r+8nFNJvtc48rfOhGd5wyQ94IPtSCiwRJ5xFdFygqu77rvVH0yply5S7Rp6HgH FDwCerP4hU9utbFN2fI/Uqz7jo62fNvEu+Zglya0RyW4ggNnVBqPuLH1g6Slv1tFj2xyVy5paBXS yCJn/nRnz93YlESWkUCBY0ZUkr5Ny9VHkQuTlDhTIFzOGZi557vtQzSN7QGF7eTsN9lO+BXVlSfN LCGNXUlXPrUmbnIf7eoGmLiPSpGyXfHFY03NQ7x7kd1NsDQQHemKMc9gxKNtL/vaQn6bV/g3xYvo jMNhA/Srp+N8OB4MIh9HS3EVZma3XaRtws2HNANt5kcCrR9q6KGfAvqqNGWRPH5iiXcThsR72O/n XKshRHGM8ckATnJ2tyKTF0FvWjdm8xakHdH0Z52IiGUC/y3hP8dRqpiOjVrPeFIhkI9InNMA+MjD KFBmlTUt+SLKgphxEi/Q2ekhHZKSE2OOWn/jOPLVeg7vBEHGqYQ4ngGGDL3cLIIkPDK34pBybUtr m9gQd+ILNnCxO7wB4IsS4ktGnCkOH4EbIoWojpcZVJiTOHW92es1LRI6C1Lq7jIb9RwYwTqUenX3 hRySdqfTmIyqpfAEYQCDl24tDSeXvrvi31gOuoHZnIiM2w7+GOpwUXQ4tF5dxtxkYgl94YlWikaF TfNFGrcJYKZHmajfgd+fFPVKeCm3d10Au9tNfoycxPw0TStGd43n8ruT82AQWrRLKS0ELXpzNtk2 7JamiV311NrTJ784owaW8qXi8pj3IhpK4b09fHDR3kkMAFLVG8wdoptKQY0DbmWGmhNcgHOdcl1U c+gc6FzbxAxIon545KDyUpIVaSx/BTIanJtGUFlox5QpasqlkohVv0ugCK2R69ctedOFwBEpE2Up P7efA5U7kmly9uAy71j4EKx2Z9LPWs6m1IC3jkyibA7vioByrHnsWZeKNrVyc1OSLSfSTqZyCzjB dQOs0CTM6ERtLf8E5XfdsXgA2+vKQeBJcWA7mxdTih+rY7bHnYC1xotOqFWPO+EAWWD2EEVBdB8w DGPb3dV8qhIBuXivmKWgGZmVGD7yUhIrJ52EB71t5SlvURySp+wVW9ktjRREZKp72qrAHXfeFPwN bdw0ZfshJYnv8iFHKYYA7eD5oGrRYhNP1nIU0M/tJ5EM92gOzKUAGf43xm6YfWqu2qAyg+kfPq0m pDhCybaqcxXTb351OtFB8NKJI+iXZEgSyw5BV1+ijx+uQbxQXhg3Fl8CICUB1Y+h76WPZyKECF4f ImMM81EEtthnI7E1LK6g/KsZFuhEoc+Yd8gBNx3oiYn4ewpK6eAk/kizpNkuro3UzCezZexkDkdX lvCEWz1URtvdC9IsH2U1ire21lCWsa422x/qyfSsBA00/6xukMcb85L8nJvlEXGqaN4SMJVPCBst 4Qh/O6FPSe/h+zj6JVIE17r4S6+RfNr213Qq9SunAD4XUtZS98zGVBtgkPphuAlyVw6QXjipFKW6 lH0DfQjNoawj5CPeH5NXEX7vwXWLdqMGjd1+V0xIXBiBnyVpaxrjQh9c1xl4SoTv9mPkxYkdYigl y2OgtvadSQeA+r3/6G7jGN4zcMv9tltxbJvF/qibGtuH6LWUzWQwiqENjxgkkhZDBt1ud/tIjSMo mSKGvs3mRVcdEY3/3n8mhlD7NZXA0HZvA7efQrzbNCyXSacDcutlbnEh7X+2OptB9N4naQ6Zw0BC mIid+AyPvYGmzHTsNFDIcaYuvO5FXxebs64oRSz6mIOUHXiZtPayLu3P5Bkx/NLLvuNL8omAezyw 2Xm15Hi6exiUxEbFTJRSNCnRVuFzJzjhSsW/o7ArRPlrsmDuu7jPjZ1PVy5On9aUR5jDpKtxtURs Ao7ZYOi36+XLRzPTcS05TqkvGagPKIcdyajgpWkrr6uy58F/c8C7vNC+wrvY83IRFGxanhDfCyMP sZ3K+aAxJEJpjS2xKb//XjuTg6nHu0BqaMS3n3FpJAqzZ67UzbBIJ1t5fLXu/8aStkmPR/a2I0Oe +bpR8opopg6LJv/7VPMYmsV2SZ8TmfgTvNhWQHC+R3/0LJ8YjXR/BwhIHJVa0xA2aUZQOfVYLMdB c81yjJYCGOAx5mtWllsDbsz64Y0ZObHGo8yM9ON9mspZm+WVuGSFjEoO1W1ZBlbfhTnDnxz+zdEF qcYURPU7zeiYFEAyIKKaBG5W4gxjj6zUeCsXCtDEfIb9agLehM3PBtHSrx6/EMp2kS3wbjxagCSk f8u/p2Hv4k7QgQRYXGSjep45mOHgCaoaAGwcWzFc2s6v0zcW1CU+bcDsRHhoBKZH84JPQlFPnTyn XtrVFuwsiNpZHm8jzzKq8IrloRHlrdpMLrgmGtKZUcPUt+HyQd080kXI9/kzW+VnLHZqo8ab3lgv m1fkNWk9FHcRy004MaIY1C5HIPfgG6kQEj96HoZLnBQGtlDWgeTqd8FZQhD3EMb5B5EiHjZujXIH scPNkjKj02Bapj1qOdPhpyUEl8s8IU7zl9dOjuSWAwcylYcaGHpkPAPIq+wLD1zEHSFYHvcswjl7 tIoXpjNzsjsc9ctsE1q3NObd9HRQQQXMJK0+Ye58YtdG5RgnuU+RLK17PSVcgEjo3kYnw5tWmax5 rMNZc3nqko/nJm7nNo7/+1yIgiA3c0o6b9Gi6i3qKP8uTYrXzfd3Nm3LI9ZAAlJ9moRaofQpjWj+ LzG3OlMOP1YcSe1tfi+TpVgJ5PsNWNZ13ZBR81UH7e/aWDCub3f/WPAHMgmoFgJbkFWa+xXzDo3D VtlP8SNDGMG7yYh2sAOsRx/PNZ9IU4MZFoz9dlyQHi/krbwNT+UQiqUCDD5AyWNyPMdD/i0ztwqx O4KC6fi5uRVbUbYmuGiwtR+VBcnjgP2kWKRzkgGEFv1EvPJFrH+0faKJ9N7+T9IFqH5ETtP29pPZ 9CKHIryTginARfPYUa1DKDmwAHhU3XbltF1swwbFmLnHCJWCVXLHqtOOLHBhL6KQ6CgH+GA/m+IT e20D951t3PSfigYauQjmW+IvhnvJLIxjlW2p4lQMvbnQAtiz3TX18Atxr5XtwP5za8kt5aGWJG6G aBzaG+uBL7MuubJ3fxD+Pn3N4GRthmKpRfoeU6uILCDL7Ni+rlsdgBSq0z6aPtVBPi5/jnP5KlqQ u2umP17yUuYQi4wACNVqD09dNtDrxHtqSTYmvYwgQ8iPfVVDfcYOm48H6Y8IaNF85D2h1CPc84jC 7Wf5AKsJW49Y5fgPzg73a8kLjaiPnb1NPdXIglOywDrDBcYvlpuEVut9hCVJRVYIYRwL3S16DThH lK+S6kJfZWHBnuY0Tz/wvoCs29UZGhRfAa+/ViJ5ZVQL9MxIj8JC2S7MEV5mEekI3faegW8CheSi z3hB8ZdQf7M486oei0xolnjLsmSLi8sjczZfF13y3RkUDt285MnxGDWva3bhrOGXa5YAk5j4+ZsN 35vjNsxtB7dr76uIV7dhVMRSiXDMstEl4wJDDSYKiVaHx6gNsvANpm1kl5BoVrmNOwt2bS4fptX0 8rfx5JUsiYsJH4dBOI2vulVuvWtpirG7iWOl7AONUC6Yl3SA+W5b6E/2WhK3DxD+q31xFLTsghAL LyzJX/NhhFlORCImS5yBYLDZtJZm12AWv1vxOwGdmSkunU+PEBB2/pwiGhzSV1Q2fARdoI3H+/a7 gJgLq3sAAU+aLPYjZURvWZf42zc6Xwjz2KkNW4nHtyeu4ab0p/lXPGyDJckineEyYr4bILhu9Pkd gBn5x9lU/tnZPj5mTXI/X8mZ36MJT/RH7p2mZiVqOU6P9ausl5FOey8ZrCkW/9gPz82a/CQenz9I wBF5zGUt41i9DnWxIWH4s+lgt9t3f1cgxRc7/xYBW+0EfW/M+zP1X5YUF6nGmSaaEyxW0KVYzrsZ rUxnP3ai2QxbDIhMU4O2ofqf3F5mCnrdSZcXpdGFhEoionGbO1JvZPymQr+T65JvjT43u0yYfIaZ WxUgAgI1fGsl2nR5HpgrMFny+FR5Y4sTrW28LLGKZRoYY7Ar3n2q9/TpjCCc8QzjG+Kz9VF7vVYG YdBEWQyY5zPduB/D7eXhwANv1QwrfvpLCOGV7mnwmr0f+O09qiyPFPkKOO8ecpgG3SVxVzSw2u4K e+UyPGbjkgW8cVLrBHemi/3djmfbfw1UZflWoHeV44zf0LGYDomci2maUlmkxtkgl8mTtanA5XP8 Sajc8hh5hfaxmGnAQ9CDzyZRQjvYoaqZS2YPjw32Pyl79+37Qnjr26OLAmVazAgJF/1O2CaU18O4 kxBFGGA0vjx1PDN01FwsM7SeX35iqQh/0f9hjQh9XaCXj1MhTu3rnRqZgY/E2yLv0sRVS2bmavkv d6YDpqdXB7SaFknvVbNo3uF+1vFDA5h6YO1DYK8+dFReBUr0mz6ogp52iDV38UdPeDLtBGw3xozu dpDP0gjN18r1pJN0fdRDhSIQ8Y/5x5TVGM3mSbo7AelSKeKHK59vUD1L0g++UkhInkik5NXckCUk ReRpQr5WbFWTUNYnndiG+WVmVklnckX8mewjI/3U26jMrmjIi0kh6gowhUZ/X03i37j6sr5YMwm9 5aaoseW58cv/6MIGPyGYUXzDzoF32hMwmGIizGsyoj76kOOq66zq2HA+yFu9ZwYbpGNZsZuuSvoj RzD6A0hfKzeWzh+OACAN9NeVRB2iChnZThVpIZ95SA5HB1rfrI9YTIG+1Sqcc75aovxkks0YPwyY k1VVDtDIfYxD2dvB2KSiZsRvfD+3o0DjdSmyRryQ3hsJ+zkhQ6JDHf0v47WUh1TaEiKjkqGN781J 7lNe88wQTQBighGK+o2UJ4ezcClfjUGJu4oou6J9f9zBHM7NEvbr1jyV5FT5LYU0GdY1L0FaFmSK FvbLiuQXPNthQQGCzb8hlOLMNC4/z01JYz93oOEi+UclewehDgFrJFG9qAiU74FZgVXChGi6TE3r 1YKvmR38TU1DcFFGAwH2/GsrhQm6fCobJxQ+3Fou3+A04rnx6rzD5zCqP7MxDxYGgJ13pZtYshtK meSBLDw5G7iNujpsXc9RIcdF6M0iScYPKbBBk9Hm5+uQcuW4X324TnNuAdc1BNRpV3Gnzz63kajF CVPzc/gehpvIgzz+FB7w1CuiKVAI4QbISTX7IkPr8aRkbmUBT7VLIuxHvtTf4YepDMBZWYwek7QZ xdeczUbcWBhD2+M23wtAt6QJyqbj7RrcP570a1gIT8SkfSpNMymkIx8n/Eng+S8HF2KmFuXIKcgc PDjq8mJguoBZh/ni3b9OdHip460rZyWb8nYR5xaqBhbzmY0Y2jKqM/lSMVoZZ46dhyLOeuR7E2uA w0E7BOTiBGOXVjXvDfuurrie6ahFo9ALAe2huSqUx2oI4FSjvigjtMIU5y6XrqFlYyL5ZYRvIECN Mqi6ks4xSRHyfE20vSQZdkVMi1yWSm+KP8ptqQwKVUMkMN0cdF9un1cEFwdreCtiruDj6ixNgJLQ TQiQorWjc6NFB1rZl0zcZJvDe+7q64y60weec0oRRBn4h1qearD+v3zy/nodyBVYgDWgnrBAqhDo bU+rGcypA1ljQtMhd1RIplnRL3RMP0Nf25vPf6o2spYq/hkTdpYISxt8qYtgQv8ODuk/8anfZK+J w1Uqrr6IqG9ptn/AyF9k4j1pU5dBGYrU/e2b5d8eOmfgu8MIrUm8Bzs5JSyiY1GGH0mng0V4kGal idWEfQmPGAl9TZIG36oGH0eZ6RHPN3ESiHVjrvPxIIK3oMeKSIJTC5W/UqPcBzl9W954QBKLqvVK hjqPLh5zL4dJ1UhBWT3bM6FW69Yt7onDdkbFDqtLPgHJpmkr9SttJiWORTwF5SGHKLe4YUxEFiyy 9MiXFlJ7ZCQxengBgPLmul+UezJWxlTaxBlL+R3Hjci/X1pv36FFzgGvLCQmhrrIf1YbYhFHNcnJ Bp7lBAR1JaHCXhEYn52UlMcy/9m3f4TwK+Mj1gf74deFx1tSzLclVCbLvL0B8OKPXqLeGUURQ5WG 2hVpqE2kBLDRvPPXqOjb2E08429qjcaW7A9qxp59gVvGEJOqVtyQn1dwi57yfCyCD/OGWZ0V4ZY4 Y/JMSkPpJnvHNH+wGSyZV4we1cBb2GgoC473MJlrSxfARvWmG/gRNPaFIgw7LcNfKPlzLExhILFj 1o2um8WCkePXQdbiWgFgAv8mE2CENtMjakbh5kC4z2BlS4gAFX2kDwmU7iSqtWJ1/hc/4zIofIco +7WcVKThjwQx+2RIqDWTYvrSuTeYT8hzeRFzdcZKawkKgX6+ICw050/l//zlzF0j5MkkUMmhxpVq 2YL2q7Jq6ZzXug+KcRArluAQ5IM8LeMle2DEFsJyHnwhqutCP+06OEwDMZCYv8OjpDXIWdK5ehqr LfRs5nANgLKB61bUb+Hg3IWjPBYGlEInYSyc7DoedMguFoShgbh5qXPNU9lYth6fT4l3xmhh98KR TLtr/pj1VvDy65LWZCvWEZosW9LsBcyzi5eeHGxp0Nx8mzy8cjFbU1nW/9NCxjvwTvdJ4lfyBXZr Mjvw9r5dQE2cc+TRLW0SL5Wi3OFfOYPG/RtIm85cYxXIIMFXJE8fpBz8W19XIGSL9Ljb5GTYckyy iqOMsYXwYIyUMeHoBlUg41vp4ZrnrnPjyOWik29xUc2hcDMhopJzFYmPQjXv9blolMZaOvQelT6X sZzF8jp1Lp6VCvvKk4bF8wZcs+aPCKYyRRLDWh3ZVvsWEcYCtJK2neylm0njGZnIhTMPfplhLbE8 BkDEzxFGIKKGojt0kEdmRM+m0xeEECETwuY3jUMrV7X4Hqc4YTzhCs2Mnda1W5gS5/+CTkFZaqfp Ya/uw0GgzmrEIegFNF/re8efbQI5/c3OUp0DL+2QU7Fa4HlyTyuj2BIeSQZuqai0d4vKG+P6ldxU JHw4qaWDkFIAeWZN8w5lex1puabxUUPWzxA5L6k91eqFpzgYOuusSaULcnL5270YixAlsHaoPisL yhMU7YiMQxvKd3X/zHgjXhSpZdDAX5HS6HH5mj/dlsLm17sSLQ7+u5Vx8EOvAk5b+MEIfBCGIlGf PS4rZpdM3aKyOVB2gSUW4GKy4hWWvOrouCSvIULIJ4llvSkn76UGVZq5IE3ndQ62+6ySvTDMKfHb kTDO/c0NUP9Hp/NUcFqCxa+gMtPedBqp2waDdVRUhObh0KUzxwsl82Qk2HglpT/kD6xCgFbR6uw5 dq4uAuI4x0YTLiKfV+B1OEmXpeIKo64y7JijBTueRKk5vFbVwdFWj1QNRr9lOhoMf51v0BIp68zx PM/kg6+8GqeSKgOMHyRIE2jOSBK+5er7T6eOspx5WQJFJaSXpKZ2na8fFWkDhReCu+AHsiCPwC1s IC9ks5FDPm/hBFuecLfU+CkiDhqIMRxihByNxkve0xuDwZSsBT60BWQWsAJlfuBg22emgRLkooLY nb7sMG1ubhh7pww3rOIsn07o/pVoXc71+v8/Gf6uECkhRQrrJVO9nq9Y86TliCBNonif9IxPJsfZ Fnz3ztxogSGX/nDFXq+330trCagUj8WneYTrivvNLZHJmudZWF40xss8PekWYfwZ5lvs4R8DWqRt 3l+bBpSFGSAGN7wuW0Yk1xTLa4Wo6j9dNUjD5Imi2GcBfvNP2wJ63woPfvGLAnzCohRJWSV9zumX 1nyvZvzDtR37Ar+2K/yzNhUhEJImPl6IkZ5aZzDbNE5XHh3D8WgHcQo6uWVjDacnVXaKG+q93nI9 X67gQ+jxrDymYp8A3GbI5Q34sggXxOoxJaTWaNY/Rc95N4Lq3N6+gARcQacLl9jciESNjZ5+pSNk 9GuSkFrugaYJWe484GpZ5arTl4OAo6dy8T1NZ0cwHxcWa62ENyup2+RvlBnWDcNO3GZsWiDHc+Sy Yd4ewCuR4wweu4aO7xUDii73Sr/wxCbyYXEMjP7aQtddoG/zRpYDEzY9DF3t+H9mpkRDY1QOKrO2 hf7DKXPbGMGmF2XDz+mlijirBtPFoWR4NnKFcRWyDrFDuCASg5zCvNGN5qXzj+u02InFTj43Wwws 7KSKKWY00zjCaVJJkhgp/LiCqkc+iQbdpTpIg8o6Vn1ukLkr9J9eTaMIzrEBcTrlyo4Mb2P5h9Xp 9D8MIKWxyhm0PGdw5nVwT8p9UHRPaWRUII+bFO0uJ6uufiqje/LGYUved0u5bujiEKav8qMLRg/2 WDyKdiNpVkuN2hS2CobnoT1ufj6Hepn9hQeBxH6FMzpr3g2o5fM55aYa8lcoqN0MAbky+4KS8oSU tff1Pk28TtsntWSYqLxub4ieTRBbzQmGhOmedIkYbYa1uoIXSzQ2PhQMhx88EM1ifxDw4/2pGPji ZUjt/9dLlYKOFnxFzsIAZzs/JdNF3yg7EZiQ5+7ZEDyDlMBrKfOoy4jo3UhBvUav6/HdXHpAItMR FCzmBoUjR6c+q0YRSvRD0pIcIKUIUCFqlaX5ewdMdlZj0/RrcbJFESsVIOkpUaMr3fQBgPfBQzxj uUchbehwx//o58bThN2gmGaAB1ES39E9TXvYLMjNnCxeDaZ6/2eDk0ofWDQnx1hWtnlrY4ob32Gl yOplREwjvsO7BYocev+4df5VFTUbEqTzsmWDpaduoQ4r5aEwmNFtZT8Xs5cvUGHsSNw8yLhht8ey 9i/rfztub+OYPoCrKrQ4ULyPhNWo08Faw0v8JtwOpmDbnobspsSiKtQ44ZtyfkrtbHmCirW0fQRm 1ORt1y8/wJKs/MRYVHK99Bkfl9HRMBHWV5Q2BeIZrYxj62pZteV9WmMPzTdXEwBx+AMdCz5HT/ca 1ZsLFQ8hQMTwNhO7diqdj+BLyEgAAIsCUHZBUqnFvqLpi9kvHTTZGVkqzyF0RuUnhnPnSMfgxCo7 taxt+mKflxcVdz7mzLOkXYMwlzF/6WtDx5pYhN6dQtQt6JzQt7ssQzaeEIReuFpf9zNCIjIOhQcY t4a5eGRysp6iL6tABoEIX4fjfa839Mm07rJKqNEbr0b6LEWuZGDRVz2ze82sMO5Bjoa1B2VdOEpE +9cw3ASkO0BA1KMVLa7p6M0A7tg0EbQNGdZ0OZ5LChuioSLY4NPqKcv1PKVC2MyMsdFRIYaiFp+u s1p8jvAimuXYe7bUXKZyg4ghj1tc4CQ1UtCgVxH6WB/GsE7y+JmfgyHEcE7tG5kON2uzjXuwvC/h HAfblPWnz2b5YHOGCkAS3pE4MD0sSwd7vWqXfs4UaNwHIJEfJ6mDtaj8VveZiW0F3rQy4HoLmTaE 3yGMtRnZp9Sc4bgh6NHAL3+nygVrtTErusTFNsPtCpdFq9n1qkVcWbcOdvWlxAIEDmjyO9Ilu1gV uPLuKpwEZ2YLqYsQGOqVfdd0wVJdTb5T4zmz5/udcgK1vlQzPDdJxRyL+SshiwhbYpMZSlUJp2tk bcr8PGQGT5tuDP/Zko1FLwZfPoOuOyEhHvxoEI3V1kUg7gYPXcbOphGM5Fr2p9Rn0gCxdLDIEUXZ MA8MOAg+57YGUVaajgq0A6vsWpPKAKp/RR+og9e8KWFdhBDwnZ+tElkhVU0dYw7MRmPWk0ZUXW8U xIEsMk6C5OlnJ5/IRjhk58MpzboUr42Dn0zKLhqPnBmUJur+d2ju7GAf7SnjPg1TX6JtpIN+RjKz H7QRf1PCMAMnARbleMyXzmqiFONtL7KNayU1VSJIJuZJCI9BxvRFsv0slwph5FSxqB/2CgMNNh2e RNRlpSkOsQt31xrPdiPma80JOhymR6UFU6ZBmaZQoVTE/+oY3Km4AkLEb9vUQwN74htaZhsaCQah KJ6BLercj9S2gzHfDxhHkw89M7ZGdGaZ80exNAeKbCew5T+sbQ6EKe6m0Z0XmPeftu3Q4Mm/V8qA FTLzOGB5b6f+Vpb8V/9XcDNNCIZI/cCkeUYxUWD1KzhQzDfMLMJNrSKASwfS2TrTeInhGplyIVif 5pDHJmzEmLg/5oi9/hyoQVDymG5xANW5H+NEv4yABU1jVL6QR7xFiOaiNCjIvfX4at/8XoZiObBd 5eK88oN3t/rRk0zYk4uDlaC/+EMkMLGzSnWSsI5ZGu9ODhQtx0QreDxH8AB9GSgtVRpQVjL5QcIP 50f5WyfNA/JV1Pmhn4YLd0SJl4tgMzxMsCvdQh22X51UdjqsY08fRRq1QPd6xrMW6P2y0MvBTqI8 MbnA52Cjg+vOWfNHKWPJKtYdqHMJp+zcVIoeWGWSw523rl2ItCXUDRnLsrzTp7yeg9fIYlGcMMpp v/rGOZEHyMuRBVnFYVZm5Y7YlE330DlCwIrLMnd1rZWjWfF0EHlmbAkQgAlvvo56ChqYnOU6VBrC IfwzXOQFNdZWto6g7FDJJBrNd4QVYB8ZTkXM3lpHB/rROi9XaJmJ40mW9ajvmx3l48ttmsu473IK 7PoyT8pekMtCXVGXLMx2V9+zc6LeZTTZ35zALrKGmhgIRSUrHNBMlO1nfwg1lP0VfO5Bo4ABN6xn vj3Rj08N7QvpBe42YE8ZGVJx6VUY7Lp1XULicT99RZVliH1nMuS7alwgZiXZuhRO9/TZb6hYsU6B IAyas+WlI2IxZGTF9qIUarpapDnPDD+SfrzZ1gPNHMe6gA+BMw43qA9Do35apV0xWFPJVHmMhzAP MVo/AeNixvBEuCxWLKP2BMAhu+rbPBsnsOrNtdF3aIND09SGRCWbjJ+tHEHMprDqNUpCPUTwIA+q RH1q48F44RKHgHypfiIGncDRvsXiHkGoPYyISrS5zx1CoslBb31vXEIsVXILthxpyho1xVsc+PLN Bk0f/h9Dk+mBoBBsa+pI17DXNXpR7eANZdgQMaD4AQ6oTMTjXV3NudSeiIQHUhuUkQCVOqngJ9jV vhl8STdprYwlwzKqtUXr06zgKQ1pv5ZLgXH1nFaLNRbx6MBA+GOz6/T9nBeNiycZpM0IeCmeGAWC N4+G19joXEN7tZeHbAweU3iIkCVYZQ+Dcrgq0MUu558hsHXstRZvAC6iDLr612FwGLxaxQYAzsZg +qfn6uWy3WxiH1FIh5ATel3vFBqJbnRE5RoQo2PWfKUQSs1dE7T0wZlAlSOlZx9NzpDTLmSXPmbL p2WQgAvV5r9L8yYO6DKxV7im/UojYXGg8Fc3V1YYZsitx3Jzmkz6r/40iqe8G1OipFpOEFYXmt9a mn3IApHFf3grdwKPOY/3jA5hyVCi1b1Cg8teCUHqWhHhgycZ9XPg1jyWS68a08cHEwpavkXmW5++ LT85XotsW4gfpVxXtLiuoPFVpFW30N2QMcy3uqOl6t5u9dvrNrNPuGvhEGj8IoazXNLoW5um0eqs yRdSxADz7i2U+oRgYPnvTBX0ycO7WyCvtw6d1Z6iQBO7ty1PnJ6Rc49V1Cjy6eUVtP5DdV3ROIPh q71ADk15VsUOeJqCQ7yJjtW5sWn1wrYf8pX9sSrzx1hHrQi6vva/3ACVMkzLDXVSADzLPnQKaghW /oL8uINqpkK3qTZmdjIMF/7KONa1G2fUC7euq3j/rhOYMQ1XnftesI+0PM6WdFoXSB4Q7C4L1oH8 DPTkglIhW7jpMtZkxtltiAMIr9vz545e4QOrrd1IlpZNrD5xHEToq/oGn4JmypuCXSq2nL3CDMsx c9JD8qjNj1ZcTz91W+bj5M1uKLZMtRHdFmEI5fruKBkk26pUEU+Qir/rWSzKnd0ZthuvLH4XQ5i7 R6eaNRnFe6QkS4L6VO+hmEZx0mvUboyfzVyIBNg7aXFbGS9xISX0hAhG4kwDPuvH1ElrkzM5B8Yd czeby6EeBPJ/IKFNjW1oig9WF6VpMYT2kfg57aKlSvR8TaTXJsAqAnmvvuZYBaPG4cTCozZQFrm7 yHJG4y1qrG8OXxzHeKxXvJjw9dvJJHx0raSdavwvcOL/YYvZDH/WFfLjrFjFouf8QnS9sRZHOUue b9m5P/9uCNBY7Dtv8HO8ccq5zE0uWIXFZT1CAXM64uILSL+n5uzQIaI8AsNewrK6Yo5zhn1upTkw nkZuY4jQGOGGF97dJ6S9DYv/ZPTalzW9je2GNMM1p5CY5jWBmxnV2Sm1qaCzqyr22eH1dVdzaujI 3Rq66TmRytTqpI/kZ+KDd3O6rUjB19JGI53Mrly2nOwsESxzGicDvY+XKxgvK5yyIZSfzBSCr5hb gKj+NdshECrag1y/MK6FA/3n8fQVKsniO9IEsKe0Z2PdZwExbUJibGqUjFv2OmD311ftSD1UYhsR rnTUewgvmNVcj5FWNqT9gb7Ml0SDRnKuCEjw5BPNEwP1a9PeObzE1Kq9RncklpSn87AzCasU23H1 RP+3tiXElqPAzmPQ4DfjRvlAM+zBdukF1ZyXpwGXtcQPLBkV6eMzQyw/ZlPjFgrw3/5k7XPISjI9 L1DZlsvALIxUQUdMNbJKTQqT5xWMvlomc3Pf1tbVBArmC6Ipay8FqHC6dJc3sim5xz2Uc6XxpckC 7A4iGfJvnbOiuqY5lOZVtWKY126W6OrT/kPvSd0LDeNQ0tdJ7LOC1+OPxmKIjFXbwb/6U/iBiZCv SnTt9cDAzus2UqnwSe2lbTxgNk0m9Mnrb1tHE4cEUue652SCBgYmQmdpPyip67mxcyUkeX2qAupF mcL8iTkYd7RuBvWMGusXhbzBroCgEa5Tg/bvYF7jU6qmycoKTSc5hDMU8nYaF4ih/C4nXhl4O7rY TJ98NqtvK7QNoab6ZD7rSqy+NUsFrWVHWVfxQOcJsh34voJXoTqM1+XZzk8I4KFyIxOZxOrcbfNx NrjBFQXBPikzVy1sJYn5Q2KNWIz+8okQDqcjyuhitPd/6q48WOkNhC7uVCbM/ALIoBWO6Ckk+bQX 2IqzPnPEi1pdGMGprgtA8H4eEvnHNRlytWmSSvA/KBdN5czRD49+0y1xz+LV/4I6drYQycAQdnZQ /axYFZ1rJoOmCneqJA3LdnX85pJwwzPPVt5xtKzZt+BdR09vj3jPVqM5wvyui94pazvn/mM3/HBD +OK/5z0ImbHeEB5EHfhKGB250tjs41ZC/t22wzy2EUk3cnnH+XS0EJ05gBD5YDWbo7skjj5fHEOk Wx8ZwFpbgfRKyKc6nIuuI2gDdhd4xXaRHbX1opFMr8ponl1/ono1f9OxF8EMefigpdZjOG58H7N1 eDzJbaVajyQaFN6pgEx7uxTN2cTKs3HAjXBKee3m0gqX2w+6jwAYExLuhDx4fgq4TmFTKHTlzQfj KOeZ1naN5s8iyVMtyiUxaFr72YTCYdqNAjheBPY2ncSLY5+hqM5+6zdaunhTATIxAmSduZ5AZJP3 6IncRwTdpNtAuuoQa6YsnDDZD5dClALj77fOjw5CbxAFr130WL7oINTIl9ZtiXo/Ml9bAos8RL22 yPz1yDF3BsL9v62yCqCdhTSWK0z54xGjrSNAp8gNBc3KP8+GoBj+kUnbq9oE+FO6+zym9lv9hIRK bepO6ujDZWZOlVqNRCi6UCRPoZrVQScA/4tvIsGdgzSuDESrzF72BC6jkNv77LJ51VwwCd5YCpuj 9tWfo5/PC/XvYh1sutgD7nkkJ29HqtUk2A+9I7ugbddgARcS/9PVaV9hpnmT8/Q0rEQzScD55fuf SRBp1NIbLxiTlytEaJirchUZhTfKGrgRJIdVzrYwphtsHwLvHjU49cM9tGgKLpJvRxl6P6Rz4zHu +rM6HKoBHH5qcuc8lSRfdktYsnvTgW4FzRmVY95GeXh9c840szmgDV+qoXXsb0RdEAv4oez4QHyi mT1Qq/5xK0LKOTDGa+nDZ3W7FK5Q1eYaOyVAN9uSYhJwZV6/GhPeJhzOZge8mbwJkMovn44jWJT6 FdBV5dK/WMWKrMd9XxaFU9RftxqjX6TuVJ5A+E3x/FrbB7+xEj4nZOq6Zgq4oC+qXeepJgca07rc mrKOufggE9UTaz2RY1MMWL6Lpq4LoOS8vS5+ubhOm96fhrAKNeESfs8IEAKEJNjFSg7FBgua4yKm ETMrB9s20pzwPzc0rU8EMx7MiOS3cc6bFWcjvwq1H2vdemJ/YL36buS47Yrl0D/r3YZqsdhWzFsu CuslnKrrWdA78O5CNwFDqGImA3Ki6QI+Hn4Dv+2Luznx0vgqN0U+5NsWm9TJepCxZrflI1Z2ncB/ kD/QKvZZ+9k38PblmvsNH0+nAuebKc496OqA5nvAHBAxpAKJvSJPuCvV04GUo43aBSHUnGIaxdWZ DivpN8niT5/ZbdX5G//uFO47GqermQUx5mBkcBtQhT0qxNn/OiSJsLdYWTgNQyk30TVHOFtB2hix mZjhv5uj2H4rBZaVnC1+uSo30g8uQYJW5NYYY1A6uKZ9zvasoyQ1JU/i+sPrBDgXdngff0JVUPwD fnQdBRaemzpPxcFIq+RgRfnUnT8BB6v3ACxU+r7uwIlq7Nz7KMId+9oeiS50m+J5RA5MnyRSp1ba 3uodKtHGy9vfsm/bfuDC6tnsS9NS6SiHvFDm0nMYJtxiOwGEJFNs4VlAq+qLVblHt1kzg8as+XEY QGgYnd84oKM6KlAijywbhekFJBRVbRR7QnPDrua+RA7XhFvoxf1iyp9e5D1AGSZpNSVH7Bp90QSU cC4O46WuIWzIuiFY99/F6T2sQ1AJxqDC3M3zyP/jwIZ6I5Y1kE/8qXQoWsOFu0AvPr2pe3ZFu6NF wYcy45TSMNqB4rNjG37Q+ABH5RJ0PKX9suU4DPb+avgn3E6k/ISe6R8trPqgCO6EQ5/RAQor1EhP QcMeqeINgfbLnlvckPcOhqmJnpIVXn/t3N2/cbOeZIooRWS9Eak7FyBGy0BfX/0k/hb8ma6wYG91 8/f/ru1feuQgLamXvuBZ7PwiBdbbQEzepiILRnQMlI+2gDk/gZUlLQFsEIolKM/Xt6W0878zJQxu H3GLt0hg/1PVsSwwX6qqY1J0Ry4v8//oHPs2zzLii03Lul2obvSjNukDJxvBRH6FPiX1S6kl5dgf QTKMdvp1UkVQxj3lMUS50lY4H9Xcdk9XH+sJ7ObKB8FnSJ7mSe2IdLXHTXmY6KKV9fZo3EIDraS7 NUoeJOzqDuDSur25nPjYdFklN2DVGKIuFICqN4qn1sWf3GsYa0ZIOB5+4q38CN/919ON6pf21whu kjqT8zBEhO5ZtPSghpbwKaKNDSZBg/O7r+sNkFtt+GpwvPytTxdefOWdVqMytg6Y+XudE7356ZtZ WpjW7rAix5o176h8D7K7hlnAmQKGByyoZO1PuYT6keUWE6MHJzKb3eo25cZdoXc/Xll2FzuXnfcu tvK/J65CKuVvbZ4VLkbyAOOn8ug4B/x/FwE2COzmpYU8MrzeGQwLvGERRWKIGp00LAccJ9Xf4QbA 0jqODtoNmdmdt3y30GKnh+JgINtJL+UxqLCI/eKFC/WT6iVywGOEh/49AUb2+DQvkN5Y3/0uK7Mw 1xp9sk50Q+IXQM8uOIkyDzHxP6rYjpCDlRLG403tIVsccJbAzHFAd550TAzEOuJjhUJsFvKsGKlU UbsGHMawYTE6kyn963Oz0hpvHz8O9I5L8hTcsH2/vNmUVIbq2l6fT0wnxtcKSmXgOtgMMVU9tqZK tNmH3KwB6u8wN+b/qA/lsvxNaUQitlPar7LQ9mmvPfSaJ2UAqkILmb8kC+nGRBofOlSQUFg17hSS Xn7mISMtgEbiUbuvdE7Jn1b2Vf90X2+YcoePB3TPA/9jxCr87j6sO1OMtAS9RaPBDEEoyczhyZVj 5n8GhcwMRPn5XY4MO/mbFpy7DK9EtiWX5Wfievf6FKDN8n6PgeBpt5GANE12jyNNDxi71O8oqQ0h rPpoFaPXpcrBQVHclPg7u6IlaKwTAW9OU9OK5ftxnRm8Hi/3XUBHph3Qh01LURpnijDRKdoi/8Iu +vgdO3vERt2Z8vCsnHDmNwBxFXMOda+gY1YyITOPn/2wULXiaYayr5HMKv37rV5D/2MtSfp4qVI7 XVyJlj8IUDbNCr/x7TXSE0NFLIupcbFL+OynHIfXDCy+B6DGv/ADuwCF0oJD0Qq4af/dzEzxg4Jj oD026gEA/CEIAet4H6rdxt18oODWPT+k0+lU0A06+w3qKMmx/P7TWTlFFhMawMUgV23qKVY4D3l9 y0Oy034oC172T5JLi3U2MRkItWBIOiQtinZqwuzYCt0KFEWs6PI//+B/PKqOLZterHT3CyrHUmK/ mu5KfDNqk+mOaQ3UOTqzKXexlZJA9UzR409LOdRaF6AkN2GIF4oMW5HMbR4oV4f71C/IQwc4xwym u6OhgY4OYgJCjpaCAUnvOlr4Pj+nllkpy+iznLYdswTVQadv+xL1erJkEL/WY0Pzgwvt5YddBXcz 9fS9e+C/92xxJfQkQTIPyj5/o1sBiKkKz7yZPIEhsgEEW1KHEQT/G5DMAQYxbYAx85WEH9DJDNs8 ueJqet00NWazkFwV/X07bUQZTBXwqmIQUQI91idtfC/3UEIt5lXWCWb1CXYz6Fu6H6q1kKEWS/Jm hFU9uwj2QFXvjqatah3e7tIKfBvRdyDUSLQmGXOuGA15a3KzWorcULaP4lB7VzRKWs8VnuiFhQ+2 u8QNKVshNloBC2GklYHWPl1W+wgehh9tru9/PsVvM3DJtqMvQQQs8jW5v2cGfjEBsPp1Anyk8zeN OwlgWaRY3XCf4eNR5FFIuyUhB7iyyahPQQhD7XEPtD4X9e4WsgS1mjL5GOc2NOa5RfTl4kC0oUCM lAik/aZ1TaWU3s6UmvFR9OUTS+KZikgPohqg/c0PAKaJ1EF5x2Bi2JDiC7JVPh1TzGFN47msvXWx fR7ji+7IR6yfG+35f+GlriQQdzu95das5IjZKGe9iqYVRRzBDl5V25ZySZVuaUskLATcCfYKTASw rIT8qAhoXF8eXz9vky1ZKmTZkpzbmXpmZvaVug9qAuZmugDhlIYRvhdQomNf8FqMO0BMFCksvWp4 ias8qtXPo6slq6HySuo18arS3iBDXe/d496Eg+8LMT6qsnPDpMPYJnQWXvhen7fZBpJ1F3pmYk5E tybx2VGaWBygYFalw0mA5uIvrXX0sQMZwKAO4GAPZcikPpiUExIRFXSTBKYd8Viu7DY91oR1NtOL Wfgxzua/laO6d4Vc3BR8BZ0wvxWC7R+0mU/7uJiLGXQvEymhEoC1ZtKEgCdSLh9UWXynSqK0dcX8 scQCCFFmIxKCu5hIX4gNqpRLD2w4uX2376J0ZoS9KsCgIwIBwKvK6bjo7rb2ywpWtHA+ER2HKkiV 86goCCp2E1mtJujPNULtlol79rK+NXa8WilYfBn0403N4YmsjBLePfz6JlcB3Hm9ykRLWpVQv77q QBnxKkkNL9gIKRj4rFDuU+wmXcplYHlwjXWqbr5IQ+K4rAkeDmr0RekSO5u5ljfK6RVKh8x9DJBH 5hdt/9bgs1yBfGB3Eq6quK9RmfR6A+hNFoIke6zFqPzo80uMZ3CaJS8jxCg/YsvQ4NhHwvk5Nf1m 06ignYRj3pgUvLHC3l97mO4ECEqecorX8YVsOtJdx0tvhwNRUHP2McScCd1RGffTogRpFs/IveTE vu6VBw3PdmKudDGsqaucWwL5BPqbjZSDqH0730pXwwhw6fvOgLLZye4X3hiCCtMDYeYqV+ogAcQJ zsD1RJgOh2p1Uw+awpxBJ1abaAu0fLyHz/Pzbx0SjuwiBDdOnBAZfGDkSNnJ42rfdb19Mt5PNRex lPQ8Mw/V5eu4hsKcFQuiooJSiB9PHCddajAwrxXoOqnVgC85Z2vdm389CaYzKxSDLKG3onQjEUJt As/Q5NKiEmTKoTlPoZYYYvl8r0UIrFMKNam1DeTjHnP+VT2EGdcd+CMpBJrVdlHAnC2HxPiqdAGv jhK/PgsqCJqlw3jUkO9nT45WJOi4bIKTBJTok49vVPvIj9GQ6V+NT1ZsC3stWj1379IAJ5PbuwX3 Z15ybZVBc5tClPj6Ynsy5hbRItLRIZEwhoGETJSwEDkjX3ek3Y7uOoLP/iEHD9SQz47qbxBYTfjp 9jQ4/+1dJ3dmgfOkVjrkZU0pYdAR7G7hvaN7lyB16vM7Dc60eZ32HSNpYCbQgCrOYymRSGsifd6v Xte4XL9XL1dQfoxncdDC0oLcBNx7mWj+AcmcNhMkCZER+4F9Jg/UVsb8lPQY0uXUFuLXxg8xy/yV 99G/yQ86NiF55klZiCHlamCzdBim1ldjaPf9JE2XZYJ2G3juj7NLhWa440SJGqms4Ao2tzyvec1J 5/JoxrqoY5CIiHEiZxZamF1fv2Zk7hfmgrYHw/8FdGqDcjzMPFJcbXRv7tS4ZnPE+c6DhOn3VmOv JTolKLUlzBHmYVMnOUgGoEIL+BMUMPBUq2RFPvcNsIlVe7dr9rm+eo5/IYvp8SrTrH4K4SHd7KUK xKKsje+RfVPSAPY1XDgXNCu1VhVXWXKV9GOE+M6lMPhFP9jRN2Fn8hRERGhljxZPkzJICLxWQpGo mC/wh2ApLgs7TaHZJuaD9OUH781DS0f961T87+QgcyBYfNElpUxotuzzz8uGkhv3IXiOZ5fyS+VT q1YdDzq4Vtn0lcOLI7P4mdCwDa9U4assVtlEfiUrLUrfH9V7ozzMQmwZdMROZ4Q2MWE6CN/RiDmv snqH716ceUac6KrVTC7Lq0hZR130VXmNZBOnc9OK/xYcD++zBI0W3sMT2yON58Fc8kGZPr1ifoju tnPRefwkMjto2G5qVrjBgaFH4Ggs1uEJoe50NQ/cOLa+H9Y9ir2bzHiP36e3uFsEj20QJAEANxcg fZAJqvBLHVSxsIFcAral1GOAK32rg6+sNzroAOijmcB/nxy47a3IIw1Ql7nUwtejvomjPZjtacyC ADEop7BqN3YRxNwahzE0jcGVOj+LD6lO8i8YlRFZ4peHdlAaMhZnWqR04se1Foy6y2d9QW6wj/L6 W2ya+ME2zEUdPwl/ipqWqqmjzVIel4ATaI1+Nq0bO/LM63CMCYVGanRBNqofjZSynGBvzvaUy8hC Gve0y4n1ElcyG1ILUuuTVL8bLMl0rXQ9Fg/LR7Jb2vC+QQdaGnraBsYzPYN8NzRoCcp79I9Dd6V3 m6Zv8cXv4dpaNIN7Tmq3VFNcHpm4rVuIqHXPDbII+OvqUt2IPsGQec+/Oe0lVDSHtYe4YgbwGL9c GHgva6BlUlqQnqrUDK66rOYSjX+qD1pg3252yK9cJga8LnNhRz96KSf0moQOii5pMUxv75oUesnl zpIgRLhuM2ChzpBWRlrFehziFB8Li/z3CWAPX+INdsVQbQTs4uiulyrtQ5VKjmqe+2bPY3EF/0AV w/pT8Ozn1Gs0lOgqovHsUYypSaNMvZcLS+RmqzVJgrrB8ZlcFH4X/nSsbibu93/UGqCjUZ8j/H2T eDy5p9BzVQfFTI9rDxposd/cDWMF40wLQB/tWTp5e4ZAJoMgQMuRupforJjWedGp4EBfCx+KwV7k N7ZN9WHPKQAhiaE6k+rm0znQ94KzkP7w5UcsnHwdZrJVY+ThGbQka1a8eNIpiNY0IJ1tPmjok9He tMs07VyT/hxkX5HUicUo597ppZ/KSFFMmXMHRQtOdCGkEsEATN1k1GCGds8ZfxXFV4YYXLKfXib3 X1x7Qzq3CDf59YJNYnyXdZJT02kVDB/iV+vIJTYof+73NcKQYKqHMDFsFsmeGJuEVSmqnESO1af0 ZYKHoajwwqA5Cci9nlO8jGqs2Gllo8MegKLp49GH6zRSMQb12BcKhW92wbslSVXJo7gBYuStAV7+ nUkBdC7Q4Ooa/0W5GAzFAWoBk2pB77TIz8zqiryXzPB0OIOC0Og73IyEYwgjOOrmaZKPmmjoiem+ DCm3oT5RM4PC9ao5IpET4MaSgdLsVyu3PKwIlGmPkyPL1U/ZqpT9L4B1COVJdugBBuCg/aYjkskQ wh/C/3eBr/V6jZTp1e1o94kWjwwuLsQLsocAlrxckloJPd1vpPu3XIIHj7LDNC5Wqrs+breHo4+B N98pwGfF3BLDU3ys9RM3fqS+D2aO/sC9CvOrGG4vtc58OQBzgW0UegcQAvSUe0yfBXW2Zx50TZ1n UpD45IzgqmRI2i8px9Ze8nkOVsWfcD2AKC50ko8DF5XddcSkKc/T6kMFyuBR7ZC4xMJx+VmsGMUE uQ72Z+fliNhmsb7A1PCZ2MScoY8jND93vn8MTeZudPM9GkiO+Osj7cmInwlDOK0+hOlOIRITNnH+ /pcKYOC5mUQ28hmSmP+qQ72YVsFxV/dI6c+B1r3orM7guxEbPkiynNrWcLwQPLd4+gOoMoQ+LZ7Y gKuud0yyyvNgHArAkBV3rUvlEtfZGMzwGUBCYR3nRYb8OCrsTItvRKnPdNGMFY5uunDBthEcueRB G4Uoe2NExGrfP+5j95AAiiDtQc02KiVdr2XDlownmKQRLGNEkh99VW7PbHTgIVQP9FTpsEQPFs5u SiCvimqtzDyfcFuY6t75GSBzRo+h+Q0AYnJPnPnR4vh4IaOep7iu8dhFeHm374bU9t6uKZY6m61h z9oCu/Lt/+ee6G7g0ZYSVMMBuKMvF1+Tfg6rf7lX9uyaZzGRxJET/paPwIq0TyhrvLxBo/83aXvT iy2J6CmDTl93DfFh/cO3sHwoViuHo5ESp4e0j2uy9Ap+fm+VVD2sgpiQy5IbpuvJa5ws9o8TqtmQ WWgZg7sBbBQUlgOmxmjOWR3ryAYAUS60GaRg/kbdxlGzQFU1Nlxo9ogbSbeFeSxZJ/4LK9lV+fF+ FDmIyPlTv1ZAK3FQ/6WRyhej01vLFscR6RCHj+kOzeVpJgl/dT36GOH96rupjCuuRTkMg1cpqNNI I6j+r232rPjMaavIwpsTd3UMDBMJ27ZMaoATmP7XuQgSNsauX7RMAhHZ8WvYMcMYMqc7JZbbKvVg nxxWDToqolkau+1FaRYQUWc2Z6u6cIyAeMBIMxGUlidPY85EAGOoi/11h/i1Moj8pk737nUwdqS1 ZUR8YomeFaClnPFTlLroSiZFC5cPLvH5OShCB2KjLgcvXWJhwPEQmR2Q1NK9vQm8e3Sx+cLxdK9J t/FRCK6bpdQMN6L6cwHPEoFyC8HVyjZDqiTiWF1+iiW5DLzFDJ4IJmj1d1it6h1lLGrS23zep4xm mK8WhEW5dwDOYsvI9VASldLk8Z5rQ5lVKNt0cQhZmvYz19etHpSp3kunqyQrb4iGWn/mFrvl3tGF sDJkxS49gzUsgBVlzP8okgUZ2jgFCcklY32HGeZjLKC4/N07gAYnuJfmi9NM3ELeUwewjLLMqdUn 70hfCO+hBbPW/zxoASoNO8Y1JZvWSvyZBtgOV8K3j9/Ca7ItcVNf6dwPrVJAKO0r/Vw6HfdKnFLi Lhy0c9jSVSFPDIz+od4nYc8LFRuhuj+25LH2o+HUajrz/xcfG1Ozg05cMZLYOld6P+xPcgvxsxR3 ZKa/SDbzDKFrAdDLPNxBTBLKD84FadUnEK1hngkWvhjHFTPPaqjb5QF/JZRPq/Z9705du4932BDZ fTKPGuIW1AsTDD2zTz2qNFACyIjWeOmmFMuT1bZcjItiEwbuu4oEtmp9hRa9ipfgzOhglLnArzHb WjGp9uzbQHGgUukS76nx2BxFrO4irVQEwmwIit+9+gMzX276KSbj6E44PxG/FTq1rQu9m/iTl5jX ZbtI/oiA7jmDrU3cPu+DsERNK80N205ZIkzWZ/XUIfdtUfbfsmRwHOkOo3qUCXYC7JtgMt7x49EP MB/quElMqn4LFFYZsX467hu0R/UMUXBhXpzrpIoPxEtdQpYbg/8jSkoDxFTPoKzF58NklFWxjBHz CiU92ayX9LZAjhdFzZzsJJrRXUqOyO4HaOOWF6Pg7X6WqEAw24zeAK7Rr+OBJ/OfnSl4PCuGusg9 IVXHDjbhQneKAXXw5jKJwKXMA45h6iYL1/ZHShf1SckOIYlplJR3h5MlS86SL6E1NRJ5TAC81e0u 2dJ/wGfWnKpLCPONGvgIxd2b0e1J8cdvXVChtlqBwhIoKuAEk8XeCVOQ/aUdTvET5FBQ//7tYV0/ LS6M9Zdcnt2KBqF6xc4fpSWRv4NcIcGGAk5nnGlkNUDex7RZkXmV580W/97i9RLaUQ61TS5LCVVy 80T+SNn9LTG8fzeSNmjaSdHXX37LXz1zrn2Sd0AC3ehRiPXWkzdHz7gVTknaoXUmD1JJJBQHYVqS UcbxAdw+PEMj/xxiRPOddC2PmZF8yTEAE/eAYxeJK5qcGULqEUu22SWxyrPeHPOx/Y88zo5aX2MO s9PucwfL2QLN+pj0aUGoEX2yc09hDcUJfsASEwG4PrVj92Um0/j+pPrrGNV7JwcvZ1WO8CobUTHT PAIF9Qa2gYTWLnw57RkxlROA7LuPkpDrOVCP0S1ZeZi4qxexuryNnnT9n0HcdYds799FSl0OqZjf 8B1unr7lphcy4zxXMP/aIzInPQ3F5W//A27OT4ngchlRDmPSYoaWfSlqfwwQZsbNvG1KwuFF+2lc J9Ku9w8KTyxMLZn4VOtpG74aYFAs23Zt6p5BDFJVfg2mlPM91a+3xBAcufU+TAoXyLZIeGRLWgEW d2U3tcuLrtgbjd/NY8rmWM9t/4f38SA2qPKXosfW5GEnQMHzgoGRPsxg245dGXOJ3GmiyAmu8BBr sh0iYRN0A9+sDMR6UM8lu6yUSBh70rLtf049c3xjhxG6ysdOYAGquMU7GeGE2B6R03wVgIEw0f2P RUL2gUdohBuNvz56yjicoo7J/IHNBdP2MIl3NU7WThJ4tcnMIn1B7w0+NSzqUU7KujS6OrfZGwcM D8i1Po8tJ1WeXXk8Xw+366MoD5KdPONwQw5uudB/gBz1dz179usSTqqbXbg7kOGKKgKMN8uHlUnC xEJDjvco900BpL4nXAo1PuPu6Ok68kZ1x0skRgN4CAcaS05yHHB9UCGfMpuhp9f2j1wFyZc9bSJb NHpcpLHoZbd/N3fvK8wybodjF36HhnQNj4Y259+KrWgH87cfBCdoGiHWH8yX7cwaq6RSK8WJtquH wgmeexWROvxhLE+xZZujSP7cCjH4Xd5YTQGJ7o95tuDmhRQLhOkQMBTkfKU0dHCn3ASeiB6QqmsC 6tjdZFEAnPTHi7pli/jCu+eXwv4vHn8Rh1i/+HCjUzqAsGqWO71/a2qJKt3XXDt45W772t2G5GLn kOXR3zNYyBu/O9oDDvtMQSG2ozPbDd5qzYB83Fka3P5sULFB18F5u9XQDXc+BXiFM9eMKkwh9Vgc yqKb0acnFCbRW4+geFwCbMqm5/gXqhDqnlO2v1wYrBMP4kpZlROHug6HNwzcI40UmV0Ll4CdyKqh XXLOZQBS10ZGEaLbaqQePHanzG0H5TnhjeHA1+e6Y3NYtJyrGmn+QUy/zKTKpXmydMNN9hSycOzj sEhqR4Atb7WHq32sueTQx9vTpY8EI9UQoR4G3BbgjFipXucTEyBQCQofuO1Z1jQSuOW9o/fgz1QY 5TVFY1l2363B+pgc7Z6RrCAZ5o2+pjfADGW4/zpLTDt4atP8qbQUIlBHhHLKa2It/5FU7t31Q4Gk YUDU4/AE2KIsMF/6oXnkYnlPbEZ6klbg/wrsGSHvU36c/7enLt09QILPfG8o5g6IIfyzDndZ5pjV hHllAU+wbl1uLYmeXBoQ0N7tBg7NpNTyvurumN2X/HqhgNlYS5xcRpGQmWORcFlwcMkc1Hj+X0ne dYulz0/NXLW9AEOAqHtbKZF9LPsK9Zfqi/SAFCh4rqafPshG8AZVW8XpoADNd6hnfEyhSQhw2GeZ BzIldrikplitmQGJTYgaOz3ml3aVuxfRJqUoiTN0NOqapAIkMnxD4U1hgdRXNO1Tko8PLuLPR7iu ++pxBtCoBezS+2RvIg1MR6lDYF/nv6eVUP0zVObhAL5RuU50vrkodKTPXbXJRmhY/qeJczkH9Vsn L8hbm5CtxG5w3GNtoXAVrftmZSuYctgMhG2dgd0TlKt+/4NiLVD/dMoEWS+XcKX5pIK+b3O2my// U8et955Rt83ONBr5bl3Rt2sVAbprN9zYoyBALrTC4/jAcUBPUGQIIsHm6qdSpJtSpqYDxGFaqTIS i02f3H68LFwTGTPCBR7K976ibsGCCc1ovL3prfL96HYvdM+Fd/gYi4Of9W40km1qDOPvgr+AB9DX EgtsXsrm1wCyRZEaAe7e3hJsFpsTP+Kg1fne9XAtblCUeVIpavJIk9lT/XgFM4OHuSM4ZWMglphy EN8sIWHJTYJ/89InHhzg0DAlohY9+nY+exTPbqJfCvd5yXylk7WWZvYcMnFasDQkg3NJeRRfyZnT ybCmehuBmaYPzhf7xGrGqOTJ7ZGhmkRQGK90qpihmVYvMDMILrYkJo25IFkEDOLCJi1+cMC9iW69 lF0Wl4jBaUjiSvCTEvhe7u6uEIhP48TGxxhUTZqEPXTjo/xvdwhYVZFLua/Nc1M4dID+Mxi40I1u UD7s96zGvM4wXYwG3X7RwjprAKKqa9BDRazGdGTC7Tw8jApQrSYILT96U0XL22/HAyJvFlV0kvI3 gKV0/jXCCUVSFloF3Xjr/NVhZwdk1gYbyg+u3S5LMK4ea53FMZrUSUnz8BiUtif61j77dzBfSif+ ZQX3iObuiuv+RROZVZ87C46fEI25Iz99lnAT5F4ZrM1lO2IWWAgGAvXrZ+lWaQV5YbMbGdzcJ6Hf 8I2/XNMJYDXXItm6GEKddeVtVKg6PvmbDTrNseDUtomdjnA+1ZuLgj0kx8iEq5ss6fJ1dJ1Wd0/u aFL2ZCjtxTSPKJOg9ANq44H3XWygeR/wlinA6Rnsi1KykyXiATPaU6DiD0apWvFawTj7K7K1zn2u c1owa5B8VKOiTzHi9Tni1onQ6RM7X8TJUwyI+iKrsnTQ90h/awwt7Kh5rKvTZdfh9+ccju3Vf4Ls S7VRAHCv71UXOoL6Dm9TDrKRG992owDH2pE4VgA8L6pEK7AqvfpXudstxKhKSqtpjjtCSItSWUlu tY+CtBG+WxW7EA4Q3l85hsAA80HAJcbJ8eiQwS/GVfaqrhYKtnGgW0YElVzLl9ha6E4NPW5vkJug iUp67VdAhuVL6MapLBsflctjqSetUj9eZkmLqYDAtzQc1i61IuWXRsiGVHkizYnBdBV1klWv5GDB dczOubTLYvEZFvMLBoou96c+P4IRcmb+NQ4gbJtVSQ5JYNO+lqKX121Ow5OUmHDEGwfsgryw7hSa +QKf7PxEdaqqPNnj7oqZkAVwBQSJyjondirXmZjDUwbgWF/PrrBn57oVkTFOAUtXTONBpSc7XMvG kTMlZ1TKQm8q+pUhQyPo02CsO8ueR9FM1xc+ALTnmfaRvygq+9aeCvG94q6CfDCh7F5dLOkW29wL OPIF6gkEHSqwh9CtOpe69bazA0Tww2+19QsWtg2PAVl0qNwIu30eCR+PbC763fBJIp5G032QDTyg TCG6KlMRZkETrx5Xx1BP8jG/sffhySsZzjsLGdwh6jLCjn9OeYmrycQdBG3K6oHDEWoHwCXQB08w pnLhkJKv7d/Engv8xjlNCUoklwz1WiUI3z4GcwD1wTamqCJg6fvNOnYiAPp3Tl1iv1Di12gH2i8a 6svHaqQmbUU57HMJZQu4/9f7ZQdbaECO34eS+WAljOQHXcIBfLkElHCSA6ULa+m5gyKi4KncIP1g ol5ILci6UOc53uM2nMcF/yjswl94RlPyA/q3qBw4jIt3Bz4nxDVgujPzdKEuwwkuMGVZxqFSvjqg OuFtdHefxj/tMlXoIo/Yg1Sfnwh3NuWgFKB1J6oQMYs8hUoTQlC6FA+CC+nHHWaVp3liF9MISo5Y yLWszWTqG1AJ/kGq5vt0go/MLg7AZsHgPqEdPTkyPf4Dvx0CQyz8FEoaJCRnMPpVv5bYJ0OA4yup u7C6wQBReQVOLTNFnaTuQNYpl+5hDxRulaPNZ7kwCrDCq+cbxWsDuE0NWHp3GYK64150zlqTkn2A FWNvaUK0GjbnQ8uTfxHbzmEtoywgt7hc+paUisLx6jTvY0F5wa7O0UgVs7hu5EpZv3NzKh1PtXqe /CEyWywTaL+fu1dXVwLY4MhUUtU3kSnVAXkQgx2QlpYIrsiP+W6JCWe1RqXeigqKAH78aqbk9189 qFI+yk3yv5spwBBU2uFHtuWGGHErFvv0UCxmuyYktmSoG2TtRtMhuRaL1I3QHDBcJxGjjuQc4OIw 5f0JJP7E2+2YDEhS7vEnCgHnP7QryhXmbGhsFP8As5lcglv4IIP0gBMPt+taNn/c4ezSxT0Rhtdq FNzhEGEUfRAHujOwwIt4GVBDK/ooiCI9cL8D9mJroiFmefTb77uKHH4VRqWD0/6VYXGS3K1C+R+7 FVUArpwkOHiTePnVjJ3ekV2/TpLgpqiR8iODKfAFGVKktBN8U4fAW1CRPsi5zD7VE5HPZiDSLaAe ygxQNf0Fm0gFcIWBlSDg5dw/F9Tt1fNDdg6xxVW1tyPpZjJI4V/ifLMMCilIXsodOaKepQcSPmtt 3j4iQ5YGI2ugsyrE2ymahZRfPDvTIg0ObuAKKg2lh/Glk65cMUdeBZ9Updn4uuJ9gZgagqrUntJ2 AWByOIaWpw9VQhekxzzOXALRe3MA/Ew9kb2x5BmBtoby8i9YR1OSAMjuypCWETtKqSvHY/Z/St2i SSSZqKsKRQPxRl6IsmFDHCBLayqrqulcp0biusAmK5iyzgdZ4I2CHuo4XpAS9ABBTOPwIwQJMIUa QI3oZTFYQQSHRQhXECFT313W0RuCYxbcqrmwL3lGZafoQgjnMSNRW5055PH+b9DXpGIZY/UbW34d ywTdRVdnAZ3YJw50rQ8LXA1n6k+Qjj/pU3lMtbaUCg3NagCP/WS8hcXelNwFTiH+qHXBW0aamZPH jDwwQL/6EnsuTI+uAZVuhS+dYALZGx18JTMoNYPh6R4kla4+KcdZGkh7cHgxQ5KHj57avmwInlMV QHWYX0SDzbPwR8O352cyNWuS/6njAED7xGxdQG3undtZ2q8E88iTiK/+4DG+1jRRRoGziH7oib2A dTHOAJ/2qbdoq9UhcU1Febj9Vkpf/so7MMZqJ8HHTw3cNj1mEI1W5XynF29LiFlVv61xMZBsSj6Z 7+ui+GOL9OYeJMQh3U/Ams42XsROgs8uahU1XNKzd6RRPlWyXKxuOIZK0FVI2t4UbmMV0k7nLkYC yDtLXUamuTyacl98xN3Hm4q37tzkk9UyB6hIZ+5GHQPIbQQzyXakEU1d3SBbOvawnKXXa0bSQZum MA6J/Z+6pVzuHyjeB/Pn1zfAa+YG39dtaS3XFRN18DYqqL7Sb7VAqs3Yps70AnSxtmSpRgzfO2so 8q5pFaPVmw1LDGlnWXQ3L78WLm3iOSMPFUqhsTgYp7P6TwPI/GxCpzBTm+G3bM+4cZiyyDQRtWgV BmVeJFmmf5yjFXZznXpEDlI5etgdYR7cM5qMM1jm2ZOshsqBh54/rHixPO1yDR1QFidMrfN7Q6DA eCt0YsWerdMI71epsSvtH1zfHcfQZ31eTZ0Y6aPtThxVnaq3M9adJpeCwi65/EvW22T0nC18Pfhy PM9tU4I7U9y7dLwR7wU0vGtXNkbL+5nRCwIlYPx/OCHTbR9UgDxMCIK1XTfx66xUl0wte5f5yflG mRQuFXv0e6H7Yufu2Es+yly1oImQ1ZAzBoL3oX2vlUNYlrUL2m1ir9BrWLnWtmljLSH0DsUthCoJ vaIzCJoGMdmeGLOo88092DQXPzSS2PJSPr+QTCblP/e83q2ZN258lhzkTfLUm7A0FmWyetaa8UCD r1gByDmBRZGgmHw5l1nmdJtrsSRt81zNB5Ta5DMX/dhDO1+s8wcKttdyNcLSnPqVXVTEgnXhB2B8 gAjMX3xd8q4yzLL8qv40mliJ5gSHOPC2cAVKqqdNACvMFvxbZHZ08M/dS493fczl3VM7YV9go8kt 1aTqYMHzzZ4tbAuzZuPI5M+7Q63e21RVGOpCeu/mnyoV310Law2X0KRUudNpgu/SlxiYNjfBQg3Y imnJb0aVqDGBrR+xdso+hQAwKt8hDEQxaT5+tuJEQWORr7KN4RNOnJinErP0+xQ6+qIu8hqCe2GA RnrY4q7dnlH4SIsPiKuAT1AMcTrQWegwheFJRXWRlLnY77JqhDMAnlZlWLbKvoo+qbGZpVO4l7Zc w0PbLSLP+bxUNkw6wCggFabeLwnKPvekUEMuiwYbEupNuUPIWH09DYRitz4vv58Ih0R0FzAHisJK zVm3Yh7xxCFt8fQSbGD8rIB6kEux9UBmMOwJ16CYWJ7N3BZ0lv4U2tRl1QpNR0HAccWCVq/Kahd1 GcAM/lyKMTmwS3i5Z4tEytumw22kROTZlVaI8AdnPU0L7p0Lt8DTXFCzllO3lCaAus7OPM4ez7h9 mncO2VrslXlnjkkviKYTzBt48zq5NQcjNu90Hz4XzQvlATeIp9MWf+uPgoDqxZwxCpR52Jl1EQ+g njqAN6T2lX8wQuP2tCBcBccvN4pkUx5EhgWUMeyE+d9bQNEd9a70YDQcfHRUdGl+am/smDOUcfxb Pzs2AbKNX4+7o9aUQPCg8cmHXuHL0JIHR4M12q38/7Sem6nam5aetZhYWHC6b1gELSJBB5ToHgzB gMnSvrhe6RxDqbLZKpjvY0EZ7xTeiRKLOQ1nna4+2NGa/bJYduva3BIy0veDE/JiU5jfeG8g/kr0 t/mA6ICscBMpU89+J3fBMSfPCFh6tuPoFL4qTtrnnBWhFi03I2oAMGWq+GmkFDMsWDGAWfDr4IOl V8xY4xQiAOGkFLdYts0f63q1j1pIyDuvd9ZuBbbtAmN6rk7QVD3w9fJ8zRAq6urjF/ovMJ7couSh Two3h3SO5HM7wa13wzNoBYMBIWz/qSYha5SkEpgbIQDxDTgLc4FP4tHSrwxyGjEkCAaIa6XL29gQ 2O/06KgOELMecUdo2GthZcRAtZLMXxmR3JIt+vH+ZzwEKJvW/DKjs9fl5RwAzt9xVDdj9gswv1SL atDsHh7clUD3mgFD/sMT9Qp4gZix/Tl+vS/mkKqsTuQC8zEzhkeLYJ/DucY78DplYJ/ZPprrh/Mj XVfFvtyqhZcmpawKslHxKejyN9MrmTOaHm/4XsPe1cOyo+NEYbUZOLdR93+QBVvKQ0TZmUqpwQhE 6umvXVajAhtxs5H3EjcPkT2Ti41rA/UPYZ4JZYXztz/Jqh0we4a/Ddi1mwwq1r1H9JT0SoUTX/TC Z+3e8NTEA9nkHptgJEdF38kFK+XKNHY/K2xcxr5BhmVxbPNMYNXDEu4VVrRcdgXtOtPJMPcLMQVp wa9XHUn4k3h0Gne4f8ICFCAbOfnmYBVEaJtKyJqUlXa9NkgUQdxrcca6uxgUUZbijlpia8dns8vM KFHrdgGXjMEFBNTnlXtnNVUWlq9Rns/CqVoqFRK4srUnbx486O9PAofncRnk71vvoiHJS89zsYXU nkqW+pDnvcBZIedJ1Sgqh5S5pJMM8wn4zVQPeLBhyt6IRFiddRExsHOTmYtHc3dw2q/7GLOHuwfV 5OdUpgM3tNjuPWm95WG1g6eXtbr+t4JiG7A9iMoxlF1Fsc41qir9T7MQ2+OgXR+pMqJgMxHNXB9r uZ+9lqMzEQyiMq5lyrwUBPX9eJaSRu+PO3aXRqbLtc5rcvnOFtU7XozqGge5fZg5bzjfOY1bduDS 90eHiBNRCipbLMQz/cYGBBPf6dyqSGBpwxlSGFUJHDyMrbDPwMeq/PbCnM5QdSFAHEiCjJKCTRi+ a1Nd4cYAhNrQy5X8OgDMq5t1UJrJM0jsrnw9NbxmZYuTZlN0elKqWULOwAWn12USrhO7aSYyM8Oj SUu/+e7ZN8Ow2kNkUjSL1Baze0jIVkpLMBfN79sxlED7yVTMjbOTELG0Dha1v5BBOQ1xDcxhSzuE fRaTWqsBNTHC+HUsnoSZXwS4ClfJopUTnUdK5gqnDd0M4ao0JWVTzmxq+4xBz5uuReTP8VZYg6Kw QhVvuWv+vBKWo/lldN6tRTfNotbz+xNOP+Zukb1ihk6sqUPETSSZyomJ9NthkEPZwQ6HShwgaSKy lGWEuui4sKYdJCh6nrQNj7feZFlYrXpjusiOCNVpzQPrsZL3sCoc7mJ+R7V7vzPn4EECIFj9qMmw 3EcOFRS1cyBycHqo+zo55mkcw1ZD3l9kyuEgsp7hkkyDyrRhldndaA7Z6dhs2o+zrYVE+oDK2hxG CQrrcWc4+OtZWRuQEvoO8mStmAR/fujMq1JX5kdxXCc8jhYRuSmPtN92Rb/m9nGohMNDO+mRfm0f rr256j/20iyQ2CHfTSR6vJdaWgxFIvFoYdnGa7hinvNlQzd9BQGt3lQ7pQfGUkFaVMPQ2LE38QYe JUGqYG4n/Sa/9hgs4+UUyK8Bu6lwhUGZR+R9rtrhJgsNJ2hxoJ+Zq6xZwWlkac9gKiDaj+Glf8g8 oNjwdiSHgLDhDE+h8SfnSDiG5OMNr0+ZpWmywSyWRVDEGuBsUU4UM8zheeo2fsZ01rNLiJswyuMY gDnYC0t/SUnYRj72KMx5AotAXDWG1xNGwMm/+Eog4SHgd3IT9Aqku9vkyUTgyLiyO3qxoTcnQMc1 Y7Ue7CDdimEvghvG5W4cuudm7qQpEbtx5N46Fr7q3dLjgBz4W3CC7pBUgJN+t+e4R9nZ/tD9eRQH NHSs35vpbl0XQzYoZ6V5GXYAeMChmJautl1r7uHz4+B3wgJ4yRbL0ShWZK9pbIDGsMKuWFLNxMUx 7lZg9213HDOLM63HEx0WmLdOK01XQQvHhXADEWcz0I6taRGUsE7q7Rgp10Mn7/20bkAkJ8WMWnvD Mkp0ajMqbCSrpMvE1i46xd7+OqckvBVWJ52xeXec4E3Mkg9LFB2PuDRy2tYojKpoZg++p5ACGwGO LW3GmYkF4e2SKX114U+gz1ygNJP0Xcr2QDkf0r8G91yzKPSBixoKtUI9L9JEk0LosRxQFYAp5RBw bx+a8GwBE22KMSho2a/dt7rXKzA8QIemSq/lLdrklCs4PsngsEb7itW34EWBBmwGjhdXnpSAynTQ zVKzK3UCTXv4rk62FLxPgglw7GtBLSeEFzXqDObutl7nomgbSKGtSZIY8p3Q+LOI5pfQ68P3sXgJ RJmAlUUhYKIaay4+yY2pVGjPgRp+MvcsbXvikJ82BgEQTPHwuVUuzoOQRQcGV/vGIRhSkf8dnLLs Zowg282iFOcTnJb+lxK29l0m0wYGYizMRqWHqGFtk1ZtYeZVHAt3BklqapPEsXlUFV2vkNI7meRr NLL9INv8eJC0fHsipWpAb+VLCBQrDBufMa1qLpmSStUn6dwRLlJD0s77m8065XkO1Y1HckvFMCBT BAOJ67Mav/NrNeeVf2AW37yiY04tFMgki8RHUZLJKBlwLH7FcVIcXZw8cv4qV0ZrNjesN5DNY4n1 eUnLrz0cSs1aJHhm7RRBpRaqq40633qena+G/uhkDiEd9hsAzhfchxq8RQmIqyNtU4wWdqjIELhV JsIp5Hvwp9vVMBdBvn0OV/nJ59JifMkihsSgpdApF+Z4AHnH6o6f07FxKWdXrUA3q2UwpCpqXtor O9xYx48UBJFr8Z664gdIWDgcaeXGp8vKRmYnqd57UR4XiFoOP8ce4inQLOoLRxJdQN3rSMd10PN3 yGoFrSmLZhXMwQnFwPR7ojFx5+F/L0/LNnAHVPcvqQ1msIpW3y/sG6Yc8OIstuGD1rPKfQPMZsnM oW8hXBSeErHNSXkDN0ySzGuz70AEXYmYV2OLOWTF0VEVJPqUsUNqh9NbHQpasmd4C0uPTdIKlukl R/qbbuIR9i/EHWpJQOx4wFHsMIIe5uBbEY4PC4ZHFXBXbVIMiCwigAYPhk8ehVTe1fZHPbTkd2AK Rtfi8lokPSctcuWD3EndZC4WsO7rgmLXLMXAI4cTEdyBzo5GPrtE9mCBzMMzn2kjfmZqdtVXWjOn YtJMyJoohQkDmiIHSVol28/oFvpVvDwgxHw65WL0PwBW2ufdPk+hC2lQahAroj5b9Mx7pPV3ycm6 UiYz1RH/FwVvP9//ZuIvoKJV/4PZIlrCtExx060O6nxkoGbFCtxdUr/mMHSi6kabp3qdJnWDysK4 JBXwArqGr2vM3eawunduaYxMIijF0faVOmF1hhKh0//BMEHfPNEM9Hx2PvmvX7esj5MRKX6+HbQD nTEqckhU5JeOZOWAFs05K5Yg/P4K/9cpzNcXUZ1qTP0bTtznyBfwzOS7uxZVJNKkcFU5tDETqNLU lJRadwXzTboCFceJsGjN2q7R1OJwgRE2BbS03NBprykf6ZKW40MqDbRk7R6Zy57rsk/25BbFro+k 106sFmM0moU6g7qgRCKDKgDWETT7Z1SMUw+UVBDv7pWhBMqtl+qsyUc41YnvmgFXTp1wWtRcqH0d Khnu+P3HWe/Y0Jt+4HLaFL3XEvZOlHtfhshTpaXyz95I7xX/aizYVG0uSoFmlybUS3wxTAcdZw+V oaqHLshfaGchk8g4TKpL5GTvGthJaqZ7tpd2KQJ3yEZYJJ/6HsstdETJ3+3xpscLTD784g4peVTd tFRJXp2yT4jLv5lYpY0EDHAgu1bTaDYUBqK5Xn1LeVIK0KwvpJhwEdMe+JlkNpfkJN55cmWNiv/B LIM2Hw84okEtnqvtv5WlApuEYI4ldx5k9PpTGpPA9jLb5o1TIG1JjD9qlPt64jJS8dXJF+BNIphH qyMdwULFzFW/FfW4E1/gMivEGFY/WxXTwAunXS/Q+DFMM6Vwota/IQnrs+95xAJKQNofD/Oa6NAL s/GP6jCQDSkoJN3OHec5cNbQ8IAW7uPtCc6mNMdakVwsjcxEwkKtrd+XGzrd/txR6XhGxCMSTYTq bGIxADFBNum8CvBX1/FlBpfAeV9nEoUP6K9GcylgUrem0Vla0NRmYuYXPEdizVQ4B/JCp+FUNftm V4CCpHSx5EY9ohK+HLcnprk8kY2810YZDzC2VRiH3K34fcZqw5+P/jL2bKX1cXM3hhVfBRXyLMfP +TiGxCzGXfOdS6AaOW3+mAj1O+7lMD1B0mPQI5+IOoF42RNqY/0UZL7jJasg4EbYDq2UDqKbL9O8 CCMm0288y8A0tQE/EGec7XijxR2ohT39u5sPq18fgvHZt+c4knEFxtmUfNla9DR9pA2LWdBdCCeR nsymFooY0Qks0+sjtE3rEkomfqjGRPGES0RumnVwYtoPmGpoYvDuXM1qYPsqrAzrOmuImOCpo7vR O7ZoFvqfWwA6OcRFOwffo7PtNM+yYu3G3V60ghUWzsidVMSnsF1actxrxPh/dkQyamgFKBPInS1s PXwKJ4GSRZsIflNMyQxhD7jQEB2s4nIn/LqUMjkTdkSQx/aUJIGhnEcu1pDiXqzkFmZ7C7/tNkox uvQ2sePA0+0K+Fq2XejM6SfLNZdFEuerqXdh9M0KxY0yW+3I060R5CvB9tsUDt+u0A1r4egASDe/ 5zVjuBRmMSEFzQm0vUPcmzYWPqRJFDX2AIzi3RH0OdO+6y6VlaX3K0nG+cd/NmT3ftkenBURdh9m rMyKuyVTpP4i7cn7q9Qm223HfrthJL2v1IjkxpAs9mOSW/gZvp3ZqySqwU7mFdMTTInJDt5paBJR RcOiZ7GrV2iCyzIx0VtHS7RbgQUJBlbr5ziVVnaSDTaz2yAhJlqF/J67ag37yOw4MWDjCTRg52CT +vTEPanf3TmNw4+bvWTm7TVDc/raTVIhcTSnpFpGsk/i7VgdwdjN454bHGq6beN0ceJ8+8DYtLaS CDA78u+rONahzNLCDDLuYWoDYj/CaosDlAcZ70iej480+RCDOIo8cuZvMw/bBn9ua8+2P8I5dJ9x ArMFDuWqBxMllG9gIaL/8dHHZMKO0xrh34jb1AGjrcLeQkttp6Yk3P4YvgSnmM8swiwbnmoVwhVh b+6CcTSTHzgxRBkG1l6vZ6OoV3WRU8JFm0YE2dd63SDhJsblHhXV6rDSnY9rsyznstX0KwLIdd/t 3vEUhLtV5RNyWK1BvD40jyTz3PjJq2RllqAvirGJTKMkhiFzIYbJuMQDTX1hxO1WpZGTkcMxuRnW YVD1ZqTCHlOI5/p5DjHKrxhMi2gSXgCUPxqHraGhseJDVbpdFiTzV3j/PnBWGgox36aDQ4PnfUM3 Cm92xMcnIDxVZG3oykMMqAPC2MJFtsr19TeOwjqIsZdhfN5m7rsAZ7gviRvL/zl111Z0Xk+O9b3B N7YPMXMA3+2m36xck+ri4e/QFLYfetvCV5RbtMQZrSFzGIyjqUU41gEn+xu4EKyVGkV7D9IPpeZz in/kDmQ63engVBGJafN/nzjlwm/UgLW/bJJ/Pv08vnTNNiQ4seWJVuf6cc/c9mha0mOEf6l+AUh1 AxLWaCiFMg+Nc23YJ0HjdWlLvhUthkvErvpGMPyE1yKO5CQ4rz9yoF7+VMgrJDRlVQBvtyY+d91a SqUgE29JrfKvD0F9QdzBeJriah6JVkFOh5vto7+R5mhau5UwOKMrCCwdArUK2zPf0F3Qcb2XNBq0 mNKqh6kn43cF6kJGfpLu7u44mb/MikVBAKM0O4GTOqGO9eZpsbzOBUQKFwk9xDPhbFHJxmMab3jj wxwNBscymfINP0FP8Afk1WE/exIEMCKIMroYZEk+ai3eXmnKOAKFa9WX0Kzp+Y0Qgb7+TFHw+Lfz /m2mf7b/YOOd4GLkfE7EemxyqomLzeHafUEDpqeTa1YS+7NV03ETlXwiySZcnk+SRaS4gfaiTqKE rCECr86SGEjH/Cc2zsJSmy+lT0YDH1CE3HHkAAfxTglqL+y/p8wNot+VgxGmM9DkfGU8UUlIWReC lBjMXy84MyMxp7jzZZwwo+4F1bJn/Yczni8CIJH+S4uNVusxerqHhnIvkFgviDxqgpWPWa7IUIta lxsFxnj6tsZz0Za8kX6LC4SoDPIGycLLTp7nAgjRE79yyRnsZyupnh+JwHS4NFzeP8ZWCrJPG7ZT oiuaWYm5K4vF6ey/sIFudpjVsKtzIUiRHPWpGdNJSaDAbqyBEZWjT8xTtBy1dWCBccwrZ+BxpxRu IgTtfBY4IkGWXDIY+jwN9pDjeYj0WbtMDcaTvpqTAenTLOLiDaCA7F0468CUkEIbS5FuIDlx8Wek QJETSxiQ1oQvof8V4u0HGixQrZ0YOoM3HcvNooSCbvTDVCrLmmxIzi5+2AbfWzLYfN5d98N3cXpb 8n/AlnvnZownyq7fTVDocE00Eo6i8azfaTeP8e6bgS3SpN9Yr+Uwt/7yWYJOzlVO7QS3ugZPAKe/ 3TNd77sa9YoCTJJ7LtXPVvEmWnl5Rx18EIKweF9A5Mkg/qiUhvLSi6HsA6WPkdY8F9v4AzeUXF9k uzPC7+8rljtQrdBW6na4icsqQbDw2wMCoxiVRSzQnCIpx9VXzd1NcH5eTDwS2oKizJ20w6XFo7R8 fGTimoIzJxQvPOGQ+aS8iAXX8Vq2JqDHSlYGxsdPOmMGch4CA8W9fySWUEp5ca8WEzkomNCvsevy onArxgiF1+2jrfc7OxojKGpaINsjKNrikZy3cJVj6DLirED4K8nsL0O8IM/+MCdKuSW14n5bNuWo +Hw6GGmFij/dmUvPLV3nd6x9Nd+aSG+8K5Gj8Nn1ERjj+b4PxLHS/kPEWIbM9F8BBLBjU0ASI5Hq 1/4BlLJ6MI8TKIqVHhfePTZ7HROZtrOkzWhHHwsLZHYQ8NaKF99zO4iEPfiKcWnZT8YhtX3g4N9y HcC2/XAllDdIai/6JsN9+GB/TG8LpInvAEam8XGpClwapbqeiY580R2gv2GXoYMvbTAJGJFTRqau GXTkfWdh9UK2z6iYYlwYAexURaHvzqWDxaLFcbJlYqCH7UvMnG0UwftH5a/sXooClgtTwYWX3HK/ YiOWrTJSIBbbGi1FWtOu6lod1dLBvBlja4Q5HRZOeI/KMf0TSKFAN61P0ZIjoCMQnYzLSv+qDEX+ QA/bk/8eT8hAS1pOgZJVqRWf9459oMr+/F4H2R9H/Fu0zoc0Al+4Hwc12y+HV44EFn1pSY3qHHO5 5dMw9CcoivLsjAfzmMtfmileblbtzPyg8LmzT4u1jSBNGlCFtNKQ6CT8uh4Krd0rY8XcYntX/3oy o23aeoXbaWRx984gZYr6GcvIWYz9nB3TjMNGjdob57HQQtQ4vj6VasxuZhcygr3XtGuiG6lcV9ZM oB1xcGomm7gBJrdxE3rFICc8Qv3hyLoSMlymdP9CWc4JMFleYyDy6hAbvXd4sNhDBG0M2L7wrGY9 oUT/P3fAzO8o1NOAZ7pNnLYl9IcRNEFUx0+/vvuDrE2s4i35mR6dDgYhH1Sg4k5YkgP1kJc/pM+i zqCkGD+p4K2E+YPf8Ybv2FgkxcUrn84PMT/F3emspODInJL7otJkTX7PRVE8J9axnii9UWe+FOwj Fp5afX6e+xUtrttUoIBhgi+p17K1LyX2fanl1n9aTaRR0vMX90l6uuC6mLmNNcR/GBQsX7LsJ2KT OCv/IbSyRqjw2jz5lulUtctwdSuD8bHDVN/xf9ckE3HRQGWOzdfIQ1UXKjv8HwZoyF0QDpexvtNC RvVZNhto/i/G3HWZ0fNdzCgdTYao4VtPIo5oRMSKT3OR5faT0K/SHgDbLJCemVqv4Jb1PF6b7Kcl nkJBpbxx3wGfyjR4BC++S7erlqMKFgpmVkTqKdHeFMoxRC1QBQjr2BfPzyLzaj8Pv628MJ/hQJZc QSQYQzFs5lUaO85ajQgdphrUZLPPwZMVc7o6K/X2M/4gNAn0xrozqAWIS5EkLxHVHagseMtwlKIv q+spaIVc+rnRyz4lnmWLwVjU+wgX6iSyy8bsCVE2rKtZvYEalWSQ4jDeYdWYWULExWNFvdMCCreo n+CoKkuZrZIorXC7NRK5sNH8v6K1H4m8ymF09gy94VbzB9JFrZ7mVQ9tuTYTIBvNfKPXsIvT1rB2 Ie7ebfUPe7qJN+Qx19EKRA87ef+2ltcsb+5Nilv/28Tdj9vLLl8+TcJ4u8X8qUAP26VjhdDx+WZB 0Kc81uod+IrDvHschSoyNqZdAv5ZnZQ0oehN9HuR+WaFeGAHSILHNzOvSit67M6aR0QO4unbbHI8 4ZRT9OZLl29Cbr3YO2PJMuwijvJONpWDztqcu9SpDAV0k/NZwoL3z6ZZX5EdXKh86SWTKzxwS/Zw 3LxJc2818aubuqsMjglDu3gXHvEAvcAfh8kgk+c2jm8sF1eCP+ps0iboDsMjMwmw3C1TFhSiHuaA C+L/X70DcsxkANpWPsU5TcF/1b5nLHN5wHyxIEo+VnaVBmkWIVOQSQQphl3lbc0BCyebGh2bJt0L t1xfur9N2BeY2aW4SoSC7tLeFAf8z/Hruk4zw1SAevsmuQndiN9JyoozuzH0GcU/Odo+vtBN7hKT cGPg/Uo14a5/IOts45lW3/SoEZ0kR2w4ljbTto2vg008 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DI9CvK24CZDgv60ww5OkEEAC/h48/DFLxAbP4dyJpfqAt71PEbV47RrWXkc0xXmY5NSLN+mQQTqA eXgY/fercw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GASEm0xSmlPctZwTXvpoEQN/9eJhQ1AGpGXXiTueqnzITI8rSM2AbKq431hSrAsltCOgNIqDOrT8 MhzoDIp4oiTdYrz7J1Td/CJmW/LP0AQkn3BDyCRc9WKT0uFYgNFtdhX81GMWi7cOvLLYEWEPVmqg G7yiI99gIdh/lJsPm60= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z6zE5Z3wXzno7jlTDhbpkW+T6NUzXwWGA1KaYiwTcrkPfARWQulUAywKFkjIO3ZTlmcSnqqhspmF sHKCeraWm6jKbAkCuDd5xe64Qb3vZ5Gi+iYDKB9XwZ3sXMeT/bvDS7i5YtOuig2BCNCr1bBeNsDo Y1JUWuRk8Ii29yyUrPvLvoS8VMVUzmshjCrjDOoncQDCJGvSLY+OrudUbh12vkdyK4+VQ7K9iP6m copAYGm2qfTuNXWBr15awpd79pWavGwM8mMpgnn4hlZgZZ/cyJHZEiv5agWToTMPyMKTeV8t/FXZ YPS0mMbmLv89I6k6sxRtnhrsA8DEisNVFZSjuA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pryyXHTPMxb+u8YEnq13WEDw+QruQPf4iDPxPaJFgivVYdYuzXTjK+Qs/Gn6iV2CqVSv1QHUefTi 953ix0o4wbOK0+0vq/CshLbp20nyljNx0KImfjG2EmgmSD3nUDXKaMPFs8saVU76CLB5jSKACphU 6CCZBj3e8qQJtwbm5F8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IGMWxE/I6qL20dzACKafXnXDs8rbk+2PfVkqj5krW2Ko6YhlfZbZi0qZRAQH8dkJx+4w0SSmLIDb fIdXPmK5y/kszUvr2dcRJBJ2AYfJ1N9mF3IMunWjIozl9hYE2jiQ1Sn3Fi6rAJCD7PqQFgtv50/I 6mQoamegpemSMAMQrtZl1gCUPTiou/0rUvSdeWl0EhORKdxOmZiOTzbpJ51wptHiIUhiLX5rtAVE QT1gG7zllQZKl57XViqRiW27lFiQdQBOo1aSkq8/4GcBDsyTuOxELbGEJIoA+JjsLaLLxyCh/+hK kEi4m9ovqaDqY/CUkQ8fjomaW/f7M4BGfOJMIw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 75216) `protect data_block /+TZlneZ8stWWHFm69mBXBQwJI84ZHJd5XtOYXmUvsg5NJrSEZTV0TmDWVaFUqgphxVSsP+4GgJJ 95LVPL1e9QWERyCHkLgc860HFp+diVuDClPVYh5gZKTWQV1lVdWwfL6AT50XySs+ULbgnx73Dk9y Quogf1v2wUyZZbXoEG6vgCotyN8rXWf9ZkoewnEDSXzfrWVIj3l5uKNFXryLT8Clusqtu+q6FpqY 3eAkPcgqUOVcZ4Bw4MPJu04wI9XueVMV4SGriUO/Ah6izkTfUch/y2XUywIE3y1AVqkHBGdjeg4V lE571LJ87ddUsKEaYzlXL+9lcCbPkaG+8AfZiwtC28c46Ct3ZyfQkJOFmqzBLFTVby3oTzEGVuNM 5MaAG8hzjhCJs7j3PQwsu9U7BNjtRR0sRMrWuMFFhtSxDPtCCrR5lzH2qe4GUpV4rokfKXVwpW3Y M/u5HRgVbg60A4bzql15solOext9Yyk0EOni2QzLsrfXDq6DcREuId1f/eVSYEUeCBKl2ltALA0r GW5uy9dGlB8vRmctpUHPfq42YMrOjJ0Hac4g+iMSW/JfS4iG3qIubW9o4R/c4L2fDeQHUK5zotKR o8kCVYmPMNSVkVCfwHZGZojRupaAJqhnOlzuHbi4VdlHnlxmHuygGVuNsZwh/RsjS3K7znR2IigY E26WpYUFd9Hr4/mw/2FgycTUFbYJ37DjKqWYRiW9s+WV6zALFM+UJJnFJ1GmvaMcE+apT6PgZif0 Sul/fPX671DMM5T9tO5V+ojDKae8RKnhCEX4LpSSOIkFhPUgzDecb1LRf1jAkHyNS4irGxABOlf1 j3rM7X4NfETFQ++sFWBeAsrPxP9j6JDru8//CTBsu2Mg482rEMSjResvoZBLUt1HQh0GvOX7pelw UuujQLJzv19Y/p648tqABN7s5vvKohMmqtl2t9PVvDegwzM1lubEyUmGQTeGOwBGa0nilXcie+pG DqCd6Yscek4QSxB0s3gv4QGHK02QEIoyHOAKuGIfVHlnVBTDGWX9kZNAuugOrEtw3UfALzX2zfUz akl9IV23LAz6K0r323gku5I+pT9BDQyGEePol6UqlHJ8qYfXWOq1Wg3FMrS51aN6P+7SCtLQYQyN sRerjFU3g1RvYOFGDJuNuDK51UDPID98jsMGJPUxdJ3s/u+92AGCQwTf5F0q6vNIxxWcOyfH4kVn bPIURuSq9sdNR/BIILGeM3aFbzVPCn46iebhiPvYLdgiaCAeumNJAqGF49cpC07lumBgrqAWzKTd AR52klZlQd3cLltiuKqYw/Er1jGXpMBwBX2AGEC5U2eFhHcxMG6UVqXYKQ98RNszCXwzYWSOOd6l rJ64trlsn+qKj27AXqFQxl1SN36EGn7kjvi91V6hPOKM/whwRSTG+kVql2IjGlfENVZLxaLAKZoc m1mGj/4GBqaKKrhlEzOCNvq2lt4oON91w1BqFmWcy5kioWjQqIC0rNdWVjGvlyWq7a9EvJxujHWc DQ09wxP5QeySQtURtD5vkY4wh3EEgccAujVLY6KJ/Fph2JDqttQpCzbgikqfF6eDsl2ZLWa9Nf0v v2mAf9IxtY6R8JwNYpUl4D29SXGE3o/AEEwxDaIV+Cw1vE14ztmLE3rjt9O6Q9nTbvFHlzyMsD0R T8p1pTH0XupxiK0q4Z9CVQ45G3aeadBfdhhyQ+Y3otEz2xCKghApq5kXK/fhtvckLGSSiVCEuXq2 hjDxxX+7wvs8aOvqh6EsB7pn8kUGRyMga2oe6T2F5WWoBsnLIhUaslffqTfxcqiUywHr5fEFAkU0 btFCJOnPbeqOluPCKea2o+WquF/0ktpP/LIhPW4MRRfMtbu8bQU1X0eUIXzrkeZga8du/hKIJXPA V+W8l2eCglFqB3Uksv/pZSoG9Lzeoe5Ri/JjciF2AcQpMVWXscThKduOoifIwCxn1jNVHD6IGEJs 5PqlwJ8izrExxBppcsX9RF+h8ytkJ/skdEvt2sQrfiit3Vm/Buk2oQ324F8tLzzYoUnhjAPQ7n+c gvqNPgt+YhFqhewL1+j0ZDNlRlzQqj1i3DSeA1i/lO0N/iwogs15uap7ZG0wY4GFUsmtYqbgg+4q 1cHt5LcMqqechALttmIOl4EgW5yqFbhktDofwLc3zAFKApdpy8IBYE5vc17UIwH5H8mJkNitE7au 3cgFz8DSqnx+vtzvWMtzgV/Uu4IjKPrdpiSlLDMRGh7LloYFbnXtbcZlG14VBzMrRCSOyr6sUuJ4 q/e5r5pFRXgyST/hTeGO84pjv7yn1UivaclFi+rJxsoj29Ppw64DvCzqQ+WAWntjUgtJqpI3uKgn u9FZoPaQVC5ssJNhAK4kTiNjzZbskASLtSAMt9N9IAfiTspN5RvzLm8hVz+pJuB4VIRHHXZfHLcI YU7Zs/71FTex1kvMi4NlNkb5r7u1rE5vVwLXC3aECh7+IT27yBUAeeO6NMBcLfCI8L3fYFIqCkIv 4bx9VxI30SV3y3VIkBSwY5j3vgiozBiZIrC3f8Ozqsm3KEtUg9EOzRpSsoBtsCU/2HV6sbInatnF kGK4fL5dQrDWwjaZ9ZaeDRx9aPNBLFOYwtq5f4H1F4pr/RfcN/Lm9Th/bJa0Ya3xRDSJTRb/pJSz 0oF+p+wQ7KP3RmJ4N9lsXTngVEGiBy80OpGCxQzV1CDmcG0ntKmkLmeWagTORDPhvX5dSyA+rRn4 XL4gKobNPBuT6b2r59AJ1HG44Z3fsmZLbrhUuMy0zkE/EBofMYAYtPUUIujDK+YF10xSI3oWWO+0 H2RTnrgGWt91uv08x9g52eUf3WlnXsrTNtblU/gHQSgWgabF7DN8BzUWyKeUkYWa245ZaQx6H94g SZBYt6u+u57EhxQoVOrmCWOIHzhJp2n+6HrQxPFzZKWBlcPQXeRI7zmEF99Ezr4MnVnRzq/tpStb G2PoJj+r8pNO8ktfa9m142vrbIpX9FfvuquQcnT6VnQwOZneA0Uo3kFQZiZYrCWsMS1QJc2aWsv1 +vG/nzCx8+eaFamzO9OKTaS22wf+z6XgWflOuIZSesBk5x79mDOOUFiaNSyACdXydOt/4NYwViA6 qIG4G+sP2EsRSKad+q5B6hCzTXz8ItTBb4txgUjohS1dTEDBjTQGmRg/kegZH+vEx5nIzK8IGxqY IqFRn4lU9sGnZr1fGcqobMevUT6yBTJ4wuXivtTKrL0VpnYAi5guMgKd75E/8t/kcFx8dmljDb+m PEObCFNLX5nj5Y9GdAhPdrQPgjhNG+J12rui18q9TnHz++rJP+dXfd8IyveiQPEMoQXFc9JmqC9H acMSzy735874UaKJ/+KfukKQpAmGu6rqes2RNldtOfmb0JKcZh5fdHsHlDMxXRqQq/XjZ0P0bNPI 1xh8Kz+9ljbSLBppCeRcpmocnL+IjN65+vVUdQhq2diV7lzg2TVWb9QQ3YvB3rgyvq9OGztNOKpZ I7MDvqn6yAv/RPhJiXgAil2KIWgyjclwsPkNgvi4dRfRAaBhFdwrwW+B/xO7WapP5F5+/pPEh90W H56957MuQYA/chrW1Aa5/5W3xJ8e+XwH7Y+viMg3zvxT7ZmnWjAO0QkblpoWel0OEwrXWOPYZHLU UbbJMh63jo87T4he5fj0t6Dk/mCytUAvMzlQ4O+8AdrmXppz3L3Dzta3xJS//wy9/FsWQiLipuIv d22QZJeXVRrqSnJ6b+i4TmCN2erhMwWcJ37myXpgl1DiM+tTOc5C2ivpuoEtdcLmZo7xaQioU0fH tpJJoZWiFnHK1Fd7JUIXSFl4Bu97HFEBMf0fY4fqtcN4Ua/rKZg5x3xCzdfmVN5Km0y9e1TDDq99 BkQIMy0pz/fMtChtUIR5qtynMhpVRpJ/NageeEdOrAaFKy675HVwP1BlTlKgBpAPEEidCA33hYlm RCoV6Kd8HqblMBt1ts7tNkbKSXlBeF/i/86cyXgoE5o8m3qFdejf+ktDzIdf0cm89yyQqUGyyRYM vAHPPPWJ2lXnhBdRwkV5XA2gF/IFOcpVuo7wW1LXeSlsN0jUsSM0CWDjTgRTQgL8sWi9b3YYh6LY VG47l4piulP/CifwM/Rn4uke36LY9F/3FO6zkDhlCgZS5Rm9GtTn9RZpve+S3u2oMLJyIqXJ+TWk mKRhTRFH9/Z5Ge8yfRuWFb7AjD03bJzxnr3702LZOT9k0TQFBPkQw0Ma/ExuXaV0NrFIWIV9y2XW AXDjrfEE6IR4NzrcI//HFHnzSDemQh9+5xj/IuCxtvVmIhBI+AxMAsEpl3+h1pGwTFcA8a7DP6wP vkusbH7XcbgcQOeyBf0Aef9vkU/MpKI4YkjlKf+FNXId7+pdyWoeFJJ9/fl6jUAMBs7Zh1juNEy4 mRovSjB/U1A28Y1l0zEwWRJXaC4nfVg8iaPvRbheH+tEYq8aePmMutjzJsoJW1YawkuJEPepOZEm qvKX3ZsoOmpcJwRlKfU87xAdpEijZbNAdj7x2roqrzWZzXNMYBL4qqf38/wTY+azkAJTReo/NREm BrvRIP9Q1pKaYLCoiKGlk8y47a7R0M7fPafUdNd263qfXCGvLaPVAn5ck4z178MltQ9+UcN3qGDj PmH33XV8NumuIqfSPZ9t+alg/wPTfbD0vDLfAWgHh34aRYTAiGhmX3gn05Edz297itPAKPA9gzwo WRD5+niqojflyow+CWVD5PGJrFjV0ZeYkZ1r/K4//5sOVkAXTtXqJBiwbOhqrJmqsl6DexLw2JcM 7Ti2eKRyrTQf2ALGDc52B4ZLdxRO4imrlyQZg7XKVmMy1t6Y3KrjsEDGfnEqYH+074vUIFMrvVU+ 1GUqqzkhKZuMnpUfHmq9320KsTHbKBfGz1T4/KTPetYJ3M4mqpAmt+2sJt9SDhu/TVkGmI9qcbZG 4pD1228WDtVkM5zOR9eGqJTGC2FrGvw2nRG7EDfT7Vb/20VRU3Q94gjJI3SxZD78wTD8CokPzRCo lQesSNAVOs34Vxg1fCI+gakfAKpXkCrNG5GPhWs+FJaZcimBJOkY1sUMkkHg8CSP7SDppwVItXKN xdHLcVhprxKj0Bij7Dn25c+ik8OlCa+eb1gxyPteFsRhWf7UQ5QhbWJOAWAb5lVc5l/mANZDuvvp gJ8k9PnNR76mSoW9T32scWQLSvumMmCllW1CQ6jpseGwn9v02TSw2MWCRUf3/EoUzU+yBJzUg4pb dOy8THFasWKqI4NsXh2CnNdfaGTkNLTraL6PDYpHTHPflU5i/vuJmnCHgluQ8lCLdZQfSw7BTMKv kk4lE/OqkvrFa+3sS0OEvUTXa9z1nQ33nFwvO186TsIz1JWyGMrZwSRz8OnvVF8A54Jt1U70Kwvu 6kjtmt29ugNtnvKhtBZ9LQrLGBs9GHtHCznY+TuuwiM++V+sI/tO79dyUCGuoonS4lGn51QxVv0t W8LN9o30WUl98QAy25CBIH7//uZDEXKmGkqJdAVaTTBgVTGIJjmcQ6PkK2hQ11RHWZ0kbYvthITu QUFmXWg8cFYcZ7SpyW5m5oHYvybLAx8ThhDzRLh63A+cJE1arGuiFYsK6Aq+GorCA3HqNVpSQ9sP ZBM2HFTyxB3xNpCeR+dDk3qU4mqLQKZLrmDL8b0N+7YL5mYTMYMHl4cXFgcSC6AJQI2sNyuGf6Ck KAqvssLbd9vCfq42ajU/ACe32rMiUZU8tND98Vddxlq66jPz6IJ2VwaqOtAB55lqxIF+oSNt2Rnl ko6vzbcDrjZGLxdFawuddQd5BpjOowU+nrGXr7BBIAtX44HI14L8nVZ+tpIZv9yopfoavzkDyZJB WzG5sfU1AsVfSgDJyFGiFVCbPHAc/o1sz6Z65yVZv2bWjlgFgnYZcML8e2pLtvq8WmmkqbKpSm6I XKihsJeX4OHnLtgSfHWXwfJVR6QtpxPRhHYFIJ8RRJXL+c03uyIRsnVc2EOL+/VYcwTfUAdxm1Yk cS73xFpx+53vicCD7mbs1H+4VyIiTwR89NsNiuVoxyG8veICI532enrEq2YvoltLEzysA+5qoM2A FRMM5g4MVodgF7XdUZT/n5EqK/5MdWdNJskjITBuJaDEmpYkYJkrG1p4lYOD2U/EozDUE3EEuFlT /VIYUhGNtyFeqERhPznWIgVeXHofTXirBWdQOz3EDnqWV5/UeZsQ4f92cv0tHEx3RoKgQwcydHhF TizoTDimueYydPZnxD0bkL6ZH02yvw5W5YanMNV7PIMwPmFcGtfxkh1q919q/9mSMravizexK26O W3/rjHZ0bXcj7u/CWY0fnG5/0o/S7KPFCCDlWA3HiNcef2G5mAthfS1BrN53IrqMwjQEtsua/kqW 04RXcQ7l44KrwMMRf8V4HBiT8K4c+k0qI5y0Sc+hW4bLT4Z4T8R/zn/Cf00rWOKOSMArnE4Y89nj TvfPi7JjKPA0RTO+DrQy8pfKHjixHkiUohCezHB5ChmO4uNlXJGd7S+C4IbKHgM2/qmq81DMRJJY TYKWBCec+zwVJK2S3/mMksY/iMai5kAimWcajN0tlZZsZuJg3xcRxGJiwVeLl+2vizjhdjyVQ5Sn 5S+RpJexPYngIUCIjMQZZeE3C67nzbp2TpBMVsiVx+Bn+F2e4ytJUQA8FsLdKrf6ZpiuJjMJQqbR mAcYt3J/tF/z0f1akMTng4wO+TLcpJETYDavlYNcz5puhgmRuzEzxiCiAyUsklKtTM+l4tnTSz3e BsrRhjUI4al+0n6k8t4UMjBJ2sNFQI1o4uGDnFx7L5X7a61cS6g/IF2IHm0AYkFKBYIvHDXEzZTY uPpUMkqWg6KU1QGZOv6IhjlaYwyWlrHZUZhoibTYXhxrAQadjfFfn0UJyGEYx0rnVif0vlr4kmqD 4JbnAl1sQgJA/5yzWxr3/a6no1iEhFOQeCyqRROOWbd609QMVwroSCivI1YjyEFwoXTlr9OvUOAg 4579cN7YPOvthWk9vP0GYnNFKoBizZvciht8zESOZjicZf4C4g2z1aBrIqQJsM/F8x48ZqMlMOK0 91jz4Bqw4CDbYd5WTNca5LIRWHmpRwiYe85rwH+ZFlJ1kqmXzJPofi7OMmeBwLfy5d5XeCfGbbCQ nucoxCvrlOlI1ao+yQwPd3ZzBk4E6o3HKhKunLyNgNtWlCm9JBXhsGpxdCueudzJBivhRcPE5lgh 8+TukvbJxuJ3zipj05ZxNvj214jeXaEKNlgKvmxZq81F5VYNbgLaJTC3i5rny8EK/f0QU242hh6r QVh0c50ipuiMdjJ8zi47uvPHACFMlsnzOjloxbq6+N/aeD70UQBFbrKFT3gjg62Nkk0LSlSa8la5 2qr43w0DIgJaS6yC29ugQdL/9R7OGoXjITA3HNLTvILfip0mdOBDocq8zf9gR6TqVrSOOLM/SrW9 jgc/IrOR2ycktHMvJ0yMWJ4LSXFDjSJXSWwfOkx2UD7scfdovAlAebfUnymmC1A2xFkXVnljxCNw HxLxNSuSR4bBkxd4YHUGFGW6CSZmwxO/gFiuNA4Xz5HUR5NKmS+50nsgrBBwc5ZsCt0UX6NdDL6I AMqH1kL7qQrAjxMYJPY4Pq0kbOSIbeUKV4bL7CIj7EiGpdixv3AjWSBZXb7+vrCNbhb4Y15O3O1w QAFMbvQfSDxt4zwEr6NWt3p2wpuLUSRY5uFNK9lmjcP7tZUDwX/W3XwaA3xGiwkc9G4cb4z/J5Hf LC34torsnMcyiE/NmOJdshCmVr/5ggm09DD8YYBNhkRJqy0n/h667iSwf6ww8D8ZaGKKQCZP7K4U e7U1rJnLKU7n3216UicFzz+X1f+7kqDVtXlc+/S2ldPu+30fcUD5tdPmdJzs+MXMDtBfkDRpuAPg 2gpRSOCzcf9IgomBgJcUg6dVrqRSK8SVFGbSEP1q5Aandt4ATt2gO3XJrzw1v74AUiTKczq7qn7u 2P8a0/BpQ/FYWWagH+1Ec/lClkJs0IkfzEz+E7a+GfGNiG89iOhSU1dqHUauCytt75SSQ0YMQ0Io 5o4ut591bf3QCPGgt9WqeiPlFurEpd+7L6UJkH8eSK57gOCCWRZtBMeRwcOdqCNPyEelqyfMfDvZ h38kZbm7mpur5tVCRvGUfF3dVvOJ9QdgPmn9Rl21Dm6AtnWR69X05GDvda+Oo9nGhnGqSUwLyZcW wrr2hOJwDib+PYN8N3nYd9W4H+/uIq1tihkBEU9LH7KlGGcDIrLbw+t9I/wmBVyfhddIqSNGc6GC ZtVdDKPam+uQlMMUcCFuhgfbLUM/VJJ6Br4rClCDrUwJaOE0ietwemsqoQgrUhK96USHEHXdlMxV LAdrd7WInKAVBbv0wNTAplhVDW0ok9TvhLdOSjRGuK/u5haIXYsCbnVnBEKwFoyzTYLla6R97zRL tRBmdWeBxIMe/54fEzV0iDznkeY80Fkomv8w6PD7n65OnVsi/8qkRwTaa1y8TBGm/R/bQW3heTIS Ce0YEYGpSOA4uEZd43gDeNleQiPo6kIQ9rT5h/0JrshFBGntrHgJTPsqI1a2ckO/Sr+w+fP/bLFl KzwAJe7/UHVyK0LrGxuE+14oerPFpE2O/tibmxck6twPTtcosnJmyQhInGWsdUXwG46W7YKXRyl5 roAVHJdwidFrcQIwjEnLhrJPAXDCwLSHH56w7vsPsTqDh5oYq6Lcuo0UfaKHDp8iLczt3bI+fTm4 YHursIzDpX12G9DmC9u0s/Rzmn/AsBnXdhVeAG6bx+z8rSkvUhphYjuO7nUuFw4UbSFpSJUGURLL VeTWglSocoA1hpPnHlwkwyZ5TGmTrMDWkJNVbpiAQnVmlZ9ydXIk2Vb5EuDjfa7qEnBhLdLuK/vS HyuMiPk0uG/cjODVm97wbB7gJDfCP+YsGu5qqAtewe/IdiFFGCkmRBsfFNrm5bX7oecU9ihEZ1cH /t1phaRbgGIss+6Bh+aITc6JivzXGJi0As6ZkzWtt4uHEFdcmANF3RnPGu7oGByrDjqH6OaFF/JR QNcNAzUDV9ZMxs/H5Jx1aQeVD6V6oUj2d9qd0gu5G/czntZDaLa96d2Cb0Ssm436GnfoSTis6gxM ebcKmdY3/kYCQvJCnGPVRGjGHbmob1+bqUEbhgarjXl63zIKWH2sVezszoVL5fOUZR6hMG1PPyV+ Co7i7dQSZRrgVMnOuYdYfpv5uSaLpYjpzFVkNQ2dIMI9zgdahYRzrZ0Z0RM1r+JRgQ7sNlrT7PVc cVYZGu2VEIbPzM7/AYaV1ziqDmvXtIaSX73SWjF/J3eRWHDKxOpqGRs3nNXADPe31KPNJyhcSF7x MyO6LW+YXhNzYMdVzWkxELToaJIkb4+qfPJIoUUvaa6fW14hT0xPI2+aHK+IcxbSgEy2OkGVcyYh PxBXPcopqsQWjXwK7BIl6mEQe3wB91qQBBtiLR/FvjmwtWV6tmsoGATfEemliPWHrwl5l73ccEEx JaiIU5/Wr55bnUoKoM9bvUzJIiDbPVtYZNcu2OqODUXfocIDgu4W9ZpUhEpr/pVuwHMmYkuV0WIU +jQCnKFKn42qsZg0e8oUO8ClzwTmNFaCivkYr7b5DHz1FQzDlz1YjxGDgd6QaVejpcEpVSOJW5TH 9z3H0JdS6X+aa63V689wIMzrgnvsK4Qd5UGSa8KiIFLXHxZl6bdmSuXYVwFJIgXDf9RltWAqsG/x eLjpH7XGFUxvNIwnJiSD2QUDRpcAtWlS6CRxZBzIOxlDkABmW6HXBOEmJiYWYLNnkoHNPjWPaE12 RooFmH2J1dxQ3MuTxBn06fvOGEN2er3AUCDAxoiToO7lpjCVdyhHPdQ4borX1lWJpKByZXLxdDyc zD9T5vcSrJWwhCGXPbGJz2E6m+nPgDI02i6dYl18fjBj18ZBfynVpECyIGvZf8m8AfZdGunW93Gm 1EtZhrcORkiNOyxPP7rflGv2IgAYiqD8XC2MeP9qLclCJaTfjZLvKekO8cds7p8iBMwBtNMBg1WB aEM86Eetp6qjt91w9ZJjUDiwwHLPhS2kFxhrNKWssXF3OGs/+OKfpSevsScgvzx9e/O+h0gw47A5 iHZ+axmL3LhjJQVwWbHqiWrO+W+K18fFc8YCqiw7z4Cb1u+xlYYn2CG8I+4wUaMZFJB5l/OWB3JL iSN+PO0EDZb0FsFGkkFr7u1B1DndELCL7qj9SbhzR3fGhSwsrz3IMZ87YIalHSAc/jNog82OF8Yr gyHHYAr8WjfbZd9974cj8dOWGNUaWlwFYPMUk4RCExQW6T6V13M/haqut8o16fmW6doSA7N7MBN8 O4gGktP2zg6rYlYSlYhGkWIlH791xhO0RQtMcjUiVcok4MkNl37fbSNl6AYYSb3Ay+Vd8mZz695W plMGGA+hgAW5zp1QPGIw5jO4jgyEhfpjHFblkL8U97n1+E8Qns6N3PbGu6WB/AGoAkgguuTZ4Rty lTzgoco1aDxtiZaxLwUVVX/GlkuJVfqGBwXhtUTR48hZ6EhuAABac5ZrBrHWzP/UR+X5CjXKg2T9 29AXYuN4UM5FWivddPLJRSV0u36O6IvaRTh86oxv239mrj7DcLRa6BwCcEyfl7V1TGtPZ+8NSeSX JEi0vDUk6VmYtLKn6WL7H8iwoj3gcs+bNLqdr+27Gs4pmp+Kvz7aUkuYXwZ73KHIAmNylil58LDM 8DGEA37lAWmLfjUpA34FLEN0U/+OaiwZLEJ9onXV+4BbrAWJKoAWkep6ZozS46W9QE0m+QIT9VyQ ElrgAdTrM+OXPBBaPWdO2R6/RS7dvjkuk31o4+XljrezdQkk+YcI8bBcHCvcR9RLBYQWgI1qOCmV KIW8kb1YaRd4ffyzdg4yJKBHr7ak3f887/QR+mKIRCeUUYpUr2KEz1jkeEPFRVrCxlJf9Sk7rhwt KZSntpt9WAVegr/ubFashjJI6f2Z6tR97XT0lNZoRp0LgV0oTkz5Xe0c6KS2R7pabgOHSXTQ8cIP 9fqq2bX1I9zjfDSKWwS81aoixMTvIKz5N5pC8462yDhzELsP3lehbOd0IvXMpqz+X4bNXIpqtOsL ohdSxYqU3Q9rzViN8dkgcJnonVHq7wCtfU5W0BuEflnmj+93QrB/mKVTZN6jTHJoOi4YAA2z8+9/ D3Rnf0CawfqK8cvLwCmyTIsz1BPot6FOwUBSnBlh4honSWbQ/8o15ZXqXFR4b2gEREV8YsinVH2Q jKwUQ2jFzoQt/CZlVL84H19LqlEApU9w8pG15s90h+WeFsnDpxTWeeBZ7MAe/wUGtFaz97ZfxiD6 DxxkDC7CgfjBsYpO+T0XHiwp/31klhHBjdWkJMfwulL8AKO/CiIKlznzAInldod0+TcQXVF+pcvZ +Rt8Qw9v0dusgdmyQjrH2dF6sJsvIjXcewyBylmsczQ5U9wEGOqvx3Sqs3pFQC3MnEY5kNlOLS6o J2TIG24HgsStjPDK2WtHDnP2y2eivMD6V8j4MzCeeqhbKB+XBsJ7m7IqJr0U1H1Y4bFHWhDoFOSG Y28yeocmze9zs79O2PtKrrQzwut0ZBg2VLb99iS9udl/l21l58QiaUFkoMS6+JK3gsKQfYXpPgZp 1dZFeD+SBzVMP57Qne07Wx9+Wp8a/ZaORQggFwsME1bXkXD2I2uiE8/L9TytZrr5YixInti06oFX 1dwJThWACCbPcQVXvQzINlvFa+h8C0JXRM3b3XQ4+4t8QQ4IiWzj3d3udBc1X8S11ZfxjUAYLywr jjavhTnC+n7MfbG6+Z0yssKtcLrgYzL/TuNp/fisVG8ULL8n+6jLzDCFflc/fsfBGNEkcKWaC3Da jo/1krlBwsqWxw8nhTAmdJN/7r2EJ0JVwOYOrlC7gXwK5uVkdMp/9EQ4D1L3ySr5wKfqtG4fD6QB 2d1uNu0XAXPH9Nld5lEr2LS3Qxwi+k5gmlPT6dyaOpBoeWhKbibhKfelOb6ngkCGfcwWVB1rLbEy hiQciXRsFvYOEt+CtipNvJzeZe4V0wgv2lT8+3oZ9324On0IICSsh2a4pfd/SVVMIH7NOya+TTPN Fyfm0Wu/c4nIy8Qd5rHhj30+GakaRak5syLbwKP7kP6quZvzFSNMXIOEzr0MzgYVSeeByCOJcAeW vfVcA89VTgGnwd4EbZQPmggxJ/QOWO6Mt9+It7Frmx55TEJap4thMHC0UnzuowaX/CItettNcFSU f6iQ5rISVCG7Q6RWLEuijKGCmvf7m6MFUPfEVlchsTsEp9H02UhX927SJHKhSYMShkk1DBCMZJ61 9A6EEnwQ/4P4OBy+N4FDuXAwgETprDKkY9B6VdDG25aECWkY6Fjs9x5FKC2Xlzdpj3TBL9RqRJts EKFUGnvUZy/NsrWjw6qRntBayYBk6RuUm14Q/i7pDSo5ap0vh8w7NtSnp2GwTwcot7BbdQzG9iHB ZAbrJNA8OOrsJp99Pip4S/y7NETWIzgZ7lbab26Xq+onbpd6iOeY5FQtdUVQu7EXJRUDcI2t/4Wf 7tA1l0cuO1Y+mEUQYoeXr3TRD/XyFYkDsEATdpXGu3/E8LqGXu/dXAmn3brAcQ3LSr/2JTS+HZdf h/NuZ3P36R7nXQcZFFfVbLsEXHXODqJjo/eacB1JHcxpIlXz8kVDMtJYM2nrPpuiPeujxMqRVhMv sWBwkY3MkCyl1t1gdapck91kKEzCg3ckEnzEzR0NEZ2+0rOeehxMAR3wjx1Wk2MkSc4Qg0zu8pNW k620M4AnKJI7/nlViwWqoZ7cHpyXz4E51s3AEQtHNymu0udSS3lem+U0/DtI6AZCVnOA+EcqUQhK LTYcFhk70ae2iILw2g/pK8Bpb0wZ7jR9ZELs/m04J4MNjggaCuJchR6ayL5q2X7gurLE/aFwEjdA ekzy8T24AnXu+mysNxNrcp+RJwr8mluz72ysCnrQjirkwn86zMuMMKYSKfQ26Sxt94CXTVNjzHn1 +awW+u4rF8/Tkilln89h73bdaA2qh1ej7+K7O+Jqu0q8nxWbZ4BMGeMNLkkuN3teZa+dIIDqDnA+ 6CswTGOTMHsAPUi8pVhGiZPH6PCZNj0yjnGMxVer5+7Vm9FSc3fOBeT7kdzD3L1sKmV8Y7OKT5Z5 uHw/dSaRwZYghq68KBmUhaWucn6xho1wkf1tW6Mt052Qe2CqOr6N3ci2neAJ+pszZJNShUa5CjPd dctDJyR0gg8jFhABF5UnQ/11sscyUuv77Yddy5tGU5CmwJBv5aErB9td/v24Tq1G+yVGFmNxNyGR gqy/eIVIxJkDhxTZDTY8OhemFaPH8GX5yIzxLKJVU13nVDqse987y06kamMZfS44C+2BwddB0XUF vlGZ8GsLNo7ThgX5h7DXY3KxP0y8NVKFH+rfDe7XMYDoRIhz5WhyIQkDw4H9YI9HA5I1Xzl0tI94 OXKm78CjNh/ZyO0voVsLG8y7lAYBylHX8DuwubTXEuzjF1hFtIGE0qldlQSa/ZqLkJ8iMU+C0Iug FCeDKShZ+T2CfPAWvaus/MN6X8gQZ1bluAgMd8967Cu7O7xrCCuNODLEKcKcDeh342kMUcB4pgeF ilS6w4FFm2b8gKq5pNFGzRwYgm14q4LxgBlaF7oHfIUgE8Loi5XWqspitndDFrF15GLGOfnNa3YO MjDThZS5VBUqtlEqr7vDYM3t1QnyCzE2VMCQz19QibyKXTl30gwFS8DftrbPPVdQxNvZ8YU75Mlq NkRVfDI4iF+9Wo11z58KxTQJGVNVP2XoNB/himw7qc2i7q/HbqWm2+C7+PD5/ZWZueJS9HGen3B+ aDdBnadqEZ6HKgK3r03Ff6KVQskuaVEZtsnstbsbdZF18mfZoyTEThOfDc3vuSh+fJuVC00ogpfh RpH6SLqEfk+hYS51X0lzCp0WNb58S5LOZCm58L7KJkzrvcPBiIdiLY7qZdRTgO4DrJAnU4Rz0tSC yho/5+18NWkQTmp1mWgfWMXB02aoCrIyKGBNCDlmd1gfOH7rdlelH/7Ip9UnumU/G58zhCnl5ce9 54YCORzPR1T0XfcEOV4c58AkB5hDkaUQuSacECbedINfu13ISVbBHjRjIcC5QAXBgBoYtKwEmcvR BarEhnSmCxof4uaFD6vW6WxbPb4gVkCpdx/JUWPhFU3GdPqOU/0BJ/XeEznYixK8Ix2hMBMnj+Cq aJAWhCfjHitVhUlCRO8nhDSUVznbZZZHOjYRF+i72qJYM0hRL/qx/625vTeFLNsd1y7/hIBkKUhE ME4yI/Mm4E5EbjCptDrRj0ChAz96N/AG6vlVrv4zsMKYMSPuuOBi7sdEcii3qa4NPGxjhJi1UG9Y zf7fsix4g25LBh0h0w/LONNirPbDn92EzmC/FcF2E6OqdeWSywyCMxA1sVKB05UO7+TNSAA3+sL8 yX5XGu+JS8+3a0clYlKjCh1svVZF/m18aZDaM60ww43S1a9koLgdKpUzFnrv0A74CNDI6+aTb4q3 8yV9oNr6mS3JSYdUhTo9evE5Op4WpWXfMdwIUB23k9Pv7L1Uh2/KL317K7ex2QW3MM5faI3XwRzW Ss8qFVAL4XuXrXvc9mccDpZ0ehM0YfwXFRTT68kRngREogGMNFD/9Y+JjyR90sR2h1c2SKkLCp9N KVMN95btWlTFO6qrWwUpdnGKMTsRwBXHe2laQWBmVnosV9RRBPGhlYHiXqGWZOMCkKpd3adUeqzq MGYrWEVVndd6KFc3kmFe57NeVWfJLtnx7m8Rpyb3IRVpmw9jMzPjoZVFyBZgZsk2yhBFDAHAbBqa FeCyWrtbn3ZMRyrYMh6UC7BlxIE2+oV7Zbr5XobrvDr/5nbE7HnjMLp8xI/h6mdJaKf5lxLK7EQd 4prk3rR+mf46YSh9LsPXnowIOaF4u5kyytbegUiMdmDiTh72B3ZmLx/Cx/mpEOs7MV5SXD4rsJDR 1f2o2aR5l7llM++z7b9UT21t6a9ymbHUBYImwsm0eqMZ2unNNx4x1msN00cvq5kcv6amu2lEtAOY wjQ6w/aYTpwkdeqzzSWxzMbuz+s5bSn2cRfPEuO8Hdp2JLDQ0q6E4O2WRA3g7uBU2K4Qaqadn0HJ JdNAESwYQl0P1BVuyIT6VeOPV1DCTvzoiuRkteDnn84rSk6SjT/Wn732hBBPyUGYdpptSbhZEDv5 VNQgYL3+binReht2dYS25Ndd6b+O35p39pBSXjRPML15ZnJim02k1V4/B9bYoJnKC4GuWXB3Qdxb nTBA1DfPSLe3gyoyXez7JA95EQO46kNBWmncZTjX+c596ptLarZ0FjVZnWBq5XC5WIex3fTlzFrB 0i3HktsEnanL738gH9KCj8oq/i6IJAIoqFoxoaBK8YmFxzPyLrL2DEZ2QO2rOV6fLNzj4pWy2/9L rXszoEjE44uyhZLYtiflOZg6J2EXdtPnOTzHk+qxya8kKFPaTZGZBbA921xP01ciMFKFS7TwKJNy D2UI1qxs4PlOAc1lh64H//O2HTQ9RxzwtZpP5axBWHiTHSdiVSem9u7WVz6XKxD8GyJOg75jI17a SwE2839eSEpKkDnjWZohcs8NJF1chEfCQAuc6CsXBR49ZuS0bSTNuknFe60nH2yvTeycFeU3elbi 9yAQuIFxFXo+SG02R9WWIjGjt+15Hrdolt4MbEspcKnbEvWIJcBjwHQyaRXtQmCWXHENpS9Rb7x+ JDfas2/Z/eIK2SCJdHDAuD6s04D1N/RfpuLNsmCyVtMM0pUFtRMNekGAAbdG1mfrrpMDIo1FSMMF rI4wELERaZyZEnBsKCJiA+G0rHAd+5f7Hq67DL5koQiXVZ1Jn5zNueBQZL8Uxlz/2mL9qwAh4a9q mkldEMNdCirNUUPUXMnn556MbLSQU++Qx3u1r+2rj+CZ2QmbmjqvSr7cIMhPxRxTxTkvvXEvfWyB Vc5M9dJWevLOL0+yZIH1YTG8ixvbe6p2eRD0gZ3a1X/m7Ip/ypoLtSgfKK31r5mzOfEoOpC2bkpZ j9Y7og4Dhi2U7WZPQxRnVla1DSmeuz405KQQ2GAz+e/75hPgERBROBZbq9nQW/ytpFMpZHQgk7SG BrddUnt7j+GFKQY8pkx3UlRZOn4wKX8D5OcxjIvhqWJp0V/gsvdftnjJY0xb5Xoke7yyX2mxX6qu KGHo6jmziheC3exApjNxJfzaS5YTyeUkGkTapqcL+6jpkcox+5q+x4ifEFDtiLEF8b+E375h05jq YIW1ZJFGfxYLgXQolwglnmUNVwpwHFMA+PuLAhzr0PbZ3Wnjizkj12wqG0mNiHXvIa2QzYIVE7OW gBV9NrVzZTwmD/kZM81ljE5cwfP/WhY9nAPsEY+o/Ou/psI3G8lBL/M+JFENy9/ZwMMcDO1I+eFZ YhwkZnnRVxuch34FHK4s2bcAtieHR7oNtHApKGmnNDjo3/HOd8nIojpKm8YSQygah0tU2bbGUHXp PjH+e32F6dywQbEGnntfJIjjZZTDHtUHWxCLrmVeKsIyvjQNQCerzyVJFrrQz2EQou6YVQ4XssKe Vo0f1js9I8G31rLMGe9cgrqkqc37t7FfMkAovB3ionkwm0yZHBNK7v24u0FeT7DYsWpWgiBrPx3a gL+qilmsklUh3RfftCCqPeKF10uptzh88YkhD1KUynRSf7DU3tSAbo+pBa0bRdbrPbWkT1RNNHSp abyCJXlmjWZxOlteSWHqYgcLQQl/lFRm2OYklJ/UtwNtCJMpRJPOqQkj17H0mtNC47sz9zrQ4zOA IBgl99qKM8DEP7LGvSpsESntj1UYo4eNI6/QvDSJnJPNOwQKwso1RLF/TSwQhlWc+fv3uqUum9R5 FwMB4d/BO3M1oiIwKtqFV/PNAjk0OfD5Oz2l2bhLFFtxQiIJA+qQlL+slkKuy0+ywtz57eH+eqTo kNLHa+XA9qBcAFXdrksLvWljbFpTP/mwGZ5UF/zFxxkYm1cdeK2vo3mxuuGqDmlLx3srtCAP++aO C2VLDqqFXPa39Qt4TnRasjw9NbMrZjW+sTDzbMmR9T3GDcEVTVWLinkTGa0RLvgv+NbzcKR2j7Kg /vcDgbM9pi5n1JGB1M0z0c0c1KFCDAJT9KKAhINVCeLqHQC02Or/uQRJfnvzfy+61CJZ5jHW6tJ+ F6DFEBEGUy8istOeklvhps2nTuOTjzsK7gH6cVf+ElN9Gx1kfwC+9Tp522YDgnAR1xREg2bkkwH/ dTYS5eiAO0zfnCk3dlwnBRj3ZeG1HILz6Rtovrre5bw0kv+dC0HC0Lc+KEpMkWV2mCspv3i7piGI krN/N17/WAGwOKSyWvM2iiSjfmuHto620/IMIZqirW5i8LQnN0Gak3qaTsSU19YidTCJC2/jgQWf dHaqkXdtVPFfF7poESiCqcB6bbz4hZKW8fq5d5zdLRUGgi8aBoSLucYlyn0xYQxJacakLg1Rhs2Q KZJiJfDJIMCvax6+/kChDP0ldOZ3jYrzhHE0eQe7+Efisd4Bmeg0FzAUWnHyaENZ/4ufoW6E2Vsp uNle3fXNhRsnfVZ4cW+K8TEvYe62X3YuLHvlEXaXvGBNtdy1r5Ex3+WFXdlKkzAESCWyICF8NDI2 tDEcLi8TREoI0h859R0TafDLqr4qQ1EZRkMvnNcwwGxMZ1OP+gLcJyVZfdlD8m2SgJhBbGEZL3Z2 e+WvfHTKtIrmXs9Ot+AzFfonbQ8UqlX7yNavyqVmvsXOU272e3EMGWmgTe6SmgVI00+WyOJaJ/4G 4tbqWVXT/irFUq4Wps7O0nc3586XJVyN0/L/+Fwp8K1pFnsPwZaM739TMu2l3hFCHgzu7VC2Lbpu MJ1woyii95BSZN/7pKcXmPq81moWofRKddUAC1dszfA2QCpIaW/r3svUf/0hac4N/qsia32qgUsf n8V1qHfho2k27+grrqMeiEWYv4pIUjpBD9/j9pBDVltb/OXFt1im8WECyKX41v9ZVQ2SNaDs9KpL giTO5gB1uGhcEJ12pmec09vBarYfQhlljUiLJ4oVIFK3nSpFGPDacvcg+Fvpvmp/QKUqPTv2ZGHE SC+SDutAefRPOokGMmygb7uhnp40ohzMGOOs4MnptV73g638D9dzqwA5WA28zxX4WM1pS2k6DhHL Viyh/dW+ncXZgR/EOYd/SaK/cohyyO8JAQxEZKnrMVUg604LGYvcJx+xJ6Iq0Nbcln3ColZtvTnV SLdm7ujGdm7pQq3B1Mlyeko9J5DMrYuxcVjFw95tpsifU0mYasMDLh8r6vt9mh3OL9RjJyfcEzOq ChGc1TWeyyU4PlIY3vai50OGr6eC9lbDFal279ExpGgmGHpYucgkSDegobHjxJynWpYFpG+eKG2A S0zOCXNz/PSrap8+8BAe0aEFW5xWlK+nIDNzsZvCbZxvodOPCQMhFrhpf0+3lsCiKRVF50oZoZbk W2fUnp/MWw1b8vsvsVwt1hiXtGoRWe/vzKgI15DDfePVW4YwdvCWtsa9L9ft+T0TzxOxukd/5l2F gqqqTgeBQ0/NxJ1+SqBKjSxI3mgfHgSfoH6ZwS9+Nvn4RNHZnntYjOROSfgur97lCYi/UEwscKMU idymxl5UBoQOQR4a0GP81tyK5iN3MDmPdYRDLpyvKlC70sI3SkD8bQ+y3etT1oYkYRPCTHMRKb+B tZg5rLlG0k9QdHIVUwvKAHLigdey9caJISs/4BGNEBbbLDy0WvVlCoYdO5o6Vpq/DYbje0Hq6Zcn SW6esG34en7Uu+rt9pkVZCekAEMcKsqKympdSKts7EtenO3eH2/mYpmz5lVpMz+ocOEdKJESwJDC XieBQVel1x8O0qN7Q3oJfBj67tN2gcQZ4dvMYYTTrGRv0MnbKM4vH5U1VgKj6y5WmlJ/JXBXtOPg j0YLZnd33bvG7dqiPKPoAV/FXBHo3S+9C5ffuaiQ7Q8s0KbT56qDA9CGl/TFjW3AoYpEOQHaJt+Y ErXskJoxyPg/vmbfsYtkkatUBestkzBy2w1R9kI4wHffelhD1PorBhWXEeJt8ZWaU4l8Gt0yFMlG xIZ6OZvQk0n/OPwNPJOCryiYRWU3vSaQHCRofXetzP+pHsJeA2V+wGMmB9/49hnGg5S457Y/f0i8 MbMWlC/D92EVUmBesgaanszrP7Ux6447jevkXAXJCq/y5PFYVAv1lDLPoRwvAbOOC1vrNEcraQK5 zV89wLmf/hrKnM9dvQ8FROUXPg2bNDK3Rl8+tEeMDuR4vVwvAzNMEkwBRSIRn3ugt5Aqd7ZW/1P0 n+UiWyKBETeAwNt09Xdou4TJL1KF8mdJKtAdBADVZ2bF36s5hzJWyjGWLHJYG/4n1O6RGPtVzBqz wXs3V63y0n3OPkKmJKUQfwWH39Kf8MecCQ/vDlqIvIS60gMETiMySwYZwzD0r3Z13uxAVbJNzb3P 3IvfBBmGfvbjhTHifjEMJHvupoQ4GoW9Ggmi73u4tpLjKeTWtuL7vzhKdIa7I3+09sNk5H0VQIN1 0RQAXy426vd/nj+yRbkw7WmOOAJ5fl19iQcHWhMxgMay83MbqKN51Kx/Hdsih9hx7hq/+WcHUEPn sgwngcEmxs/HrH22kwjpLOnS+uofz5kpIo1XyJA/7Cf9TyldcGVOitVTLClkxmKcwjM2RYqw06lS UE2BaszTXyi1G4G+dtV4l624jfV8gOd24ToQS8DmNAVGuoZdWia2DrK8owK5CuiDix+hbG3Ne3+v ggePArHsYxrg03hCpd/2VePwXSnZmqO3ZP3nhYk9l7joYaQiTUXoj7Rt5ZMYg7kioqezXpSbo31E Nu8KApPzvPUUt2x/azmu5uCAr/l67euOhDaKdpzZ7Jc/1fgVEEraebYY7N7o6tikKSjaMstt0kxy auDIeds9iO4huShN6I0vb58vRhA6g9LWZmyw9qwNJg2g7RXFgediazTeDue+HgPzLsOXzoPQaXf6 XIOR03hxIO/2S1O6eL+XXZlGeycRpilZlYInHa44sZ5zOsbsHmXfFtVgW0RvxcHrouQPQR+OsxY0 ZBHd4eb7q4RYtdMzPu2CWAUb7C84PGMRezK94jX2yI8Z71N9pXxifX+NMFxpxMU9EW/UCrsxrkTo okih4YSt0a3tFXD5GVaIVcxOVp09VxSIxStrKID7gnoWyMFXG7MSPYG1EKSqSSAlLZfW14KZlqPW 2B0VJwrE6p/L/O1GzpR+xCHEbpABU0xCqOp3DtTqZWOZd1IIcvvx64teBanr7CB0U8S6Ls908elP k76xXXsAFrYntTUF0La58Yr5kPM/83yd8akIb4mGSZMKPs8RoWvd/oQXUk+hp4tLmlJ0mb3XnDqq i1oThbpDJdcOImElqmNouPvEUQ+R12mKE51FsnAQ0brLNi5n98UImm6GZBwKpD2QqCMURLJGp7wT IwMMYypYAr5mgbVkpBqbAuIK1ggJUVC1AIlJ3Fp4yOfotGiyDc5u3igjprkeDt4TMfEa8tq/kMkZ z9MSxlT5UfJzfBSUtnGGaK0jLkb+lQ5AxmgDXO4VxY4Aut8jUOv/AygcHVHE5VgEjLDXE6/rsLWz BhcCU+UsuTD6hBYT67XvYbU86WPqd/SuR1U1/Yhau+GuYmIXALpRnLnWr8Te4RAHA36fuJlicT3T Ze6ZaWeiy8H9XqKUPLLtHOMrU3jFbAnGUyGGDjH+rBBk8jMB/eqg+++bIx0bpKwcJq7uOsWFag3e wStstrAPtP4MoCreNU4+nkfDZz3y/bkMLY0FhFi7Yd4PFLNibwmBS24AaWIh30oUj3X5LsRwUE3a 9z5d7nwGaHHVFYwJXWSrxpxFO+bXWNzzgurvWACX5K7ul4kSzylgFJDet5KrJJ1QogqHa2My6aBz 6lCrE8rTvkRmKXWgpimYhlbYK3DU4fbu0dW4FiLfrcSZDLdwiI8KdJJkZY6Jk3KlsxFAQ/5mZxbB YC43HaFJWusAurbNMRbRNogZPW7r93Z6yANSNQ+yDgSr1uDE5UEIs+CKxjSaib8DoFRV7/NCiTsO wEmRzCiIMTYv+oR7xRl7KH0p7PQ7+Lrxe+mXcdBYRqJKh6g6kqT3Lm+/biPkt3nzZTQ1/yVRAJhA 2LuA8RhI8Ja9S2/q6qGDqhHE3qhcazclHB5jdsG8eMVIUqmRVYoJDmkw9Ng7u3YYQEzfEA0+e3ff pmBJiIdAnXFXI/0T0iQ4cx7kTcjiwrWS4nHCoBKr50RsA43b186JIQ1HMDIQqJAbKWr5DGPC8JmI RXFSWw5joZ/GY9FV9AVb8OfShNUsoVjc480bNg+y/ihv//NOCa5xryWsVqGtQ+UxcTet1xJWyYnE bjmt7LdYfMrc7QpyAjuoMBTW8RiS7wGO1izWlAOzRpvhhmoYInrkRdQrOJKRXM99X1pCUBLba1jh hLyHKubmYtI9BNDGhKLW/klW7JFSvli+53DcBhF+JzrXwhjMQSmEJBilJ4hhm5tBwDLSCmLwK14J DkhQ5YC6IyPZ5zcjuGIDfvuBJEdbgwHfE82EXf4El6/fDrL8abGaF7luJqPfCD4m+bLG5oWRyPl3 g7bGXbNYhHEEMgAoLyxu0OvKF+0lD1j2cwduc5ck0tHSkdyKkaXvnKqNm/eo97jND6DPu8RrtThB Q6af32DO+HOYzqvuIuX5GP+VLTvKV2kvHUqvJ/Fftb26OBaaJJRViGUdg1b+uxVj9Q8vcL1woWTf Ey+oYx5PYFfptPc/fsx2DS0R5BnyGSBcKDX8Ejtzol6UI1frlJ0bS75mfl4zdmYsQSKHUmihhK76 ZEzbvJVQkrSGsnndyY5YZjVxjZMncEoBpiDBRADErnKl9fnOshp9FDbdflH6hISce1GwR5zoKAIg /kIMkqiN00EHqQcweN3s5sH9VbZVLDpCqe3lUemPCBnLcl87QOujwXfbf3nVSLr9vtcGKpZqnOFg 0RF18nNkufp4pZP82pYjFMMYZhZT86UBJmgNnJxfJqKlEnrjdJzPH/GgO68Fukm4XXNnJZCJivr5 RbnXXzZABD+vxGfI0PGqAxhRm4XdCscl1YSNxU0f/syM8p5DHeYmvYtMwGts9wp72tjehb2CxYjv NcFQCso5H2YrxyDWF4WB41b2EPAAw/ijAkQ9vIBM0+9z1lZxIzUVhFvZuqW5M5vDcbUMGDbP+UEu 7AEjcxI0e3H5RURBJb+ftIXe3ODR2DFmoR32o9j+SLk6dmG3hygp76BuUicMvIcjpAvy7Nqy2iZD 9BaA5AIHPHXVjuT6zHw7e7bwFDcBwjFHI3rRHDqZJPhlVOsYRn+Nl3KhZJHJ49shzsCHi8ey1I0/ xJ46eFnbASDgA/U1IgAfx6lX1o2X7hbPl+41k08keBNrir9qc6vp5bhQrgzKSa2CIRjgfHTzL3je SlcK6auAmCHVCKQGfRlU6JSzD/b3CNu5ZvxoutfE/qDmFxiP1C30KgUJoyCgBY5FBUlTCpTgY8q+ 6rdLLWAaV+1sp9n6zuKv95Jx5c18439IarhcJi8v7yR89bDuf/ihqNKrtjE51kU5EsrTxvQcoHOR hz9ZcFI/ClfpZk+WU9FkLxaFrQr583TjmoU7kV+OQmXy2DoZOXUPNRmGlJGOgUA1vDHFTNmcVWQt POSK5F1yuO2m6t+wOnHcIIDc/FVYDr8ISkdXv0UpH0gxj9SHxmbupS36H8SlQSzIz5WmDp1yuC5D LzrCVg5STClwpyWD2sS+iHZuuB3E98rZNHZYTd2TvOHb1BN4dPcOmnsqTJSdZi6leSvNn01oSPHI XCaU1u47XC4xjLIe7AMLg4rsbgFMgiFxk6OdgZMOzm4PIAYImZ/u+B7OK6hvKJ+YmeWCEw2L6ONA SpzrJcsWJ+EjEq9/jIp73PDX/JzrRPVhS5NoIcqh7Bx6X7cU+tTXNQ2rSJBSy1iPOEsQ8TmuSaUr Mtj29m0EkqrhkrKaOUEIY56ORzf21pecumaSJMpFeRlYR+5Lr8KgRQs4zItr9G8Eub4BSPiPfJ1b 5NMqH24bPAqnfGtA2GqUx9fMRkqSr5Kwk63rBXCnmQ//ah5haTa6EF2BD9vzl6/Wk/YHlP/GC+B8 H5H4neArwzE4KVSJsyCfEzVyCH/C6i+r3dmsgEgrnSMU/H/SQ8C+vw3kvePcoJCWTZ3U0jp7DE92 hWreFy8ZjEuZBogdYXybFKYQlcXZII4XjuGS2zgPICA/xVRzRdqWoty+tj7Aw4jcOC8cHh0NqLU9 CRMOw/UMoNa+FA0gZbM9oPkWbZQiIKS5Arzi7kXfEHzrl2Bo6exnMkeoszLiCxzpqRJ5HnWNTIbw h1dGIwImbv+hhn3HjyNQfh82rkGLk4f2dQ2izOHOTaTpm98XDJQZ98BjilXOfpkWpJAAAfUBLuGf daeiPANoUuBy0Qc1RW/AJjCVhJoEH7hqewC4ULOI/t4LDJrlHk+zVivcfP1bBNCxiOZ9PxTzDjRU T3YHGf6QgPSsQbRG1/i+UMBZn/s60U9Sl5AuOtAHmz9Bwrq/5ovgW18DKvC/HjzCFI/Y56P60ep/ ZU9nnouSS/FiHAEI4Tf8KcqdpAx75FjbX9bl2iKUhe8vJvGyfvFocCn/V+LkZNrWh6OKUlHCnTsy uXy7HQW3HmqgdkjIYYjgQFi4+D5F+iFCwSY84PbndNmE5sYN+jKtK3vElToRhjrAScg/5usguTaT UHXNWUFm7a3kzoLL4vOf/IC4JM17coPL9bJuxUPOLb9ObczEvfwxGD5B8z1JEFLBh5DQDsRsqkV2 hNQ8dhJoaqswZaZylkSAn3aGCXbJUkTZoiPWcYdrA9Y2rmQMIn5q+ayrnZiXGFqWoBSUOynSYgAa msGkRQQU1PWnFBBj5DeAikbIcKI3zgp8eRH9QjAV+6ugKLLwOULfRGN8bqVnYkQkHsUU/TJFCkSQ HgYhHFtS7rBapeWXd0AtMWIl/rN+A5jFAt1A8hyppC4TyFBPkt3XG+q5kxDtOkWQ4fL7+Kw9qlyv jOJf1uw2A1VMpCG0zgbQyG/p1dhOhPFthaWuAd8V82ZmcVnNcmXWuJET5Ml+n8tCEOu17ciGVyrt 7f8gyxf/iDJRdOFbvtnhFSB4tDfyq4r17oPf+sO9zDNLW+AwqYxP7xHIz5gEr6i6HNOi0vPeER+T 0GhJNXFzGRnw5sGpAql6XgVvG40IV8HGLBNj39UdW75nbMasXt1m+t6H4Bf/mVZx0/lCuhOx9vGA Pq/6hhSXw8T56Bk63SAmAmclYiAasezUAKsUPCx4foeceKI1sB8qZZuM49HpBTv5vRvIhoHRpmf4 mbWcPx42NBdkp07w7AdPk84mF6OvJUzeyZrVbyRY2he7wmYnLLjRUQbx4YaZUFZvfOH4R8jPR4nn JhI0LPeZbYDZ0vooHjFGUUHKEZr5i/6dSq4e8/+zJrKU08RjrhYZ/iXM43C86wADCWqcQzaeK6Eg FvozO/WVW5l+RlqbYK4qYaIOfyEZKrccqOLIFH9z2oiEr55QpPV53nxSExH9qhZi42P8ZpHtmNcO 73IyaRlS91M+yiSeE4h6lbkQLmooAf8S/jIKd97Z0QxLjuU191yi/C42atjaOj2WC8VzgG/zcvzh p7YQyzGCCDxPxMoFM2ut4qbG2PHkMgc6wd3+uZnuRFxtzAg0gvdvk7xJdTXYNNGON5OMWBjlzFfk HNc6NsOHuf8bPgcfEes0SX3G9EILO4/Y0FSWdTXRahgTkNCjA6x+b+pL/oOyE0dnqRfFbHrajLU2 R87jRHmTZYrsiLTx/cIsZ5MozNkoqEZlybFqUN942UdrYYkAncxsMKmr6k9VmYGjgv4WS2VqU6x1 dqPYrVzUi2OGnRfFz/jMhNh0q6oZ3x25uIswZE+f4Sm7fSjBmVbjfVxUmhV+YucGHQDPmMJJkEZJ GUqXL9fC43BOtZ5wxt/jrbDDzFEicfDlKNreKEGZXLHFK6nhDS1kN4YBc3pkbDzkL3Lc2EE2/raI BF2/3sqUWTp4Piw9gu+tyXytTOJjkyTe0xpm8Ync8pgUKnrd9WmDfjw6ItJe8xQP3xU8sMLHVreW PPa24MuoritryPQh2AKcdnyX3O0ZzTq0D9sf3lvp0eaEUXlrjl4aqCSDWvVN/YxcysE5E4hjqg1R va0me+NWYGIsdzWDOK0RHlTTpQAVhYEZSEGZHsAWRd8tKzlUG+MGPXBMssN/tG4lk0Ssb02Updao pNXCvSEeFi6qDNqp/1F9gSu3yYLVAcZtXtHvJlRPGIxg8LLFiBKSGOPMoeeVV6C5OrGbXNvVuvJE qQ9X7x2GurzzHy+Id2WFCBSVDdDyphjwIoOgzr8PuzbHHvRPlggpsbbe5PBlugRCDPLgcG9PmkR+ Q8Tmo+ZEweqKOZYrJU56TtiJ44X/06dP0BSz46bOXeCejwSfg9LFKa2Xfs3eRgtszj/fKu9+UE0N cmuPUztHfbMYe1f3ocFTHTm7uJj2LnAyrIvebBRqpdIn2IaKWKJJmUXbVT27SNLV2X7kEl5NP84Q YeMxCMj/KxqS6oTR++L1BF7kX1RB5UausoPc9n2ATcD5INsgBW4a8m9zGxTE6+WBxprcdgi+xMf/ vhNkmT7HPLCjacHnNGJ8XGR+UhxSReUHIfRjuwkZIQSE7Ksw3tYIVvs+iiEHs6FYw9w5K0CdVj7q sx/toV6elk1aWeKLrqKZiVwkSr2TfI5aIJM9nMLKZkrr5Hs3pgiVY9RbEPfdFEq4FpOhv8GJQ8wF nrJZQ7IwwYJaJAnL0iq6DlqcqLAi9uS6UkpT7Bz5kHbSTAS5WVYtFnfYWjC0ICh/UyTlJye0cixz DqG1T9arE+Va9D8oWdvA6PhXeqifekK3ML0sVYKS4ZZjrs1i+sHr5a4XHiDu7FuujAkvUA2C7tMT HhwtcMF1fzpDuxyLJPjj78zc0HxD7NBSzY4uNhoLDJ1L76XNUiTcWP6CsWarwfF/IKY+11T2qOtF YitQrFjiIup3lEFzGoFI8gUTrAPbLxjZd1Jye1tH/cHOjJ43Inu2LJaGrmh9+ErkooAsS/KbgWDF T64G8bqsXKWp+kngArtLCrnkpEHDj4qgrccg8ny3nsg40NVSSzE60z4UldX06b8q7lNrILEICVa2 0RuWqrPzdGgLVNgmwJCWeZ8lTCGQaRFGmPTBNbY5x673CLiJ4ueB9iTPv48GJKqRngm2u9Wyh+OW IzeGLIe/ZA+SKWDvVEavAvKiFl9aivMcbayPF+SqDCzlJE9nWU22FadtvxX5MWxppjLAjt/QWsX4 Tbtuwfmxy1xexi0HmsXv90EM1qW9GZk3CbgebxsB7jJUN0xVRB74nE9H48r030EkOYVRQIMfHJbF cu1VcJusJQNQf3NYS6fUfDorMaoAfLzIbaxD87Vy8GCK/s4TuqLaDLxZPOhxHq9XZk9w7nEWJfzS R5Lx9CFWzVAwmQVdyXmouHZL8sFrNTDCpS9f0SmnAtZvc3Pd1QzfN1fOaNQX6cY4y0Sa6RdcsyIV DLVQWJgD/YpSJenJLskPMiAPvJd29raIOy9u7xWEWK5LfgkPduWySTmyTglSbIBvs+h1gp7lXrf2 fmtPu1RjZWBTbMq7rZwjs8RMxUO6b6kqvC7aDGq7VutA0YnHHF9cepct57Kx/w1hjBLicl7o2rCl MnoEh4KEnz9t7Sv0vJE7z6QwOw3vhRLuPwCZ9rpLsmiDxVt8Zm7GlK0mr2cuyx7zknK363ekWXTJ Typb3JLAD7wgwmQ0JbVLRVXpcBAwtq/U7WWpBQXR7pF5gQNo9qop0yevVWtXDQvxq+UldmA534Q5 FI6vcj0uHmwUi86+lNAeR7iIf7TbfBMGKFYY5kPjw23oI6EjIaeWYUf8nCOUFN8H5PSRtrk15eCt xrbhmJeaeRSNOM4RbkN7Jpo8rLrqL6sUSQKJxLJZBogI0e/hrkLTrSiT5pwgfYuLrVmXuvnHQnK3 ULDkXHLWxNJMsxabY2Nz7uVrjqj+wu6sgZ9Cny8B8/OOGLHXSNcU+8ZC/O6spfAANqx3tQUSEgaA 0xW0vDkEmGz5zU6zCDF7gmTyGXC1fUHRzteGCv1Ui5JvpbmPd+BXSKUyOO2KzelCmSI2boJ95w0v RL6pBPiUN8K0EWREF+pAFF9ZsLk3nIyOLloGoA3dwKCSvOPzNnBAicksbVXlz47/Rg/R4NHfG4vZ 3ZrllnxBvckRAJRwLJJlMBUfh2w/wXm2i2Q1v5EOXkH28T5fES1rPJGNk/o1Y2T6a9W/NAhuS9iy r8qUZ2VGPi48frXGVAKt1UJwyk4UTmy6w25P7o03A6Zhgev2H7T+V9ap8a5e6qy6Q2nbnnJFjz/M mGFHbKOoK5PtfmedrK7PFHUH79zv3iuGH/jNeMinIRiMfBz926lj3hlOCTRPnGibvohTVdvQZqdN DNIWHYz1sNHDJ7+Ndbb2ueb5ppGFP6vEPAVQPhg9n47lcwv9xy1lMdYfkBeq/0poPf8KEAbpiEkX KAcFV2CSWmldODCTq8Wg5aEfEBE+bPVSkAI87drd9CISEy72keiiVPIJeMYBDsQvdgC8qkOEcdp4 DCQvB91KAREdaxuTWctm+0fmTm3jI3dru4CYjz8mXR3KGN2bkdsWSya+iWkG+OIo1Uv6qWI5sVVH BTJ2GiKme7uE3plTR/t9w8D51giAT4L6uT0hBSgvMKsGtSQiWYoxdadiATtB2IsD4L5AX123FgLv qmdAZZ/3DQBdEXY03WL9DjLQniD45kvVXscBXkRKuniHp2rVR4h4OmaPO0IBD6vsDHUF+0Wm3Wnw sx3Zj5MBYJcNBlDSSbfhQ42jC+if+pO2pdJy5IeFH5Xam7K9u7AnWorjmRxmJPXWCHS9/LhuPVgD Mju6Uu3i55m363j1YpKBRki5kTrCSM17w/HUWKSX1MHX3OBv5O9J1dnIcO4D5lqmO3aCsFWBTm92 nCsRPVVSVfOCRjUJZEuiB94Cq9clSMJQisPnJiZYoqHWQgUtRcMMmfFji7n20Iy2Sj9qXSZ3h1vS HUnTuqqCKXLyKxHS1ymw0bWoiQMQ1d8MDp5bSVEJ2ln/iOOxDwRA5/wKKO4qGpV276iKH+GTxLxb 1SdAJBsOHD0Pci+NuCAowSK5PELN16NYw3FrQDA/MToNDzUrDFxuO0xBNBVXHheJmG+aV2Gp4kS5 2UcFwTJw2MOkCva5Kf+K2AbE1CAb2FwesOAbiLsOhPvwQm5Yj+PKYSJj0nZMNDlPqyfoBxnCucYL 7rCqu1C0lcEaLazQODVGz4AVxAU6w5r0pKHmrsBBEJ2mZcBTg5ZtCN3iLhqnAN7x3vqOLJJcrIkQ 84FJeRF/gYwviQvFRRE4f0M4P0Cnft71si1BhROHWrh2VezyxGlsOXMy4OgCZ5jwHy7v5ZRuxYRt N+jMr1HlGsvXhX7uM2XxUnaPB5T1qd8B/Y4SR1itI+l78Yu6MANvjIY+mVKQfQcFtFbqYzHvUYsQ wrhG61pL+NBZc+w9JaTawZZVyAdOa6cR68dQ5ZzqAj8dKFbQ2/wbrwUfLfU8c1NA4Qw9QeO9Uke0 0j0XWazThmweT9xZJh+kjIULYhiCZSnC5CvjXegxh/D71nhxRTyrS2l9IXbocoNu8iN5DGeIcBOZ dspc0Q1QIgY/R5yYhOHP7BoYMTvwShfIhk0WOJCsJW/bdUek28gcKiR3hP7woGmlRUNsWH29OKgi onIWVoYhZrptiEGrmz7DjNFqo5DWDZz52/A6emJ3jO9FqMVLCBO7z1PdBO+5TT0fWHB5paUSGzq6 f6kTrXD+lxaNfyfArEpUH79UwXp9YZqrLc4ZDccOweb7wiAa+r40uCcL9nqMp7ZDZhoUPVCPGPdM roFzGJ1WGqNWvwShZE10ZyXbn6LgrZjZ7AIWX8DValsMb3+MxXeUNtDyfoyAiBTsr7BpgsuwXi0b fpfIZCZ9w7aYh8Oy9esisSRDfKs5iC8DN9Mb/fIZD4VM0F61sYpnyOx95iLJpuV2hCQzadcqd7ke v6/lbLbelrRZrEWg4qQCj02KFg7geBPEZM1jDBgI1scvv3Ygc4+Os+YnXL3NrHF+nEAPixz9RYyZ CNgNSj3op6SU7pYo9htfIpa5qtT+Azf7UvuRLLztpe9bGcwvRzDj9k73A+W1TZOonOnEPE5qi93V CReRJ+aAiylBjMB3KWWtfccdJMpSKBRzL46cinDSAUvLKNjxgMwFKw2myPHSmD6wYVz/d0MOTHz6 kAmaykmWjzYkM7c3PLd5ZuHvPjWcrdJXzy4xQbCK7eZaY8SboPQ//9tSyWGIaXr+Q2tkC0aSpkcM m+ioUrcBkpvPz9tQTsOPwR4Rcf/nhfMG6WzVT4DFfwnkr4BmmUMBmw3MQc9EvfShCSKLEnxEo0hl o2YVoAcklonrBjPRVsQ4mJpQ7n3Dq84FdedXNrVbNHHtMRJadxLc2HcXy/hV5MX4fhLxvV6HW984 Lo1X2oyUIwjvsqfUVu1UBeu2G1OWO7vqHeWA8uS1MZbw5XvsfKnOIv8gCmvtwX3NDmS6XaTGPeNw iLpxYCx2A5K8XjBWuYbUx0rDwKESKfcLR7xFn9xVgYSRHrKU4BFURCqFMPmHq5J18/B5958GCFaM bkhsxl7glvT3xGakvXcEE20oFaBJW5A00NoL/kHZAcxP8ckNcAE34C0NbznUXjrttNzLQ1lhW/3Q bGhyFSjVxE5gKH49WGGXr/RaP8FvrvdMCdo60PsisWGphI7D1EWqgGYXIdSZWASJMqTuuGpg1np1 0oAUTxD+3sYnQHf9u1+JhHWEteyHgeThGsRewxt12wjqlupvvjKLbe/i3pfBoOfX18QCr4Cld8s3 3HGQpOdjXi+NWFmCwkNkUM4YZFsj1qVoZn7H94l8ljyjLnbqsBUkVoDMgu3vZ9k6PjRaLzN9dW8F wu8k/OSLqpfB4V4/xJ1FA5MDanqMUPat+csK+042Fk6fZAU3h3VbUa8ls1e1bEEXph5fJYnBiE83 GBy2GhjkHWDRCnKBDrSTgsNGRQOPi0NS5wD0+Vqkmx91NQv7nPhKBGrX4EJ3ZnlLGr+/V3cHB2pY 458hNnh5l7wOAeTjGQ7VSspFX+WUirZNTE82yLRNYYv5oLqFZcQ3kWD7MSxqNe6ly7dU64VCqzuD 3/1wvXAdmt0xBWAiFLmX3SP7rVSwJh+oQoJPWEs8L8cU3yyI8vAxnMmTVMFvBAqP7ZweJJexundn u0olOSwwObGLhoxDhshZYllg9HGs1of2geDLlW9Q6X5UuynGEcilR9AewIroyt8pD5v47f78I6PO lLHF6tf9SPSmPgT9i34kZtw/kjm+6Bp9qOxtuMJEiq8UpLUQ78smkPtGuaNHhsVZIwBAZGgAGCC4 co6XQwQD8uevhJcXvhtMLmNctFmTumIBASrqs3ndKm9RzKzjiDQ5A1BB5YnqXd5LCLfrbpDRhjEx WewXTlQ37KBt7Wk5okwVs9BP60h3S1PbUWVpMXlbpBnIZk5/Bbo+lZFz36cmuF/43Bf6F9ta1VAY qxK5LbqMECtJKWM5IDIUhItFbGqoQyIHWkfCoQQ6hHETVNkqlI/C7JQUIsg88u9AXXP2viVQ0E6Y hi6pTGyia+X+s6eSAiVm0qflMbGOM+8yTtbDHXNQ0H/C4O/THI8OL2aObWQHKhsPeMKjcZCBoCKt 7HA6HMYTFln/Y1p/X9LhZK3SgA65pHeSTeNJwcPz1quSC8zRBLw+uEvjAx1b2xZpIk54vorf49xN xjhNehauSnvSL6QACnCB9p+rHPKL1nnNnnPKbGAY2AZx4wh9uHS37R+Lh4b239oSUcigWPv8xxrm YZXwYMcWIf+cs/VIpwL6b6+LGb31RvmCsCXz9EhRf3Ny3Owv3yiHiGI63g0Fn0LpG9UlnUiZmmE2 8w1XRYSDPaCEWBbhyXH5pTLzX0A98crC49dwm3LCKlhrZuhnYgpWU6KBRhOeFHF36/WipPo9KMx3 YSL4O//UIB84IMB3M5Myyccq3PvIW02kQQFd5LNSmcSJQz0/fMPCgPjxYgJ2O0lvsxMI+3lX24bP 8uv68ySUZLyhTCY+kDzm0oqfmc3Xg997dBXfq62pUvzhYix2kTGc+juPqjspvsNQw3ZrEZzxBrpA wiu4xgJNvNhUvKhLrIEi2GhvYlnl4AtJJiCnIdEZteGL0OViWoI3cXXrDHZu4Yf0gih+HQhUdugZ 9LFtVDnnIarf/gQ4L+Sb5wwCh4AEsiZEC5uOdFk5sBOPqh5Y+NPWX7ziOdRYUv5OVmCciUqrNR+a Tp18lkg7JOzO0gssrZQ9GDRMkWvvIVKaR465FNzoGO6Ubdq5FACRCF4XyB1K6W/zrm1hgdEs9BuN EEIfaR01anCz2kOtuqC8UL1CnD4WXjXbkqgfq6jWaV4rKGtC9qSkmkfmQe1aiWZ6UAgVhEEfmt1R 9h1u0Y+It4ZKgArOrQ9wCNBgnqt//At2VWAHPH9HtItg3uEiY1NxvFy0sqoLYyTHiyN9e1aPkEZ+ AdKH/JyRs9HuhWZPVmcBKCXYunoums1DD1XaR9ClTSjXFNdwTZcgg0xiovgEgyPBPvL+2JiOvMJU KjfCkcNCa/6W+xdCniusaWZUeAqAK9CzRNzo/I8yFO1qxaCZ/Ljo8m1qF/bE9sKQVRrnDFm9Vs99 TDKIm8EalxMMvy5jaEGfOxpOszocK7M0DY27M+e3Nt/6iOh+SF/EIkALufgEK6URlGmB8k74YP1Y 7yNsIgYeHXo0GBmGmSV05dGDLJ1TxbiNsjsz6JDI2Bor1puchEROk51xJMQeWTAB9eU4g8YPkGdQ S4bHurQYsFRW3QJ6fVORCZdB7SxhZMagZ+issLdcqfbjJ3yyTNr+zgZNg7qkJxeLYoC5UQMbPYcc AVRPOTcMtT7hsoHLTee5fqpa4ru+JExplEUPu/DtX2cmT7EenB1PbRwHdVXXaJIXmws7WhKFxYGL g+6v2DZwZAQQyhRkM4cwYLiQmfnEGUd11e/xLpZF2oDwqY2gt1HQBm9c6MW37Hrs69LkSDvamUXH 6p67Fu4QhOEYz1kUUPvXTZ4QKyQCLoZN44aC7DM36BpZ7vpZFImDBBq+h2BpYxPMMTSHYf1l+ZmG B9cx3BTjhBGTNLmPoNstcGx+T3VxTP7/1na5/j4Tx17jNH3x550yXrtaaPi2vjpuPAAsv9Vso+EA YGW8FsNkKTqBCIEDMTgyJBCgz6VWvA9q1OvN4FjbKPnA4w/xoEamaMXn3W40WhQj0htHBNi6mTTh Qk7g5bilA8Q8H6ZE0S9itBDhq6/IsAB6fc8NXPI77Kvor8RLg5ZaOepGIIJI+58TDhjYSfhEjbsC ZgspjtLGk6ywC7sFOaGXKkM75ae7YC2OLopmz+ugW8TUhyBr472+orJwMVf95GLeXQpd4Y4vLpjl BUaKnp50pJO2fJOYQLxDmZ3oSpGJJR+KoRFqGxwFQp/Idi1/6WVCnyzIRe+VCKvsbQKtXGqZmUgn p8BJLqMkpGnwwcTz9uaL+44Kf3brUUgyTb8gkT7o+krO+XPM55ucC4pJhaf3by3iNMNNsM4/3l03 yij81/6ErHZi16TeXIrGk4Rz8d6pV3VwI8Fdq1h4OCGcSklYq5wSOne4f7TJ7p8f/LnpmsdbG0cV QpSLQqhYNnMhkPaieg8cPIDhiJuHJPIwLjZlX4JPm2RKJoXkWzjm1L/8TFWlMFlhGF5d68oixDaG Zi4gIf/4ZbWGCzBHE45ahKK6yMZQpuhSaNCGxxEj7WcY7WHSt+lhTPbqEa9XCZKatzgAck1xaNWs DrxxL/E311v4CQIo45TrLNtvA5BSOLYRZUKGk10dZUYFDcVecv700dUG/en1tC10XeweXj6YaEx5 McnZF+xujrspPNkWVF46LdavIMPednoogDsav8urud95GzLO+fdg1w6RKgsIs/OA/QlInRi2FRc/ 7JnFq42T7jl0vio3U+IRXzlOgMtWQ8hKMW6gKc4VgsB6CFqYhuHqbC+0bz9H8JQ1cjkPlxUsBrz0 JeC3GjJjbsU++uq9vSlxlEjnOD6zz+loaeb3r35fL8TT5fAEZff1s8Br31CuE3RAmlzvuT1KUbt/ JAdp/2eN5NbV3OKmgMINsmXjt577p1OzBswZAfflRop8OHX+0E+tXSogg0vVft3ianqWOxzJsSCF RNmPLKnr18+RZ49TRhwko9NpdlWaba1+BujeSP4CXlw92xU4bTOMU0JsP6P/8LuqqOirfhdgZEes OaScXC8Monb1g7vrypVzbiobdOsPg776XGAZJw4zEXqvjs3qwDqdSr01R5C2wf77y0L6Ecsjg/e9 vB+ikBAjMyulNQWImKvYu8zoT9UrTsY6VwkPJQuM6JOhqowMi/qyl3vI1JWKN25mjMKbCPjyuaAb l+jh2aLEBt2pXpi9RpFK36AChqu4zMiwKrEZqxeZgXfnwuPFWVtuhloQaoPSWsKtTsEBflSRWCaQ k+nBNDPUGOeXkkqslOaag8Vh3TRUCYr8wrcGtsss+1aShC7XYZIh9AqUyONE8/N4481JIaY5BV2G zu3Z1N2FgPvJwie0TOgNe5vTg7tmOoW9Wb7vF4dQXd+fiheTWHXgqXX1rnN6WSAIbqWWNSO/avTL Ri4iqQPeY+wc6M8YPBEqQ3YGjeK5R3BIgIk8W3EfB+NtBQVDUeyGdtZPrpT7Zgmm0uvt92CoGJYK 3ODdKhkMXNT99U8KCqDPvDxKPK02xtSHU5GxwfSxLkzlJLjYwK3ccQhSxeAajK0Jk+lELOcvlRuw 67lVS2Ul+sozk27YfQAMnQ8RYtMPE2r04NJpAgL+cs6QxwgNLPbwKfODUAgpHHaIXdXYYWW1DKR9 0M543kR+T9RNEv0Zj6aSetZBBPW4cjFs4xraV/bvFcZUpTo2+KyKraQFZWCF83b6b/hH+nAgryuY iQGk1EfhISY3T2mAd8IUhG3xD76Jv+R74g7VCAXPHW/sZohEIXRLh2jPgXOViPYPMidHzc18cUFW 9mMJtZ0PY0LdwAgit1VGdANG19VPWhUBrgCC9rVMek5oOEZ8JPgb28e9sIQ5knRGZ0C/aqjb6KNk QhXgYgO5LIvdSXJJcFQDhSS3l6gkDXlUWuiHJWWvDSv4F0yx4IK9HFx/dFyokkELpOWjAeRg/YUt tV76rxjVzXuk5s1B9HexZMUee/nUo7phRRKoMVIbP6i4DWcQO6bB4pJVVTZfRKeR1jvHhtlllSAm Dp0KyR/W0E1vmhGA7tiFAmKeZ7N9rocohEpeZCYPOqeyoD9iChq+9i0vOUjLnDIDNCgV8heaxwa0 5uCX0Hoz4nW6jGZQfBICxwTyTxg5G/+0pvlBFv9h5VjBe6CwoRWqXM/uWevw5PJnpilv3cwLYdOf 6sUn2uvytyToNsgOt5yfpdBfjjRh6FEN909lniKLcHw0UwoIuzi8CMYbiYT9lDrHekj9eKVPkc1p UBIRo86gnQKWva6Sfhb4zLBdv+OI4LhNimV1/6YF6l1Js7MSWwtErIoaGnwSvJtje1mddjdaDzs7 yTs0CPk4uGVuUSW0OCl4pdki+4h6WV1+JXaV4LWBs11jBKm/zn2J3k4UXm/UK5KQriTbUb12D/yx Bj6ZFunZlkD9+qsEiO+sn5pYDTaq74QjiFglN8ikYQxwVkj3w6xofF6RdFjICYbBG0GjWBYUYvlK 9uRmxYzVAsuujY18j6EmNaMKqcYW+9zlfau5GhkZYGL9pm7aAN94M/dHzpRAURtaxlj/G80QGOGO 39VW3nhJV92NMCPmn5yr67pe+UUMQWea6etCN2h5yUsKDVxMdXANnkTm3PRqa0DA7RZIW/A+GAso cduAyGYa5oGpFs3wX4WtB2FVf18A/pjCoiUCleAoaKDZYrX9dklR4oH6PnpQj4y6NXRUU1uWjR/t hqtKmH6DTu8Pl5A6Arob0kZM7UbAuWQPn9C77YVrTl1mGsF4mYXiEZ9kuocPiPI6KY6zxdRfVVye r8CZHsX/cE43DJn4WulJ+hWtl4DomWTWCR9auYZU4ZpAD2KiVSqZW2jkrM/lXqLSGYkspuU3QclD BNIBbmBcvilr7jsIA8n/1uZAPm4/udK4lhKi+VbT/7R3ggatDaL0lqMg9AktJ/RcGkOexhfL7s6U 7F8mZIHw2KLrJTyM3Kbczt9R9QYzWNv+/J5dsNYO/VqQOH4CpO5czz5a4/2/JhlPnZO2FEJfNZOJ 7A0OANgL5XZV/QshExxq1GlWc+YpxJDON89pRVsxXuCl/EyN2cnbpameQp1gXE1u7Ueo6+jeaV8N PEUjxqQ7qsbq8JEyNRAHDKFbGwSzZtmZy4gYGis916mETWXXB875riIj0YpQ+6qtyJpaqPD5/tLn xFp7SliW7u/3bl12RwGxQooV5t6CEIQYZH5c7AjGzN96TSnSEd9aUgkVnlsLePBIhKhMw+Dcyv9o s3fSydw2QI4tJrEtl2cgP7asnBhQ0GwYu7mn2ZykXST1AcWz5t9xl4Ep5qWwuwumCxwMyDkdUyns xOIE4nbQ7fXtoYUKTzKVkAyycw9qgNyuGuDfjKQX+vqvjFKOFhrXqMdkpuo7PUERSleJaXYRUa9e DhSCY4H2rjH4018OTsGmlw5opUEoM1j3Q4tfx5wPBHwYLfqrFmbmmxx6rGAlXhVfx2SXDZjXVCgk 8qhw5nErGhDoeSmuJY+7xp34l2kTqQVqPTyWNwd8ZjaUO6DBv8VLIqfVJW6VnYDVtS0QF/dEkq1g hK8vGVP/WIRbYN5kyxZ6yc26t4JbIQW2UIs8ZRkC4XqUjtA0af2JUDt9ciG1YHZgrsnZ0Q3vu0/q GtXmllKCK1C3R/50cITc3JBQCeyzjbN+w4TcHNcGGHlvX/c40boqjWuYBysDS47psX7qYDcKNeU8 S90iF+rXI3Mb7MU7TbxVslegJuByXVwOETKaxK/AijqNwrSJgZm6InV6WzxH4gLpbUUdSaJbUN3B PoIXYhlSGM7UdtLqGk+9N0A4x0u4JI3ISXw+rjQZQdfJge4SNfmHZ6GoJUb5iM9xDrMO/OnFm0cy twNzisq5ZsPkHxaWftbbhwYM/n6oXMrl0/V5VeICdJXeZ4ZypzveFi6CxPCKGGDJz9N9bfpUX6SK CsCyzxao35Q68FyjgMkVkKqf44n1wKjYnOOBYsNqUomeS3hONV5KUkDA4DsRBmR7gsdfF/vxWQFt Gx/lrUP7eAArBr08CvE7pOOj0ltPpUd7cmVLjnhMDxWSWh+1p6jEJ99lsWVMAK83NmMHSwId9WJn LXc/Dluqf60sMIDy1S0dGWiupiTpyEm8v8pUf4UiNXqPJF5FXJPlXEApsKAV/JbSPWzJJybbnn0a rqubdjx0GYZIFanrSUZiDGj7hVWB5ssfDBe4Yh90DXbyiZuWLXu4/ikV5fF6a8N0lW2Pr4oUWeab fMD0NLUc5yYAhGRqV1NupGCDjMx9yXHYTJrL3cu5oHWu94e1VF/R0JhEe2ogCj/bvSowvOhEm0ab NghdT1ajMtKDQa04KdAdH5Gk1F14r0iuRBJpMr/xeYQ8PT3Iw7HwvDk00dy+IXQ/CTW56CVJMzu4 X6fSlnAi2XYfgM7ROrmMPOJ5/fhULyH3j7/HROlYqATAOVI260i+WY8ab2b8o3xGXrVtwVHg5vkS IQMEjsiqY+HKyzMpLeae9R7REIdygF5zg1C7E+xuwuWVgjfBX6BsTm99nfeIGRI6HkL/bQl2P4dY c9HXi3qtkA4VOeFPB+0omLVUqB9NJkx3V7wwXvfFqC4WBsenjgseOH5P7zII5ADRNd/W1tIP+hOQ g2RKrSwLI1kq7PvOciimbY737tP8mkjKClCPwxuLA8C/2i+HYBl4/i47hirgGEdkjlv6aTrnIum2 khofdjm9PQHEdhb49aK4KQkipub+z3fFTWPSr02XMwZ1C7ImQWwq2Z9zuTYaxY8iuNXYKZiQjT5b te4WOZw1Yc89MdkXx134fvuHciOuIX+Vxw+bed//mhHlzmjXNbXqv45WtgQuDBaEumE6NUVK3eJ7 ZIM8oEMkhnP5CBwWDL1alSLh4d+igizqTVW/OHu6d8IAyew+pIQgH+JD5yBujkXfLRdxN0g58qgj vN9RBiVuDYVGuGgoEqt+KXVjlMCPvQy/zirBmRB0QH7WWG/27rWF6ajyQAj+h4Qi0w7YJ40oTCBF SmsdAbe9R1RqaJNlJUkJZwIhhIQ8+iULloN7WNpJIiB+BK40eC4F7D7fGnyVrb30WXp8N96Yw1DF BLL2u/naauOyOWNDD7TuiaerT5jpanRT7DmWaM2kZHVhSJhwAoRROVxdNNxcAXwu+nBCPpW4YIf9 PsBMlHpKd10TLihDTjd6S3t+Wdz97mz7TyVptvXr6Su/xMVD+1Xwp4oaKjRZdNU3AGOtLaBHWFil eQiy+45Bb90aMV4HJ4yh87ekIoUMgiiA/+UVuskZZ5p6T0PTfLojs7QNhKuuoXzcaguy5L+TD/Ym pslBKvgYAJO9+4bkIwBua/N7KkEnjXIMzJDar90ov4TyUZkUcQMJOosKu0EiFrWKfViNOsrvJiL/ 1eO72s/d+Fujj6JPec1gDtsEXhyobu+JOqKA9bia7srbyVVtm2lcNmeb79VZYsnpUdb83w2sPA/A VuT/Bd6XODOHiUjRf5WxnFft30M/9Yj6NzF4FDB7EcbmbjwRN6LfXD1UXQ+7cdkdUyzoDmoQGZi7 vLVqzZ1/CVJLqI9FALWtN7G4r8jrOs5z/HbnXMDAJyQtU2tDvVTmnBAas2ZLhH5xInjtz95mXYbH zgiT58S2/rIjDYWfWpFIzOCeLTThukriVDExX0GCZbbgvTZs/cr1+830SrqH2BEsgyYn1bUfYpir +QK0D1PBh5aAWnxAzk+wGF+MseC4wtbaCTr3WRkOKsZfYEOGm0B3FOErdqvCzW85zTOFC4dx4xJ2 cWdL7erEJLbE13c/TnxfF38JNTc0aeM7f/BaArsKwyp2RU1VvcV8k8fvSBYYMoEGkF1XG0NygXD9 iwFZDbe0erWc4JyOVsLCbPWQXGc7cLbJur/8hwet6geyv6XkjtmY9+pMbUqPvCiVvjBfJ8HNaPHH rkLCRLipMOlB0OkxDN0XyUZujg/NhLGDHx0QsUPRcw9DYJ408pZkB1Mu8cElBkCXasz28Bxczzt5 BWz1wBDL8XJj0wnE0BDvjsnF6EPhx173F0MAQ7lWOj32gqO5mGE9wlnVkDA0jyJhEHd75U4keq5e grS71/74AGZIT6R0LNO/JzcTbQ5Y+bzmvdkPyPp+/lAhnyuGEjs8D1RXuKE3q26CWPbyQNWBGZkJ 18rpsbf2RP3FzEwY5efK4Mp68Zxfgv8vPGvJKVuxkBEFwefGKR/OUurveBqNECNR+eIqtbdq3BR8 RKicAVxrMws2Qm/0GPEqXMv8A04HjxJeAVqJDUqFvdqd/MlGGe5/oqIjljsfTfr8uZTWjQLgr8kk cB5t9H6S2jirfJ2k8kbfgZzqLAtTK1WSdjLNTf0WoNCA8/y9Y4ICD4u+N4/pprYUyh+DHXJ2Vq4J 2UTMcKWGaq7o90A7+I4m9L/ZNs2lQ7YPGvpjMrTG3zRahOsDvBesD3MF9V9tE663TSnJgio+frqo nyWff0BGr9seSI6cTmvqLppVcMuxXjFSMJEqUfTmKaz2vR6IqxkZkTF5vPXzEqHGhccBbTi4o/ja lxvxXCS2KJnYGOpvPuOtBmQ518rJ/YjynZV9R82LJZuy4sjfcooOplqXEySdaizUiolxV1dMsye2 Khc5q2lbE3GOKcj3wGJ0YTBc4KORMTy6t5Q5/u+Rtc1+4zaHDjCM7r2eSVV4joCVQCA+p99RlHYG OXbItRYhUlzjcGmWgzvkwqn/G1Tbwscq+v8mOX3/KF1HxcYKcKEuMRvofYQJeU6zMkwerjJeuSiJ uPDj/0fm1tL65GZCYa2hqvTHb3TwYeTk+0tXmX6hTrEMA0Warbie8ICl4NY44IMzfbr7b2Tke5KE A07uFhhv39ZdAokJviifrqqbzne30yPFta8KHCn/JklkUKYticYc3S5TKTvPP6hxhbuTUE21G8DO EHt5QPL+Xv7E8nEVSDZos91qTSVvk500UAGsxAAfecXO+vwKuNZszRUuu75qiuck2l5NbbkjTQVK 2UkHyPZeLMaEmsINZMZmxfE+c8cj9SWFCjDHun2z++PYfjNadG/+NMT4MmgiCMcEmiSFneUCJywm p0DmCsHpKuO3H8otT2TQ8xOxMXe6TC0+8+tl24qqhpO6q3RbLKyvIG5BA/RIWHuR3F/18BWbC+1J xzkzPiWgaMleptZ/7Yox5Aro4kQQs4A0W9Io/00rcLLkYGgQatTXDmnMb7Sw9+UO2HjZNYk3UXmr /z9D9Baap2CnNWhVUDW8qtVgLHG47Va+DXmhHWYUuxxG1FwGmvYJjig43hdy63bQvDzcQIKM46Yp fm7ezY0nK0y9AxxKL2DJm15gn6uhBfTyaUF9KNIVSH30R0XzU4cX2Y4C3X5TA4Qa9fzHcVrIuPgD 9qccbWht10iFQ+YDNmqVNoC+53tJVAFv4zFLY/ppfQbv9txzUjGMI5lsR9HSy3f0XCSJmTbPOtE2 vnnDvsiWMQaylG5UICeiMlepbD2tCEWJO0L4UHQmN62AdCp1ai8IG6JbDoLHKMf6jZuhy8I0IF6w YthTBJ4yBjq8NiZLN5gOi2tk5xojtMIIH60NwTZmkGzKh2in7j0aMOKSTVH9tNzwHP4E0FxvxpYM ObbKuDBrusYvC7BPhRLCS7kqlMSNhop8VcxnXqrvmjKVn1O17m9riy+FkPbhXKnohvURtrX67XBG m8KgXCOVv2R5OhUIS0JeVvQDix1X3OlVdHLUT7wnQ9y1KEt4ivSI2sUD7u0ojdnpUQEzzOIukN5y fgJpSu2hYvwCNeyzjpXYNOGr0i3Eu7YzUeFVTW3ag0vAmCOZ8SOxKPjAcU1wfpATNxRE/RWOKwJP BxnHG6O0iwnI6Uxd6fGOYibSiO1773wEwoloxmm0KAldWQKNstD4YtZy9aMp30uSrUt/E4tiDm0E iMFqpn0+dM3/hY7SfeKbPg8Oew6a5jFoHOIHmXV+G1OW1jyOGCeByti7e4/uf/It0WcFgkv0wWFd 26umPLBNpBdUjwgIT3bSuozN4tGRTCDgHrGiZI/Dr3gPeAmK1cooQNWiZ3TWUDxzuyu0dqyTojRM KqOdBFZd5++aqNMo4eKxt7okUzIfDHRqFRoo+1s2PkAHwKaLyvVWfzaGnxRktqjG6TBrGABGQq+U sgZt6CDkQ3ftXCNbZYL+bof7oCPRqt1fIeFhZAT8YrkLUW39XMtLOdIiqIrdlC7nWHJgT7FWEzWA a5cZ05GwBHKlOsh9Mns7wQHokjji9aPcuN3LdCYj0JRB+RFReez543A0h11OvyF9BP+OdG+yDkza KEmT9BF/SpI7JbFN7xz/A9Rb5rOgekZgOyWt5y6cFLmL6OobNkmE/wYkO5MVKkcSRPsx1gvwUMTH 8FoVAAmCk6xlJ9M1m89XbTl+vo3aXXbxdmuO/PI+dXVjjd8SZX1g6xgC6RH0gA4Z0PXHggoQu1r2 /m/DFz2j6eyWj7e0cXm8SVM2ePemC9jwgAFvgsQBaCzVSSANiYVaHkt0j4pgqoXNJDkmPsBlrKC2 KUG/66v9fGvT80EbwDbavp/Xc3H7j9vvBwIGzw3w0tlA0nI94xECu3TIyPNS96YM5vIvREe+zQpD Dam4sTPFkP0j8le8u2aOxOr8HCK8WeLuTm7f9l3Oey1F2zXzQf4JJQl9A2SQYh1YjA8VG2qVcbB9 LgbiK9wWmx3/UJuppajyubsMuC0+Z77PUjF3a91GwsUCWy8eUZqg/tk+mif8TV2XqDjkFWJfrk5j ABK0QwuTT2Ed4oPJ45vYV0DDC1lbeeeWE7yIDiiVbszebtk+X7aOgali/VGVgQrH2d0xqVVmy76T xzduuDgh3NU8wSD47Q/p/aI4NNz3L4CLpyQX7vFoFVpeUNha8dNJZjcQepq5f6iKelhsVWaGkFAx 24jHLl5DKlnhpU33QHZM7IURgxvjAoDq5GvvLgpAY7iDpig+5mENfdLVCQ6S3YdrmO/vb/dh77G3 G7QcTDayA9qpnq7oIJp4zo0I+VmvMtOXAD/iNzvJA8aY/9lDhFzt5W86JUuD0gpHWrm3TShbJDwa 85fRqUOwC+T/NxPbUzV1DiAhBBzvDBZ3cjHiYwOj9BwZ0j0MkaMXPICALDrJR+/XN26JU0qNdTrz 44wmBmqmHqzdM+0oJVZZKK8zyn1yr7pGTGj6YlScVredCEY+RnRn0smYmjWMewY/fxx4KBI26Q/V QQYKScpyn17mqPvXzMU3QawHaUevb+tgxWy7cCeBafURH893bymjhD0vNziDj1vl/B5nIDg9pj9V Z5EyH2n+a5OfY52LEiY6gSkbfkxzjpe6RenUyveHtuLwaUKcyRdQvzgLDJo69v3VILYLOno4mzEt ioe16V9eBPdHHqfdH4pzefj0qO3mAsUzzjpWEabs0QlsslxwzwFySHe/JlrH2uI9GRvRLbLBIz6r v8+S4quvlntc3LsCCxeFEQ9oIu2hi6c0WS71oLIdQxZL/4nYg67jhUMD1IxTtwYnZPOWuqQy8VPW GrdnCubJ+jMuJJQxsQaQ4yTTWxlO6MTMtUNmYQe7LIfPwQCIEb5wmQzPMtx4JXqBnjcWn7tXpVRw tQiJoRAsbfOJULdcDFowIk6XSEbJSoYCg4J08ZvPCuhkD3RIDEV1G5ogC35Vb69i5nYb3zosOKG+ uWofxaCPRkyY219fzsAr50AGOfjWj1c/WkNNEundxbXxBVwegdU6f/nolmCtFw2SdIpqhr5IIrfS TgGMGd+AY+uU6kITKye0+BUkK9mUIeuULyQgSsIdjgElb+7+J3jQvq5PnP63XxwpyNb1wfAK96U1 ZOj6kUhAToLSpS0XCUkZv0Tgi1PIhWYcNVMdMqkF7IzzllwNTziA14g4+wTUaY08fAZ4dD11sa4q ARv5tU19iCxpgT9XK6X2W6wmqUoi7PJ7o4U8khcL2folYFbau9d4/YGaTBrWt3P7U016V1zeEpkX Qa9mASvV3JjvTfWhrHqDg9KdoG8hp26EIkTEBCOY+IT54IikMeWqlgn0hsFvxM96Cb2nsMmIQkhm MshidUel4LhmX4cPfPXS1oJmXxZP+7Wy3xFR6V+7Xb9c2EPrAR+octIbO6eCDcfNHB0P4exv2W6X gu6+zofNl94fSOHbOHLoNgL8zKHIINBRZjzqWNs9ZdD3JxVLRzmpI01sJ2Lf4nWrVE4qaNwNyebM JNB8A1snVztGwS2K4mWAVEQFRkSkMALbAa+dHELeuG+X0UAJQH8lR3gjoO+unCSvLRdZvhWIAEBR eelC+GI6PHIwRn/mCw8DsYpIsXrzKPpGqBnUcS46mRFBHJyi5V3DTubkq8RRi1z86foGKEA0KMTN fgz8q85Dw4Bce6BWtR5EHq1BJrMYj7atmye04G9snpYHuV6qb16lhwIUyOzAUaBVD2sRQ+SGsrH9 a5sLcujyWaNZBnbdQ/dHrr492DyRdNbiUJ+ep1ekiRukEeEBqq7cqVK5fwa9oVcxE45Ml57OEamh I3XgpfZd3ElyTmqjsc87Po3afLcO4MlKOHj6BvW73AjNpyBouSyPEGbcExTwfTaqzubDkdQcO9wL 6Qw47Zo2u7V1/8FUqTjaopVeXDUjNVQnp5dbqKJe61zZzpxHYdxS1gRnn4zmBBjGRJk8d2Y8Rs55 lOfeiwfQLDuHl6wlJE+soNu04xO6y+OYehMRO2G0vzeIEtzjFtEASZxRGmbzu9e/27NpjSWm4B0/ d5Yvx82KN1s90qTiBT4xXOOcIH+FYI0zofRtabk34cIC4kJIz/irJHlA/5zLO+fx7nGcBic/5+Oy XEqqcF47QS2nwBl0M8cPTQUNAdoT8BKXIcZ5mYFYIL+4dmQnQB8dBU5gaY/L5YKSoUYasV4pw/hY LhJ3rzmm2UtEUuv5k/ti6YBS169lmypas8arF81CYz3mdA9ao7Kwvo1itIS4dxmGG6oQqWo6PdA6 ViunRCOrgxCocdLkgrMMMEzy8HZ9y0+HmwYxhVo0/bqeFRHClFqIKyizxQyElxfv5KafwrG6vnHG 6CA2ysvd7FT1YVHKr2PegOHh9NA1aML+Eymzy8vjrvqwzvJykY8NT1a6YzKfCGATy11nV2tZbrY8 v+pbloEcK2HbySQ5I49QjgYa9V+64AYQJwluez47HSgBGUuVJqJ/TiqOHEjCIeVNcChmr6eiW16d jeBbKdeaw0HyxzzgeZZgW9by/Hm4MEZRxW2XeOCDEWR4E6IauorM4Sesf9Bi7CCgbdrJ6FTew86b aODrOxP8TGmiWs5A6JDiJh4yOE6TO0mvE7l+XKZF/yEPXgFxkB7hWuD/PF5ofA16PCmii4GsnMTn sgpGjCXtZu9vW+ihBVeMPzpTuPGAG3pJhXKmcqz55Dl8ZeUT5tZtbAf25+ab8e8FR5YFF2L8zfLI 2DZSqCfJYeIkQg8Uk9kNxYBJnMn67p5CZAj8CzxulalRA0kkZLQqjoNt/Lm4oEexn1n9V7hk1WJl 5AncE3IJDoLfNX5KdijtPQ3YuYYCrkE1mjUXFdhpXt2/H75qvQDNHiFz6IllExfn6SS7lFh31fiO uHUwWt6+i7yHm6m3G63CB7nNr4q4siV2txxcvq/ZUderzYegyYGQKDvmEVfqNhNNMNyIKxxY7VQW rkvEurPrTkdcwpYI8F8jNNyCjaHM+A4MxgXpbrcW5HKZZ2KE4UyjFgAQopjyoUy+0uxWqbQDv+yL ckpyILfTli4qQnfl663+h5QVcJC5aN3JuQm1ZSe687FOkb2qXerQmlcF3BipMNbGUoNKUgwCrbuv v2OaLz31w6TV2b+q3aOLo81dcOUbH0O6Kr00P4RXWARABn96h7LUidjVfbFWVdC7x+V2SzCmZ6W3 d4LWW62pZFfkr2yO8tzpCWbIMNBIvwSBk9arq1T+x0SrTYKGAdkyP5t1EGLq3mCF9vLnKVoY5EEE xzv/Z05oLNl4qT0utDGlOTZRdbNGwFvd+0eBIHVEEO6GQLXWRlqoQNZq+BRIF1IcnTewpL2GLJTp naxHaZb5DLNEXyPTt1rCKFyaKgR9hGZqmvCaudoJntMMXT41oeyH39IEqM5KsqiuG12JBHysuoj/ LzQDfJoseHU+ITclT/jIipzoyPmtEnXKk9A3rcnTfaf0z7QwwbwO+FAQiw0aTYHqNYpIN8Ceetzw xicSkw3nqtqbvjdO2omF7fHl+iqB+ah9FVFgqcdWdA4F5AfiRoi8c1Oi7OgMys09Cpij3OQuAvN9 fvU+pWuazY5KPzybx1NViFTvT1tH6SOH51VG+ESmzhTx0WYc45wMShZKe3yNWtnb7MN50TmYGVvb jk48pkOQqv3j5YMyGSHj3MTiiimwPtWq+7v1ifFUyEe9rv3CGOokqeoHrKJIu4Gn4uzFQASgPNAY 7LdqVblqUztrfG7H5KwefHQYlX3rL4t5RkdHmQBJ4Kr/mC3A7EnZOZ3fP4EjFMjJzgL/gt485Z14 4ny9uyf/owAEJtQ1t1N7iVI1ZxOI6pw5/Go6QXzNc5JL2QXlFBcUE/USrK0zhwuwJTcyNGiAaq7w iwTkgCmtZ1rq7A+RecUVXn0cZsW/8OmKgsDumoVCTbVhFP8nJNzW7jgkWUfAd8VzBqLKdSbXaSZG OCvjv45hDbLJGRocLjeYBDAFe5OwCgfuOTt6pVVQLzSWo4Q06LuwJNfQ+II1gdmKuXCwXA4ThRM8 HNqZzVfSkgMZPao81EgRl4OjhqhchomfEk70FyoH5jspNznj7sJnFZIjvY1gwjJSPX0GkSZpD3wB 7lyzwxUpSOfz7JYZVIiBB6eQrSo82pTDeJ6VZh6kVcebNRQULn0TlW7B8SwZZvMwJhE2gfn6OR+8 BLq7rG2e12AI1LxU2ANV6hrzHk8rfqRFHzPwEBG5OTJzuU8eA9kKjhhdclnD2out9Wz4kJ2iUCac QxOCi6ZHb0/ELgez3DnwsYq/aD13pfMycgrh1hEzgnkMkFlzxUJCeufH8FqexNXmb2EiLKVDnfBg VSWRXbkjr+Lb27DJYX9yIhGVjjUYa9qnIjbYplQx+BJgyXlTaNLSVATdPBfDG62FOUcdkl+FVDlL 9qY2e0SVd0UxMvggGfmcNpmTDSrHFIGRjuoQwucsZeD/JAuYBjhrfYvIC3owereEiK6xgJKDtFLL T+fz5Xyood8dymPVI3P/SEz88epm4qQdTYXxT7O5aelLZ1DLxNAAtrJLVI8yHBcbhkE1bpzA4fpY Mxw7ByMjByD3xAqxhYp5IczEsWQ5ew9bcGs99wCJGoDeRngNroWnD1i9Dx+Zfok2vB7s1XCWBlan KTizUO1c0LjYzlju/8xOQPSi53xAtvFpfbIqeW1Bh9J711nlmyoIU8t2XAVq5lCNtSA+4wLLqw3s 3aUaORriFSuX6Yh5tE7s2+MGo9HMpX/w3nukCqSImK3FxxnwGHu0gj4jAlxzE2mBX4WKfgNW6aFh HnjkKPlLoS094TNXkk9oySUEXzN6XnErieoulAk/pEi3M2fINrWSHDEGHE0ChmrOKkAqNmc5jxOF N1mHPhxxgBdkJjHTT/xSOcowkF0UbK9nYUwylPgp45Nu1MfP373efWflmgp7oZUBuDEr0bx9d0g4 lpBMTFgGKNrtPPT/i8F1C+bXq9dYEpO1bAo+hca50v6rZscOY4vln40WxIYphCNXKxczrDJJKro0 kH8IfujHs6BZPeaLtfYey9rbeb7Zeu2HCiZqSytu7/Dcs/TT5omDRgPiftr5Jyo1PPyeS6AHIzX4 gHBPuipAWFUz2Qi8KhuWGTGrhWZUklIdU5RT1ELdLn8FwQsTf1MpSxmNGMnWRQt/INZjP7nRFvjn idqB7H/gFXwsb6IW2l9WkDQQQSWLV9l1X9J7B+9/5HFUklwiYINUBW+o6Eyi+j32GbISMxRzkwL1 t+fFB1Q0ayZko7jtwAo0uCHil/xtZL49PdsgrNjT8IFFnPdBQlzdEVDHumNF1sl7UGo6yqVI6TZm VhFqcJVXh02FmO1CiaAUxo73VFixQ7d66wc0XfrUsGCXl3QjZNvjfk+xUmg7RuQ0DzRGavF1b9HV jeWCFLY0lo9wILHJ/fwKdo2ma/Y+11W8uLFZs/tdVmham1OogRc4TjRvIHPSO3i5ESfMnBsPGlni hRuLvMwiO0aa3ILB2QnOT1FRbdpoRnt/Wpp2V/sIN7rg7qs82T6jyDqPFRCDzKkqn41OE8/zCp2H VVXIIyd3rDN/YOLjNamw9N4WFjDNjU4Dw/rM+CLnoXSrizaBhQKnliZVfNAvDSYexM0r5WrmgsuD 6o71mkr6b6BNHwlEicSUBeY6zj9LZ4s9pLosQ3mhuXvWuA7YDyniCWpj72sxbxYtsoiGomXWY14A MRCgi1eu6mXc/oqfBKUsuUwpRE9HD075JfPGBqjPHpLe92f7tOOnxR+DxPBunRSahJ+yUc7M23YY QqT7QGrr7/E24DWFYEWH9zd3z9pIjRcHP/ZgRwHWlkTz5XcePyW6cB6Y5bjiWqrxJXl2bwCF5Ayf og3Mwyla3WUvekqwt5tNy8fyCg4yCtvUdK7IS2EcDgpW8n02dtOkYBidsRzF3tpIrpE2cHxMjY3J Pks3Jy1m8/LPlQNNlCPlu6gZqG1RJGK43jHfE1HfXxYd5pRPWTZt6FRltLQze7OK1MZvUjH9eXhV sEe5DdT3P+oXjGKCBfaJVieZhMXv2XXqGyGWdHbR8++fuBMo5V5SWmiCM3r/vO1yp9lO/yQ3udQH r1XpFHZx6ZNMtlsw+Zb4dl11/cIykuPXoza1/za+qYbcSST71ki7ynvg0DtTfPLICNz0elNdK+mm kkZrzNUiB7XmhuGvmbu3lb3mLrVOyOMI4gKVikBx0OeIhdM1lEEejkvUnxW4pnASI5PQzHOiSbEP cp+LBj3P3TWZ7sAMhTrb5/PeBhVHnHREarssygRMPD4h4z5diVKfhWfJ1YrZ1V1ReRE+cAQUqsQy jqRNXTpThcYw0TE8K5lF8a/qdHnRoC1h6uCAdM/KxIboz9FT8yd6NpNXSN59y3RH8STIbeuA044n s8VKa+LjtRJ0GkC2PlLZbAflKaY4rTf4/vbrzmCHWlq15hHnN6QuWfZV8E8PR8b4uZtiCBU8tbB+ reOtNT4uwuj7KcN4MsqQ1Tfx70WbDfWbHvMgESbvAnqjc4SqAyukj/IbxzkGbvvCKt8yiC+wtqnz ILXGfiaNrVEwT3OLmsxiI6ZD6Hq8ujhEbA30oMyq8DHMTZcM/hu8mKnLKP/pn9lr4pN1Veq2/w/4 srI71LJ4f8S0eSOUSNlUX8sOjKBeeIjIl9WSF1qaLMRlRHDoFAreBaj1tX7h9d4cvjrUK8/qxB2H 3JXidzERNWG5YCCtWHjvJ+pDTd1T4/HGGyzukDOGOmqR41BTu43/LPOrGUzXlBjU3u3sJdwUWPv2 gF6dhuV6aNaiyedzCmNj6SCqRQuJqKraejiwEhL3P/N53K303sHp1eAJB7aPVl55GH9MVHwFSt3o T8Zu2N3mTs4t8dT8h2NHsh03hneohj9JGa8Y7626538e94Of1eGVOCeMfB9t4vdr6/DC9PmFuDNS 80krPQW+adJjOBqK/WhzZ6NUgecxS3951b7F+kJ3m3QkCQv5D3hU3nRg1Ho3/uvQNoHRsh9swlsq XB62EEZ2XkzifON4woDlccDxIk2AJOU82PUwyjy25/rFV/DcDsIW4opqQDQk01r4ApaOfrPaPkA3 2PEPyEEp8ejKaFKgFx49fyD2YHLEnu0J4ZOOKxfOPQnzJhNbVrY7RSeieltKPaSWCZJO1/nrploM RU/QYJFaM2BNWGIcv9d3VU6uhcJIU6FSzhCerYP+vlfqt7bls56WLBqUehbdUO5uW5TDkg/osqQw QWVldbRFPvko7o8oUQnLu2G+zDY+BbjZcS+ooppj7d5V8HyUZl7+GtpBlKlM/zIKgWqFxCd62tNh CkGSDJriy+/GPS/WSa3T9ZR58yM+8nPR5IhdYAl+BLp6QUqLmlfdYysTAIYd5A1BRaZ6kRIR+16p dVGOLd3UKxW7pCmExKahCnXWY0O72iaIQFZ7BFfqy0jLONV6wp/LlqoB9C0Ql5GJppTBFGEPQM8y jC+ne6nWtPMKdlf4+/1arMBPx3acaGE+e+m2M3lHbH7jT9eJxUzN/yHaVF04Lq1a2pwoC7VnF8/z z+XYvWdeKadsUib/dby7FQpK6rE8vQrZuAvbG4GHCjnJXDlav6YLgpYMXCy0FeiOik9glz5wJZTr WRVmqwYoHtAbLzuVhn9HdCUdr9vOU6EApBr7TEV3xKOV1UWgSRnK1AysFEexSTkgXfNw23wQATHh 9uQ5gW+E9eKEH014KABsLkbS9DUWG8xE963oHNWMdHnLDIKwG9ldJ3UFwpLg0+YAI87MQJwBpGUu Kiw2V6pajuWzYH0o6VjgMszvlrWSvi0Ec3Z5dFBmBDdg1BRYLng7lxWFT87Tj9h7kGFsXy94Jc3Z pqgHIo0BJ1ZFlX9IIaBAhZiMC8UKpajD1rBQej0v6QuaMcX0e4+c6SC3j9iQToo0tYfefisjHgQ2 eZ6MdUCoZJtU9iCNartYzOoL+78tNtzi2XGW97r7+KWL4nz6JlpD8pfw0HbkRIhBIpu+NmoIE5O4 pY0aXnEvu1uauwRcdDqtPcplePl3sM1EhuB2iBGI6GiMDoagN0xweif3NAEEMpHHPY015T7phyTI LVBtMwUUeeZTp4l+9ARNBWiOK/UcaWk/A/tj+Ldhp5dFLoTezYgbdE3j2yS8WXruLX6ZA/pmJh9h yB5WZnGawBU8y2Ch8NGKqBOhFvJhl8eUl1e65N4ExMVohOgzYRFBMeOealqiOP77L8f44/vqjr6t dC7hCVWyQhUmkaGwwHaBbCS/nM0O5vcOkUA4Fl2GqsPiXztryshRqEiZshzEWUbEIC58BcfzybXR BZBVgkMZRGOzamvbAmF1xDMdGlkSge4s4ZSSk652CD00N7Qp28yIrl5qfY4SiPKTDKV6JU6yGk0K 1+wr9OgkJV7dRtOMEF0nagUI6gN4Qi/OMxA8dFJ5CppEeXgFoo8IRgx8THmSDaA5w9XeWj6XLzSe kdRoJQsozbwVdFbCqRrfEBOwCKvli3Tyi0IYID/Bt2ZRjbGdPFA/7yySMoCVal8epjLS/+x8i/Ux 60GWJ7XgJ8erRz9wmknlD1SqazMegwLqzz0ppCRaOCW0pps7AyZ/+TgXIbgbCFaOaeEdPLHIAU98 bVl6YBMbBzQs2PVNl7CjQ5WpjDLlYRDlIL/uKEtrWm+QsRq+RxsvzVZj+7cMTg7sJ9r7gFRfcXoh kl9oDFc57YuUw+aZ06jtdLOLqt1fsI02k0aXu+11XU+Hgde/8FR7FH2y/xgM3mIkczm/No69cEN7 YT+IOTKACbVB770X36AEnUiOHsKVQmc2e/PZ5y8ryfc9RFUQJlCAm7F7/5E/1BzKyWXSGHPHorkA 5wrZpnyco8u2VuADKi+lbJr0i7wCT6xDTmc+C0X0D+GZWku6yiI5/LH0BkHzS+TYceOZESJhmTZ7 nN908r71+AK3hILgxjS+NK81Czr0hNBgrjrsBva6agtmlyolQ0kc73YUg9abLsDdadQV4H2kdl1H eyJqVCo6JEc9Gb+4rGzlaICy6TUj6fKczkEtD40GqkJqswJTOtaQ7KYH24O53zWiueKvFPnW7Psl urLAXq3zM1vh+HYFp+61JE1HTH5CMK0eJL8KFx/Q3NM3vEA0YmxF5j4t3TLQAAOnkPZxpFo86aer tJXk0ZTyMzJ8y3GYjX/xx4ComOBJlWpiFEMUvY0ONSRyKjJUfeZ7QX7uSjHWEK/7Dh3T5LBCN1e0 vC48zhUOmCW+XKYqKvjsWkZdCcywqv7uvwNAQsk/v5czHQx0SRGUDydMFSOc/9FdtH+v7ziofEvf heaGfl7svmFeWMnlDJ+JCKiIPEOwYpQDiy4S87WpFQvLPjoLD4E9eEwVt0SQQwzb9k0/qlDb3bN0 bDYqq18hPybwrNAchKs5wkmdNgLC9M5UF9wpeRRDxdGXiHvxpwpJJb/rGprU6nBXRMHmo18zb6fg o3OeNbSGvwGoQibd8QbHGc8ziLc4XwllhRPd9HE4i9AdH3q799OPDcJt+EDznNt6Y3ZqRzed3SBL 2Y2YkcHDu8cGRsERjQOWiTpff8FjDBpWc8AbKCa3eIQhHk8eo8FbRzDtVuWZ0/wJf2FAcGezEWn5 JVNp1Foi+WBlg6uVXFuJVyiOf1nnqsuRHSvw+dnH+5qdAMOT7CUHeiSLijrdQWdYz5DFMrFWWviH k/zcCE4FL02E23oV7NzSiNDnWbcLcGfwCkF/+EsHWCBl9Dy6JQyfCDWq6LTWcsub6B9fz9GmQ+80 /JK9+i76wMMEwilXBLVPFTXHbDUsRQXzqD9KneAuEd0VlGYeX0QPnRbl+YchZArC9o4WvMEOX+0V bEhxVBs4FsRagJTs5dBSwi7Wrg2IPeK+HUF5P8xRwtwCg5AqFrjp4ZzoaBo/E18aPi4UcWt4NKB0 K2cShf5Vo4pf8VT1t63AjtxWnu/r0tNqgajUTWJgNs+20eTv0ri6WX4rXPcxR7iMutax6aQLKK/1 H2O7BDDI2LedpALXr5zxPnwb/BY4t2kqdAQ1zMry3RCL+wMwws1xGHOZejWk9QfTi4VxpgJbDei/ tQwf4asEnAn65bRQpKr5AuQDCffjKaQr6LMciksJtPO22yx62wHzqmz9EgYBSLu+8JpHvMAkrE4p HW+l/Jh7slbgSJklt2eVE1AfduHryH42a4KjjBIm7Qsy2C4wnscZ+uvYudBCwTZO3W2DEVZCtK7w UOOoJnfPuSSBf2xpridowIKRdz3n/2iq+bsDIXkvAyZx668VJ4NF9VDXHSzhHdObMWIUwqn1MUbV n1dsgc6L8B0n2ARDMo+xumTQ42GpwIkt6CQEh+g1Q3j2slFEiu4BnKwFXBrfa+Rs37NJ/qsIpyOA qO38yW2ArtIbdZCqnvm5gs7E4cHMpie8EYvklaTJPNEHnXV7F+x+kwlTFDmOkHVamGci736fum1W svw+yfis3JDgeyTvBgHL/1tgP9I/jMd4vxrVA2Tlj+Vi2GKEE/gcfi/0+AZAEzncTu6ZNeHm4O2+ tLXuuHMkVCi8vPt3KE/tw5JqlBGplT5VmQsPqH80Qo5DU0FTolIaGZd/fobBJl6JbatBkawus9I/ BWplpBD2rqV3mJq+xEUiWO7l20tOI0KZpEPyAqWQxwGlVf/lWuPwE8go2Zh+56RRX9lYh/hmMMxA BpQL3OoEe6JQ1ag3+fuSk6caPFKeQSBNCnE0D8TkD5MkZgMCEDs3G3QjG74qokJfnDjZBOTYl2em oWLHi3Sm/LsYGxNtDFob2JDyinpJCFYaeBJC6bOBKJt4rKXZMuslxFnUa3TwJuU99HOCykZwms3+ /J0jgGpDCnUy7pC//9EyvWobBqjB0SgdRaSqOMmoNhb74pT4h9QSLYXkCSBgSwrJ32PLHtECNkVp DJ0d5sG3l9rzGm4LsvFa9UH50QIyf8s/pmex7C1Gk6TWViQw+4HLB2z1NVOCewxGp7qfxhnU7JMd eEIardgE/shZ0Hx2zPQKPY1391RIF1NO4fpMMzmFQMXFOWj4TG0O3IJ2sZLZCOw2mJ04iyLDirIj FButMMPBw/mj2divd9KbfilH2tbgurO0tkJ182hxJQBg/j0PkxBd2dY+KRXg8xU6YbvsvtW/RnX3 qi5dQ52U52sh+tre/CwcaGKLJzTyqt+zu97oJ+PTVFG2YMQZp19gY4K827dW4g3XUjOyLCafIc9E Eekf4J2fe+5jGUYZQb9DgEjiJjA29arh3FfQBJAhH2VpHzaMqbM348jecVFx7jWVShoLb6JMx5K2 In36QvFPMPKJdVKI8Qo3AoCrekNv3XQ8rRXGVG+UtkGtFWIUHSswr/5Pex8jq0v5IMVTIGStEQyZ ilbL3O93uuNXtBCtEuhxKoftA/Z7wmwEcz2F435TcOBv2YOpS0PZnkKdh2On5rQn8LqeLJcJ9hMW gLveLMT5tG1oshShh9JG8fjoa4QtwbBEjZhiygLW8TL8KN993Y9lVQ4M/DLnRKe6UcuZCygFS37x yDi+wZ5uPy9/wd7GGpvtk/Jm8DcM2Q15409FBOu1JMzqfJEHWBEUB37M4XAgOnAPOKN/aldJiGu8 sMuKQwnUEvmgi72kqKob4GfcMzzTqADMocH+oi3s/MUvCQMotXcUuyoamfeZqw6XjNEsUyfeX2Ix PtMbX1H4IejbngkvXw5GfeiTRLQEAuZVOTL0VBsFPczKZUaynelxXBvVIbfHJIJg8YM4pjB3SG+X aKv08HY7EBdNbcFO/s515LAkTkqsyk0T0FbH1yCEdClWpg/R1EGCF2E9IlaLfcVUKCbV5c2I77zn xH3mNssou/TBBB9YIYhQs0e3EfziHHDbJrHnhRVpZRmOAn4FBmSCo8raQKunPSC7FXE/Ehl7LkJR XoC/YElNAzAPjcYcZh0o//MIiMLus0RkSLE28iiEjONAzfWULGSkLbf6HDuHpW7OhcqC4PvxWEH0 PWn7WtO3fF8mLVGE4T6hpqs9y8TwYKW1+LLSBDrN//Jag8ajXXnF5Z/D12kAp6dUmuzfK3syk7Cv foeQC/1lHdsTP/qPsQovw6np82aMleECiPNWiBIsBNURGzno6UnA52lAMAIkyi/30I4NGkZs2sd3 g9KVOYXHpaf3thHHXJYlgfAVPeMR2KHNiMLbXvdc2AWebJkeBLF6rknGdN7YnM4tBXKOzg53SPGA nP9Yvr2VKyCUnVkX4MBGZnHSrgKKvPe6GzTL63YIpinFsWddNj7RxJwvVC6UZaKAJl8Bm9nTAeZn K6EIS/j5idJ2x07LFpHwlCoPMOEJImgn43WmZ752wTLXG25YLwMiGp+qV9BtrHIgm6Xyl5wp43Lg /yEK6PEaOKry+to7ztEZNlB5DKw6S/yHepu/fmTX3HvQiM3IB6kUglRVVfSGfCS20d3YVEdBa+a4 5KFGOTtgmxgKKbnGf01RDvI20iZ6tbZ4dL0/hoSx8zChwVo++mAfT2muBoel530irBUpyGA+UcsE jk3CqqnWEmy1HFFRO0tSRUNjjmYmtiEypVJEGjiyDDXk1wWuzAbh1/yOaeP/FsGvEN6mFgCFOGbn wotNH1fl354SYmUnuwCq4vRJ8NNRcuvD1CllQ/LuqhatCOzh0enQ4TghMMxE8zlT5SvBdKzTkgrI QIPktv9wpN4UixargIrsW3A52UYK8tpfhIHiGtxVGvlTpI6VvEZoC2l3OM/PKxGMXD9xT5ZLnX3I yzbJvJ0qd72DQvkK3DUEpfBRrtk1nxT2KEOSghAY8gKLL12zZFwzQDcjsgP1/LMAzutR89LduC7S OPxqEqlBdLC/nVMY5k6B+Vv6VdroYPfeKtf7GWquT2TFTTYsLAj1lwjZ6BsE0jweF8zKdB+4hrku iDSCMNuJY8D0+ZCTTLcs+44LN49efkb7hnk/r/HpsJ7NaeyUWEmKxn62uJiXSlGwYh6h7g9F27Gk g3NBET5sKK9t++Npbh6UtYhpZS0wDOWBA5jSHkMzuymwZt4jvV/xNPp90iQiXnSmfefJqsnM860m rzfxJKRlyG5/UPt1j9y3fgV41msv5Mi5y6ShZeTXleRrO80QR237f1JBxzTAKOT4ZrP5YTFfa7uD VJsQbDx9TK9Sa2btyOizVMvGbojW1sMmGza7CYW7REAlhMZbAq02OZbr4yiMh71xfPMVfOZcqRgv mDDGJWFMybIYwMF9zAaczVApoDusYREafIsLq490SjKx92t4e6dIxHcRwKbR+sM6yrEq4BujXU2X 9hCqsJBbmwa3nH6+mNp7nuWbo7Azrrxs/bIdTu10ll2UCzB4PA1UHQcYZs33IAElQnzjHzUgTzWK bXGCxSqpNHp8yXmJKzgUYZ8NHSl2K7e7BqJ97oEREVeOaUCddlyHHaxa4w52wGm9Plj72bXch5zp 4Zt9JeQQT+dH4uJ4tOPrwQ0Z77mvjHHX0ADOUvawnXuiqR+d/zzU/1Xq6a53Q2xvYWTfTCAVNODJ DPnx721NasnYsYR1IF6g1djJwgnIs/lhe5Oy3k9PGZOQwOdqn6lpnMKFTr8Cu7FYnk29JqQVau5Z YKGapY1pZ5pk6LPBweUNc7TV0nOCftUbVF6t3ycgTHiWWVXTEZRoTrBRW9Lnd54SK4BvZtZL9Gm+ zGyLBgjkBPU2fl0E4Lt7+S5xm+SpGSXV4UT7xX8bptU/q4PbXVmZ2L6g0FT0ZcSrn+0EjSJeYzWB RBQCm+ysZZbRYNW38+G+WVo0cSe43fg1FphRo3s3N6yaIiTLaPLgiUSDKmUrT5UvezCPd1o+K5vA I288mV1iWdrduuHPDgmdFeWR7V4QaxZtoGIN7kPDJVPgSdKN1EB1hsFaNX/SEQn8d8oYbC2yBvgr oMOIONXcC23g1URSEP1E3YJjIXMLQoXI4Hhbr6XRgErPhhjerExMVwTA/0SU28De1Z08W56CmuWH eN/52gHpcqrvGOtr2UGWUiqAG69a+lenSG41EVr2RdXYoqEl8elEAcevLGGzxbm3EcqwIA2Nnlba VY79o79YUJiu08+jqEEyR6F65rYc9xYJGlvEs3qeF1MrxRCAD6j9HfcprmFlyvEuAoBs9ctq0iP2 7j/hvYrClyyw0GDUqx5bqQoXsNbKH9xY7xd/uX9Zbs+3KhS5fHLSA94dYn1CX2JabbvQyOhXhKV/ 37Y4muRP36f7Gi5sJbG5f1X8I5MG6dml6rf5+FUBuvQlhwocIJ5IWuqd4eF78hYBsa+8IjzpmjUi CIgK6yi0uq0lnkbSkqLjV5d1JnQMZWQRZTekuCnSH/k60TLswisX0+Fo2nbWEforPfneS4qCCLQB 1if2UBEnqFvy6VAhdFHj6fAfeY2Ua2/CQGTpGDsyxXtiHSILsWb4QcqiJ+Je0GDpKGuUIYqJwGRK u8M2DcndChj3Jsa9DD9pZSnoZs2MEHQX8eMBPu0vAF+B+Ds4AESykKMxdjBmlxcYKkpbEsnBw9Bm 02U2F0ApaXxoxh/GRZM/7JMdUmHKNnL0977PVyr1C98Yw7Pgaq6vA9BZzHt2zRM11/WIkbDqjnzm 9O7ZLrp6YMW81AtmoQwjGALrDl+zgcHwOZ1zPhVnOuL691Vi3W+0+rOasN2CrbrCeqIsy6q43BeB Q2Z8h5VG5EGWdPN2Pg2MaMdUMd4A6FAq7jBl1qw8cKVQ6njsjAl/Nexm0C+7KDDo7Gj8sNvh/5k/ XklsZQcWNBPtCOB8FXYA8+4UzOc8DKm+FauiWSezKRvFMZ/L4m8tO8l+jIBgbJxbnPqLYkP5pzmr 9UJlzUG6VLPnJTkwknIBBWATya7tnM7U6AHKJa8TTACBGLmS9Kff3qdlNMVdIvI1YHg5FR/rEUZ/ /tA10v83LJy12dJWKKuoV+DiqfLznMKOCMIYj2A8ZeO/4LDZRfWtkUyNx6ie/eMl5EOc2xP0OCgZ tda7ufqDUE+m2k+6IkG0zA3v7MwiDBFSdLccmzVqsC7Om3amokhIBlVlzWGEln3BeQYwM5RmW2O6 g2h80WLWocs3uOH+G3WqBiQf4Pe7D42W8rD/SV9eOklwLHTAfvKGLVAtaIrkvnzekdFUj3k8GYLw GrHhgItNBmviFRy9yfo6R/mBVW5G/Zp0bA9aYfH0gbvcTrd2S7ntQ68/TLv3vG5X5SpaRIzCfsRP O+LQCpFGugR5ilcFg7DoHi+5pFLbTuDPjUjB0WasltzgfnMnSD1m03IryLRAzvAsiq+pguN6mlwE efz6Kv5W9zEtRx3k4euMkZ9eFr2xWLXAwUYY5ZS5hvDHohV61KW5P0Cdg9PcyGbLnSMiLcSk8vHj BAOc00nLu+OuxV7KHjj0QMNMCj40oB+0NPbopaSLM3Wyu9STsVTd2eZDjduFB8uwjiVO635wLuSE vLL+4Oi+f4mxeAq0J8An0ya6MMlOO2p99KU5VlSmSXIqWgxMCNCY8WkWus3ufYGJzcNOaZJEwkhs WHj7j9ZXzF1DUDB3C1wVx7IJ7u1hkGAaMXxPDmRSOarQiq54z++S6lRHXU6SiPH0gTszhKqzefJW RwhIXeM9+hEy5tKTgy/5MFzVIvnpnufDwZIMkDh2l5mSdODPRO9a808jzYrzCzXl8Pkjlzp1/X2E 587PzV+VawCkaagxrLjgx1nFeAK8QtYf2L39G4FIpx4TMN1TtuOrdd1wBu7qglhPHyslpfn8Azbl eAn3Pzjza4aCyApxiOMjxUXAmELSdCNjJaj+rrOLAkZVO/EOJvUycXcxpvtHhAuoHVFlgoy4OVq1 gBlm9WB/hO24FJLFs9AUHYq2OHlSZ25aGdeiCArmJ75OOt1k/tHDnY9QVFcIzmgqu4J+6Z57unIm M/WVNiG6L/SuCQg8uUytomTdIDfBenvS+UrhABAULdHTX6n1nGlrWougf6zlBYJ4+FVqFi++673z zpTmFh2aHfR6f/QlszVWArCl7qa7J7/gMSDNn+7Y29h809TGH1Igt2H92rO+oYWNBgARoXV8v580 eCFItRMUE3nl4T9we+268XeMrmfUfWWavzMZd5sRnSYgQsd6mzmiCpQh+DMh9wGCsPr3Ia4DWGbS iE+uCvvCUjhT7D2JqS5HdAdke866KFhxXDIZl7q/oSl2xQh3XXAlHI0RqI+NQHEcLA4r/1mpJ/rl R82VytG6vNZOqjo/dLyphK8kA9TYaUEczKTypaEz9HOFAr595c/976+qmb13vfvyiK9R22zjieEV ieqCbs3AjS4EGyffV5BPWrEbsTTVYwaWtsis2huun3zqjSoux1ipyTsBQgn2lG/hiWa5FAYIgNX0 av+ux2tEXBSL80a/WUuOHrv9RXkdf5WSLkRSJowWcOKX3prns1uYV32B7GbAPr+450t53XvbYVaR grbp3oUVI55Uh8sMdRntiyppPLFt8p4a4V5NZMdLSdQkcnvz/oDNJ8UN3UA4e86CQM3+VD8nAj6W +eXZsi+fTq/tIx0VeKTA16k45F4emNmKIIJS/yRqOO1cnDXw+/Jm5cea9Nrd3H5OCSZ+7KyuVNrH +BU5/o/OUtYnLaxZ85aeZaHezXie8q/3JbutlUoxyfjKTuNbVcv+7KqgHOEsyiN4TzLW3NiAmObf FOoHPHWBdmhddBb+kodqEWMWwqXJJNq+7f7ikh9O0E3pf75dyqdclrs72WKjiiF4MqDu9p9FSu4N Ymfh/ri6flQHbzO5gnS3oeaRcSSOoZ8uz0wIYAvR7p8nU8o/1gsHMER/uLCxjjNg4eOtBJrqxdBx 4QajupZOvCQO/jPEgefw90jisnypd+tDCcdqnSBYMpUl5dS+KgyrmkBHNGD7qGWV5ifC57fiGMI+ u0UV20vIHDy/8Huq/wojX8NlJmOSjZMl9FR79fpNvXx5vX51E/Y8nAv0lcTWKSUFJtB7H6xAK6aQ ATP0NXJYpbBv+LOeyoFQ77u+F9X32eR/i26ECk0o15n/ewS2ZHV6M24fVHNtm4TlIOOl8XCfKrru bgp0qvEpcPEwKzEw9T+o9ARyWD960mNKxpz8izxpkSn4gwZK3wa/iyZJoI8L/2GA+A+pJ4Ecr8WD U7nukZu+cyYzXzEQ0z/fvYZAwvsMlv4EISIntnxPdex0TucopS287snainaHFmbBGw+TiRy4v2ZW B1i6HvTrEtwyVzwZ7cTbzYDnY1/Uyotc8efB8KylD83OcL9bqEOkclV/A5Wtd1SACUO9qa4aknQP 7+D5T5urT/Iv4kCiendhW3Kzh7SgPa1sQR9z0c6LayLVpn06t8sAg+vHzo+mD7sV54fFFh4ARnM9 H68sdv2YB2L8VdVc+ME6Vyk3dBN5u4Q5cKTguRqM+ePvMUNH3AnMNKzpzg1du4zEaf/+onqN27ha ig/9j4XtTQrOZ1x77OtL44FO4w62NwQUDmFV+j23V6JDqg7zNA2s7QGFX/PIXgSMuBTa9BnQz0BZ tsVeoT9klwqsW0e15lmbuOrcTUzz1ivBTer1YHuST7A3Y+27+2AvNRx28DJMebFSjqf7Yw4FtJOi EdcA15EEFQucY4qxkJTRy9CCDaOerdPp6UJeY94tS9XWChN8LJMk7p5NXa24F8pD9uZZYgNlbTa1 wRmDFHeZA6nceo22LkVG4VHE0n8nWhFCDkgRKmNMrrG2d9yIb/dsQuLIG/mDjXXa5jGhWNJeuKdT GnFhttnWCWdDxWbhNlewa7XwhHNS/dQsDNR+pxfH9X+GoT2yz2AAfiRrrQFNDGtSgOgb2L95PAHj EyluL4/Wwei9klDlO4/uCSI7NWFx00FEIKl/dDEpXCf+oxZ7mH2tLV2FMfdPD4X3bZo47LIm7HA8 1PEt0R4/g4LtE1l7g1YJZuMuM1hOPCiGdppBHZU9MQIPiP0OZoW2IyCdLHPgxdWw882nvu56oRgN bzDvnlbOBMKRc8dSn6pzfKX0HuF5EQ7RXSF0Vypgg4bge4HBfqbaREslQR6gUn1cla66xUfVnNgE P9wTFwezjqOIm+5QQEzfQswb8zRbwaTVvI4BC2X1Rt3HaMSUNoVwlIlqVBushFt47TmEh5u7x3N9 DbO+uK3e7gS99UCRwL1K8upQDcc8QIDkw73MrdsulbsAMY0NQSQ52h1h2g06unNdizhoe5UjVSAJ Ky1zxOJaSrUd0Eiowxl4Ti2SsqtPDBeXpnzq22kWQNIRn1+RFMSTnHR0hPXiZQ4fxrcrsZra7VDo 0p/zH5s6BuIC0f3MpO8VSN45tlQHvOGXPUjDG1tiKsbFikSMKDXEGrzWLQTsEP6RgwowljCJ6gv7 YriF8jgBWOMoNWcgM5NPFF+KmQ0MDWwvi7kdWIaFuG2f0I4rDF8zKap7SMEf3yjpMA4Xqf8yPSH7 L+ZAghmEmPm9LEBznPxU6v+eS5gDRC/J9sszkiUNzAzHbmlycatbwZUqOXzDWl/ZMR0SXp/hLxUa UHa4O2cQZISXRrbLiRcwD+94aQ6DpCr0DJKPzpxks0RuFJQObCmMQtqzuBDivA5o/aizIrxR7qXx qNKgbvp2a3MgBALhatb7XClAkYrH/VRAzshZgT0uYItlJyQ7ZQiR7W6gmdflZA2sO/XcaW0MAPjc 9Qe+3PEud5N1OFurWHuBdIPyYqGjVCqd8irs/K7LLbxKZC9C0HTW6Ma7gdTt834mAoinCSDsIHae ub5pGQNttBHJDN3nMmMTqskNdPm82fo8k4xh63LlbytdDBs/iN/cEEYG72BfR1eBPxCUoveqcLfo 9o8TD7ClYoii+NbIEUZ5IifRM5XFFhwbDRzzPC6mO75NJo/6//+H5c+gCd5nIMSng9QGOj3jM1OQ 2w4LM4TCrqLFrle/4L3xXBt/Hy86KJN8daEk3ip+jO6fN0pFj2QRfiOWrr4QsL0rmlGEP3U2gYS6 gOb4ZJMNFId4U2zQ2BqShem14ziSGlfWQfprRyqb50lWnVxrqZiSA/qdFEDJsvOnkP0KtLsoGGkS 6JKMsLJW6x6QAI4WSxg9ljQ/WZCiXVxpjWlRdSarURZ785eSe+kZ5NPNzsJOEUmgMzrxyzOj9obj ck57uhzP41q40sk8I0ziZKkPfuZMCNSW9+trjEd4OOuBDbafqy1G5oLGPpT87IhQhUaeCcgDwI/C S4TQVT0lNpg0sFOP8PaGzP1FliZM5U/O8RxxNxBx8UWDR62MRh5bupsEj3woMinWZ68Zq+qdj2L5 GAVOLG7UXESlm93CjF7dv06lLP8gwmPhHnlI/oZVA3oNWDkozEl016wWVQBJ31W/IruNsrFMl82C vUChPreGc7Lgs/eFdEifL4+5Fjol5khcTXxZYTl/WuXf74lnwgI2qp4eM2OcnY1gjrz+NjrSJVTM UECMpy1qVHf/hzp8qU1FzzFO5wyL7iwdOi+rQ36teyYzM5AXMPWTC3De69NIX8WLJYMK96R7ARkb 8hznlVy0USmwaHhfUsaKzplM6la8zrL+cJEj5JfZ6QEZ14pe85fMzizjwtre1F/J+HPkqF5so6Kt 1TM8/HvAz4qk3iZsYbgCXVBNSpkl5Dc1oB8D7wfqcuJtPGsB5cjh69Dk8M2JSlbJjeyMa2hcUlsD nqu8KxTo9BYZc7V809ijBPGnJyVujBOT+2eTaH+eIvqW0Nu0O7hkclrjwyOeBtvfenkKWqSllNJa trPVIC7GxY3+IVh9Xrpeq6gGmrk9ntztWLgEyx7E5yxC3tCdP79L9RE4GTK57by5Ioz05mQaqfa8 ZU1wcjeFI5P0g6UGeCGcOgO94XaBgD91RWWXYxhPTBbn9nlm7EtEFOijkn4u32FgAGNvFnYAM560 SI2Lo041wUv3vzTyy+GmGB1AWHAId/IAr5f8c5TL5NJMztxEhgQoQZ3eYsLJrYbXh3MYiTHurw7j BzA5bXF2fT2a7ikBM1nXiB+9Pt4/gniKzxqtTcwZ2rOfFaohe1bMf0KElthlF+in9XLKxK9SDrXc TfOe8iht3hDC4gcr6bnZmE0UF14ya1zjW2fEJdttVyvTomq/ckS15/Ifz6iveNAXrsAsb/DuJ3qA M0vNWVKrgwDtTDlOlnVfWf+5CKuvLCRl1VzrTj4CHO0XOtHf4iSuQB86AG9w09BH00P+u8Apdlbn B9rW/johy+a5PgLmACFMFQy0l8XKq0Wy29a6k59YBA6oV/JXjkMit2q3DcyjfKgZkz9SEKEwsquV ExhAGkULdPCMu15ZwiqHvJMCFE29Sb2fSV7uw11YXxeLVegUrh48EMhLRoyckEj7wc4/pFi5dA51 RhOUeaMVvjzyryTC96VbshiwFW0YkuEfqcVXxB07348JPe1l4zwmxCaSWmWqo+PAWbioCvE77OgW t0lP3QlFiPoyMQNG3ijFINeigQx4vC9dkVxe3sFBhJlGFhA5wbwCP2XpRRM3TKu6agdjSuaT254f Oqp1jbfMpzg9DN/6muJwZJk4HHfVieSgEFCcRzxcUs2WJBN5r++YdXxGO7FLiTgP61iE4qwHIXX1 vSDJFzPKa1S7AdgMgVUYp8U6LVuQFm8uLd7YZ8PLR9PKlQoPXDjnvG7yC3y+12ssH2sgdpFOVJhZ wv6KiHlaL1/x6KRGQY0K3i2jjAZ9v4iPYnODDKA5OTGf11C9JGKbJo0Yla/qx4CqYYxfUouAjLAy 2iUGoHM/D0UEpg/M5U/bAOq6ZifTNhnP3hMxRQcFX2kSJxEk5yatA2TikjHZ0/Owooj6Cq7sMUfy 5nTjvluJaNBWIoB/l67hjk8jbttA+f0Pia/EF20RlGPmNoh2FnjN3TFRCitbf1rCIVNe6L+4NbCD VpeLe0uFIh3ba7ih1YsgHSJ8eUBdqxQbqTOqw1aWrijJsxvMGJ3AKGyNwoC0J7xFW5HvQRrAs+O6 VfOmFEXfgSZ+lZUeSR/tgSWObHmP9qjR3g+AWOqf8uDzI2zse+QkdnOEdJS3j4k/FMHR6ySI2yL+ aJ0R9m2Uz29E4DawKPPod78oVocWhDUr3OL0Yz/kj/mcnAlF0TJmNM/NXB4wq1PdjnB0byDM1/yQ LnGOQ1ybN4TDYDlld0XuiUOyzhtL8Hoj7Cm3OqhFC4j/JvsT9aC3tfmybXlH0gGMeUdUen4tSYdW gtHlmhi8yKHvlnUG+tvpVKlIW4FrVtPuqAMUYpY1T8RN9YphAG/U6x53LD8nijm37PMliTuQDp8S k6Hi80tqUGXI21tJ+tG+vTvy6zml6xQzJxHwqwFbmH1iPGLnYX2p8lOI6xa9cVdjlVZJA9XKOh5N sx8hURcGJoc6f9sP2oqk2w6Ikx0eO6cxaAfD0hTeY2Wam/xl7E3j4psdu1yeEaLHFfL8YlheetdG krfR+HjtOlNg/uppzYBg2v2pI/33WFVzuOUP0U9qC9YiPqxK/SH4rRPyW+BzTmgh0lspdY9yBtvi t5AA0/KOONt6sLMrSy+XvraoZXJWTmO9Bk5EHmWe+6mzClk3CpwvX7gi9whWR9HRnh9liSVt6FnG j09ewNhu3PDVVcORYFi0/xSZQvoHUD/N+Enzm+lf5Q5sQPo9JzcdM54pWFLMBWD59fUMC7K/okhM SwJFJWRGzCjFb9O5kiAorGlAib+EOAVQO+LM9CmegK/vsnX6TkmkTgqiEGjloogQGVwGZuzMgHDQ H3GuuNle6UQ5ScxAQglikEwobV27sdko/2Nz7iFKdc/F/CVmCnUiWXIK9VVZI9jRAEhEONOOgPRL WE+FG+A0qO33LvKwv3DU7TpdfqCyLOX4F2gCHu7ddMSB49v8ya2sHdWjg61szPOUq6fYzwyJDxGn o8OEEWT0V/6WPcs1JMWVoqnbc1haaLcJ5XK2HSgA4GOljLr7uLcGbxU1BDFspIaWLKUSXhS88m7z E2LsLofuSNnnZ5r+8nFNJvtc48rfOhGd5wyQ94IPtSCiwRJ5xFdFygqu77rvVH0yply5S7Rp6HgH FDwCerP4hU9utbFN2fI/Uqz7jo62fNvEu+Zglya0RyW4ggNnVBqPuLH1g6Slv1tFj2xyVy5paBXS yCJn/nRnz93YlESWkUCBY0ZUkr5Ny9VHkQuTlDhTIFzOGZi557vtQzSN7QGF7eTsN9lO+BXVlSfN LCGNXUlXPrUmbnIf7eoGmLiPSpGyXfHFY03NQ7x7kd1NsDQQHemKMc9gxKNtL/vaQn6bV/g3xYvo jMNhA/Srp+N8OB4MIh9HS3EVZma3XaRtws2HNANt5kcCrR9q6KGfAvqqNGWRPH5iiXcThsR72O/n XKshRHGM8ckATnJ2tyKTF0FvWjdm8xakHdH0Z52IiGUC/y3hP8dRqpiOjVrPeFIhkI9InNMA+MjD KFBmlTUt+SLKgphxEi/Q2ekhHZKSE2OOWn/jOPLVeg7vBEHGqYQ4ngGGDL3cLIIkPDK34pBybUtr m9gQd+ILNnCxO7wB4IsS4ktGnCkOH4EbIoWojpcZVJiTOHW92es1LRI6C1Lq7jIb9RwYwTqUenX3 hRySdqfTmIyqpfAEYQCDl24tDSeXvrvi31gOuoHZnIiM2w7+GOpwUXQ4tF5dxtxkYgl94YlWikaF TfNFGrcJYKZHmajfgd+fFPVKeCm3d10Au9tNfoycxPw0TStGd43n8ruT82AQWrRLKS0ELXpzNtk2 7JamiV311NrTJ784owaW8qXi8pj3IhpK4b09fHDR3kkMAFLVG8wdoptKQY0DbmWGmhNcgHOdcl1U c+gc6FzbxAxIon545KDyUpIVaSx/BTIanJtGUFlox5QpasqlkohVv0ugCK2R69ctedOFwBEpE2Up P7efA5U7kmly9uAy71j4EKx2Z9LPWs6m1IC3jkyibA7vioByrHnsWZeKNrVyc1OSLSfSTqZyCzjB dQOs0CTM6ERtLf8E5XfdsXgA2+vKQeBJcWA7mxdTih+rY7bHnYC1xotOqFWPO+EAWWD2EEVBdB8w DGPb3dV8qhIBuXivmKWgGZmVGD7yUhIrJ52EB71t5SlvURySp+wVW9ktjRREZKp72qrAHXfeFPwN bdw0ZfshJYnv8iFHKYYA7eD5oGrRYhNP1nIU0M/tJ5EM92gOzKUAGf43xm6YfWqu2qAyg+kfPq0m pDhCybaqcxXTb351OtFB8NKJI+iXZEgSyw5BV1+ijx+uQbxQXhg3Fl8CICUB1Y+h76WPZyKECF4f ImMM81EEtthnI7E1LK6g/KsZFuhEoc+Yd8gBNx3oiYn4ewpK6eAk/kizpNkuro3UzCezZexkDkdX lvCEWz1URtvdC9IsH2U1ire21lCWsa422x/qyfSsBA00/6xukMcb85L8nJvlEXGqaN4SMJVPCBst 4Qh/O6FPSe/h+zj6JVIE17r4S6+RfNr213Qq9SunAD4XUtZS98zGVBtgkPphuAlyVw6QXjipFKW6 lH0DfQjNoawj5CPeH5NXEX7vwXWLdqMGjd1+V0xIXBiBnyVpaxrjQh9c1xl4SoTv9mPkxYkdYigl y2OgtvadSQeA+r3/6G7jGN4zcMv9tltxbJvF/qibGtuH6LWUzWQwiqENjxgkkhZDBt1ud/tIjSMo mSKGvs3mRVcdEY3/3n8mhlD7NZXA0HZvA7efQrzbNCyXSacDcutlbnEh7X+2OptB9N4naQ6Zw0BC mIid+AyPvYGmzHTsNFDIcaYuvO5FXxebs64oRSz6mIOUHXiZtPayLu3P5Bkx/NLLvuNL8omAezyw 2Xm15Hi6exiUxEbFTJRSNCnRVuFzJzjhSsW/o7ArRPlrsmDuu7jPjZ1PVy5On9aUR5jDpKtxtURs Ao7ZYOi36+XLRzPTcS05TqkvGagPKIcdyajgpWkrr6uy58F/c8C7vNC+wrvY83IRFGxanhDfCyMP sZ3K+aAxJEJpjS2xKb//XjuTg6nHu0BqaMS3n3FpJAqzZ67UzbBIJ1t5fLXu/8aStkmPR/a2I0Oe +bpR8opopg6LJv/7VPMYmsV2SZ8TmfgTvNhWQHC+R3/0LJ8YjXR/BwhIHJVa0xA2aUZQOfVYLMdB c81yjJYCGOAx5mtWllsDbsz64Y0ZObHGo8yM9ON9mspZm+WVuGSFjEoO1W1ZBlbfhTnDnxz+zdEF qcYURPU7zeiYFEAyIKKaBG5W4gxjj6zUeCsXCtDEfIb9agLehM3PBtHSrx6/EMp2kS3wbjxagCSk f8u/p2Hv4k7QgQRYXGSjep45mOHgCaoaAGwcWzFc2s6v0zcW1CU+bcDsRHhoBKZH84JPQlFPnTyn XtrVFuwsiNpZHm8jzzKq8IrloRHlrdpMLrgmGtKZUcPUt+HyQd080kXI9/kzW+VnLHZqo8ab3lgv m1fkNWk9FHcRy004MaIY1C5HIPfgG6kQEj96HoZLnBQGtlDWgeTqd8FZQhD3EMb5B5EiHjZujXIH scPNkjKj02Bapj1qOdPhpyUEl8s8IU7zl9dOjuSWAwcylYcaGHpkPAPIq+wLD1zEHSFYHvcswjl7 tIoXpjNzsjsc9ctsE1q3NObd9HRQQQXMJK0+Ye58YtdG5RgnuU+RLK17PSVcgEjo3kYnw5tWmax5 rMNZc3nqko/nJm7nNo7/+1yIgiA3c0o6b9Gi6i3qKP8uTYrXzfd3Nm3LI9ZAAlJ9moRaofQpjWj+ LzG3OlMOP1YcSe1tfi+TpVgJ5PsNWNZ13ZBR81UH7e/aWDCub3f/WPAHMgmoFgJbkFWa+xXzDo3D VtlP8SNDGMG7yYh2sAOsRx/PNZ9IU4MZFoz9dlyQHi/krbwNT+UQiqUCDD5AyWNyPMdD/i0ztwqx O4KC6fi5uRVbUbYmuGiwtR+VBcnjgP2kWKRzkgGEFv1EvPJFrH+0faKJ9N7+T9IFqH5ETtP29pPZ 9CKHIryTginARfPYUa1DKDmwAHhU3XbltF1swwbFmLnHCJWCVXLHqtOOLHBhL6KQ6CgH+GA/m+IT e20D951t3PSfigYauQjmW+IvhnvJLIxjlW2p4lQMvbnQAtiz3TX18Atxr5XtwP5za8kt5aGWJG6G aBzaG+uBL7MuubJ3fxD+Pn3N4GRthmKpRfoeU6uILCDL7Ni+rlsdgBSq0z6aPtVBPi5/jnP5KlqQ u2umP17yUuYQi4wACNVqD09dNtDrxHtqSTYmvYwgQ8iPfVVDfcYOm48H6Y8IaNF85D2h1CPc84jC 7Wf5AKsJW49Y5fgPzg73a8kLjaiPnb1NPdXIglOywDrDBcYvlpuEVut9hCVJRVYIYRwL3S16DThH lK+S6kJfZWHBnuY0Tz/wvoCs29UZGhRfAa+/ViJ5ZVQL9MxIj8JC2S7MEV5mEekI3faegW8CheSi z3hB8ZdQf7M486oei0xolnjLsmSLi8sjczZfF13y3RkUDt285MnxGDWva3bhrOGXa5YAk5j4+ZsN 35vjNsxtB7dr76uIV7dhVMRSiXDMstEl4wJDDSYKiVaHx6gNsvANpm1kl5BoVrmNOwt2bS4fptX0 8rfx5JUsiYsJH4dBOI2vulVuvWtpirG7iWOl7AONUC6Yl3SA+W5b6E/2WhK3DxD+q31xFLTsghAL LyzJX/NhhFlORCImS5yBYLDZtJZm12AWv1vxOwGdmSkunU+PEBB2/pwiGhzSV1Q2fARdoI3H+/a7 gJgLq3sAAU+aLPYjZURvWZf42zc6Xwjz2KkNW4nHtyeu4ab0p/lXPGyDJckineEyYr4bILhu9Pkd gBn5x9lU/tnZPj5mTXI/X8mZ36MJT/RH7p2mZiVqOU6P9ausl5FOey8ZrCkW/9gPz82a/CQenz9I wBF5zGUt41i9DnWxIWH4s+lgt9t3f1cgxRc7/xYBW+0EfW/M+zP1X5YUF6nGmSaaEyxW0KVYzrsZ rUxnP3ai2QxbDIhMU4O2ofqf3F5mCnrdSZcXpdGFhEoionGbO1JvZPymQr+T65JvjT43u0yYfIaZ WxUgAgI1fGsl2nR5HpgrMFny+FR5Y4sTrW28LLGKZRoYY7Ar3n2q9/TpjCCc8QzjG+Kz9VF7vVYG YdBEWQyY5zPduB/D7eXhwANv1QwrfvpLCOGV7mnwmr0f+O09qiyPFPkKOO8ecpgG3SVxVzSw2u4K e+UyPGbjkgW8cVLrBHemi/3djmfbfw1UZflWoHeV44zf0LGYDomci2maUlmkxtkgl8mTtanA5XP8 Sajc8hh5hfaxmGnAQ9CDzyZRQjvYoaqZS2YPjw32Pyl79+37Qnjr26OLAmVazAgJF/1O2CaU18O4 kxBFGGA0vjx1PDN01FwsM7SeX35iqQh/0f9hjQh9XaCXj1MhTu3rnRqZgY/E2yLv0sRVS2bmavkv d6YDpqdXB7SaFknvVbNo3uF+1vFDA5h6YO1DYK8+dFReBUr0mz6ogp52iDV38UdPeDLtBGw3xozu dpDP0gjN18r1pJN0fdRDhSIQ8Y/5x5TVGM3mSbo7AelSKeKHK59vUD1L0g++UkhInkik5NXckCUk ReRpQr5WbFWTUNYnndiG+WVmVklnckX8mewjI/3U26jMrmjIi0kh6gowhUZ/X03i37j6sr5YMwm9 5aaoseW58cv/6MIGPyGYUXzDzoF32hMwmGIizGsyoj76kOOq66zq2HA+yFu9ZwYbpGNZsZuuSvoj RzD6A0hfKzeWzh+OACAN9NeVRB2iChnZThVpIZ95SA5HB1rfrI9YTIG+1Sqcc75aovxkks0YPwyY k1VVDtDIfYxD2dvB2KSiZsRvfD+3o0DjdSmyRryQ3hsJ+zkhQ6JDHf0v47WUh1TaEiKjkqGN781J 7lNe88wQTQBighGK+o2UJ4ezcClfjUGJu4oou6J9f9zBHM7NEvbr1jyV5FT5LYU0GdY1L0FaFmSK FvbLiuQXPNthQQGCzb8hlOLMNC4/z01JYz93oOEi+UclewehDgFrJFG9qAiU74FZgVXChGi6TE3r 1YKvmR38TU1DcFFGAwH2/GsrhQm6fCobJxQ+3Fou3+A04rnx6rzD5zCqP7MxDxYGgJ13pZtYshtK meSBLDw5G7iNujpsXc9RIcdF6M0iScYPKbBBk9Hm5+uQcuW4X324TnNuAdc1BNRpV3Gnzz63kajF CVPzc/gehpvIgzz+FB7w1CuiKVAI4QbISTX7IkPr8aRkbmUBT7VLIuxHvtTf4YepDMBZWYwek7QZ xdeczUbcWBhD2+M23wtAt6QJyqbj7RrcP570a1gIT8SkfSpNMymkIx8n/Eng+S8HF2KmFuXIKcgc PDjq8mJguoBZh/ni3b9OdHip460rZyWb8nYR5xaqBhbzmY0Y2jKqM/lSMVoZZ46dhyLOeuR7E2uA w0E7BOTiBGOXVjXvDfuurrie6ahFo9ALAe2huSqUx2oI4FSjvigjtMIU5y6XrqFlYyL5ZYRvIECN Mqi6ks4xSRHyfE20vSQZdkVMi1yWSm+KP8ptqQwKVUMkMN0cdF9un1cEFwdreCtiruDj6ixNgJLQ TQiQorWjc6NFB1rZl0zcZJvDe+7q64y60weec0oRRBn4h1qearD+v3zy/nodyBVYgDWgnrBAqhDo bU+rGcypA1ljQtMhd1RIplnRL3RMP0Nf25vPf6o2spYq/hkTdpYISxt8qYtgQv8ODuk/8anfZK+J w1Uqrr6IqG9ptn/AyF9k4j1pU5dBGYrU/e2b5d8eOmfgu8MIrUm8Bzs5JSyiY1GGH0mng0V4kGal idWEfQmPGAl9TZIG36oGH0eZ6RHPN3ESiHVjrvPxIIK3oMeKSIJTC5W/UqPcBzl9W954QBKLqvVK hjqPLh5zL4dJ1UhBWT3bM6FW69Yt7onDdkbFDqtLPgHJpmkr9SttJiWORTwF5SGHKLe4YUxEFiyy 9MiXFlJ7ZCQxengBgPLmul+UezJWxlTaxBlL+R3Hjci/X1pv36FFzgGvLCQmhrrIf1YbYhFHNcnJ Bp7lBAR1JaHCXhEYn52UlMcy/9m3f4TwK+Mj1gf74deFx1tSzLclVCbLvL0B8OKPXqLeGUURQ5WG 2hVpqE2kBLDRvPPXqOjb2E08429qjcaW7A9qxp59gVvGEJOqVtyQn1dwi57yfCyCD/OGWZ0V4ZY4 Y/JMSkPpJnvHNH+wGSyZV4we1cBb2GgoC473MJlrSxfARvWmG/gRNPaFIgw7LcNfKPlzLExhILFj 1o2um8WCkePXQdbiWgFgAv8mE2CENtMjakbh5kC4z2BlS4gAFX2kDwmU7iSqtWJ1/hc/4zIofIco +7WcVKThjwQx+2RIqDWTYvrSuTeYT8hzeRFzdcZKawkKgX6+ICw050/l//zlzF0j5MkkUMmhxpVq 2YL2q7Jq6ZzXug+KcRArluAQ5IM8LeMle2DEFsJyHnwhqutCP+06OEwDMZCYv8OjpDXIWdK5ehqr LfRs5nANgLKB61bUb+Hg3IWjPBYGlEInYSyc7DoedMguFoShgbh5qXPNU9lYth6fT4l3xmhh98KR TLtr/pj1VvDy65LWZCvWEZosW9LsBcyzi5eeHGxp0Nx8mzy8cjFbU1nW/9NCxjvwTvdJ4lfyBXZr Mjvw9r5dQE2cc+TRLW0SL5Wi3OFfOYPG/RtIm85cYxXIIMFXJE8fpBz8W19XIGSL9Ljb5GTYckyy iqOMsYXwYIyUMeHoBlUg41vp4ZrnrnPjyOWik29xUc2hcDMhopJzFYmPQjXv9blolMZaOvQelT6X sZzF8jp1Lp6VCvvKk4bF8wZcs+aPCKYyRRLDWh3ZVvsWEcYCtJK2neylm0njGZnIhTMPfplhLbE8 BkDEzxFGIKKGojt0kEdmRM+m0xeEECETwuY3jUMrV7X4Hqc4YTzhCs2Mnda1W5gS5/+CTkFZaqfp Ya/uw0GgzmrEIegFNF/re8efbQI5/c3OUp0DL+2QU7Fa4HlyTyuj2BIeSQZuqai0d4vKG+P6ldxU JHw4qaWDkFIAeWZN8w5lex1puabxUUPWzxA5L6k91eqFpzgYOuusSaULcnL5270YixAlsHaoPisL yhMU7YiMQxvKd3X/zHgjXhSpZdDAX5HS6HH5mj/dlsLm17sSLQ7+u5Vx8EOvAk5b+MEIfBCGIlGf PS4rZpdM3aKyOVB2gSUW4GKy4hWWvOrouCSvIULIJ4llvSkn76UGVZq5IE3ndQ62+6ySvTDMKfHb kTDO/c0NUP9Hp/NUcFqCxa+gMtPedBqp2waDdVRUhObh0KUzxwsl82Qk2HglpT/kD6xCgFbR6uw5 dq4uAuI4x0YTLiKfV+B1OEmXpeIKo64y7JijBTueRKk5vFbVwdFWj1QNRr9lOhoMf51v0BIp68zx PM/kg6+8GqeSKgOMHyRIE2jOSBK+5er7T6eOspx5WQJFJaSXpKZ2na8fFWkDhReCu+AHsiCPwC1s IC9ks5FDPm/hBFuecLfU+CkiDhqIMRxihByNxkve0xuDwZSsBT60BWQWsAJlfuBg22emgRLkooLY nb7sMG1ubhh7pww3rOIsn07o/pVoXc71+v8/Gf6uECkhRQrrJVO9nq9Y86TliCBNonif9IxPJsfZ Fnz3ztxogSGX/nDFXq+330trCagUj8WneYTrivvNLZHJmudZWF40xss8PekWYfwZ5lvs4R8DWqRt 3l+bBpSFGSAGN7wuW0Yk1xTLa4Wo6j9dNUjD5Imi2GcBfvNP2wJ63woPfvGLAnzCohRJWSV9zumX 1nyvZvzDtR37Ar+2K/yzNhUhEJImPl6IkZ5aZzDbNE5XHh3D8WgHcQo6uWVjDacnVXaKG+q93nI9 X67gQ+jxrDymYp8A3GbI5Q34sggXxOoxJaTWaNY/Rc95N4Lq3N6+gARcQacLl9jciESNjZ5+pSNk 9GuSkFrugaYJWe484GpZ5arTl4OAo6dy8T1NZ0cwHxcWa62ENyup2+RvlBnWDcNO3GZsWiDHc+Sy Yd4ewCuR4wweu4aO7xUDii73Sr/wxCbyYXEMjP7aQtddoG/zRpYDEzY9DF3t+H9mpkRDY1QOKrO2 hf7DKXPbGMGmF2XDz+mlijirBtPFoWR4NnKFcRWyDrFDuCASg5zCvNGN5qXzj+u02InFTj43Wwws 7KSKKWY00zjCaVJJkhgp/LiCqkc+iQbdpTpIg8o6Vn1ukLkr9J9eTaMIzrEBcTrlyo4Mb2P5h9Xp 9D8MIKWxyhm0PGdw5nVwT8p9UHRPaWRUII+bFO0uJ6uufiqje/LGYUved0u5bujiEKav8qMLRg/2 WDyKdiNpVkuN2hS2CobnoT1ufj6Hepn9hQeBxH6FMzpr3g2o5fM55aYa8lcoqN0MAbky+4KS8oSU tff1Pk28TtsntWSYqLxub4ieTRBbzQmGhOmedIkYbYa1uoIXSzQ2PhQMhx88EM1ifxDw4/2pGPji ZUjt/9dLlYKOFnxFzsIAZzs/JdNF3yg7EZiQ5+7ZEDyDlMBrKfOoy4jo3UhBvUav6/HdXHpAItMR FCzmBoUjR6c+q0YRSvRD0pIcIKUIUCFqlaX5ewdMdlZj0/RrcbJFESsVIOkpUaMr3fQBgPfBQzxj uUchbehwx//o58bThN2gmGaAB1ES39E9TXvYLMjNnCxeDaZ6/2eDk0ofWDQnx1hWtnlrY4ob32Gl yOplREwjvsO7BYocev+4df5VFTUbEqTzsmWDpaduoQ4r5aEwmNFtZT8Xs5cvUGHsSNw8yLhht8ey 9i/rfztub+OYPoCrKrQ4ULyPhNWo08Faw0v8JtwOpmDbnobspsSiKtQ44ZtyfkrtbHmCirW0fQRm 1ORt1y8/wJKs/MRYVHK99Bkfl9HRMBHWV5Q2BeIZrYxj62pZteV9WmMPzTdXEwBx+AMdCz5HT/ca 1ZsLFQ8hQMTwNhO7diqdj+BLyEgAAIsCUHZBUqnFvqLpi9kvHTTZGVkqzyF0RuUnhnPnSMfgxCo7 taxt+mKflxcVdz7mzLOkXYMwlzF/6WtDx5pYhN6dQtQt6JzQt7ssQzaeEIReuFpf9zNCIjIOhQcY t4a5eGRysp6iL6tABoEIX4fjfa839Mm07rJKqNEbr0b6LEWuZGDRVz2ze82sMO5Bjoa1B2VdOEpE +9cw3ASkO0BA1KMVLa7p6M0A7tg0EbQNGdZ0OZ5LChuioSLY4NPqKcv1PKVC2MyMsdFRIYaiFp+u s1p8jvAimuXYe7bUXKZyg4ghj1tc4CQ1UtCgVxH6WB/GsE7y+JmfgyHEcE7tG5kON2uzjXuwvC/h HAfblPWnz2b5YHOGCkAS3pE4MD0sSwd7vWqXfs4UaNwHIJEfJ6mDtaj8VveZiW0F3rQy4HoLmTaE 3yGMtRnZp9Sc4bgh6NHAL3+nygVrtTErusTFNsPtCpdFq9n1qkVcWbcOdvWlxAIEDmjyO9Ilu1gV uPLuKpwEZ2YLqYsQGOqVfdd0wVJdTb5T4zmz5/udcgK1vlQzPDdJxRyL+SshiwhbYpMZSlUJp2tk bcr8PGQGT5tuDP/Zko1FLwZfPoOuOyEhHvxoEI3V1kUg7gYPXcbOphGM5Fr2p9Rn0gCxdLDIEUXZ MA8MOAg+57YGUVaajgq0A6vsWpPKAKp/RR+og9e8KWFdhBDwnZ+tElkhVU0dYw7MRmPWk0ZUXW8U xIEsMk6C5OlnJ5/IRjhk58MpzboUr42Dn0zKLhqPnBmUJur+d2ju7GAf7SnjPg1TX6JtpIN+RjKz H7QRf1PCMAMnARbleMyXzmqiFONtL7KNayU1VSJIJuZJCI9BxvRFsv0slwph5FSxqB/2CgMNNh2e RNRlpSkOsQt31xrPdiPma80JOhymR6UFU6ZBmaZQoVTE/+oY3Km4AkLEb9vUQwN74htaZhsaCQah KJ6BLercj9S2gzHfDxhHkw89M7ZGdGaZ80exNAeKbCew5T+sbQ6EKe6m0Z0XmPeftu3Q4Mm/V8qA FTLzOGB5b6f+Vpb8V/9XcDNNCIZI/cCkeUYxUWD1KzhQzDfMLMJNrSKASwfS2TrTeInhGplyIVif 5pDHJmzEmLg/5oi9/hyoQVDymG5xANW5H+NEv4yABU1jVL6QR7xFiOaiNCjIvfX4at/8XoZiObBd 5eK88oN3t/rRk0zYk4uDlaC/+EMkMLGzSnWSsI5ZGu9ODhQtx0QreDxH8AB9GSgtVRpQVjL5QcIP 50f5WyfNA/JV1Pmhn4YLd0SJl4tgMzxMsCvdQh22X51UdjqsY08fRRq1QPd6xrMW6P2y0MvBTqI8 MbnA52Cjg+vOWfNHKWPJKtYdqHMJp+zcVIoeWGWSw523rl2ItCXUDRnLsrzTp7yeg9fIYlGcMMpp v/rGOZEHyMuRBVnFYVZm5Y7YlE330DlCwIrLMnd1rZWjWfF0EHlmbAkQgAlvvo56ChqYnOU6VBrC IfwzXOQFNdZWto6g7FDJJBrNd4QVYB8ZTkXM3lpHB/rROi9XaJmJ40mW9ajvmx3l48ttmsu473IK 7PoyT8pekMtCXVGXLMx2V9+zc6LeZTTZ35zALrKGmhgIRSUrHNBMlO1nfwg1lP0VfO5Bo4ABN6xn vj3Rj08N7QvpBe42YE8ZGVJx6VUY7Lp1XULicT99RZVliH1nMuS7alwgZiXZuhRO9/TZb6hYsU6B IAyas+WlI2IxZGTF9qIUarpapDnPDD+SfrzZ1gPNHMe6gA+BMw43qA9Do35apV0xWFPJVHmMhzAP MVo/AeNixvBEuCxWLKP2BMAhu+rbPBsnsOrNtdF3aIND09SGRCWbjJ+tHEHMprDqNUpCPUTwIA+q RH1q48F44RKHgHypfiIGncDRvsXiHkGoPYyISrS5zx1CoslBb31vXEIsVXILthxpyho1xVsc+PLN Bk0f/h9Dk+mBoBBsa+pI17DXNXpR7eANZdgQMaD4AQ6oTMTjXV3NudSeiIQHUhuUkQCVOqngJ9jV vhl8STdprYwlwzKqtUXr06zgKQ1pv5ZLgXH1nFaLNRbx6MBA+GOz6/T9nBeNiycZpM0IeCmeGAWC N4+G19joXEN7tZeHbAweU3iIkCVYZQ+Dcrgq0MUu558hsHXstRZvAC6iDLr612FwGLxaxQYAzsZg +qfn6uWy3WxiH1FIh5ATel3vFBqJbnRE5RoQo2PWfKUQSs1dE7T0wZlAlSOlZx9NzpDTLmSXPmbL p2WQgAvV5r9L8yYO6DKxV7im/UojYXGg8Fc3V1YYZsitx3Jzmkz6r/40iqe8G1OipFpOEFYXmt9a mn3IApHFf3grdwKPOY/3jA5hyVCi1b1Cg8teCUHqWhHhgycZ9XPg1jyWS68a08cHEwpavkXmW5++ LT85XotsW4gfpVxXtLiuoPFVpFW30N2QMcy3uqOl6t5u9dvrNrNPuGvhEGj8IoazXNLoW5um0eqs yRdSxADz7i2U+oRgYPnvTBX0ycO7WyCvtw6d1Z6iQBO7ty1PnJ6Rc49V1Cjy6eUVtP5DdV3ROIPh q71ADk15VsUOeJqCQ7yJjtW5sWn1wrYf8pX9sSrzx1hHrQi6vva/3ACVMkzLDXVSADzLPnQKaghW /oL8uINqpkK3qTZmdjIMF/7KONa1G2fUC7euq3j/rhOYMQ1XnftesI+0PM6WdFoXSB4Q7C4L1oH8 DPTkglIhW7jpMtZkxtltiAMIr9vz545e4QOrrd1IlpZNrD5xHEToq/oGn4JmypuCXSq2nL3CDMsx c9JD8qjNj1ZcTz91W+bj5M1uKLZMtRHdFmEI5fruKBkk26pUEU+Qir/rWSzKnd0ZthuvLH4XQ5i7 R6eaNRnFe6QkS4L6VO+hmEZx0mvUboyfzVyIBNg7aXFbGS9xISX0hAhG4kwDPuvH1ElrkzM5B8Yd czeby6EeBPJ/IKFNjW1oig9WF6VpMYT2kfg57aKlSvR8TaTXJsAqAnmvvuZYBaPG4cTCozZQFrm7 yHJG4y1qrG8OXxzHeKxXvJjw9dvJJHx0raSdavwvcOL/YYvZDH/WFfLjrFjFouf8QnS9sRZHOUue b9m5P/9uCNBY7Dtv8HO8ccq5zE0uWIXFZT1CAXM64uILSL+n5uzQIaI8AsNewrK6Yo5zhn1upTkw nkZuY4jQGOGGF97dJ6S9DYv/ZPTalzW9je2GNMM1p5CY5jWBmxnV2Sm1qaCzqyr22eH1dVdzaujI 3Rq66TmRytTqpI/kZ+KDd3O6rUjB19JGI53Mrly2nOwsESxzGicDvY+XKxgvK5yyIZSfzBSCr5hb gKj+NdshECrag1y/MK6FA/3n8fQVKsniO9IEsKe0Z2PdZwExbUJibGqUjFv2OmD311ftSD1UYhsR rnTUewgvmNVcj5FWNqT9gb7Ml0SDRnKuCEjw5BPNEwP1a9PeObzE1Kq9RncklpSn87AzCasU23H1 RP+3tiXElqPAzmPQ4DfjRvlAM+zBdukF1ZyXpwGXtcQPLBkV6eMzQyw/ZlPjFgrw3/5k7XPISjI9 L1DZlsvALIxUQUdMNbJKTQqT5xWMvlomc3Pf1tbVBArmC6Ipay8FqHC6dJc3sim5xz2Uc6XxpckC 7A4iGfJvnbOiuqY5lOZVtWKY126W6OrT/kPvSd0LDeNQ0tdJ7LOC1+OPxmKIjFXbwb/6U/iBiZCv SnTt9cDAzus2UqnwSe2lbTxgNk0m9Mnrb1tHE4cEUue652SCBgYmQmdpPyip67mxcyUkeX2qAupF mcL8iTkYd7RuBvWMGusXhbzBroCgEa5Tg/bvYF7jU6qmycoKTSc5hDMU8nYaF4ih/C4nXhl4O7rY TJ98NqtvK7QNoab6ZD7rSqy+NUsFrWVHWVfxQOcJsh34voJXoTqM1+XZzk8I4KFyIxOZxOrcbfNx NrjBFQXBPikzVy1sJYn5Q2KNWIz+8okQDqcjyuhitPd/6q48WOkNhC7uVCbM/ALIoBWO6Ckk+bQX 2IqzPnPEi1pdGMGprgtA8H4eEvnHNRlytWmSSvA/KBdN5czRD49+0y1xz+LV/4I6drYQycAQdnZQ /axYFZ1rJoOmCneqJA3LdnX85pJwwzPPVt5xtKzZt+BdR09vj3jPVqM5wvyui94pazvn/mM3/HBD +OK/5z0ImbHeEB5EHfhKGB250tjs41ZC/t22wzy2EUk3cnnH+XS0EJ05gBD5YDWbo7skjj5fHEOk Wx8ZwFpbgfRKyKc6nIuuI2gDdhd4xXaRHbX1opFMr8ponl1/ono1f9OxF8EMefigpdZjOG58H7N1 eDzJbaVajyQaFN6pgEx7uxTN2cTKs3HAjXBKee3m0gqX2w+6jwAYExLuhDx4fgq4TmFTKHTlzQfj KOeZ1naN5s8iyVMtyiUxaFr72YTCYdqNAjheBPY2ncSLY5+hqM5+6zdaunhTATIxAmSduZ5AZJP3 6IncRwTdpNtAuuoQa6YsnDDZD5dClALj77fOjw5CbxAFr130WL7oINTIl9ZtiXo/Ml9bAos8RL22 yPz1yDF3BsL9v62yCqCdhTSWK0z54xGjrSNAp8gNBc3KP8+GoBj+kUnbq9oE+FO6+zym9lv9hIRK bepO6ujDZWZOlVqNRCi6UCRPoZrVQScA/4tvIsGdgzSuDESrzF72BC6jkNv77LJ51VwwCd5YCpuj 9tWfo5/PC/XvYh1sutgD7nkkJ29HqtUk2A+9I7ugbddgARcS/9PVaV9hpnmT8/Q0rEQzScD55fuf SRBp1NIbLxiTlytEaJirchUZhTfKGrgRJIdVzrYwphtsHwLvHjU49cM9tGgKLpJvRxl6P6Rz4zHu +rM6HKoBHH5qcuc8lSRfdktYsnvTgW4FzRmVY95GeXh9c840szmgDV+qoXXsb0RdEAv4oez4QHyi mT1Qq/5xK0LKOTDGa+nDZ3W7FK5Q1eYaOyVAN9uSYhJwZV6/GhPeJhzOZge8mbwJkMovn44jWJT6 FdBV5dK/WMWKrMd9XxaFU9RftxqjX6TuVJ5A+E3x/FrbB7+xEj4nZOq6Zgq4oC+qXeepJgca07rc mrKOufggE9UTaz2RY1MMWL6Lpq4LoOS8vS5+ubhOm96fhrAKNeESfs8IEAKEJNjFSg7FBgua4yKm ETMrB9s20pzwPzc0rU8EMx7MiOS3cc6bFWcjvwq1H2vdemJ/YL36buS47Yrl0D/r3YZqsdhWzFsu CuslnKrrWdA78O5CNwFDqGImA3Ki6QI+Hn4Dv+2Luznx0vgqN0U+5NsWm9TJepCxZrflI1Z2ncB/ kD/QKvZZ+9k38PblmvsNH0+nAuebKc496OqA5nvAHBAxpAKJvSJPuCvV04GUo43aBSHUnGIaxdWZ DivpN8niT5/ZbdX5G//uFO47GqermQUx5mBkcBtQhT0qxNn/OiSJsLdYWTgNQyk30TVHOFtB2hix mZjhv5uj2H4rBZaVnC1+uSo30g8uQYJW5NYYY1A6uKZ9zvasoyQ1JU/i+sPrBDgXdngff0JVUPwD fnQdBRaemzpPxcFIq+RgRfnUnT8BB6v3ACxU+r7uwIlq7Nz7KMId+9oeiS50m+J5RA5MnyRSp1ba 3uodKtHGy9vfsm/bfuDC6tnsS9NS6SiHvFDm0nMYJtxiOwGEJFNs4VlAq+qLVblHt1kzg8as+XEY QGgYnd84oKM6KlAijywbhekFJBRVbRR7QnPDrua+RA7XhFvoxf1iyp9e5D1AGSZpNSVH7Bp90QSU cC4O46WuIWzIuiFY99/F6T2sQ1AJxqDC3M3zyP/jwIZ6I5Y1kE/8qXQoWsOFu0AvPr2pe3ZFu6NF wYcy45TSMNqB4rNjG37Q+ABH5RJ0PKX9suU4DPb+avgn3E6k/ISe6R8trPqgCO6EQ5/RAQor1EhP QcMeqeINgfbLnlvckPcOhqmJnpIVXn/t3N2/cbOeZIooRWS9Eak7FyBGy0BfX/0k/hb8ma6wYG91 8/f/ru1feuQgLamXvuBZ7PwiBdbbQEzepiILRnQMlI+2gDk/gZUlLQFsEIolKM/Xt6W0878zJQxu H3GLt0hg/1PVsSwwX6qqY1J0Ry4v8//oHPs2zzLii03Lul2obvSjNukDJxvBRH6FPiX1S6kl5dgf QTKMdvp1UkVQxj3lMUS50lY4H9Xcdk9XH+sJ7ObKB8FnSJ7mSe2IdLXHTXmY6KKV9fZo3EIDraS7 NUoeJOzqDuDSur25nPjYdFklN2DVGKIuFICqN4qn1sWf3GsYa0ZIOB5+4q38CN/919ON6pf21whu kjqT8zBEhO5ZtPSghpbwKaKNDSZBg/O7r+sNkFtt+GpwvPytTxdefOWdVqMytg6Y+XudE7356ZtZ WpjW7rAix5o176h8D7K7hlnAmQKGByyoZO1PuYT6keUWE6MHJzKb3eo25cZdoXc/Xll2FzuXnfcu tvK/J65CKuVvbZ4VLkbyAOOn8ug4B/x/FwE2COzmpYU8MrzeGQwLvGERRWKIGp00LAccJ9Xf4QbA 0jqODtoNmdmdt3y30GKnh+JgINtJL+UxqLCI/eKFC/WT6iVywGOEh/49AUb2+DQvkN5Y3/0uK7Mw 1xp9sk50Q+IXQM8uOIkyDzHxP6rYjpCDlRLG403tIVsccJbAzHFAd550TAzEOuJjhUJsFvKsGKlU UbsGHMawYTE6kyn963Oz0hpvHz8O9I5L8hTcsH2/vNmUVIbq2l6fT0wnxtcKSmXgOtgMMVU9tqZK tNmH3KwB6u8wN+b/qA/lsvxNaUQitlPar7LQ9mmvPfSaJ2UAqkILmb8kC+nGRBofOlSQUFg17hSS Xn7mISMtgEbiUbuvdE7Jn1b2Vf90X2+YcoePB3TPA/9jxCr87j6sO1OMtAS9RaPBDEEoyczhyZVj 5n8GhcwMRPn5XY4MO/mbFpy7DK9EtiWX5Wfievf6FKDN8n6PgeBpt5GANE12jyNNDxi71O8oqQ0h rPpoFaPXpcrBQVHclPg7u6IlaKwTAW9OU9OK5ftxnRm8Hi/3XUBHph3Qh01LURpnijDRKdoi/8Iu +vgdO3vERt2Z8vCsnHDmNwBxFXMOda+gY1YyITOPn/2wULXiaYayr5HMKv37rV5D/2MtSfp4qVI7 XVyJlj8IUDbNCr/x7TXSE0NFLIupcbFL+OynHIfXDCy+B6DGv/ADuwCF0oJD0Qq4af/dzEzxg4Jj oD026gEA/CEIAet4H6rdxt18oODWPT+k0+lU0A06+w3qKMmx/P7TWTlFFhMawMUgV23qKVY4D3l9 y0Oy034oC172T5JLi3U2MRkItWBIOiQtinZqwuzYCt0KFEWs6PI//+B/PKqOLZterHT3CyrHUmK/ mu5KfDNqk+mOaQ3UOTqzKXexlZJA9UzR409LOdRaF6AkN2GIF4oMW5HMbR4oV4f71C/IQwc4xwym u6OhgY4OYgJCjpaCAUnvOlr4Pj+nllkpy+iznLYdswTVQadv+xL1erJkEL/WY0Pzgwvt5YddBXcz 9fS9e+C/92xxJfQkQTIPyj5/o1sBiKkKz7yZPIEhsgEEW1KHEQT/G5DMAQYxbYAx85WEH9DJDNs8 ueJqet00NWazkFwV/X07bUQZTBXwqmIQUQI91idtfC/3UEIt5lXWCWb1CXYz6Fu6H6q1kKEWS/Jm hFU9uwj2QFXvjqatah3e7tIKfBvRdyDUSLQmGXOuGA15a3KzWorcULaP4lB7VzRKWs8VnuiFhQ+2 u8QNKVshNloBC2GklYHWPl1W+wgehh9tru9/PsVvM3DJtqMvQQQs8jW5v2cGfjEBsPp1Anyk8zeN OwlgWaRY3XCf4eNR5FFIuyUhB7iyyahPQQhD7XEPtD4X9e4WsgS1mjL5GOc2NOa5RfTl4kC0oUCM lAik/aZ1TaWU3s6UmvFR9OUTS+KZikgPohqg/c0PAKaJ1EF5x2Bi2JDiC7JVPh1TzGFN47msvXWx fR7ji+7IR6yfG+35f+GlriQQdzu95das5IjZKGe9iqYVRRzBDl5V25ZySZVuaUskLATcCfYKTASw rIT8qAhoXF8eXz9vky1ZKmTZkpzbmXpmZvaVug9qAuZmugDhlIYRvhdQomNf8FqMO0BMFCksvWp4 ias8qtXPo6slq6HySuo18arS3iBDXe/d496Eg+8LMT6qsnPDpMPYJnQWXvhen7fZBpJ1F3pmYk5E tybx2VGaWBygYFalw0mA5uIvrXX0sQMZwKAO4GAPZcikPpiUExIRFXSTBKYd8Viu7DY91oR1NtOL Wfgxzua/laO6d4Vc3BR8BZ0wvxWC7R+0mU/7uJiLGXQvEymhEoC1ZtKEgCdSLh9UWXynSqK0dcX8 scQCCFFmIxKCu5hIX4gNqpRLD2w4uX2376J0ZoS9KsCgIwIBwKvK6bjo7rb2ywpWtHA+ER2HKkiV 86goCCp2E1mtJujPNULtlol79rK+NXa8WilYfBn0403N4YmsjBLePfz6JlcB3Hm9ykRLWpVQv77q QBnxKkkNL9gIKRj4rFDuU+wmXcplYHlwjXWqbr5IQ+K4rAkeDmr0RekSO5u5ljfK6RVKh8x9DJBH 5hdt/9bgs1yBfGB3Eq6quK9RmfR6A+hNFoIke6zFqPzo80uMZ3CaJS8jxCg/YsvQ4NhHwvk5Nf1m 06ignYRj3pgUvLHC3l97mO4ECEqecorX8YVsOtJdx0tvhwNRUHP2McScCd1RGffTogRpFs/IveTE vu6VBw3PdmKudDGsqaucWwL5BPqbjZSDqH0730pXwwhw6fvOgLLZye4X3hiCCtMDYeYqV+ogAcQJ zsD1RJgOh2p1Uw+awpxBJ1abaAu0fLyHz/Pzbx0SjuwiBDdOnBAZfGDkSNnJ42rfdb19Mt5PNRex lPQ8Mw/V5eu4hsKcFQuiooJSiB9PHCddajAwrxXoOqnVgC85Z2vdm389CaYzKxSDLKG3onQjEUJt As/Q5NKiEmTKoTlPoZYYYvl8r0UIrFMKNam1DeTjHnP+VT2EGdcd+CMpBJrVdlHAnC2HxPiqdAGv jhK/PgsqCJqlw3jUkO9nT45WJOi4bIKTBJTok49vVPvIj9GQ6V+NT1ZsC3stWj1379IAJ5PbuwX3 Z15ybZVBc5tClPj6Ynsy5hbRItLRIZEwhoGETJSwEDkjX3ek3Y7uOoLP/iEHD9SQz47qbxBYTfjp 9jQ4/+1dJ3dmgfOkVjrkZU0pYdAR7G7hvaN7lyB16vM7Dc60eZ32HSNpYCbQgCrOYymRSGsifd6v Xte4XL9XL1dQfoxncdDC0oLcBNx7mWj+AcmcNhMkCZER+4F9Jg/UVsb8lPQY0uXUFuLXxg8xy/yV 99G/yQ86NiF55klZiCHlamCzdBim1ldjaPf9JE2XZYJ2G3juj7NLhWa440SJGqms4Ao2tzyvec1J 5/JoxrqoY5CIiHEiZxZamF1fv2Zk7hfmgrYHw/8FdGqDcjzMPFJcbXRv7tS4ZnPE+c6DhOn3VmOv JTolKLUlzBHmYVMnOUgGoEIL+BMUMPBUq2RFPvcNsIlVe7dr9rm+eo5/IYvp8SrTrH4K4SHd7KUK xKKsje+RfVPSAPY1XDgXNCu1VhVXWXKV9GOE+M6lMPhFP9jRN2Fn8hRERGhljxZPkzJICLxWQpGo mC/wh2ApLgs7TaHZJuaD9OUH781DS0f961T87+QgcyBYfNElpUxotuzzz8uGkhv3IXiOZ5fyS+VT q1YdDzq4Vtn0lcOLI7P4mdCwDa9U4assVtlEfiUrLUrfH9V7ozzMQmwZdMROZ4Q2MWE6CN/RiDmv snqH716ceUac6KrVTC7Lq0hZR130VXmNZBOnc9OK/xYcD++zBI0W3sMT2yON58Fc8kGZPr1ifoju tnPRefwkMjto2G5qVrjBgaFH4Ggs1uEJoe50NQ/cOLa+H9Y9ir2bzHiP36e3uFsEj20QJAEANxcg fZAJqvBLHVSxsIFcAral1GOAK32rg6+sNzroAOijmcB/nxy47a3IIw1Ql7nUwtejvomjPZjtacyC ADEop7BqN3YRxNwahzE0jcGVOj+LD6lO8i8YlRFZ4peHdlAaMhZnWqR04se1Foy6y2d9QW6wj/L6 W2ya+ME2zEUdPwl/ipqWqqmjzVIel4ATaI1+Nq0bO/LM63CMCYVGanRBNqofjZSynGBvzvaUy8hC Gve0y4n1ElcyG1ILUuuTVL8bLMl0rXQ9Fg/LR7Jb2vC+QQdaGnraBsYzPYN8NzRoCcp79I9Dd6V3 m6Zv8cXv4dpaNIN7Tmq3VFNcHpm4rVuIqHXPDbII+OvqUt2IPsGQec+/Oe0lVDSHtYe4YgbwGL9c GHgva6BlUlqQnqrUDK66rOYSjX+qD1pg3252yK9cJga8LnNhRz96KSf0moQOii5pMUxv75oUesnl zpIgRLhuM2ChzpBWRlrFehziFB8Li/z3CWAPX+INdsVQbQTs4uiulyrtQ5VKjmqe+2bPY3EF/0AV w/pT8Ozn1Gs0lOgqovHsUYypSaNMvZcLS+RmqzVJgrrB8ZlcFH4X/nSsbibu93/UGqCjUZ8j/H2T eDy5p9BzVQfFTI9rDxposd/cDWMF40wLQB/tWTp5e4ZAJoMgQMuRupforJjWedGp4EBfCx+KwV7k N7ZN9WHPKQAhiaE6k+rm0znQ94KzkP7w5UcsnHwdZrJVY+ThGbQka1a8eNIpiNY0IJ1tPmjok9He tMs07VyT/hxkX5HUicUo597ppZ/KSFFMmXMHRQtOdCGkEsEATN1k1GCGds8ZfxXFV4YYXLKfXib3 X1x7Qzq3CDf59YJNYnyXdZJT02kVDB/iV+vIJTYof+73NcKQYKqHMDFsFsmeGJuEVSmqnESO1af0 ZYKHoajwwqA5Cci9nlO8jGqs2Gllo8MegKLp49GH6zRSMQb12BcKhW92wbslSVXJo7gBYuStAV7+ nUkBdC7Q4Ooa/0W5GAzFAWoBk2pB77TIz8zqiryXzPB0OIOC0Og73IyEYwgjOOrmaZKPmmjoiem+ DCm3oT5RM4PC9ao5IpET4MaSgdLsVyu3PKwIlGmPkyPL1U/ZqpT9L4B1COVJdugBBuCg/aYjkskQ wh/C/3eBr/V6jZTp1e1o94kWjwwuLsQLsocAlrxckloJPd1vpPu3XIIHj7LDNC5Wqrs+breHo4+B N98pwGfF3BLDU3ys9RM3fqS+D2aO/sC9CvOrGG4vtc58OQBzgW0UegcQAvSUe0yfBXW2Zx50TZ1n UpD45IzgqmRI2i8px9Ze8nkOVsWfcD2AKC50ko8DF5XddcSkKc/T6kMFyuBR7ZC4xMJx+VmsGMUE uQ72Z+fliNhmsb7A1PCZ2MScoY8jND93vn8MTeZudPM9GkiO+Osj7cmInwlDOK0+hOlOIRITNnH+ /pcKYOC5mUQ28hmSmP+qQ72YVsFxV/dI6c+B1r3orM7guxEbPkiynNrWcLwQPLd4+gOoMoQ+LZ7Y gKuud0yyyvNgHArAkBV3rUvlEtfZGMzwGUBCYR3nRYb8OCrsTItvRKnPdNGMFY5uunDBthEcueRB G4Uoe2NExGrfP+5j95AAiiDtQc02KiVdr2XDlownmKQRLGNEkh99VW7PbHTgIVQP9FTpsEQPFs5u SiCvimqtzDyfcFuY6t75GSBzRo+h+Q0AYnJPnPnR4vh4IaOep7iu8dhFeHm374bU9t6uKZY6m61h z9oCu/Lt/+ee6G7g0ZYSVMMBuKMvF1+Tfg6rf7lX9uyaZzGRxJET/paPwIq0TyhrvLxBo/83aXvT iy2J6CmDTl93DfFh/cO3sHwoViuHo5ESp4e0j2uy9Ap+fm+VVD2sgpiQy5IbpuvJa5ws9o8TqtmQ WWgZg7sBbBQUlgOmxmjOWR3ryAYAUS60GaRg/kbdxlGzQFU1Nlxo9ogbSbeFeSxZJ/4LK9lV+fF+ FDmIyPlTv1ZAK3FQ/6WRyhej01vLFscR6RCHj+kOzeVpJgl/dT36GOH96rupjCuuRTkMg1cpqNNI I6j+r232rPjMaavIwpsTd3UMDBMJ27ZMaoATmP7XuQgSNsauX7RMAhHZ8WvYMcMYMqc7JZbbKvVg nxxWDToqolkau+1FaRYQUWc2Z6u6cIyAeMBIMxGUlidPY85EAGOoi/11h/i1Moj8pk737nUwdqS1 ZUR8YomeFaClnPFTlLroSiZFC5cPLvH5OShCB2KjLgcvXWJhwPEQmR2Q1NK9vQm8e3Sx+cLxdK9J t/FRCK6bpdQMN6L6cwHPEoFyC8HVyjZDqiTiWF1+iiW5DLzFDJ4IJmj1d1it6h1lLGrS23zep4xm mK8WhEW5dwDOYsvI9VASldLk8Z5rQ5lVKNt0cQhZmvYz19etHpSp3kunqyQrb4iGWn/mFrvl3tGF sDJkxS49gzUsgBVlzP8okgUZ2jgFCcklY32HGeZjLKC4/N07gAYnuJfmi9NM3ELeUwewjLLMqdUn 70hfCO+hBbPW/zxoASoNO8Y1JZvWSvyZBtgOV8K3j9/Ca7ItcVNf6dwPrVJAKO0r/Vw6HfdKnFLi Lhy0c9jSVSFPDIz+od4nYc8LFRuhuj+25LH2o+HUajrz/xcfG1Ozg05cMZLYOld6P+xPcgvxsxR3 ZKa/SDbzDKFrAdDLPNxBTBLKD84FadUnEK1hngkWvhjHFTPPaqjb5QF/JZRPq/Z9705du4932BDZ fTKPGuIW1AsTDD2zTz2qNFACyIjWeOmmFMuT1bZcjItiEwbuu4oEtmp9hRa9ipfgzOhglLnArzHb WjGp9uzbQHGgUukS76nx2BxFrO4irVQEwmwIit+9+gMzX276KSbj6E44PxG/FTq1rQu9m/iTl5jX ZbtI/oiA7jmDrU3cPu+DsERNK80N205ZIkzWZ/XUIfdtUfbfsmRwHOkOo3qUCXYC7JtgMt7x49EP MB/quElMqn4LFFYZsX467hu0R/UMUXBhXpzrpIoPxEtdQpYbg/8jSkoDxFTPoKzF58NklFWxjBHz CiU92ayX9LZAjhdFzZzsJJrRXUqOyO4HaOOWF6Pg7X6WqEAw24zeAK7Rr+OBJ/OfnSl4PCuGusg9 IVXHDjbhQneKAXXw5jKJwKXMA45h6iYL1/ZHShf1SckOIYlplJR3h5MlS86SL6E1NRJ5TAC81e0u 2dJ/wGfWnKpLCPONGvgIxd2b0e1J8cdvXVChtlqBwhIoKuAEk8XeCVOQ/aUdTvET5FBQ//7tYV0/ LS6M9Zdcnt2KBqF6xc4fpSWRv4NcIcGGAk5nnGlkNUDex7RZkXmV580W/97i9RLaUQ61TS5LCVVy 80T+SNn9LTG8fzeSNmjaSdHXX37LXz1zrn2Sd0AC3ehRiPXWkzdHz7gVTknaoXUmD1JJJBQHYVqS UcbxAdw+PEMj/xxiRPOddC2PmZF8yTEAE/eAYxeJK5qcGULqEUu22SWxyrPeHPOx/Y88zo5aX2MO s9PucwfL2QLN+pj0aUGoEX2yc09hDcUJfsASEwG4PrVj92Um0/j+pPrrGNV7JwcvZ1WO8CobUTHT PAIF9Qa2gYTWLnw57RkxlROA7LuPkpDrOVCP0S1ZeZi4qxexuryNnnT9n0HcdYds799FSl0OqZjf 8B1unr7lphcy4zxXMP/aIzInPQ3F5W//A27OT4ngchlRDmPSYoaWfSlqfwwQZsbNvG1KwuFF+2lc J9Ku9w8KTyxMLZn4VOtpG74aYFAs23Zt6p5BDFJVfg2mlPM91a+3xBAcufU+TAoXyLZIeGRLWgEW d2U3tcuLrtgbjd/NY8rmWM9t/4f38SA2qPKXosfW5GEnQMHzgoGRPsxg245dGXOJ3GmiyAmu8BBr sh0iYRN0A9+sDMR6UM8lu6yUSBh70rLtf049c3xjhxG6ysdOYAGquMU7GeGE2B6R03wVgIEw0f2P RUL2gUdohBuNvz56yjicoo7J/IHNBdP2MIl3NU7WThJ4tcnMIn1B7w0+NSzqUU7KujS6OrfZGwcM D8i1Po8tJ1WeXXk8Xw+366MoD5KdPONwQw5uudB/gBz1dz179usSTqqbXbg7kOGKKgKMN8uHlUnC xEJDjvco900BpL4nXAo1PuPu6Ok68kZ1x0skRgN4CAcaS05yHHB9UCGfMpuhp9f2j1wFyZc9bSJb NHpcpLHoZbd/N3fvK8wybodjF36HhnQNj4Y259+KrWgH87cfBCdoGiHWH8yX7cwaq6RSK8WJtquH wgmeexWROvxhLE+xZZujSP7cCjH4Xd5YTQGJ7o95tuDmhRQLhOkQMBTkfKU0dHCn3ASeiB6QqmsC 6tjdZFEAnPTHi7pli/jCu+eXwv4vHn8Rh1i/+HCjUzqAsGqWO71/a2qJKt3XXDt45W772t2G5GLn kOXR3zNYyBu/O9oDDvtMQSG2ozPbDd5qzYB83Fka3P5sULFB18F5u9XQDXc+BXiFM9eMKkwh9Vgc yqKb0acnFCbRW4+geFwCbMqm5/gXqhDqnlO2v1wYrBMP4kpZlROHug6HNwzcI40UmV0Ll4CdyKqh XXLOZQBS10ZGEaLbaqQePHanzG0H5TnhjeHA1+e6Y3NYtJyrGmn+QUy/zKTKpXmydMNN9hSycOzj sEhqR4Atb7WHq32sueTQx9vTpY8EI9UQoR4G3BbgjFipXucTEyBQCQofuO1Z1jQSuOW9o/fgz1QY 5TVFY1l2363B+pgc7Z6RrCAZ5o2+pjfADGW4/zpLTDt4atP8qbQUIlBHhHLKa2It/5FU7t31Q4Gk YUDU4/AE2KIsMF/6oXnkYnlPbEZ6klbg/wrsGSHvU36c/7enLt09QILPfG8o5g6IIfyzDndZ5pjV hHllAU+wbl1uLYmeXBoQ0N7tBg7NpNTyvurumN2X/HqhgNlYS5xcRpGQmWORcFlwcMkc1Hj+X0ne dYulz0/NXLW9AEOAqHtbKZF9LPsK9Zfqi/SAFCh4rqafPshG8AZVW8XpoADNd6hnfEyhSQhw2GeZ BzIldrikplitmQGJTYgaOz3ml3aVuxfRJqUoiTN0NOqapAIkMnxD4U1hgdRXNO1Tko8PLuLPR7iu ++pxBtCoBezS+2RvIg1MR6lDYF/nv6eVUP0zVObhAL5RuU50vrkodKTPXbXJRmhY/qeJczkH9Vsn L8hbm5CtxG5w3GNtoXAVrftmZSuYctgMhG2dgd0TlKt+/4NiLVD/dMoEWS+XcKX5pIK+b3O2my// U8et955Rt83ONBr5bl3Rt2sVAbprN9zYoyBALrTC4/jAcUBPUGQIIsHm6qdSpJtSpqYDxGFaqTIS i02f3H68LFwTGTPCBR7K976ibsGCCc1ovL3prfL96HYvdM+Fd/gYi4Of9W40km1qDOPvgr+AB9DX EgtsXsrm1wCyRZEaAe7e3hJsFpsTP+Kg1fne9XAtblCUeVIpavJIk9lT/XgFM4OHuSM4ZWMglphy EN8sIWHJTYJ/89InHhzg0DAlohY9+nY+exTPbqJfCvd5yXylk7WWZvYcMnFasDQkg3NJeRRfyZnT ybCmehuBmaYPzhf7xGrGqOTJ7ZGhmkRQGK90qpihmVYvMDMILrYkJo25IFkEDOLCJi1+cMC9iW69 lF0Wl4jBaUjiSvCTEvhe7u6uEIhP48TGxxhUTZqEPXTjo/xvdwhYVZFLua/Nc1M4dID+Mxi40I1u UD7s96zGvM4wXYwG3X7RwjprAKKqa9BDRazGdGTC7Tw8jApQrSYILT96U0XL22/HAyJvFlV0kvI3 gKV0/jXCCUVSFloF3Xjr/NVhZwdk1gYbyg+u3S5LMK4ea53FMZrUSUnz8BiUtif61j77dzBfSif+ ZQX3iObuiuv+RROZVZ87C46fEI25Iz99lnAT5F4ZrM1lO2IWWAgGAvXrZ+lWaQV5YbMbGdzcJ6Hf 8I2/XNMJYDXXItm6GEKddeVtVKg6PvmbDTrNseDUtomdjnA+1ZuLgj0kx8iEq5ss6fJ1dJ1Wd0/u aFL2ZCjtxTSPKJOg9ANq44H3XWygeR/wlinA6Rnsi1KykyXiATPaU6DiD0apWvFawTj7K7K1zn2u c1owa5B8VKOiTzHi9Tni1onQ6RM7X8TJUwyI+iKrsnTQ90h/awwt7Kh5rKvTZdfh9+ccju3Vf4Ls S7VRAHCv71UXOoL6Dm9TDrKRG992owDH2pE4VgA8L6pEK7AqvfpXudstxKhKSqtpjjtCSItSWUlu tY+CtBG+WxW7EA4Q3l85hsAA80HAJcbJ8eiQwS/GVfaqrhYKtnGgW0YElVzLl9ha6E4NPW5vkJug iUp67VdAhuVL6MapLBsflctjqSetUj9eZkmLqYDAtzQc1i61IuWXRsiGVHkizYnBdBV1klWv5GDB dczOubTLYvEZFvMLBoou96c+P4IRcmb+NQ4gbJtVSQ5JYNO+lqKX121Ow5OUmHDEGwfsgryw7hSa +QKf7PxEdaqqPNnj7oqZkAVwBQSJyjondirXmZjDUwbgWF/PrrBn57oVkTFOAUtXTONBpSc7XMvG kTMlZ1TKQm8q+pUhQyPo02CsO8ueR9FM1xc+ALTnmfaRvygq+9aeCvG94q6CfDCh7F5dLOkW29wL OPIF6gkEHSqwh9CtOpe69bazA0Tww2+19QsWtg2PAVl0qNwIu30eCR+PbC763fBJIp5G032QDTyg TCG6KlMRZkETrx5Xx1BP8jG/sffhySsZzjsLGdwh6jLCjn9OeYmrycQdBG3K6oHDEWoHwCXQB08w pnLhkJKv7d/Engv8xjlNCUoklwz1WiUI3z4GcwD1wTamqCJg6fvNOnYiAPp3Tl1iv1Di12gH2i8a 6svHaqQmbUU57HMJZQu4/9f7ZQdbaECO34eS+WAljOQHXcIBfLkElHCSA6ULa+m5gyKi4KncIP1g ol5ILci6UOc53uM2nMcF/yjswl94RlPyA/q3qBw4jIt3Bz4nxDVgujPzdKEuwwkuMGVZxqFSvjqg OuFtdHefxj/tMlXoIo/Yg1Sfnwh3NuWgFKB1J6oQMYs8hUoTQlC6FA+CC+nHHWaVp3liF9MISo5Y yLWszWTqG1AJ/kGq5vt0go/MLg7AZsHgPqEdPTkyPf4Dvx0CQyz8FEoaJCRnMPpVv5bYJ0OA4yup u7C6wQBReQVOLTNFnaTuQNYpl+5hDxRulaPNZ7kwCrDCq+cbxWsDuE0NWHp3GYK64150zlqTkn2A FWNvaUK0GjbnQ8uTfxHbzmEtoywgt7hc+paUisLx6jTvY0F5wa7O0UgVs7hu5EpZv3NzKh1PtXqe /CEyWywTaL+fu1dXVwLY4MhUUtU3kSnVAXkQgx2QlpYIrsiP+W6JCWe1RqXeigqKAH78aqbk9189 qFI+yk3yv5spwBBU2uFHtuWGGHErFvv0UCxmuyYktmSoG2TtRtMhuRaL1I3QHDBcJxGjjuQc4OIw 5f0JJP7E2+2YDEhS7vEnCgHnP7QryhXmbGhsFP8As5lcglv4IIP0gBMPt+taNn/c4ezSxT0Rhtdq FNzhEGEUfRAHujOwwIt4GVBDK/ooiCI9cL8D9mJroiFmefTb77uKHH4VRqWD0/6VYXGS3K1C+R+7 FVUArpwkOHiTePnVjJ3ekV2/TpLgpqiR8iODKfAFGVKktBN8U4fAW1CRPsi5zD7VE5HPZiDSLaAe ygxQNf0Fm0gFcIWBlSDg5dw/F9Tt1fNDdg6xxVW1tyPpZjJI4V/ifLMMCilIXsodOaKepQcSPmtt 3j4iQ5YGI2ugsyrE2ymahZRfPDvTIg0ObuAKKg2lh/Glk65cMUdeBZ9Updn4uuJ9gZgagqrUntJ2 AWByOIaWpw9VQhekxzzOXALRe3MA/Ew9kb2x5BmBtoby8i9YR1OSAMjuypCWETtKqSvHY/Z/St2i SSSZqKsKRQPxRl6IsmFDHCBLayqrqulcp0biusAmK5iyzgdZ4I2CHuo4XpAS9ABBTOPwIwQJMIUa QI3oZTFYQQSHRQhXECFT313W0RuCYxbcqrmwL3lGZafoQgjnMSNRW5055PH+b9DXpGIZY/UbW34d ywTdRVdnAZ3YJw50rQ8LXA1n6k+Qjj/pU3lMtbaUCg3NagCP/WS8hcXelNwFTiH+qHXBW0aamZPH jDwwQL/6EnsuTI+uAZVuhS+dYALZGx18JTMoNYPh6R4kla4+KcdZGkh7cHgxQ5KHj57avmwInlMV QHWYX0SDzbPwR8O352cyNWuS/6njAED7xGxdQG3undtZ2q8E88iTiK/+4DG+1jRRRoGziH7oib2A dTHOAJ/2qbdoq9UhcU1Febj9Vkpf/so7MMZqJ8HHTw3cNj1mEI1W5XynF29LiFlVv61xMZBsSj6Z 7+ui+GOL9OYeJMQh3U/Ams42XsROgs8uahU1XNKzd6RRPlWyXKxuOIZK0FVI2t4UbmMV0k7nLkYC yDtLXUamuTyacl98xN3Hm4q37tzkk9UyB6hIZ+5GHQPIbQQzyXakEU1d3SBbOvawnKXXa0bSQZum MA6J/Z+6pVzuHyjeB/Pn1zfAa+YG39dtaS3XFRN18DYqqL7Sb7VAqs3Yps70AnSxtmSpRgzfO2so 8q5pFaPVmw1LDGlnWXQ3L78WLm3iOSMPFUqhsTgYp7P6TwPI/GxCpzBTm+G3bM+4cZiyyDQRtWgV BmVeJFmmf5yjFXZznXpEDlI5etgdYR7cM5qMM1jm2ZOshsqBh54/rHixPO1yDR1QFidMrfN7Q6DA eCt0YsWerdMI71epsSvtH1zfHcfQZ31eTZ0Y6aPtThxVnaq3M9adJpeCwi65/EvW22T0nC18Pfhy PM9tU4I7U9y7dLwR7wU0vGtXNkbL+5nRCwIlYPx/OCHTbR9UgDxMCIK1XTfx66xUl0wte5f5yflG mRQuFXv0e6H7Yufu2Es+yly1oImQ1ZAzBoL3oX2vlUNYlrUL2m1ir9BrWLnWtmljLSH0DsUthCoJ vaIzCJoGMdmeGLOo88092DQXPzSS2PJSPr+QTCblP/e83q2ZN258lhzkTfLUm7A0FmWyetaa8UCD r1gByDmBRZGgmHw5l1nmdJtrsSRt81zNB5Ta5DMX/dhDO1+s8wcKttdyNcLSnPqVXVTEgnXhB2B8 gAjMX3xd8q4yzLL8qv40mliJ5gSHOPC2cAVKqqdNACvMFvxbZHZ08M/dS493fczl3VM7YV9go8kt 1aTqYMHzzZ4tbAuzZuPI5M+7Q63e21RVGOpCeu/mnyoV310Law2X0KRUudNpgu/SlxiYNjfBQg3Y imnJb0aVqDGBrR+xdso+hQAwKt8hDEQxaT5+tuJEQWORr7KN4RNOnJinErP0+xQ6+qIu8hqCe2GA RnrY4q7dnlH4SIsPiKuAT1AMcTrQWegwheFJRXWRlLnY77JqhDMAnlZlWLbKvoo+qbGZpVO4l7Zc w0PbLSLP+bxUNkw6wCggFabeLwnKPvekUEMuiwYbEupNuUPIWH09DYRitz4vv58Ih0R0FzAHisJK zVm3Yh7xxCFt8fQSbGD8rIB6kEux9UBmMOwJ16CYWJ7N3BZ0lv4U2tRl1QpNR0HAccWCVq/Kahd1 GcAM/lyKMTmwS3i5Z4tEytumw22kROTZlVaI8AdnPU0L7p0Lt8DTXFCzllO3lCaAus7OPM4ez7h9 mncO2VrslXlnjkkviKYTzBt48zq5NQcjNu90Hz4XzQvlATeIp9MWf+uPgoDqxZwxCpR52Jl1EQ+g njqAN6T2lX8wQuP2tCBcBccvN4pkUx5EhgWUMeyE+d9bQNEd9a70YDQcfHRUdGl+am/smDOUcfxb Pzs2AbKNX4+7o9aUQPCg8cmHXuHL0JIHR4M12q38/7Sem6nam5aetZhYWHC6b1gELSJBB5ToHgzB gMnSvrhe6RxDqbLZKpjvY0EZ7xTeiRKLOQ1nna4+2NGa/bJYduva3BIy0veDE/JiU5jfeG8g/kr0 t/mA6ICscBMpU89+J3fBMSfPCFh6tuPoFL4qTtrnnBWhFi03I2oAMGWq+GmkFDMsWDGAWfDr4IOl V8xY4xQiAOGkFLdYts0f63q1j1pIyDuvd9ZuBbbtAmN6rk7QVD3w9fJ8zRAq6urjF/ovMJ7couSh Two3h3SO5HM7wa13wzNoBYMBIWz/qSYha5SkEpgbIQDxDTgLc4FP4tHSrwxyGjEkCAaIa6XL29gQ 2O/06KgOELMecUdo2GthZcRAtZLMXxmR3JIt+vH+ZzwEKJvW/DKjs9fl5RwAzt9xVDdj9gswv1SL atDsHh7clUD3mgFD/sMT9Qp4gZix/Tl+vS/mkKqsTuQC8zEzhkeLYJ/DucY78DplYJ/ZPprrh/Mj XVfFvtyqhZcmpawKslHxKejyN9MrmTOaHm/4XsPe1cOyo+NEYbUZOLdR93+QBVvKQ0TZmUqpwQhE 6umvXVajAhtxs5H3EjcPkT2Ti41rA/UPYZ4JZYXztz/Jqh0we4a/Ddi1mwwq1r1H9JT0SoUTX/TC Z+3e8NTEA9nkHptgJEdF38kFK+XKNHY/K2xcxr5BhmVxbPNMYNXDEu4VVrRcdgXtOtPJMPcLMQVp wa9XHUn4k3h0Gne4f8ICFCAbOfnmYBVEaJtKyJqUlXa9NkgUQdxrcca6uxgUUZbijlpia8dns8vM KFHrdgGXjMEFBNTnlXtnNVUWlq9Rns/CqVoqFRK4srUnbx486O9PAofncRnk71vvoiHJS89zsYXU nkqW+pDnvcBZIedJ1Sgqh5S5pJMM8wn4zVQPeLBhyt6IRFiddRExsHOTmYtHc3dw2q/7GLOHuwfV 5OdUpgM3tNjuPWm95WG1g6eXtbr+t4JiG7A9iMoxlF1Fsc41qir9T7MQ2+OgXR+pMqJgMxHNXB9r uZ+9lqMzEQyiMq5lyrwUBPX9eJaSRu+PO3aXRqbLtc5rcvnOFtU7XozqGge5fZg5bzjfOY1bduDS 90eHiBNRCipbLMQz/cYGBBPf6dyqSGBpwxlSGFUJHDyMrbDPwMeq/PbCnM5QdSFAHEiCjJKCTRi+ a1Nd4cYAhNrQy5X8OgDMq5t1UJrJM0jsrnw9NbxmZYuTZlN0elKqWULOwAWn12USrhO7aSYyM8Oj SUu/+e7ZN8Ow2kNkUjSL1Baze0jIVkpLMBfN79sxlED7yVTMjbOTELG0Dha1v5BBOQ1xDcxhSzuE fRaTWqsBNTHC+HUsnoSZXwS4ClfJopUTnUdK5gqnDd0M4ao0JWVTzmxq+4xBz5uuReTP8VZYg6Kw QhVvuWv+vBKWo/lldN6tRTfNotbz+xNOP+Zukb1ihk6sqUPETSSZyomJ9NthkEPZwQ6HShwgaSKy lGWEuui4sKYdJCh6nrQNj7feZFlYrXpjusiOCNVpzQPrsZL3sCoc7mJ+R7V7vzPn4EECIFj9qMmw 3EcOFRS1cyBycHqo+zo55mkcw1ZD3l9kyuEgsp7hkkyDyrRhldndaA7Z6dhs2o+zrYVE+oDK2hxG CQrrcWc4+OtZWRuQEvoO8mStmAR/fujMq1JX5kdxXCc8jhYRuSmPtN92Rb/m9nGohMNDO+mRfm0f rr256j/20iyQ2CHfTSR6vJdaWgxFIvFoYdnGa7hinvNlQzd9BQGt3lQ7pQfGUkFaVMPQ2LE38QYe JUGqYG4n/Sa/9hgs4+UUyK8Bu6lwhUGZR+R9rtrhJgsNJ2hxoJ+Zq6xZwWlkac9gKiDaj+Glf8g8 oNjwdiSHgLDhDE+h8SfnSDiG5OMNr0+ZpWmywSyWRVDEGuBsUU4UM8zheeo2fsZ01rNLiJswyuMY gDnYC0t/SUnYRj72KMx5AotAXDWG1xNGwMm/+Eog4SHgd3IT9Aqku9vkyUTgyLiyO3qxoTcnQMc1 Y7Ue7CDdimEvghvG5W4cuudm7qQpEbtx5N46Fr7q3dLjgBz4W3CC7pBUgJN+t+e4R9nZ/tD9eRQH NHSs35vpbl0XQzYoZ6V5GXYAeMChmJautl1r7uHz4+B3wgJ4yRbL0ShWZK9pbIDGsMKuWFLNxMUx 7lZg9213HDOLM63HEx0WmLdOK01XQQvHhXADEWcz0I6taRGUsE7q7Rgp10Mn7/20bkAkJ8WMWnvD Mkp0ajMqbCSrpMvE1i46xd7+OqckvBVWJ52xeXec4E3Mkg9LFB2PuDRy2tYojKpoZg++p5ACGwGO LW3GmYkF4e2SKX114U+gz1ygNJP0Xcr2QDkf0r8G91yzKPSBixoKtUI9L9JEk0LosRxQFYAp5RBw bx+a8GwBE22KMSho2a/dt7rXKzA8QIemSq/lLdrklCs4PsngsEb7itW34EWBBmwGjhdXnpSAynTQ zVKzK3UCTXv4rk62FLxPgglw7GtBLSeEFzXqDObutl7nomgbSKGtSZIY8p3Q+LOI5pfQ68P3sXgJ RJmAlUUhYKIaay4+yY2pVGjPgRp+MvcsbXvikJ82BgEQTPHwuVUuzoOQRQcGV/vGIRhSkf8dnLLs Zowg282iFOcTnJb+lxK29l0m0wYGYizMRqWHqGFtk1ZtYeZVHAt3BklqapPEsXlUFV2vkNI7meRr NLL9INv8eJC0fHsipWpAb+VLCBQrDBufMa1qLpmSStUn6dwRLlJD0s77m8065XkO1Y1HckvFMCBT BAOJ67Mav/NrNeeVf2AW37yiY04tFMgki8RHUZLJKBlwLH7FcVIcXZw8cv4qV0ZrNjesN5DNY4n1 eUnLrz0cSs1aJHhm7RRBpRaqq40633qena+G/uhkDiEd9hsAzhfchxq8RQmIqyNtU4wWdqjIELhV JsIp5Hvwp9vVMBdBvn0OV/nJ59JifMkihsSgpdApF+Z4AHnH6o6f07FxKWdXrUA3q2UwpCpqXtor O9xYx48UBJFr8Z664gdIWDgcaeXGp8vKRmYnqd57UR4XiFoOP8ce4inQLOoLRxJdQN3rSMd10PN3 yGoFrSmLZhXMwQnFwPR7ojFx5+F/L0/LNnAHVPcvqQ1msIpW3y/sG6Yc8OIstuGD1rPKfQPMZsnM oW8hXBSeErHNSXkDN0ySzGuz70AEXYmYV2OLOWTF0VEVJPqUsUNqh9NbHQpasmd4C0uPTdIKlukl R/qbbuIR9i/EHWpJQOx4wFHsMIIe5uBbEY4PC4ZHFXBXbVIMiCwigAYPhk8ehVTe1fZHPbTkd2AK Rtfi8lokPSctcuWD3EndZC4WsO7rgmLXLMXAI4cTEdyBzo5GPrtE9mCBzMMzn2kjfmZqdtVXWjOn YtJMyJoohQkDmiIHSVol28/oFvpVvDwgxHw65WL0PwBW2ufdPk+hC2lQahAroj5b9Mx7pPV3ycm6 UiYz1RH/FwVvP9//ZuIvoKJV/4PZIlrCtExx060O6nxkoGbFCtxdUr/mMHSi6kabp3qdJnWDysK4 JBXwArqGr2vM3eawunduaYxMIijF0faVOmF1hhKh0//BMEHfPNEM9Hx2PvmvX7esj5MRKX6+HbQD nTEqckhU5JeOZOWAFs05K5Yg/P4K/9cpzNcXUZ1qTP0bTtznyBfwzOS7uxZVJNKkcFU5tDETqNLU lJRadwXzTboCFceJsGjN2q7R1OJwgRE2BbS03NBprykf6ZKW40MqDbRk7R6Zy57rsk/25BbFro+k 106sFmM0moU6g7qgRCKDKgDWETT7Z1SMUw+UVBDv7pWhBMqtl+qsyUc41YnvmgFXTp1wWtRcqH0d Khnu+P3HWe/Y0Jt+4HLaFL3XEvZOlHtfhshTpaXyz95I7xX/aizYVG0uSoFmlybUS3wxTAcdZw+V oaqHLshfaGchk8g4TKpL5GTvGthJaqZ7tpd2KQJ3yEZYJJ/6HsstdETJ3+3xpscLTD784g4peVTd tFRJXp2yT4jLv5lYpY0EDHAgu1bTaDYUBqK5Xn1LeVIK0KwvpJhwEdMe+JlkNpfkJN55cmWNiv/B LIM2Hw84okEtnqvtv5WlApuEYI4ldx5k9PpTGpPA9jLb5o1TIG1JjD9qlPt64jJS8dXJF+BNIphH qyMdwULFzFW/FfW4E1/gMivEGFY/WxXTwAunXS/Q+DFMM6Vwota/IQnrs+95xAJKQNofD/Oa6NAL s/GP6jCQDSkoJN3OHec5cNbQ8IAW7uPtCc6mNMdakVwsjcxEwkKtrd+XGzrd/txR6XhGxCMSTYTq bGIxADFBNum8CvBX1/FlBpfAeV9nEoUP6K9GcylgUrem0Vla0NRmYuYXPEdizVQ4B/JCp+FUNftm V4CCpHSx5EY9ohK+HLcnprk8kY2810YZDzC2VRiH3K34fcZqw5+P/jL2bKX1cXM3hhVfBRXyLMfP +TiGxCzGXfOdS6AaOW3+mAj1O+7lMD1B0mPQI5+IOoF42RNqY/0UZL7jJasg4EbYDq2UDqKbL9O8 CCMm0288y8A0tQE/EGec7XijxR2ohT39u5sPq18fgvHZt+c4knEFxtmUfNla9DR9pA2LWdBdCCeR nsymFooY0Qks0+sjtE3rEkomfqjGRPGES0RumnVwYtoPmGpoYvDuXM1qYPsqrAzrOmuImOCpo7vR O7ZoFvqfWwA6OcRFOwffo7PtNM+yYu3G3V60ghUWzsidVMSnsF1actxrxPh/dkQyamgFKBPInS1s PXwKJ4GSRZsIflNMyQxhD7jQEB2s4nIn/LqUMjkTdkSQx/aUJIGhnEcu1pDiXqzkFmZ7C7/tNkox uvQ2sePA0+0K+Fq2XejM6SfLNZdFEuerqXdh9M0KxY0yW+3I060R5CvB9tsUDt+u0A1r4egASDe/ 5zVjuBRmMSEFzQm0vUPcmzYWPqRJFDX2AIzi3RH0OdO+6y6VlaX3K0nG+cd/NmT3ftkenBURdh9m rMyKuyVTpP4i7cn7q9Qm223HfrthJL2v1IjkxpAs9mOSW/gZvp3ZqySqwU7mFdMTTInJDt5paBJR RcOiZ7GrV2iCyzIx0VtHS7RbgQUJBlbr5ziVVnaSDTaz2yAhJlqF/J67ag37yOw4MWDjCTRg52CT +vTEPanf3TmNw4+bvWTm7TVDc/raTVIhcTSnpFpGsk/i7VgdwdjN454bHGq6beN0ceJ8+8DYtLaS CDA78u+rONahzNLCDDLuYWoDYj/CaosDlAcZ70iej480+RCDOIo8cuZvMw/bBn9ua8+2P8I5dJ9x ArMFDuWqBxMllG9gIaL/8dHHZMKO0xrh34jb1AGjrcLeQkttp6Yk3P4YvgSnmM8swiwbnmoVwhVh b+6CcTSTHzgxRBkG1l6vZ6OoV3WRU8JFm0YE2dd63SDhJsblHhXV6rDSnY9rsyznstX0KwLIdd/t 3vEUhLtV5RNyWK1BvD40jyTz3PjJq2RllqAvirGJTKMkhiFzIYbJuMQDTX1hxO1WpZGTkcMxuRnW YVD1ZqTCHlOI5/p5DjHKrxhMi2gSXgCUPxqHraGhseJDVbpdFiTzV3j/PnBWGgox36aDQ4PnfUM3 Cm92xMcnIDxVZG3oykMMqAPC2MJFtsr19TeOwjqIsZdhfN5m7rsAZ7gviRvL/zl111Z0Xk+O9b3B N7YPMXMA3+2m36xck+ri4e/QFLYfetvCV5RbtMQZrSFzGIyjqUU41gEn+xu4EKyVGkV7D9IPpeZz in/kDmQ63engVBGJafN/nzjlwm/UgLW/bJJ/Pv08vnTNNiQ4seWJVuf6cc/c9mha0mOEf6l+AUh1 AxLWaCiFMg+Nc23YJ0HjdWlLvhUthkvErvpGMPyE1yKO5CQ4rz9yoF7+VMgrJDRlVQBvtyY+d91a SqUgE29JrfKvD0F9QdzBeJriah6JVkFOh5vto7+R5mhau5UwOKMrCCwdArUK2zPf0F3Qcb2XNBq0 mNKqh6kn43cF6kJGfpLu7u44mb/MikVBAKM0O4GTOqGO9eZpsbzOBUQKFwk9xDPhbFHJxmMab3jj wxwNBscymfINP0FP8Afk1WE/exIEMCKIMroYZEk+ai3eXmnKOAKFa9WX0Kzp+Y0Qgb7+TFHw+Lfz /m2mf7b/YOOd4GLkfE7EemxyqomLzeHafUEDpqeTa1YS+7NV03ETlXwiySZcnk+SRaS4gfaiTqKE rCECr86SGEjH/Cc2zsJSmy+lT0YDH1CE3HHkAAfxTglqL+y/p8wNot+VgxGmM9DkfGU8UUlIWReC lBjMXy84MyMxp7jzZZwwo+4F1bJn/Yczni8CIJH+S4uNVusxerqHhnIvkFgviDxqgpWPWa7IUIta lxsFxnj6tsZz0Za8kX6LC4SoDPIGycLLTp7nAgjRE79yyRnsZyupnh+JwHS4NFzeP8ZWCrJPG7ZT oiuaWYm5K4vF6ey/sIFudpjVsKtzIUiRHPWpGdNJSaDAbqyBEZWjT8xTtBy1dWCBccwrZ+BxpxRu IgTtfBY4IkGWXDIY+jwN9pDjeYj0WbtMDcaTvpqTAenTLOLiDaCA7F0468CUkEIbS5FuIDlx8Wek QJETSxiQ1oQvof8V4u0HGixQrZ0YOoM3HcvNooSCbvTDVCrLmmxIzi5+2AbfWzLYfN5d98N3cXpb 8n/AlnvnZownyq7fTVDocE00Eo6i8azfaTeP8e6bgS3SpN9Yr+Uwt/7yWYJOzlVO7QS3ugZPAKe/ 3TNd77sa9YoCTJJ7LtXPVvEmWnl5Rx18EIKweF9A5Mkg/qiUhvLSi6HsA6WPkdY8F9v4AzeUXF9k uzPC7+8rljtQrdBW6na4icsqQbDw2wMCoxiVRSzQnCIpx9VXzd1NcH5eTDwS2oKizJ20w6XFo7R8 fGTimoIzJxQvPOGQ+aS8iAXX8Vq2JqDHSlYGxsdPOmMGch4CA8W9fySWUEp5ca8WEzkomNCvsevy onArxgiF1+2jrfc7OxojKGpaINsjKNrikZy3cJVj6DLirED4K8nsL0O8IM/+MCdKuSW14n5bNuWo +Hw6GGmFij/dmUvPLV3nd6x9Nd+aSG+8K5Gj8Nn1ERjj+b4PxLHS/kPEWIbM9F8BBLBjU0ASI5Hq 1/4BlLJ6MI8TKIqVHhfePTZ7HROZtrOkzWhHHwsLZHYQ8NaKF99zO4iEPfiKcWnZT8YhtX3g4N9y HcC2/XAllDdIai/6JsN9+GB/TG8LpInvAEam8XGpClwapbqeiY580R2gv2GXoYMvbTAJGJFTRqau GXTkfWdh9UK2z6iYYlwYAexURaHvzqWDxaLFcbJlYqCH7UvMnG0UwftH5a/sXooClgtTwYWX3HK/ YiOWrTJSIBbbGi1FWtOu6lod1dLBvBlja4Q5HRZOeI/KMf0TSKFAN61P0ZIjoCMQnYzLSv+qDEX+ QA/bk/8eT8hAS1pOgZJVqRWf9459oMr+/F4H2R9H/Fu0zoc0Al+4Hwc12y+HV44EFn1pSY3qHHO5 5dMw9CcoivLsjAfzmMtfmileblbtzPyg8LmzT4u1jSBNGlCFtNKQ6CT8uh4Krd0rY8XcYntX/3oy o23aeoXbaWRx984gZYr6GcvIWYz9nB3TjMNGjdob57HQQtQ4vj6VasxuZhcygr3XtGuiG6lcV9ZM oB1xcGomm7gBJrdxE3rFICc8Qv3hyLoSMlymdP9CWc4JMFleYyDy6hAbvXd4sNhDBG0M2L7wrGY9 oUT/P3fAzO8o1NOAZ7pNnLYl9IcRNEFUx0+/vvuDrE2s4i35mR6dDgYhH1Sg4k5YkgP1kJc/pM+i zqCkGD+p4K2E+YPf8Ybv2FgkxcUrn84PMT/F3emspODInJL7otJkTX7PRVE8J9axnii9UWe+FOwj Fp5afX6e+xUtrttUoIBhgi+p17K1LyX2fanl1n9aTaRR0vMX90l6uuC6mLmNNcR/GBQsX7LsJ2KT OCv/IbSyRqjw2jz5lulUtctwdSuD8bHDVN/xf9ckE3HRQGWOzdfIQ1UXKjv8HwZoyF0QDpexvtNC RvVZNhto/i/G3HWZ0fNdzCgdTYao4VtPIo5oRMSKT3OR5faT0K/SHgDbLJCemVqv4Jb1PF6b7Kcl nkJBpbxx3wGfyjR4BC++S7erlqMKFgpmVkTqKdHeFMoxRC1QBQjr2BfPzyLzaj8Pv628MJ/hQJZc QSQYQzFs5lUaO85ajQgdphrUZLPPwZMVc7o6K/X2M/4gNAn0xrozqAWIS5EkLxHVHagseMtwlKIv q+spaIVc+rnRyz4lnmWLwVjU+wgX6iSyy8bsCVE2rKtZvYEalWSQ4jDeYdWYWULExWNFvdMCCreo n+CoKkuZrZIorXC7NRK5sNH8v6K1H4m8ymF09gy94VbzB9JFrZ7mVQ9tuTYTIBvNfKPXsIvT1rB2 Ie7ebfUPe7qJN+Qx19EKRA87ef+2ltcsb+5Nilv/28Tdj9vLLl8+TcJ4u8X8qUAP26VjhdDx+WZB 0Kc81uod+IrDvHschSoyNqZdAv5ZnZQ0oehN9HuR+WaFeGAHSILHNzOvSit67M6aR0QO4unbbHI8 4ZRT9OZLl29Cbr3YO2PJMuwijvJONpWDztqcu9SpDAV0k/NZwoL3z6ZZX5EdXKh86SWTKzxwS/Zw 3LxJc2818aubuqsMjglDu3gXHvEAvcAfh8kgk+c2jm8sF1eCP+ps0iboDsMjMwmw3C1TFhSiHuaA C+L/X70DcsxkANpWPsU5TcF/1b5nLHN5wHyxIEo+VnaVBmkWIVOQSQQphl3lbc0BCyebGh2bJt0L t1xfur9N2BeY2aW4SoSC7tLeFAf8z/Hruk4zw1SAevsmuQndiN9JyoozuzH0GcU/Odo+vtBN7hKT cGPg/Uo14a5/IOts45lW3/SoEZ0kR2w4ljbTto2vg008 `protect end_protected
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_05 is end entity inline_05; ---------------------------------------------------------------- architecture test of inline_05 is type phase_type is (wash, other_phase); signal phase : phase_type := other_phase; type cycle_type is (delicate_cycle, other_cycle); signal cycle_select : cycle_type := delicate_cycle; type speed_type is (slow, fast); signal agitator_speed : speed_type := slow; signal agitator_on : boolean := false; begin process_1_e : process (phase, cycle_select) is begin -- code from book: if phase = wash then if cycle_select = delicate_cycle then agitator_speed <= slow; else agitator_speed <= fast; end if; agitator_on <= true; end if; -- end of code from book end process process_1_e; stimulus : process is begin cycle_select <= other_cycle; wait for 100 ns; phase <= wash; wait for 100 ns; cycle_select <= delicate_cycle; wait for 100 ns; cycle_select <= other_cycle; wait for 100 ns; phase <= other_phase; wait for 100 ns; wait; end process stimulus; end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_05 is end entity inline_05; ---------------------------------------------------------------- architecture test of inline_05 is type phase_type is (wash, other_phase); signal phase : phase_type := other_phase; type cycle_type is (delicate_cycle, other_cycle); signal cycle_select : cycle_type := delicate_cycle; type speed_type is (slow, fast); signal agitator_speed : speed_type := slow; signal agitator_on : boolean := false; begin process_1_e : process (phase, cycle_select) is begin -- code from book: if phase = wash then if cycle_select = delicate_cycle then agitator_speed <= slow; else agitator_speed <= fast; end if; agitator_on <= true; end if; -- end of code from book end process process_1_e; stimulus : process is begin cycle_select <= other_cycle; wait for 100 ns; phase <= wash; wait for 100 ns; cycle_select <= delicate_cycle; wait for 100 ns; cycle_select <= other_cycle; wait for 100 ns; phase <= other_phase; wait for 100 ns; wait; end process stimulus; end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_05 is end entity inline_05; ---------------------------------------------------------------- architecture test of inline_05 is type phase_type is (wash, other_phase); signal phase : phase_type := other_phase; type cycle_type is (delicate_cycle, other_cycle); signal cycle_select : cycle_type := delicate_cycle; type speed_type is (slow, fast); signal agitator_speed : speed_type := slow; signal agitator_on : boolean := false; begin process_1_e : process (phase, cycle_select) is begin -- code from book: if phase = wash then if cycle_select = delicate_cycle then agitator_speed <= slow; else agitator_speed <= fast; end if; agitator_on <= true; end if; -- end of code from book end process process_1_e; stimulus : process is begin cycle_select <= other_cycle; wait for 100 ns; phase <= wash; wait for 100 ns; cycle_select <= delicate_cycle; wait for 100 ns; cycle_select <= other_cycle; wait for 100 ns; phase <= other_phase; wait for 100 ns; wait; end process stimulus; end architecture test;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: i2c2ahb_apb -- File: i2c2ahb_apb.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- Contact: [email protected] -- Description: Simple I2C-slave providing a bridge to AMBA AHB -- This entity provides an APB interface for setting defining the -- AHB address window that can be accessed from I2C. -- See i2c2ahbx.vhd and GRIP for documentation ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.i2c.all; library grlib; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.conv_std_logic; use grlib.stdlib.conv_std_logic_vector; entity i2c2ahb_apb is generic ( -- AHB Configuration hindex : integer := 0; -- ahbaddrh : integer := 0; ahbaddrl : integer := 0; ahbmaskh : integer := 0; ahbmaskl : integer := 0; resen : integer := 0; -- APB configuration pindex : integer := 0; -- slave bus index paddr : integer := 0; pmask : integer := 16#fff#; pirq : integer := 0; -- I2C configuration i2cslvaddr : integer range 0 to 127 := 0; i2ccfgaddr : integer range 0 to 127 := 0; oepol : integer range 0 to 1 := 0; -- filter : integer range 2 to 512 := 2 ); port ( rstn : in std_ulogic; clk : in std_ulogic; -- AHB master interface ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; -- apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; -- I2C signals i2ci : in i2c_in_type; i2co : out i2c_out_type ); end entity i2c2ahb_apb; architecture rtl of i2c2ahb_apb is -- Register offsets constant CTRL_OFF : std_logic_vector(4 downto 2) := "000"; constant STS_OFF : std_logic_vector(4 downto 2) := "001"; constant ADDR_OFF : std_logic_vector(4 downto 2) := "010"; constant MASK_OFF : std_logic_vector(4 downto 2) := "011"; constant SLVA_OFF : std_logic_vector(4 downto 2) := "100"; constant SLVC_OFF : std_logic_vector(4 downto 2) := "101"; -- AMBA PnP constant PCONFIG : apb_config_type := ( 0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_I2C2AHB, 0, 0, pirq), 1 => apb_iobar(paddr, pmask)); type apb_reg_type is record i2c2ahbi : i2c2ahb_in_type; irq : std_ulogic; irqen : std_ulogic; prot : std_ulogic; protx : std_ulogic; wr : std_ulogic; dma : std_ulogic; dmax : std_ulogic; end record; signal r, rin : apb_reg_type; signal i2c2ahbo : i2c2ahb_out_type; begin bridge : i2c2ahbx generic map (hindex => hindex, oepol => oepol, filter => filter) port map (rstn => rstn, clk => clk, ahbi => ahbi, ahbo => ahbo, i2ci => i2ci, i2co => i2co, i2c2ahbi => r.i2c2ahbi, i2c2ahbo => i2c2ahbo); comb: process (r, rstn, apbi, i2c2ahbo) variable v : apb_reg_type; variable apbaddr : std_logic_vector(4 downto 2); variable apbout : std_logic_vector(31 downto 0); variable irqout : std_logic_vector(NAHBIRQ-1 downto 0); begin v := r; apbaddr := apbi.paddr(apbaddr'range); apbout := (others => '0'); v.irq := '0'; irqout := (others => '0'); irqout(pirq) := r.irq; v.protx := i2c2ahbo.prot; v.dmax := i2c2ahbo.dma; --------------------------------------------------------------------------- -- APB register interface --------------------------------------------------------------------------- -- read registers if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then case apbaddr is when CTRL_OFF => apbout(1 downto 0) := r.irqen & r.i2c2ahbi.en; when STS_OFF => apbout(2 downto 0) := r.prot & r.wr & r.dma; when ADDR_OFF => apbout := r.i2c2ahbi.haddr; when MASK_OFF => apbout := r.i2c2ahbi.hmask; when SLVA_OFF => apbout(6 downto 0) := r.i2c2ahbi.slvaddr; when SLVC_OFF => apbout(6 downto 0) := r.i2c2ahbi.cfgaddr; when others => null; end case; end if; -- write registers if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbaddr is when CTRL_OFF => v.irqen := apbi.pwdata(1); v.i2c2ahbi.en := apbi.pwdata(0); when STS_OFF => v.dma := r.dma and not apbi.pwdata(0); v.prot := r.prot and not apbi.pwdata(2); when ADDR_OFF => v.i2c2ahbi.haddr := apbi.pwdata; when MASK_OFF => v.i2c2ahbi.hmask := apbi.pwdata; when SLVA_OFF => v.i2c2ahbi.slvaddr := apbi.pwdata(6 downto 0); when SLVC_OFF => v.i2c2ahbi.cfgaddr := apbi.pwdata(6 downto 0); when others => null; end case; end if; -- interrupt and status register handling if ((i2c2ahbo.dma and not r.dmax) or (i2c2ahbo.prot and not r.protx)) = '1' then v.dma := '1'; v.prot := r.prot or i2c2ahbo.prot; v.wr := i2c2ahbo.wr; if (r.irqen and not r.dma) = '1' then v.irq := '1'; end if; end if; --------------------------------------------------------------------------- -- reset --------------------------------------------------------------------------- if rstn = '0' then v.i2c2ahbi.en := conv_std_logic(resen = 1); v.i2c2ahbi.haddr := conv_std_logic_vector(ahbaddrh, 16) & conv_std_logic_vector(ahbaddrl, 16); v.i2c2ahbi.hmask := conv_std_logic_vector(ahbmaskh, 16) & conv_std_logic_vector(ahbmaskl, 16); v.i2c2ahbi.slvaddr := conv_std_logic_vector(i2cslvaddr, 7); v.i2c2ahbi.cfgaddr := conv_std_logic_vector(i2ccfgaddr, 7); v.irqen := '0'; v.prot := '0'; v.wr := '0'; v.dma := '0'; end if; --------------------------------------------------------------------------- -- signal assignments --------------------------------------------------------------------------- -- update registers rin <= v; -- update outputs apbo.prdata <= apbout; apbo.pirq <= irqout; apbo.pconfig <= PCONFIG; apbo.pindex <= pindex; end process comb; reg: process(clk) begin if rising_edge(clk) then r <= rin; end if; end process reg; -- Boot message provided in i2c2ahbx... end architecture rtl;
library ieee; use ieee.std_logic_1164.all; entity aggr01 is port (a : std_logic_vector (7 downto 0); b : out std_logic_vector (7 downto 0)); end aggr01; architecture behav of aggr01 is constant mask : std_logic_vector (7 downto 0) := (0 => '1', others => '0'); begin b <= a and mask; end behav;
---------------------------------------------------------------------------------- -- Project: YASG (Yet another signal generator) -- Project Page: https://github.com/id101010/vhdl-yasg/ -- Authors: Aaron Schmocker & Timo Lang -- License: GPL v3 -- Create Date: 11:35:57 05/16/2016 -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY dds_tb IS END dds_tb; ARCHITECTURE behavior OF dds_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT dds PORT( clk : IN std_logic; freq : IN unsigned(16 downto 0); form : IN unsigned(1 downto 0); amp : OUT unsigned(11 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal freq : unsigned(16 downto 0) := (others => '0'); signal form : unsigned(1 downto 0) := (others => '0'); --Outputs signal amp : unsigned(11 downto 0); -- Clock period definitions constant clk_period : time := 20 ns; --50mhz BEGIN -- Instantiate the Unit Under Test (UUT) uut: dds PORT MAP ( clk => clk, freq => freq, form => form, amp => amp ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; form <= "00"; freq <= to_unsigned(50000,17); wait for 40 us; freq <= to_unsigned(100000,17); wait for 20 us; form <= "01"; freq <= to_unsigned(50000,17); wait for 40 us; freq <= to_unsigned(100000,17); wait for 20 us; form <= "10"; freq <= to_unsigned(50000,17); wait for 40 us; freq <= to_unsigned(100000,17); wait for 20 us; form <= "11"; freq <= to_unsigned(50000,17); wait for 40 us; freq <= to_unsigned(100000,17); wait for 20 us; wait; end process; END;
--***************************************************************************** -- (c) Copyright 2009 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 2.3 -- \ \ Application : MIG -- / / Filename : ddr_mig.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 08:35:03 $ -- \ \ / \ Date Created : Wed Feb 01 2012 -- \___\/\___\ -- -- Device : 7 Series -- Design Name : DDR2 SDRAM -- Purpose : -- Top-level module. This module can be instantiated in the -- system and interconnect as shown in user design wrapper file (user top module). -- In addition to the memory controller, the module instantiates: -- 1. Clock generation/distribution, reset logic -- 2. IDELAY control block -- 3. Debug logic -- Reference : -- Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ddr_mig is generic ( RST_ACT_LOW : integer := 1; -- =1 for active low reset, -- =0 for active high. --*************************************************************************** -- The following parameters refer to width of various ports --*************************************************************************** BANK_WIDTH : integer := 3; -- # of memory Bank Address bits. CK_WIDTH : integer := 1; -- # of CK/CK# outputs to memory. COL_WIDTH : integer := 10; -- # of memory Column Address bits. CS_WIDTH : integer := 1; -- # of unique CS outputs to memory. nCS_PER_RANK : integer := 1; -- # of unique CS outputs per rank for phy CKE_WIDTH : integer := 1; -- # of CKE outputs to memory. DATA_BUF_ADDR_WIDTH : integer := 5; DQ_CNT_WIDTH : integer := 4; -- = ceil(log2(DQ_WIDTH)) DQ_PER_DM : integer := 8; DM_WIDTH : integer := 2; -- # of DM (data mask) DQ_WIDTH : integer := 16; -- # of DQ (data) DQS_WIDTH : integer := 2; DQS_CNT_WIDTH : integer := 1; -- = ceil(log2(DQS_WIDTH)) DRAM_WIDTH : integer := 8; -- # of DQ per DQS ECC : string := "OFF"; ECC_TEST : string := "OFF"; PAYLOAD_WIDTH : integer := 16; MEM_ADDR_ORDER : string := "BANK_ROW_COLUMN"; --Possible Parameters --1.BANK_ROW_COLUMN : Address mapping is -- in form of Bank Row Column. --2.ROW_BANK_COLUMN : Address mapping is -- in the form of Row Bank Column. --3.TG_TEST : Scrambles Address bits -- for distributed Addressing. nBANK_MACHS : integer := 4; RANKS : integer := 1; -- # of Ranks. ODT_WIDTH : integer := 1; -- # of ODT outputs to memory. ROW_WIDTH : integer := 13; -- # of memory Row Address bits. ADDR_WIDTH : integer := 27; -- # = RANK_WIDTH + BANK_WIDTH -- + ROW_WIDTH + COL_WIDTH; -- Chip Select is always tied to low for -- single rank devices USE_CS_PORT : integer := 1; -- # = 1, When Chip Select (CS#) output is enabled -- = 0, When Chip Select (CS#) output is disabled -- If CS_N disabled, user must connect -- DRAM CS_N input(s) to ground USE_DM_PORT : integer := 1; -- # = 1, When Data Mask option is enabled -- = 0, When Data Mask option is disbaled -- When Data Mask option is disabled in -- MIG Controller Options page, the logic -- related to Data Mask should not get -- synthesized USE_ODT_PORT : integer := 1; -- # = 1, When ODT output is enabled -- = 0, When ODT output is disabled PHY_CONTROL_MASTER_BANK : integer := 0; -- The bank index where master PHY_CONTROL resides, -- equal to the PLL residing bank MEM_DENSITY : string := "1Gb"; -- Indicates the density of the Memory part -- Added for the sake of Vivado simulations MEM_SPEEDGRADE : string := "25E"; -- Indicates the Speed grade of Memory Part -- Added for the sake of Vivado simulations MEM_DEVICE_WIDTH : integer := 16; -- Indicates the device width of the Memory Part -- Added for the sake of Vivado simulations --*************************************************************************** -- The following parameters are mode register settings --*************************************************************************** AL : string := "0"; -- DDR3 SDRAM: -- Additive Latency (Mode Register 1). -- # = "0", "CL-1", "CL-2". -- DDR2 SDRAM: -- Additive Latency (Extended Mode Register). nAL : integer := 0; -- # Additive Latency in number of clock -- cycles. BURST_MODE : string := "8"; -- DDR3 SDRAM: -- Burst Length (Mode Register 0). -- # = "8", "4", "OTF". -- DDR2 SDRAM: -- Burst Length (Mode Register). -- # = "8", "4". BURST_TYPE : string := "SEQ"; -- DDR3 SDRAM: Burst Type (Mode Register 0). -- DDR2 SDRAM: Burst Type (Mode Register). -- # = "SEQ" - (Sequential), -- = "INT" - (Interleaved). CL : integer := 5; -- in number of clock cycles -- DDR3 SDRAM: CAS Latency (Mode Register 0). -- DDR2 SDRAM: CAS Latency (Mode Register). OUTPUT_DRV : string := "HIGH"; -- Output Drive Strength (Extended Mode Register). -- # = "HIGH" - FULL, -- = "LOW" - REDUCED. RTT_NOM : string := "50"; -- RTT (Nominal) (Extended Mode Register). -- = "150" - 150 Ohms, -- = "75" - 75 Ohms, -- = "50" - 50 Ohms. ADDR_CMD_MODE : string := "1T" ; -- # = "1T", "2T". REG_CTRL : string := "OFF"; -- # = "ON" - RDIMMs, -- = "OFF" - Components, SODIMMs, UDIMMs. --*************************************************************************** -- The following parameters are multiplier and divisor factors for PLLE2. -- Based on the selected design frequency these parameters vary. --*************************************************************************** CLKIN_PERIOD : integer := 4999; -- Input Clock Period CLKFBOUT_MULT : integer := 6; -- write PLL VCO multiplier DIVCLK_DIVIDE : integer := 1; -- write PLL VCO divisor CLKOUT0_PHASE : real := 0.0; -- Phase for PLL output clock (CLKOUT0) CLKOUT0_DIVIDE : integer := 2; -- VCO output divisor for PLL output clock (CLKOUT0) CLKOUT1_DIVIDE : integer := 4; -- VCO output divisor for PLL output clock (CLKOUT1) CLKOUT2_DIVIDE : integer := 64; -- VCO output divisor for PLL output clock (CLKOUT2) CLKOUT3_DIVIDE : integer := 16; -- VCO output divisor for PLL output clock (CLKOUT3) MMCM_VCO : integer := 1200; -- Max Freq (MHz) of MMCM VCO MMCM_MULT_F : integer := 15; -- write MMCM VCO multiplier MMCM_DIVCLK_DIVIDE : integer := 1; -- write MMCM VCO divisor --*************************************************************************** -- Memory Timing Parameters. These parameters varies based on the selected -- memory part. --*************************************************************************** tCKE : integer := 7500; -- memory tCKE paramter in pS tFAW : integer := 45000; -- memory tRAW paramter in pS. tPRDI : integer := 1000000; -- memory tPRDI paramter in pS. tRAS : integer := 40000; -- memory tRAS paramter in pS. tRCD : integer := 15000; -- memory tRCD paramter in pS. tREFI : integer := 7800000; -- memory tREFI paramter in pS. tRFC : integer := 127500; -- memory tRFC paramter in pS. tRP : integer := 12500; -- memory tRP paramter in pS. tRRD : integer := 10000; -- memory tRRD paramter in pS. tRTP : integer := 7500; -- memory tRTP paramter in pS. tWTR : integer := 7500; -- memory tWTR paramter in pS. tZQI : integer := 128000000; -- memory tZQI paramter in nS. tZQCS : integer := 64; -- memory tZQCS paramter in clock cycles. --*************************************************************************** -- Simulation parameters --*************************************************************************** SIM_BYPASS_INIT_CAL : string := "FAST"; -- # = "OFF" - Complete memory init & -- calibration sequence -- # = "SKIP" - Not supported -- # = "FAST" - Complete memory init & use -- abbreviated calib sequence SIMULATION : string := "TRUE"; -- Should be TRUE during design simulations and -- FALSE during implementations --*************************************************************************** -- The following parameters varies based on the pin out entered in MIG GUI. -- Do not change any of these parameters directly by editing the RTL. -- Any changes required should be done through GUI and the design regenerated. --*************************************************************************** BYTE_LANES_B0 : std_logic_vector(3 downto 0) := "1111"; -- Byte lanes used in an IO column. BYTE_LANES_B1 : std_logic_vector(3 downto 0) := "0000"; -- Byte lanes used in an IO column. BYTE_LANES_B2 : std_logic_vector(3 downto 0) := "0000"; -- Byte lanes used in an IO column. BYTE_LANES_B3 : std_logic_vector(3 downto 0) := "0000"; -- Byte lanes used in an IO column. BYTE_LANES_B4 : std_logic_vector(3 downto 0) := "0000"; -- Byte lanes used in an IO column. DATA_CTL_B0 : std_logic_vector(3 downto 0) := "0101"; -- Indicates Byte lane is data byte lane -- or control Byte lane. '1' in a bit -- position indicates a data byte lane and -- a '0' indicates a control byte lane DATA_CTL_B1 : std_logic_vector(3 downto 0) := "0000"; -- Indicates Byte lane is data byte lane -- or control Byte lane. '1' in a bit -- position indicates a data byte lane and -- a '0' indicates a control byte lane DATA_CTL_B2 : std_logic_vector(3 downto 0) := "0000"; -- Indicates Byte lane is data byte lane -- or control Byte lane. '1' in a bit -- position indicates a data byte lane and -- a '0' indicates a control byte lane DATA_CTL_B3 : std_logic_vector(3 downto 0) := "0000"; -- Indicates Byte lane is data byte lane -- or control Byte lane. '1' in a bit -- position indicates a data byte lane and -- a '0' indicates a control byte lane DATA_CTL_B4 : std_logic_vector(3 downto 0) := "0000"; -- Indicates Byte lane is data byte lane -- or control Byte lane. '1' in a bit -- position indicates a data byte lane and -- a '0' indicates a control byte lane PHY_0_BITLANES : std_logic_vector(47 downto 0) := X"FFC3F7FFF3FE"; PHY_1_BITLANES : std_logic_vector(47 downto 0) := X"000000000000"; PHY_2_BITLANES : std_logic_vector(47 downto 0) := X"000000000000"; -- control/address/data pin mapping parameters CK_BYTE_MAP : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000003"; ADDR_MAP : std_logic_vector(191 downto 0) := X"00000000001003301A01903203A034018036012011017015"; BANK_MAP : std_logic_vector(35 downto 0) := X"01301601B"; CAS_MAP : std_logic_vector(11 downto 0) := X"039"; CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0) := X"00"; CKE_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000038"; ODT_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000035"; CS_MAP : std_logic_vector(119 downto 0) := X"000000000000000000000000000037"; PARITY_MAP : std_logic_vector(11 downto 0) := X"000"; RAS_MAP : std_logic_vector(11 downto 0) := X"014"; WE_MAP : std_logic_vector(11 downto 0) := X"03B"; DQS_BYTE_MAP : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000200"; DATA0_MAP : std_logic_vector(95 downto 0) := X"008004009007005001006003"; DATA1_MAP : std_logic_vector(95 downto 0) := X"022028020024027025026021"; DATA2_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA3_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA4_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA5_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA6_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA7_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA8_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA9_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA10_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA11_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA12_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA13_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA14_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA15_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA16_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; DATA17_MAP : std_logic_vector(95 downto 0) := X"000000000000000000000000"; MASK0_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000029002"; MASK1_MAP : std_logic_vector(107 downto 0) := X"000000000000000000000000000"; SLOT_0_CONFIG : std_logic_vector(7 downto 0) := "00000001"; -- Mapping of Ranks. SLOT_1_CONFIG : std_logic_vector(7 downto 0) := "00000000"; -- Mapping of Ranks. --*************************************************************************** -- IODELAY and PHY related parameters --*************************************************************************** IBUF_LPWR_MODE : string := "OFF"; -- to phy_top DATA_IO_IDLE_PWRDWN : string := "ON"; -- # = "ON", "OFF" BANK_TYPE : string := "HR_IO"; -- # = "HP_IO", "HPL_IO", "HR_IO", "HRL_IO" DATA_IO_PRIM_TYPE : string := "HR_LP"; -- # = "HP_LP", "HR_LP", "DEFAULT" CKE_ODT_AUX : string := "FALSE"; USER_REFRESH : string := "OFF"; WRLVL : string := "OFF"; -- # = "ON" - DDR3 SDRAM -- = "OFF" - DDR2 SDRAM. ORDERING : string := "STRICT"; -- # = "NORM", "STRICT", "RELAXED". CALIB_ROW_ADD : std_logic_vector(15 downto 0) := X"0000"; -- Calibration row address will be used for -- calibration read and write operations CALIB_COL_ADD : std_logic_vector(11 downto 0) := X"000"; -- Calibration column address will be used for -- calibration read and write operations CALIB_BA_ADD : std_logic_vector(2 downto 0) := "000"; -- Calibration bank address will be used for -- calibration read and write operations TCQ : integer := 100; IODELAY_GRP0 : string := "DDR_IODELAY_MIG0"; -- It is associated to a set of IODELAYs with -- an IDELAYCTRL that have same IODELAY CONTROLLER -- clock frequency (200MHz). IODELAY_GRP1 : string := "DDR_IODELAY_MIG1"; -- It is associated to a set of IODELAYs with -- an IDELAYCTRL that have same IODELAY CONTROLLER -- clock frequency (300MHz/400MHz). SYSCLK_TYPE : string := "NO_BUFFER"; -- System clock type DIFFERENTIAL, SINGLE_ENDED, -- NO_BUFFER REFCLK_TYPE : string := "USE_SYSTEM_CLOCK"; -- Reference clock type DIFFERENTIAL, SINGLE_ENDED -- NO_BUFFER, USE_SYSTEM_CLOCK SYS_RST_PORT : string := "FALSE"; -- "TRUE" - if pin is selected for sys_rst -- and IBUF will be instantiated. -- "FALSE" - if pin is not selected for sys_rst FPGA_SPEED_GRADE : integer := 1; -- FPGA speed grade REF_CLK_MMCM_IODELAY_CTRL : string := "FALSE"; CMD_PIPE_PLUS1 : string := "ON"; -- add pipeline stage between MC and PHY DRAM_TYPE : string := "DDR2"; CAL_WIDTH : string := "HALF"; STARVE_LIMIT : integer := 2; -- # = 2,3,4. --*************************************************************************** -- Referece clock frequency parameters --*************************************************************************** REFCLK_FREQ : real := 200.0; -- IODELAYCTRL reference clock frequency DIFF_TERM_REFCLK : string := "TRUE"; -- Differential Termination for idelay -- reference clock input pins --*************************************************************************** -- System clock frequency parameters --*************************************************************************** tCK : integer := 3333; -- memory tCK paramter. -- # = Clock Period in pS. nCK_PER_CLK : integer := 4; -- # of memory CKs per fabric CLK DIFF_TERM_SYSCLK : string := "TRUE"; -- Differential Termination for System -- clock input pins --*************************************************************************** -- Debug parameters --*************************************************************************** DEBUG_PORT : string := "OFF"; -- # = "ON" Enable debug signals/controls. -- = "OFF" Disable debug signals/controls. --*************************************************************************** -- Temparature monitor parameter --*************************************************************************** TEMP_MON_CONTROL : string := "EXTERNAL" -- # = "INTERNAL", "EXTERNAL" -- RST_ACT_LOW : integer := 1 -- =1 for active low reset, -- =0 for active high. ); port ( -- Inouts ddr2_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0); ddr2_dqs_p : inout std_logic_vector(DQS_WIDTH-1 downto 0); ddr2_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0); -- Outputs ddr2_addr : out std_logic_vector(ROW_WIDTH-1 downto 0); ddr2_ba : out std_logic_vector(BANK_WIDTH-1 downto 0); ddr2_ras_n : out std_logic; ddr2_cas_n : out std_logic; ddr2_we_n : out std_logic; ddr2_ck_p : out std_logic_vector(CK_WIDTH-1 downto 0); ddr2_ck_n : out std_logic_vector(CK_WIDTH-1 downto 0); ddr2_cke : out std_logic_vector(CKE_WIDTH-1 downto 0); ddr2_cs_n : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0); ddr2_dm : out std_logic_vector(DM_WIDTH-1 downto 0); ddr2_odt : out std_logic_vector(ODT_WIDTH-1 downto 0); -- Inputs -- Single-ended system clock sys_clk_i : in std_logic; -- user interface signals app_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); app_cmd : in std_logic_vector(2 downto 0); app_en : in std_logic; app_wdf_data : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0); app_wdf_end : in std_logic; app_wdf_mask : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH/8)-1 downto 0) ; app_wdf_wren : in std_logic; app_rd_data : out std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0); app_rd_data_end : out std_logic; app_rd_data_valid : out std_logic; app_rdy : out std_logic; app_wdf_rdy : out std_logic; app_sr_req : in std_logic; app_ref_req : in std_logic; app_zq_req : in std_logic; app_sr_active : out std_logic; app_ref_ack : out std_logic; app_zq_ack : out std_logic; ui_clk : out std_logic; ui_clk_sync_rst : out std_logic; init_calib_complete : out std_logic; device_temp_i : in std_logic_vector(11 downto 0); -- The 12 MSB bits of the temperature sensor transfer -- function need to be connected to this port. This port -- will be synchronized w.r.t. to fabric clock internally. -- System reset - Default polarity of sys_rst pin is Active Low. -- System reset polarity will change based on the option -- selected in GUI. sys_rst : in std_logic ); end entity ddr_mig; architecture arch_ddr_mig of ddr_mig is -- clogb2 function - ceiling of log base 2 function clogb2 (size : integer) return integer is variable base : integer := 1; variable inp : integer := 0; begin inp := size - 1; while (inp > 1) loop inp := inp/2 ; base := base + 1; end loop; return base; end function; constant DATA_WIDTH : integer := 16; function ECCWIDTH return integer is begin if(ECC = "OFF") then return 0; else if(DATA_WIDTH <= 4) then return 4; elsif(DATA_WIDTH <= 10) then return 5; elsif(DATA_WIDTH <= 26) then return 6; elsif(DATA_WIDTH <= 57) then return 7; elsif(DATA_WIDTH <= 120) then return 8; elsif(DATA_WIDTH <= 247) then return 9; else return 10; end if; end if; end function; constant RANK_WIDTH : integer := clogb2(RANKS); function XWIDTH return integer is begin if(CS_WIDTH = 1) then return 0; else return RANK_WIDTH; end if; end function; constant TAPSPERKCLK : integer := 56; function TEMP_MON return string is begin if(SIMULATION = "TRUE") then return "ON"; else return "OFF"; end if; end function; constant BM_CNT_WIDTH : integer := clogb2(nBANK_MACHS); constant ECC_WIDTH : integer := ECCWIDTH; constant DATA_BUF_OFFSET_WIDTH : integer := 1; constant MC_ERR_ADDR_WIDTH : integer := XWIDTH + BANK_WIDTH + ROW_WIDTH + COL_WIDTH + DATA_BUF_OFFSET_WIDTH; constant APP_DATA_WIDTH : integer := 2 * nCK_PER_CLK * PAYLOAD_WIDTH; constant APP_MASK_WIDTH : integer := APP_DATA_WIDTH / 8; constant TEMP_MON_EN : string := TEMP_MON; -- Enable or disable the temp monitor module constant tTEMPSAMPLE : integer := 10000000; -- sample every 10 us constant XADC_CLK_PERIOD : integer := 5000; -- Use 200 MHz IODELAYCTRL clock component mig_7series_v2_3_iodelay_ctrl is generic( TCQ : integer; IODELAY_GRP0 : string; IODELAY_GRP1 : string; REFCLK_TYPE : string; SYSCLK_TYPE : string; SYS_RST_PORT : string; RST_ACT_LOW : integer; DIFF_TERM_REFCLK : string; FPGA_SPEED_GRADE : integer; REF_CLK_MMCM_IODELAY_CTRL : string ); port ( clk_ref_p : in std_logic; clk_ref_n : in std_logic; clk_ref_i : in std_logic; sys_rst : in std_logic; clk_ref : out std_logic_vector(1 downto 0); sys_rst_o : out std_logic; iodelay_ctrl_rdy : out std_logic_vector(1 downto 0) ); end component mig_7series_v2_3_iodelay_ctrl; component mig_7series_v2_3_clk_ibuf is generic ( SYSCLK_TYPE : string; DIFF_TERM_SYSCLK : string ); port ( sys_clk_p : in std_logic; sys_clk_n : in std_logic; sys_clk_i : in std_logic; mmcm_clk : out std_logic ); end component mig_7series_v2_3_clk_ibuf; component mig_7series_v2_3_infrastructure is generic ( SIMULATION : string := "TRUE"; TCQ : integer; CLKIN_PERIOD : integer; nCK_PER_CLK : integer; SYSCLK_TYPE : string; UI_EXTRA_CLOCKS : string := "FALSE"; CLKFBOUT_MULT : integer; DIVCLK_DIVIDE : integer; CLKOUT0_PHASE : real; CLKOUT0_DIVIDE : integer; CLKOUT1_DIVIDE : integer; CLKOUT2_DIVIDE : integer; CLKOUT3_DIVIDE : integer; MMCM_VCO : integer; MMCM_MULT_F : integer; MMCM_DIVCLK_DIVIDE : integer; MMCM_CLKOUT0_EN : string := "FALSE"; MMCM_CLKOUT1_EN : string := "FALSE"; MMCM_CLKOUT2_EN : string := "FALSE"; MMCM_CLKOUT3_EN : string := "FALSE"; MMCM_CLKOUT4_EN : string := "FALSE"; MMCM_CLKOUT0_DIVIDE : integer := 1; MMCM_CLKOUT1_DIVIDE : integer := 1; MMCM_CLKOUT2_DIVIDE : integer := 1; MMCM_CLKOUT3_DIVIDE : integer := 1; MMCM_CLKOUT4_DIVIDE : integer := 1; RST_ACT_LOW : integer; tCK : integer; MEM_TYPE : string ); port ( mmcm_clk : in std_logic; sys_rst : in std_logic; iodelay_ctrl_rdy : in std_logic_vector(1 downto 0); psen : in std_logic; psincdec : in std_logic; clk : out std_logic; mem_refclk : out std_logic; freq_refclk : out std_logic; sync_pulse : out std_logic; mmcm_ps_clk : out std_logic; poc_sample_pd : out std_logic; iddr_rst : out std_logic; psdone : out std_logic; auxout_clk : out std_logic; ui_addn_clk_0 : out std_logic; ui_addn_clk_1 : out std_logic; ui_addn_clk_2 : out std_logic; ui_addn_clk_3 : out std_logic; ui_addn_clk_4 : out std_logic; pll_locked : out std_logic; mmcm_locked : out std_logic; rstdiv0 : out std_logic; rst_phaser_ref : out std_logic; ref_dll_lock : in std_logic ); end component mig_7series_v2_3_infrastructure; component mig_7series_v2_3_tempmon is generic ( TCQ : integer; TEMP_MON_CONTROL : string; XADC_CLK_PERIOD : integer; tTEMPSAMPLE : integer ); port ( clk : in std_logic; xadc_clk : in std_logic; rst : in std_logic; device_temp_i : in std_logic_vector(11 downto 0); device_temp : out std_logic_vector(11 downto 0) ); end component mig_7series_v2_3_tempmon; component mig_7series_v2_3_memc_ui_top_std is generic ( TCQ : integer; DDR3_VDD_OP_VOLT : string := "135"; PAYLOAD_WIDTH : integer; ADDR_CMD_MODE : string; AL : string; BANK_WIDTH : integer; BM_CNT_WIDTH : integer; BURST_MODE : string; BURST_TYPE : string; CA_MIRROR : string := "FALSE"; CK_WIDTH : integer; CL : integer; COL_WIDTH : integer; CMD_PIPE_PLUS1 : string; CS_WIDTH : integer; CKE_WIDTH : integer; CWL : integer := 5; DATA_WIDTH : integer; DATA_BUF_ADDR_WIDTH : integer; DATA_BUF_OFFSET_WIDTH : integer := 1; DDR2_DQSN_ENABLE : string := "YES"; DM_WIDTH : integer; DQ_CNT_WIDTH : integer; DQ_WIDTH : integer; DQS_CNT_WIDTH : integer; DQS_WIDTH : integer; DRAM_TYPE : string; DRAM_WIDTH : integer; ECC : string; ECC_WIDTH : integer; ECC_TEST : string; MC_ERR_ADDR_WIDTH : integer; MASTER_PHY_CTL : integer; nAL : integer; nBANK_MACHS : integer; nCK_PER_CLK : integer; nCS_PER_RANK : integer; ORDERING : string; IBUF_LPWR_MODE : string; BANK_TYPE : string; DATA_IO_PRIM_TYPE : string; DATA_IO_IDLE_PWRDWN : string; IODELAY_GRP0 : string; IODELAY_GRP1 : string; FPGA_SPEED_GRADE : integer; OUTPUT_DRV : string; REG_CTRL : string; RTT_NOM : string; RTT_WR : string := "120"; STARVE_LIMIT : integer; tCK : integer; tCKE : integer; tFAW : integer; tPRDI : integer; tRAS : integer; tRCD : integer; tREFI : integer; tRFC : integer; tRP : integer; tRRD : integer; tRTP : integer; tWTR : integer; tZQI : integer; tZQCS : integer; USER_REFRESH : string; TEMP_MON_EN : string; WRLVL : string; DEBUG_PORT : string; CAL_WIDTH : string; RANK_WIDTH : integer; RANKS : integer; ODT_WIDTH : integer; ROW_WIDTH : integer; ADDR_WIDTH : integer; APP_MASK_WIDTH : integer; APP_DATA_WIDTH : integer; BYTE_LANES_B0 : std_logic_vector(3 downto 0); BYTE_LANES_B1 : std_logic_vector(3 downto 0); BYTE_LANES_B2 : std_logic_vector(3 downto 0); BYTE_LANES_B3 : std_logic_vector(3 downto 0); BYTE_LANES_B4 : std_logic_vector(3 downto 0); DATA_CTL_B0 : std_logic_vector(3 downto 0); DATA_CTL_B1 : std_logic_vector(3 downto 0); DATA_CTL_B2 : std_logic_vector(3 downto 0); DATA_CTL_B3 : std_logic_vector(3 downto 0); DATA_CTL_B4 : std_logic_vector(3 downto 0); PHY_0_BITLANES : std_logic_vector(47 downto 0); PHY_1_BITLANES : std_logic_vector(47 downto 0); PHY_2_BITLANES : std_logic_vector(47 downto 0); CK_BYTE_MAP : std_logic_vector(143 downto 0); ADDR_MAP : std_logic_vector(191 downto 0); BANK_MAP : std_logic_vector(35 downto 0); CAS_MAP : std_logic_vector(11 downto 0); CKE_ODT_BYTE_MAP : std_logic_vector(7 downto 0); CKE_MAP : std_logic_vector(95 downto 0); ODT_MAP : std_logic_vector(95 downto 0); CKE_ODT_AUX : string; CS_MAP : std_logic_vector(119 downto 0); PARITY_MAP : std_logic_vector(11 downto 0); RAS_MAP : std_logic_vector(11 downto 0); WE_MAP : std_logic_vector(11 downto 0); DQS_BYTE_MAP : std_logic_vector(143 downto 0); DATA0_MAP : std_logic_vector(95 downto 0); DATA1_MAP : std_logic_vector(95 downto 0); DATA2_MAP : std_logic_vector(95 downto 0); DATA3_MAP : std_logic_vector(95 downto 0); DATA4_MAP : std_logic_vector(95 downto 0); DATA5_MAP : std_logic_vector(95 downto 0); DATA6_MAP : std_logic_vector(95 downto 0); DATA7_MAP : std_logic_vector(95 downto 0); DATA8_MAP : std_logic_vector(95 downto 0); DATA9_MAP : std_logic_vector(95 downto 0); DATA10_MAP : std_logic_vector(95 downto 0); DATA11_MAP : std_logic_vector(95 downto 0); DATA12_MAP : std_logic_vector(95 downto 0); DATA13_MAP : std_logic_vector(95 downto 0); DATA14_MAP : std_logic_vector(95 downto 0); DATA15_MAP : std_logic_vector(95 downto 0); DATA16_MAP : std_logic_vector(95 downto 0); DATA17_MAP : std_logic_vector(95 downto 0); MASK0_MAP : std_logic_vector(107 downto 0); MASK1_MAP : std_logic_vector(107 downto 0); SLOT_0_CONFIG : std_logic_vector(7 downto 0); SLOT_1_CONFIG : std_logic_vector(7 downto 0); MEM_ADDR_ORDER : string; CALIB_ROW_ADD : std_logic_vector(15 downto 0); CALIB_COL_ADD : std_logic_vector(11 downto 0); CALIB_BA_ADD : std_logic_vector(2 downto 0); SIM_BYPASS_INIT_CAL : string; REFCLK_FREQ : real; USE_CS_PORT : integer; USE_DM_PORT : integer; USE_ODT_PORT : integer; IDELAY_ADJ : string; FINE_PER_BIT : string; CENTER_COMP_MODE : string; PI_VAL_ADJ : string; TAPSPERKCLK : integer := 56 ); port ( clk : in std_logic; clk_ref : in std_logic_vector(1 downto 0); mem_refclk : in std_logic; freq_refclk : in std_logic; pll_lock : in std_logic; sync_pulse : in std_logic; rst : in std_logic; rst_phaser_ref : in std_logic; ref_dll_lock : out std_logic; iddr_rst : in std_logic; mmcm_ps_clk : in std_logic; poc_sample_pd : in std_logic; ddr_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0); ddr_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0); ddr_dqs : inout std_logic_vector(DQS_WIDTH-1 downto 0); ddr_addr : out std_logic_vector(ROW_WIDTH-1 downto 0); ddr_ba : out std_logic_vector(BANK_WIDTH-1 downto 0); ddr_cas_n : out std_logic; ddr_ck_n : out std_logic_vector(CK_WIDTH-1 downto 0); ddr_ck : out std_logic_vector(CK_WIDTH-1 downto 0); ddr_cke : out std_logic_vector(CKE_WIDTH-1 downto 0); ddr_cs_n : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0); ddr_dm : out std_logic_vector(DM_WIDTH-1 downto 0); ddr_odt : out std_logic_vector(ODT_WIDTH-1 downto 0); ddr_ras_n : out std_logic; ddr_reset_n : out std_logic; ddr_parity : out std_logic; ddr_we_n : out std_logic; bank_mach_next : out std_logic_vector(BM_CNT_WIDTH-1 downto 0); app_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); app_cmd : in std_logic_vector(2 downto 0); app_en : in std_logic; app_hi_pri : in std_logic; app_wdf_data : in std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0); app_wdf_end : in std_logic; app_wdf_mask : in std_logic_vector(((nCK_PER_CLK*2*PAYLOAD_WIDTH)/8)-1 downto 0); app_wdf_wren : in std_logic; app_correct_en_i : in std_logic; app_raw_not_ecc : in std_logic_vector((2*nCK_PER_CLK)-1 downto 0); app_ecc_multiple_err : out std_logic_vector((2*nCK_PER_CLK)-1 downto 0); app_rd_data : out std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0); app_rd_data_end : out std_logic; app_rd_data_valid : out std_logic; app_rdy : out std_logic; app_wdf_rdy : out std_logic; app_sr_req : in std_logic; app_sr_active : out std_logic; app_ref_req : in std_logic; app_ref_ack : out std_logic; app_zq_req : in std_logic; app_zq_ack : out std_logic; psen : out std_logic; psincdec : out std_logic; psdone : in std_logic; device_temp : in std_logic_vector(11 downto 0); dbg_idel_down_all : in std_logic; dbg_idel_down_cpt : in std_logic; dbg_idel_up_all : in std_logic; dbg_idel_up_cpt : in std_logic; dbg_sel_all_idel_cpt : in std_logic; dbg_sel_idel_cpt : in std_logic_vector(DQS_CNT_WIDTH-1 downto 0); dbg_cpt_first_edge_cnt : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0); dbg_cpt_second_edge_cnt : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0); dbg_rd_data_edge_detect : out std_logic_vector(DQS_WIDTH-1 downto 0); dbg_rddata : out std_logic_vector((2*nCK_PER_CLK*DQ_WIDTH)-1 downto 0); dbg_rdlvl_done : out std_logic_vector(1 downto 0); dbg_rdlvl_err : out std_logic_vector(1 downto 0); dbg_rdlvl_start : out std_logic_vector(1 downto 0); dbg_tap_cnt_during_wrlvl : out std_logic_vector(5 downto 0); dbg_wl_edge_detect_valid : out std_logic; dbg_wrlvl_done : out std_logic; dbg_wrlvl_err : out std_logic; dbg_wrlvl_start : out std_logic; dbg_final_po_fine_tap_cnt : out std_logic_vector((6*DQS_WIDTH)-1 downto 0); dbg_final_po_coarse_tap_cnt : out std_logic_vector((3*DQS_WIDTH)-1 downto 0); dbg_prbs_final_dqs_tap_cnt_r : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0); dbg_prbs_first_edge_taps : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0); dbg_prbs_second_edge_taps : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0); init_calib_complete : out std_logic; dbg_sel_pi_incdec : in std_logic; dbg_sel_po_incdec : in std_logic; dbg_byte_sel : in std_logic_vector(DQS_CNT_WIDTH downto 0); dbg_pi_f_inc : in std_logic; dbg_pi_f_dec : in std_logic; dbg_po_f_inc : in std_logic; dbg_po_f_stg23_sel : in std_logic; dbg_po_f_dec : in std_logic; dbg_cpt_tap_cnt : out std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0); dbg_dq_idelay_tap_cnt : out std_logic_vector((5*DQS_WIDTH*RANKS)-1 downto 0); dbg_rddata_valid : out std_logic; dbg_wrlvl_fine_tap_cnt : out std_logic_vector((6*DQS_WIDTH)-1 downto 0); dbg_wrlvl_coarse_tap_cnt : out std_logic_vector((3*DQS_WIDTH)-1 downto 0); dbg_rd_data_offset : out std_logic_vector((6*RANKS)-1 downto 0); dbg_calib_top : out std_logic_vector(255 downto 0); dbg_phy_wrlvl : out std_logic_vector(255 downto 0); dbg_phy_rdlvl : out std_logic_vector(255 downto 0); dbg_phy_wrcal : out std_logic_vector(99 downto 0); dbg_phy_init : out std_logic_vector(255 downto 0); dbg_prbs_rdlvl : out std_logic_vector(255 downto 0); dbg_dqs_found_cal : out std_logic_vector(255 downto 0); dbg_pi_counter_read_val : out std_logic_vector(5 downto 0); dbg_po_counter_read_val : out std_logic_vector(8 downto 0); dbg_pi_phaselock_start : out std_logic; dbg_pi_phaselocked_done : out std_logic; dbg_pi_phaselock_err : out std_logic; dbg_pi_dqsfound_start : out std_logic; dbg_pi_dqsfound_done : out std_logic; dbg_pi_dqsfound_err : out std_logic; dbg_wrcal_start : out std_logic; dbg_wrcal_done : out std_logic; dbg_wrcal_err : out std_logic; dbg_pi_dqs_found_lanes_phy4lanes : out std_logic_vector(11 downto 0); dbg_pi_phase_locked_phy4lanes : out std_logic_vector(11 downto 0); dbg_calib_rd_data_offset_1 : out std_logic_vector((6*RANKS)-1 downto 0); dbg_calib_rd_data_offset_2 : out std_logic_vector((6*RANKS)-1 downto 0); dbg_data_offset : out std_logic_vector(5 downto 0); dbg_data_offset_1 : out std_logic_vector(5 downto 0); dbg_data_offset_2 : out std_logic_vector(5 downto 0); dbg_oclkdelay_calib_start : out std_logic; dbg_oclkdelay_calib_done : out std_logic; dbg_phy_oclkdelay_cal : out std_logic_vector(255 downto 0); dbg_oclkdelay_rd_data : out std_logic_vector((DRAM_WIDTH*16)-1 downto 0) ); end component mig_7series_v2_3_memc_ui_top_std; -- Signal declarations signal bank_mach_next : std_logic_vector(BM_CNT_WIDTH-1 downto 0); signal clk : std_logic; signal clk_ref : std_logic_vector(1 downto 0); signal iodelay_ctrl_rdy : std_logic_vector(1 downto 0); signal clk_ref_in : std_logic; signal sys_rst_o : std_logic; signal freq_refclk : std_logic; signal mem_refclk : std_logic; signal pll_locked : std_logic; signal sync_pulse : std_logic; signal mmcm_ps_clk : std_logic; signal poc_sample_pd : std_logic; signal psen : std_logic; signal psincdec : std_logic; signal psdone : std_logic; signal iddr_rst : std_logic; signal ref_dll_lock : std_logic; signal rst_phaser_ref : std_logic; signal rst : std_logic; signal app_ecc_multiple_err : std_logic_vector((2*nCK_PER_CLK)-1 downto 0); signal ddr2_reset_n : std_logic; signal ddr2_parity : std_logic; signal init_calib_complete_i : std_logic; signal sys_clk_p : std_logic; signal sys_clk_n : std_logic; signal mmcm_clk : std_logic; signal clk_ref_p : std_logic; signal clk_ref_n : std_logic; signal clk_ref_i : std_logic; signal device_temp : std_logic_vector(11 downto 0); -- Debug port signals signal dbg_idel_down_all : std_logic; signal dbg_idel_down_cpt : std_logic; signal dbg_idel_up_all : std_logic; signal dbg_idel_up_cpt : std_logic; signal dbg_sel_all_idel_cpt : std_logic; signal dbg_sel_idel_cpt : std_logic_vector(DQS_CNT_WIDTH-1 downto 0); signal dbg_po_f_stg23_sel : std_logic; signal dbg_sel_pi_incdec : std_logic; signal dbg_sel_po_incdec : std_logic; signal dbg_byte_sel : std_logic_vector(DQS_CNT_WIDTH downto 0); signal dbg_pi_f_inc : std_logic; signal dbg_po_f_inc : std_logic; signal dbg_pi_f_dec : std_logic; signal dbg_po_f_dec : std_logic; signal dbg_pi_counter_read_val : std_logic_vector(5 downto 0); signal dbg_po_counter_read_val : std_logic_vector(8 downto 0); signal dbg_prbs_final_dqs_tap_cnt_r : std_logic_vector(11 downto 0); signal dbg_prbs_first_edge_taps : std_logic_vector(11 downto 0); signal dbg_prbs_second_edge_taps : std_logic_vector(11 downto 0); signal dbg_cpt_tap_cnt : std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0); signal dbg_dq_idelay_tap_cnt : std_logic_vector((5*DQS_WIDTH*RANKS)-1 downto 0); signal dbg_calib_top : std_logic_vector(255 downto 0); signal dbg_cpt_first_edge_cnt : std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0); signal dbg_cpt_second_edge_cnt : std_logic_vector((6*DQS_WIDTH*RANKS)-1 downto 0); signal dbg_rd_data_offset : std_logic_vector((6*RANKS)-1 downto 0); signal dbg_phy_rdlvl : std_logic_vector(255 downto 0); signal dbg_phy_wrcal : std_logic_vector(99 downto 0); signal dbg_final_po_fine_tap_cnt : std_logic_vector((6*DQS_WIDTH)-1 downto 0); signal dbg_final_po_coarse_tap_cnt : std_logic_vector((3*DQS_WIDTH)-1 downto 0); signal dbg_phy_wrlvl : std_logic_vector(255 downto 0); signal dbg_phy_init : std_logic_vector(255 downto 0); signal dbg_prbs_rdlvl : std_logic_vector(255 downto 0); signal dbg_dqs_found_cal : std_logic_vector(255 downto 0); signal dbg_pi_phaselock_start : std_logic; signal dbg_pi_phaselocked_done : std_logic; signal dbg_pi_phaselock_err : std_logic; signal dbg_pi_dqsfound_start : std_logic; signal dbg_pi_dqsfound_done : std_logic; signal dbg_pi_dqsfound_err : std_logic; signal dbg_wrcal_start : std_logic; signal dbg_wrcal_done : std_logic; signal dbg_wrcal_err : std_logic; signal dbg_pi_dqs_found_lanes_phy4lanes : std_logic_vector(11 downto 0); signal dbg_pi_phase_locked_phy4lanes : std_logic_vector(11 downto 0); signal dbg_oclkdelay_calib_start : std_logic; signal dbg_oclkdelay_calib_done : std_logic; signal dbg_phy_oclkdelay_cal : std_logic_vector(255 downto 0); signal dbg_oclkdelay_rd_data : std_logic_vector((DRAM_WIDTH*16)-1 downto 0); signal dbg_rd_data_edge_detect : std_logic_vector(DQS_WIDTH-1 downto 0); signal dbg_rddata : std_logic_vector((2*nCK_PER_CLK*DQ_WIDTH)-1 downto 0); signal dbg_rddata_valid : std_logic; signal dbg_rdlvl_done : std_logic_vector(1 downto 0); signal dbg_rdlvl_err : std_logic_vector(1 downto 0); signal dbg_rdlvl_start : std_logic_vector(1 downto 0); signal dbg_wrlvl_fine_tap_cnt : std_logic_vector((6*DQS_WIDTH)-1 downto 0); signal dbg_wrlvl_coarse_tap_cnt : std_logic_vector((3*DQS_WIDTH)-1 downto 0); signal dbg_tap_cnt_during_wrlvl : std_logic_vector(5 downto 0); signal dbg_wl_edge_detect_valid : std_logic; signal dbg_wrlvl_done : std_logic; signal dbg_wrlvl_err : std_logic; signal dbg_wrlvl_start : std_logic; signal dbg_rddata_r : std_logic_vector(63 downto 0); signal dbg_rddata_valid_r : std_logic; signal ocal_tap_cnt : std_logic_vector(53 downto 0); signal dbg_dqs : std_logic_vector(4 downto 0); signal dbg_bit : std_logic_vector(8 downto 0); signal rd_data_edge_detect_r : std_logic_vector(8 downto 0); signal wl_po_fine_cnt : std_logic_vector(53 downto 0); signal wl_po_coarse_cnt : std_logic_vector(26 downto 0); signal dbg_calib_rd_data_offset_1 : std_logic_vector((6*RANKS)-1 downto 0); signal dbg_calib_rd_data_offset_2 : std_logic_vector((6*RANKS)-1 downto 0); signal dbg_data_offset : std_logic_vector(5 downto 0); signal dbg_data_offset_1 : std_logic_vector(5 downto 0); signal dbg_data_offset_2 : std_logic_vector(5 downto 0); signal all_zeros : std_logic_vector((2*nCK_PER_CLK)-1 downto 0) := (others => '0'); signal ddr2_ila_basic_int : std_logic_vector(119 downto 0); signal ddr2_ila_wrpath_int : std_logic_vector(390 downto 0); signal ddr2_ila_rdpath_int : std_logic_vector(1023 downto 0); signal dbg_prbs_final_dqs_tap_cnt_r_int : std_logic_vector(11 downto 0); signal dbg_prbs_first_edge_taps_int : std_logic_vector(11 downto 0); signal dbg_prbs_second_edge_taps_int : std_logic_vector(11 downto 0); begin --*************************************************************************** ui_clk <= clk; ui_clk_sync_rst <= rst; sys_clk_p <= '0'; sys_clk_n <= '0'; clk_ref_i <= '0'; init_calib_complete <= init_calib_complete_i; clk_ref_in_use_sys_clk : if (REFCLK_TYPE = "USE_SYSTEM_CLOCK") generate clk_ref_in <= mmcm_clk; end generate; clk_ref_in_others : if (REFCLK_TYPE /= "USE_SYSTEM_CLOCK") generate clk_ref_in <= clk_ref_i; end generate; u_iodelay_ctrl : mig_7series_v2_3_iodelay_ctrl generic map ( TCQ => TCQ, IODELAY_GRP0 => IODELAY_GRP0, IODELAY_GRP1 => IODELAY_GRP1, REFCLK_TYPE => REFCLK_TYPE, SYSCLK_TYPE => SYSCLK_TYPE, SYS_RST_PORT => SYS_RST_PORT, RST_ACT_LOW => RST_ACT_LOW, DIFF_TERM_REFCLK => DIFF_TERM_REFCLK, FPGA_SPEED_GRADE => FPGA_SPEED_GRADE, REF_CLK_MMCM_IODELAY_CTRL => REF_CLK_MMCM_IODELAY_CTRL ) port map ( -- Outputs iodelay_ctrl_rdy => iodelay_ctrl_rdy, sys_rst_o => sys_rst_o, clk_ref => clk_ref, -- Inputs clk_ref_p => clk_ref_p, clk_ref_n => clk_ref_n, clk_ref_i => clk_ref_in, sys_rst => sys_rst ); u_ddr2_clk_ibuf : mig_7series_v2_3_clk_ibuf generic map ( SYSCLK_TYPE => SYSCLK_TYPE, DIFF_TERM_SYSCLK => DIFF_TERM_SYSCLK ) port map ( sys_clk_p => sys_clk_p, sys_clk_n => sys_clk_n, sys_clk_i => sys_clk_i, mmcm_clk => mmcm_clk ); -- Temperature monitoring logic temp_mon_enabled : if (TEMP_MON_EN = "ON") generate u_tempmon : mig_7series_v2_3_tempmon generic map ( TCQ => TCQ, TEMP_MON_CONTROL => TEMP_MON_CONTROL, XADC_CLK_PERIOD => XADC_CLK_PERIOD, tTEMPSAMPLE => tTEMPSAMPLE ) port map ( clk => clk, xadc_clk => clk_ref(0), rst => rst, device_temp_i => device_temp_i, device_temp => device_temp ); end generate; temp_mon_disabled : if (TEMP_MON_EN /= "ON") generate device_temp <= (others => '0'); end generate; u_ddr2_infrastructure : mig_7series_v2_3_infrastructure generic map ( TCQ => TCQ, nCK_PER_CLK => nCK_PER_CLK, CLKIN_PERIOD => CLKIN_PERIOD, SYSCLK_TYPE => SYSCLK_TYPE, CLKFBOUT_MULT => CLKFBOUT_MULT, DIVCLK_DIVIDE => DIVCLK_DIVIDE, CLKOUT0_PHASE => CLKOUT0_PHASE, CLKOUT0_DIVIDE => CLKOUT0_DIVIDE, CLKOUT1_DIVIDE => CLKOUT1_DIVIDE, CLKOUT2_DIVIDE => CLKOUT2_DIVIDE, CLKOUT3_DIVIDE => CLKOUT3_DIVIDE, MMCM_VCO => MMCM_VCO, MMCM_MULT_F => MMCM_MULT_F, MMCM_DIVCLK_DIVIDE => MMCM_DIVCLK_DIVIDE, RST_ACT_LOW => RST_ACT_LOW, tCK => tCK, MEM_TYPE => DRAM_TYPE ) port map ( -- Outputs rstdiv0 => rst, clk => clk, mem_refclk => mem_refclk, freq_refclk => freq_refclk, sync_pulse => sync_pulse, psen => psen, psincdec => psincdec, mmcm_ps_clk => mmcm_ps_clk, poc_sample_pd => poc_sample_pd, iddr_rst => iddr_rst, psdone => psdone, auxout_clk => open, ui_addn_clk_0 => open, ui_addn_clk_1 => open, ui_addn_clk_2 => open, ui_addn_clk_3 => open, ui_addn_clk_4 => open, pll_locked => pll_locked, mmcm_locked => open, rst_phaser_ref => rst_phaser_ref, -- Inputs mmcm_clk => mmcm_clk, sys_rst => sys_rst_o, iodelay_ctrl_rdy => iodelay_ctrl_rdy, ref_dll_lock => ref_dll_lock ); u_memc_ui_top_std : mig_7series_v2_3_memc_ui_top_std generic map ( TCQ => TCQ, ADDR_CMD_MODE => ADDR_CMD_MODE, AL => AL, PAYLOAD_WIDTH => PAYLOAD_WIDTH, BANK_WIDTH => BANK_WIDTH, BM_CNT_WIDTH => BM_CNT_WIDTH, BURST_MODE => BURST_MODE, BURST_TYPE => BURST_TYPE, CK_WIDTH => CK_WIDTH, COL_WIDTH => COL_WIDTH, CMD_PIPE_PLUS1 => CMD_PIPE_PLUS1, CS_WIDTH => CS_WIDTH, nCS_PER_RANK => nCS_PER_RANK, CKE_WIDTH => CKE_WIDTH, DATA_WIDTH => DATA_WIDTH, DATA_BUF_ADDR_WIDTH => DATA_BUF_ADDR_WIDTH, DM_WIDTH => DM_WIDTH, DQ_CNT_WIDTH => DQ_CNT_WIDTH, DQ_WIDTH => DQ_WIDTH, DQS_CNT_WIDTH => DQS_CNT_WIDTH, DQS_WIDTH => DQS_WIDTH, DRAM_TYPE => DRAM_TYPE, DRAM_WIDTH => DRAM_WIDTH, ECC => ECC, ECC_WIDTH => ECC_WIDTH, ECC_TEST => ECC_TEST, MC_ERR_ADDR_WIDTH => MC_ERR_ADDR_WIDTH, REFCLK_FREQ => REFCLK_FREQ, nAL => nAL, nBANK_MACHS => nBANK_MACHS, CKE_ODT_AUX => CKE_ODT_AUX, nCK_PER_CLK => nCK_PER_CLK, ORDERING => ORDERING, OUTPUT_DRV => OUTPUT_DRV, IBUF_LPWR_MODE => IBUF_LPWR_MODE, DATA_IO_IDLE_PWRDWN => DATA_IO_IDLE_PWRDWN, BANK_TYPE => BANK_TYPE, DATA_IO_PRIM_TYPE => DATA_IO_PRIM_TYPE, IODELAY_GRP0 => IODELAY_GRP0, IODELAY_GRP1 => IODELAY_GRP1, FPGA_SPEED_GRADE => FPGA_SPEED_GRADE, REG_CTRL => REG_CTRL, RTT_NOM => RTT_NOM, CL => CL, tCK => tCK, tCKE => tCKE, tFAW => tFAW, tPRDI => tPRDI, tRAS => tRAS, tRCD => tRCD, tREFI => tREFI, tRFC => tRFC, tRP => tRP, tRRD => tRRD, tRTP => tRTP, tWTR => tWTR, tZQI => tZQI, tZQCS => tZQCS, USER_REFRESH => USER_REFRESH, TEMP_MON_EN => TEMP_MON_EN, WRLVL => WRLVL, DEBUG_PORT => DEBUG_PORT, CAL_WIDTH => CAL_WIDTH, RANK_WIDTH => RANK_WIDTH, RANKS => RANKS, ODT_WIDTH => ODT_WIDTH, ROW_WIDTH => ROW_WIDTH, ADDR_WIDTH => ADDR_WIDTH, APP_DATA_WIDTH => APP_DATA_WIDTH, APP_MASK_WIDTH => APP_MASK_WIDTH, SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL, BYTE_LANES_B0 => BYTE_LANES_B0, BYTE_LANES_B1 => BYTE_LANES_B1, BYTE_LANES_B2 => BYTE_LANES_B2, BYTE_LANES_B3 => BYTE_LANES_B3, BYTE_LANES_B4 => BYTE_LANES_B4, DATA_CTL_B0 => DATA_CTL_B0, DATA_CTL_B1 => DATA_CTL_B1, DATA_CTL_B2 => DATA_CTL_B2, DATA_CTL_B3 => DATA_CTL_B3, DATA_CTL_B4 => DATA_CTL_B4, PHY_0_BITLANES => PHY_0_BITLANES, PHY_1_BITLANES => PHY_1_BITLANES, PHY_2_BITLANES => PHY_2_BITLANES, CK_BYTE_MAP => CK_BYTE_MAP, ADDR_MAP => ADDR_MAP, BANK_MAP => BANK_MAP, CAS_MAP => CAS_MAP, CKE_ODT_BYTE_MAP => CKE_ODT_BYTE_MAP, CKE_MAP => CKE_MAP, ODT_MAP => ODT_MAP, CS_MAP => CS_MAP, PARITY_MAP => PARITY_MAP, RAS_MAP => RAS_MAP, WE_MAP => WE_MAP, DQS_BYTE_MAP => DQS_BYTE_MAP, DATA0_MAP => DATA0_MAP, DATA1_MAP => DATA1_MAP, DATA2_MAP => DATA2_MAP, DATA3_MAP => DATA3_MAP, DATA4_MAP => DATA4_MAP, DATA5_MAP => DATA5_MAP, DATA6_MAP => DATA6_MAP, DATA7_MAP => DATA7_MAP, DATA8_MAP => DATA8_MAP, DATA9_MAP => DATA9_MAP, DATA10_MAP => DATA10_MAP, DATA11_MAP => DATA11_MAP, DATA12_MAP => DATA12_MAP, DATA13_MAP => DATA13_MAP, DATA14_MAP => DATA14_MAP, DATA15_MAP => DATA15_MAP, DATA16_MAP => DATA16_MAP, DATA17_MAP => DATA17_MAP, MASK0_MAP => MASK0_MAP, MASK1_MAP => MASK1_MAP, CALIB_ROW_ADD => CALIB_ROW_ADD, CALIB_COL_ADD => CALIB_COL_ADD, CALIB_BA_ADD => CALIB_BA_ADD, SLOT_0_CONFIG => SLOT_0_CONFIG, SLOT_1_CONFIG => SLOT_1_CONFIG, MEM_ADDR_ORDER => MEM_ADDR_ORDER, STARVE_LIMIT => STARVE_LIMIT, USE_CS_PORT => USE_CS_PORT, USE_DM_PORT => USE_DM_PORT, USE_ODT_PORT => USE_ODT_PORT, IDELAY_ADJ => "OFF", FINE_PER_BIT => "OFF", CENTER_COMP_MODE => "OFF", PI_VAL_ADJ => "OFF", MASTER_PHY_CTL => PHY_CONTROL_MASTER_BANK, TAPSPERKCLK => TAPSPERKCLK ) port map ( clk => clk, clk_ref => clk_ref, mem_refclk => mem_refclk, --memory clock freq_refclk => freq_refclk, pll_lock => pll_locked, sync_pulse => sync_pulse, rst => rst, rst_phaser_ref => rst_phaser_ref, ref_dll_lock => ref_dll_lock, iddr_rst => iddr_rst, mmcm_ps_clk => mmcm_ps_clk, poc_sample_pd => poc_sample_pd, -- Memory interface ports ddr_dq => ddr2_dq, ddr_dqs_n => ddr2_dqs_n, ddr_dqs => ddr2_dqs_p, ddr_addr => ddr2_addr, ddr_ba => ddr2_ba, ddr_cas_n => ddr2_cas_n, ddr_ck_n => ddr2_ck_n, ddr_ck => ddr2_ck_p, ddr_cke => ddr2_cke, ddr_cs_n => ddr2_cs_n, ddr_dm => ddr2_dm, ddr_odt => ddr2_odt, ddr_ras_n => ddr2_ras_n, ddr_reset_n => ddr2_reset_n, ddr_parity => ddr2_parity, ddr_we_n => ddr2_we_n, bank_mach_next => bank_mach_next, -- Application interface ports app_addr => app_addr, app_cmd => app_cmd, app_en => app_en, app_hi_pri => '0', app_wdf_data => app_wdf_data, app_wdf_end => app_wdf_end, app_wdf_mask => app_wdf_mask, app_wdf_wren => app_wdf_wren, app_ecc_multiple_err => app_ecc_multiple_err, app_rd_data => app_rd_data, app_rd_data_end => app_rd_data_end, app_rd_data_valid => app_rd_data_valid, app_rdy => app_rdy, app_wdf_rdy => app_wdf_rdy, app_sr_req => app_sr_req, app_sr_active => app_sr_active, app_ref_req => app_ref_req, app_ref_ack => app_ref_ack, app_zq_req => app_zq_req, app_zq_ack => app_zq_ack, app_raw_not_ecc => all_zeros, app_correct_en_i => '1', psen => psen, psincdec => psincdec, psdone => psdone, device_temp => device_temp, -- Debug logic ports dbg_idel_up_all => dbg_idel_up_all, dbg_idel_down_all => dbg_idel_down_all, dbg_idel_up_cpt => dbg_idel_up_cpt, dbg_idel_down_cpt => dbg_idel_down_cpt, dbg_sel_idel_cpt => dbg_sel_idel_cpt, dbg_sel_all_idel_cpt => dbg_sel_all_idel_cpt, dbg_sel_pi_incdec => dbg_sel_pi_incdec, dbg_sel_po_incdec => dbg_sel_po_incdec, dbg_byte_sel => dbg_byte_sel, dbg_pi_f_inc => dbg_pi_f_inc, dbg_pi_f_dec => dbg_pi_f_dec, dbg_po_f_inc => dbg_po_f_inc, dbg_po_f_stg23_sel => dbg_po_f_stg23_sel, dbg_po_f_dec => dbg_po_f_dec, dbg_cpt_tap_cnt => dbg_cpt_tap_cnt, dbg_dq_idelay_tap_cnt => dbg_dq_idelay_tap_cnt, dbg_calib_top => dbg_calib_top, dbg_cpt_first_edge_cnt => dbg_cpt_first_edge_cnt, dbg_cpt_second_edge_cnt => dbg_cpt_second_edge_cnt, dbg_rd_data_offset => dbg_rd_data_offset, dbg_phy_rdlvl => dbg_phy_rdlvl, dbg_phy_wrcal => dbg_phy_wrcal, dbg_final_po_fine_tap_cnt => dbg_final_po_fine_tap_cnt, dbg_final_po_coarse_tap_cnt => dbg_final_po_coarse_tap_cnt, dbg_rd_data_edge_detect => dbg_rd_data_edge_detect, dbg_rddata => dbg_rddata, dbg_rddata_valid => dbg_rddata_valid, dbg_rdlvl_done => dbg_rdlvl_done, dbg_rdlvl_err => dbg_rdlvl_err, dbg_rdlvl_start => dbg_rdlvl_start, dbg_wrlvl_fine_tap_cnt => dbg_wrlvl_fine_tap_cnt, dbg_wrlvl_coarse_tap_cnt => dbg_wrlvl_coarse_tap_cnt, dbg_tap_cnt_during_wrlvl => dbg_tap_cnt_during_wrlvl, dbg_wl_edge_detect_valid => dbg_wl_edge_detect_valid, dbg_wrlvl_done => dbg_wrlvl_done, dbg_wrlvl_err => dbg_wrlvl_err, dbg_wrlvl_start => dbg_wrlvl_start, dbg_phy_wrlvl => dbg_phy_wrlvl, dbg_phy_init => dbg_phy_init, dbg_prbs_rdlvl => dbg_prbs_rdlvl, dbg_dqs_found_cal => dbg_dqs_found_cal, dbg_pi_counter_read_val => dbg_pi_counter_read_val, dbg_po_counter_read_val => dbg_po_counter_read_val, dbg_pi_phaselock_start => dbg_pi_phaselock_start, dbg_pi_phaselocked_done => dbg_pi_phaselocked_done, dbg_pi_phaselock_err => dbg_pi_phaselock_err, dbg_pi_phase_locked_phy4lanes => dbg_pi_phase_locked_phy4lanes, dbg_pi_dqsfound_start => dbg_pi_dqsfound_start, dbg_pi_dqsfound_done => dbg_pi_dqsfound_done, dbg_pi_dqsfound_err => dbg_pi_dqsfound_err, dbg_pi_dqs_found_lanes_phy4lanes => dbg_pi_dqs_found_lanes_phy4lanes, dbg_calib_rd_data_offset_1 => dbg_calib_rd_data_offset_1, dbg_calib_rd_data_offset_2 => dbg_calib_rd_data_offset_2, dbg_data_offset => dbg_data_offset, dbg_data_offset_1 => dbg_data_offset_1, dbg_data_offset_2 => dbg_data_offset_2, dbg_wrcal_start => dbg_wrcal_start, dbg_wrcal_done => dbg_wrcal_done, dbg_wrcal_err => dbg_wrcal_err, dbg_phy_oclkdelay_cal => dbg_phy_oclkdelay_cal, dbg_oclkdelay_rd_data => dbg_oclkdelay_rd_data, dbg_oclkdelay_calib_start => dbg_oclkdelay_calib_start, dbg_oclkdelay_calib_done => dbg_oclkdelay_calib_done, dbg_prbs_final_dqs_tap_cnt_r => dbg_prbs_final_dqs_tap_cnt_r_int, dbg_prbs_first_edge_taps => dbg_prbs_first_edge_taps_int, dbg_prbs_second_edge_taps => dbg_prbs_second_edge_taps_int, init_calib_complete => init_calib_complete_i ); --********************************************************************* -- Resetting all RTL debug inputs as the debug ports are not enabled --********************************************************************* dbg_idel_down_all <= '0'; dbg_idel_down_cpt <= '0'; dbg_idel_up_all <= '0'; dbg_idel_up_cpt <= '0'; dbg_sel_all_idel_cpt <= '0'; dbg_sel_idel_cpt <= (others => '0'); dbg_byte_sel <= (others => '0'); dbg_sel_pi_incdec <= '0'; dbg_pi_f_inc <= '0'; dbg_pi_f_dec <= '0'; dbg_po_f_inc <= '0'; dbg_po_f_dec <= '0'; dbg_po_f_stg23_sel <= '0'; dbg_sel_po_incdec <= '0'; end architecture arch_ddr_mig;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 22:21:54 12/01/2014 -- Design Name: -- Module Name: befunge_stack - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use ieee.STD_LOGIC_UNSIGNED; entity befunge_stack is generic( stack_depth_pow : integer; word_size : integer ); port( clk : in std_logic; reset : in std_logic; stack_0_o : out std_logic_vector(word_size-1 downto 0); stack_1_o : out std_logic_vector(word_size-1 downto 0); stack_i : in std_logic_vector(word_size-1 downto 0); pop1 : in std_logic; pop2 : in std_logic; push : in std_logic; swap : in std_logic; en : in std_logic ); end befunge_stack; architecture pc_v1 of befunge_stack is type stack_type is array ((2**stack_depth_pow)-1 downto 0) of std_logic_vector(word_size-1 downto 0); signal stack : stack_type; signal stack_ptr : Unsigned(stack_depth_pow-1 downto 0); begin stack_0 <= stack(stack_ptr); stack_1 <= stack(stack_ptr-1); process(reset,clk) variable ptr_incr : integer range(-2 to 1); begin if(reset = '1') then stack_0_o <= (others => '0'); stack_1_o <= (others => '0'); stack <= (others => (others => '0')); else if rising_edge(clk) then if ( en = '1' ) then if ( pop1 = "1" ) then ptr_incr := ptr_incr - 1; elsif ( pop2 = "1" ) then ptr_incr := ptr_incr - 2; elsif ( push = "1" ) then ptr_incr := ptr_incr + 1; stack(stack_ptr + ptr_incr) <= stack_i; elsif (swap = "1" ) then stack(stack_ptr) <= stack(stack_ptr - 1); stack(stack_ptr - 1) <= stack(stack_ptr); end if; stack_ptr <= std_logic_vector(stack_ptr + ptr_incr); stack_0_o <= stack(stack_ptr + ptr_incr); stack_1_o <= stack(stack_ptr + ptr_incr - 1); end if; end if; end if; end process;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Package: Project specific configuration. -- -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------ -- This file was created from the template file: -- -- <PoCRoot>/src/common/my_config.template.vhdl -- -- and customized for: -- -- ML506 -- -- -- License: -- ============================================================================= -- Copyright 2007-2014 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library PoC; package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "ML506"; constant MY_DEVICE : string := "None"; constant MY_VERBOSE : boolean := true; end my_config; package body my_config is end my_config;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Package: Project specific configuration. -- -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------ -- This file was created from the template file: -- -- <PoCRoot>/src/common/my_config.template.vhdl -- -- and customized for: -- -- ML506 -- -- -- License: -- ============================================================================= -- Copyright 2007-2014 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library PoC; package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "ML506"; constant MY_DEVICE : string := "None"; constant MY_VERBOSE : boolean := true; end my_config; package body my_config is end my_config;
architecture rtl of fifo is signal sig8 : record_type_3(element1(7 downto 0),element2(4 downto 0)(7 downto 0) (elementA(7 downto 0) ,elementB(3 downto 0) ),element3(3 downto 0)(elementC(4 downto 1), elementD(1 downto 0)),element5(elementE (3 downto 0) (6 downto 0) ,elementF(7 downto 0) ),element6(4 downto 0),element7(7 downto 0)); signal sig9 : t_data_struct(data(7 downto 0)); signal sig9 : t_data_struct(data(7 downto 0) ); begin end architecture rtl;
-- FT AHB RAM constant CFG_FTAHBRAM_EN : integer := CONFIG_FTAHBRAM_ENABLE; constant CFG_FTAHBRAM_SZ : integer := CONFIG_FTAHBRAM_SZ; constant CFG_FTAHBRAM_ADDR : integer := 16#CONFIG_FTAHBRAM_START#; constant CFG_FTAHBRAM_PIPE : integer := CONFIG_FTAHBRAM_PIPE; constant CFG_FTAHBRAM_EDAC : integer := CONFIG_FTAHBRAM_EDAC; constant CFG_FTAHBRAM_SCRU : integer := CONFIG_FTAHBRAM_AUTOSCRUB; constant CFG_FTAHBRAM_ECNT : integer := CONFIG_FTAHBRAM_ERRORCNTR; constant CFG_FTAHBRAM_EBIT : integer := CONFIG_FTAHBRAM_CNTBITS;
------------------------------------------------------------------ -- _____ -- / \ -- /____ \____ -- / \===\ \==/ -- /___\===\___\/ AVNET -- \======/ -- \====/ ----------------------------------------------------------------- -- -- This design is the property of Avnet. Publication of this -- design is not authorized without written consent from Avnet. -- -- Please direct any questions to: [email protected] -- -- Disclaimer: -- Avnet, Inc. makes no warranty for the use of this code or design. -- This code is provided "As Is". Avnet, Inc assumes no responsibility for -- any errors, which may appear in this code, nor does it make a commitment -- to update the information contained herein. Avnet, Inc specifically -- disclaims any implied warranties of fitness for a particular purpose. -- Copyright(c) 2011 Avnet, Inc. -- All rights reserved. -- ------------------------------------------------------------------ -- -- Create Date: Sep 15, 2011 -- Design Name: FMC-IMAGEON -- Module Name: fmc_imageon_vita_receiver.vhd -- Project Name: FMC-IMAGEON -- Target Devices: Virtex-6 -- Kintex-7, Zynq -- Avnet Boards: FMC-IMAGEON -- -- Tool versions: ISE 14.1 -- -- Description: FMC-IMAGEON VITA receiver interface. -- -- Dependencies: -- -- Revision: Sep 15, 2011: 1.00 Initial version: -- - VITA SPI controller -- Sep 22, 2011: 1.01 Added: -- - ISERDES interface -- Sep 28, 2011: 1.02 Added: -- - sync channel decoder -- - crc checker -- - data remapper -- Oct 20, 2011: 1.03 Modify: -- - iserdes (use BUFR) -- Oct 21, 2011: 1.04 Added: -- - fpn prnu correction -- Nov 03, 2011: 1.05 Added: -- - trigger generator -- Dec 19, 2011: 1.06 Modified: -- - port to Kintex-7 -- Jan 12, 2012: 1.07 Added: -- - new fsync output port -- Modify: -- - syncgen -- Feb 06, 2012: 1.08 Modify: -- - triggergenerator -- (new version with debounce logic) -- - new C_XSVI_DIRECT_OUTPUT option -- Feb 22, 2012: 1.09 Modified -- - port to Zynq -- - new C_XSVI_USE_SYNCGEN option -- May 13, 2012: 1.10 Optimize -- - remove one layer of registers -- May 28, 2012: 1.11 Modify -- - host_triggen_cnt_update -- (for simultaneous update of high/low values) -- Jun 01, 2012: 1.12 Modify: -- - Move syncgen after demux_fifo -- - Increase size of demux_fifo -- (to tolerate jitter in video timing from sensor) -- - Add programmable delay on framestart for syncgen -- Jul 31, 2012: 1.13 Modify: -- - define clk200, clk, clk4x with SIGIS = CLK -- - define reset with SIGIS = RST -- - port to Spartan-6 -- ------------------------------------------------------------------ ------------------------------------------------------------------------------ -- fmc_imageon_vita_receiver.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: fmc_imageon_vita_receiver.vhd -- Version: 1.00.a -- Description: Top level design, instantiates library components and user logic. -- Date: Thu Sep 15 13:07:23 2011 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library axi_lite_ipif_v1_01_a; use axi_lite_ipif_v1_01_a.axi_lite_ipif; library fmc_imageon_vita_receiver_v1_13_a; use fmc_imageon_vita_receiver_v1_13_a.user_logic; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_S_AXI_DATA_WIDTH -- -- C_S_AXI_ADDR_WIDTH -- -- C_S_AXI_MIN_SIZE -- -- C_USE_WSTRB -- -- C_DPHASE_TIMEOUT -- -- C_BASEADDR -- AXI4LITE slave: base address -- C_HIGHADDR -- AXI4LITE slave: high address -- C_FAMILY -- -- C_NUM_REG -- Number of software accessible registers -- C_NUM_MEM -- Number of address-ranges -- C_SLV_AWIDTH -- Slave interface address bus width -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- S_AXI_ACLK -- -- S_AXI_ARESETN -- -- S_AXI_AWADDR -- -- S_AXI_AWVALID -- -- S_AXI_WDATA -- -- S_AXI_WSTRB -- -- S_AXI_WVALID -- -- S_AXI_BREADY -- -- S_AXI_ARADDR -- -- S_AXI_ARVALID -- -- S_AXI_RREADY -- -- S_AXI_ARREADY -- -- S_AXI_RDATA -- -- S_AXI_RRESP -- -- S_AXI_RVALID -- -- S_AXI_WREADY -- -- S_AXI_BRESP -- -- S_AXI_BVALID -- -- S_AXI_AWREADY -- ------------------------------------------------------------------------------ entity fmc_imageon_vita_receiver is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here C_XSVI_DATA_WIDTH : integer := 10; C_XSVI_DIRECT_OUTPUT : integer := 0; C_XSVI_USE_SYNCGEN : integer := 1; -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector := X"000001FF"; C_USE_WSTRB : integer := 0; C_DPHASE_TIMEOUT : integer := 8; C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_FAMILY : string := "virtex6"; C_NUM_REG : integer := 1; C_NUM_MEM : integer := 1; C_SLV_AWIDTH : integer := 32; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here clk200 : in std_logic; clk : in std_logic; clk4x : in std_logic; reset : in std_logic; oe : in std_logic; -- I/O pins io_vita_clk_pll : out std_logic; io_vita_reset_n : out std_logic; io_vita_spi_sclk : out std_logic; io_vita_spi_ssel_n : out std_logic; io_vita_spi_mosi : out std_logic; io_vita_spi_miso : in std_logic; io_vita_trigger : out std_logic_vector(2 downto 0); io_vita_monitor : in std_logic_vector(1 downto 0); io_vita_clk_out_p : in std_logic; io_vita_clk_out_n : in std_logic; io_vita_sync_p : in std_logic; io_vita_sync_n : in std_logic; io_vita_data_p : in std_logic_vector(7 downto 0); io_vita_data_n : in std_logic_vector(7 downto 0); -- Trigger Port trigger1 : in std_logic; -- Frame Sync Port fsync : out std_logic; -- XSVI Port xsvi_vsync_o : out std_logic; xsvi_hsync_o : out std_logic; xsvi_vblank_o : out std_logic; xsvi_hblank_o : out std_logic; xsvi_active_video_o : out std_logic; xsvi_video_data_o : out std_logic_vector((C_XSVI_DATA_WIDTH-1) downto 0); -- Debug Ports debug_host_o : out std_logic_vector(231 downto 0); debug_spi_o : out std_logic_vector( 95 downto 0); debug_iserdes_o : out std_logic_vector(229 downto 0); debug_decoder_o : out std_logic_vector(186 downto 0); debug_crc_o : out std_logic_vector( 87 downto 0); debug_triggen_o : out std_logic_vector( 9 downto 0); debug_video_o : out std_logic_vector( 31 downto 0); -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000"; attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000"; attribute SIGIS of S_AXI_ACLK : signal is "Clk"; attribute SIGIS of S_AXI_ARESETN : signal is "Rst"; end entity fmc_imageon_vita_receiver; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of fmc_imageon_vita_receiver is constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH; constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH; constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR; constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address ); constant USER_SLV_NUM_REG : integer := 64; constant USER_NUM_REG : integer := USER_SLV_NUM_REG; constant TOTAL_IPIF_CE : integer := USER_NUM_REG; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => (USER_SLV_NUM_REG) -- number of ce for user logic slave space ); ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_SLV_CS_INDEX : integer := 0; constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX); constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Resetn : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0); signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0); signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0); signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0); signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0); signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0); signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0); signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; begin ------------------------------------------ -- instantiate axi_lite_ipif ------------------------------------------ AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif generic map ( C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH, C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_FAMILY => C_FAMILY ) port map ( S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_RREADY => S_AXI_RREADY, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_AWREADY => S_AXI_AWREADY, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Resetn => ipif_Bus2IP_Resetn, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE, Bus2IP_Data => ipif_Bus2IP_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, IP2Bus_Data => ipif_IP2Bus_Data ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ USER_LOGIC_I : entity fmc_imageon_vita_receiver_v1_13_a.user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here C_XSVI_DATA_WIDTH => C_XSVI_DATA_WIDTH, C_XSVI_DIRECT_OUTPUT => C_XSVI_DIRECT_OUTPUT, C_XSVI_USE_SYNCGEN => C_XSVI_USE_SYNCGEN, C_FAMILY => C_FAMILY, -- MAP USER GENERICS ABOVE THIS LINE --------------- C_NUM_REG => USER_NUM_REG, C_SLV_DWIDTH => USER_SLV_DWIDTH ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ --USER ports mapped here clk200 => clk200, clk => clk, clk4x => clk4x, reset => reset, oe => oe, -- I/O pins io_vita_clk_pll => io_vita_clk_pll, io_vita_reset_n => io_vita_reset_n, io_vita_spi_sclk => io_vita_spi_sclk, io_vita_spi_ssel_n => io_vita_spi_ssel_n, io_vita_spi_mosi => io_vita_spi_mosi, io_vita_spi_miso => io_vita_spi_miso, io_vita_trigger => io_vita_trigger, io_vita_monitor => io_vita_monitor, io_vita_clk_out_p => io_vita_clk_out_p, io_vita_clk_out_n => io_vita_clk_out_n, io_vita_sync_p => io_vita_sync_p, io_vita_sync_n => io_vita_sync_n, io_vita_data_p => io_vita_data_p, io_vita_data_n => io_vita_data_n, -- Trigger Port trigger1 => trigger1, -- Frame Sync Port fsync => fsync, -- XSVI Port xsvi_vsync_o => xsvi_vsync_o, xsvi_hsync_o => xsvi_hsync_o, xsvi_vblank_o => xsvi_vblank_o, xsvi_hblank_o => xsvi_hblank_o, xsvi_active_video_o => xsvi_active_video_o, xsvi_video_data_o => xsvi_video_data_o, -- Debug Ports debug_host_o => debug_host_o, debug_spi_o => debug_spi_o, debug_iserdes_o => debug_iserdes_o, debug_decoder_o => debug_decoder_o, debug_crc_o => debug_crc_o, debug_triggen_o => debug_triggen_o, debug_video_o => debug_video_o, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Resetn => ipif_Bus2IP_Resetn, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_RdCE => user_Bus2IP_RdCE, Bus2IP_WrCE => user_Bus2IP_WrCE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_NUM_REG-1 downto 0); user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_NUM_REG-1 downto 0); end IMP;
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; USE work.avalon_adc128s102_interface_pkg.ALL; USE work.adc128S102_pkg.ALL; ENTITY avalon_adc128s102_interface_tb IS END ENTITY avalon_adc128s102_interface_tb; ARCHITECTURE sim OF avalon_adc128s102_interface_tb IS CONSTANT main_period : TIME := 8 ns; -- 125MHz CONSTANT unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := x"00616463"; --adc SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '1'; SIGNAL slv_avs_address : STD_LOGIC_VECTOR (c_adc128S102_address_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL sl_avs_read : STD_LOGIC:= '0'; SIGNAL sl_avs_write : STD_LOGIC:= '0'; SIGNAL slv_avs_write_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_read_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_byteenable : STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0) := (OTHERS =>'1'); SIGNAL sl_sclk : STD_LOGIC:= '0'; SIGNAL slv_Ss : STD_LOGIC:= '0'; SIGNAL sl_mosi : STD_LOGIC:= '0'; SIGNAL sl_miso : STD_LOGIC:= '0'; BEGIN --create component my_unit_under_test : avalon_adc128s102_interface GENERIC MAP( BASE_CLK => 33000000, SCLK_FREQUENCY => 1000000, unique_id => unique_id ) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, islv_avs_address => slv_avs_address, isl_avs_read => sl_avs_read, isl_avs_write => sl_avs_write, islv_avs_write_data => slv_avs_write_data, oslv_avs_read_data => slv_avs_read_data, osl_sclk => sl_sclk, oslv_Ss => slv_Ss, osl_mosi => sl_mosi, isl_miso => sl_miso, islv_avs_byteenable => slv_avs_byteenable ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '0'; WAIT FOR 100*main_period; sl_reset_n <= '1'; WAIT FOR main_period/2; --test id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_typdef_address,c_adc128S102_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(c_adc128S102_interface_version,c_fLink_interface_version_length)) REPORT "Interface Version Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_interface_version_length+c_fLink_subtype_length-1 DOWNTO c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(c_adc128S102_subtype_id,c_fLink_subtype_length)) REPORT "Subtype ID Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_avs_data_width-1 DOWNTO c_fLink_interface_version_length+c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(c_fLink_analog_input_id,c_fLink_id_length)) REPORT "Type ID Missmatch" SEVERITY FAILURE; --test mem size register register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_mem_size_address,c_adc128S102_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT to_integer(UNSIGNED(slv_avs_read_data)) = 4*INTEGER(2**c_adc128S102_address_width) REPORT "Memory Size Error: "&INTEGER'IMAGE(4*INTEGER(2**NUMBER_OF_CHANNELS))&"/"&INTEGER'IMAGE(to_integer(UNSIGNED(slv_avs_read_data))) SEVERITY FAILURE; --test unic id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_unique_id_address,c_adc128S102_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data = unique_id REPORT "Unic Id Error" SEVERITY FAILURE; --test number of channels register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_channels_address,c_adc128S102_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(NUMBER_OF_CHANNELS,c_fLink_interface_version_length)) REPORT "Number of Channels Error" SEVERITY FAILURE; WAIT FOR 10000*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; END ARCHITECTURE sim;
--Legal Notice: (C)2015 Altera Corporation. All rights reserved. Your --use of Altera Corporation's design tools, logic functions and other --software and tools, and its AMPP partner logic functions, and any --output files any of the foregoing (including device programming or --simulation files), and any associated documentation or information are --expressly subject to the terms and conditions of the Altera Program --License Subscription Agreement or other applicable license agreement, --including, without limitation, that your use is for the sole purpose --of programming logic devices manufactured by Altera and sold by Altera --or its authorized distributors. Please refer to the applicable --agreement for further details. -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library altera_mf; use altera_mf.altera_mf_components.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity Video_System_CPU_jtag_debug_module_sysclk is port ( -- inputs: signal clk : IN STD_LOGIC; signal ir_in : IN STD_LOGIC_VECTOR (1 DOWNTO 0); signal sr : IN STD_LOGIC_VECTOR (37 DOWNTO 0); signal vs_udr : IN STD_LOGIC; signal vs_uir : IN STD_LOGIC; -- outputs: signal jdo : OUT STD_LOGIC_VECTOR (37 DOWNTO 0); signal take_action_break_a : OUT STD_LOGIC; signal take_action_break_b : OUT STD_LOGIC; signal take_action_break_c : OUT STD_LOGIC; signal take_action_ocimem_a : OUT STD_LOGIC; signal take_action_ocimem_b : OUT STD_LOGIC; signal take_action_tracectrl : OUT STD_LOGIC; signal take_action_tracemem_a : OUT STD_LOGIC; signal take_action_tracemem_b : OUT STD_LOGIC; signal take_no_action_break_a : OUT STD_LOGIC; signal take_no_action_break_b : OUT STD_LOGIC; signal take_no_action_break_c : OUT STD_LOGIC; signal take_no_action_ocimem_a : OUT STD_LOGIC; signal take_no_action_tracemem_a : OUT STD_LOGIC ); end entity Video_System_CPU_jtag_debug_module_sysclk; architecture europa of Video_System_CPU_jtag_debug_module_sysclk is component altera_std_synchronizer is GENERIC ( depth : NATURAL ); PORT ( signal dout : OUT STD_LOGIC; signal clk : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; signal din : IN STD_LOGIC ); end component altera_std_synchronizer; signal enable_action_strobe : STD_LOGIC; signal internal_jdo1 : STD_LOGIC_VECTOR (37 DOWNTO 0); signal ir : STD_LOGIC_VECTOR (1 DOWNTO 0); signal jxuir : STD_LOGIC; signal sync2_udr : STD_LOGIC; signal sync2_uir : STD_LOGIC; signal sync_udr : STD_LOGIC; signal sync_uir : STD_LOGIC; signal unxunused_resetxx2 : STD_LOGIC; signal unxunused_resetxx3 : STD_LOGIC; signal update_jdo_strobe : STD_LOGIC; attribute ALTERA_ATTRIBUTE : string; attribute ALTERA_ATTRIBUTE of jdo : signal is "SUPPRESS_DA_RULE_INTERNAL=""D101,R101"""; attribute ALTERA_ATTRIBUTE of sync2_udr : signal is "SUPPRESS_DA_RULE_INTERNAL=""D101,D103"""; attribute ALTERA_ATTRIBUTE of sync2_uir : signal is "SUPPRESS_DA_RULE_INTERNAL=""D101,D103"""; begin unxunused_resetxx2 <= std_logic'('1'); the_altera_std_synchronizer2 : altera_std_synchronizer generic map( depth => 2 ) port map( clk => clk, din => vs_udr, dout => sync_udr, reset_n => unxunused_resetxx2 ); unxunused_resetxx3 <= std_logic'('1'); the_altera_std_synchronizer3 : altera_std_synchronizer generic map( depth => 2 ) port map( clk => clk, din => vs_uir, dout => sync_uir, reset_n => unxunused_resetxx3 ); process (clk) begin if clk'event and clk = '1' then sync2_udr <= sync_udr; update_jdo_strobe <= sync_udr AND NOT sync2_udr; enable_action_strobe <= update_jdo_strobe; sync2_uir <= sync_uir; jxuir <= sync_uir AND NOT sync2_uir; end if; end process; take_action_ocimem_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("00"))))) AND NOT internal_jdo1(35)) AND internal_jdo1(34); take_no_action_ocimem_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("00"))))) AND NOT internal_jdo1(35)) AND NOT internal_jdo1(34); take_action_ocimem_b <= (enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("00"))))) AND internal_jdo1(35); take_action_tracemem_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("01"))))) AND NOT internal_jdo1(37)) AND internal_jdo1(36); take_no_action_tracemem_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("01"))))) AND NOT internal_jdo1(37)) AND NOT internal_jdo1(36); take_action_tracemem_b <= (enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("01"))))) AND internal_jdo1(37); take_action_break_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND NOT internal_jdo1(36)) AND internal_jdo1(37); take_no_action_break_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND NOT internal_jdo1(36)) AND NOT internal_jdo1(37); take_action_break_b <= (((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND internal_jdo1(36)) AND NOT internal_jdo1(35)) AND internal_jdo1(37); take_no_action_break_b <= (((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND internal_jdo1(36)) AND NOT internal_jdo1(35)) AND NOT internal_jdo1(37); take_action_break_c <= (((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND internal_jdo1(36)) AND internal_jdo1(35)) AND internal_jdo1(37); take_no_action_break_c <= (((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND internal_jdo1(36)) AND internal_jdo1(35)) AND NOT internal_jdo1(37); take_action_tracectrl <= (enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("11"))))) AND internal_jdo1(15); process (clk) begin if clk'event and clk = '1' then if std_logic'(jxuir) = '1' then ir <= ir_in; end if; if std_logic'(update_jdo_strobe) = '1' then internal_jdo1 <= sr; end if; end if; end process; --vhdl renameroo for output signals jdo <= internal_jdo1; end europa;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2239.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p01n01i02239ent IS END c07s02b06x00p01n01i02239ent; ARCHITECTURE c07s02b06x00p01n01i02239arch OF c07s02b06x00p01n01i02239ent IS BEGIN TESTING: PROCESS type BYTE is array(7 downto 0) of BIT; variable BYTEV : BYTE; variable k : integer; BEGIN k := BYTEV rem BYTEV; assert FALSE report "***FAILED TEST: c07s02b06x00p01n01i02239 - Operators mod and rem are predefined for any integer type only." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p01n01i02239arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2239.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p01n01i02239ent IS END c07s02b06x00p01n01i02239ent; ARCHITECTURE c07s02b06x00p01n01i02239arch OF c07s02b06x00p01n01i02239ent IS BEGIN TESTING: PROCESS type BYTE is array(7 downto 0) of BIT; variable BYTEV : BYTE; variable k : integer; BEGIN k := BYTEV rem BYTEV; assert FALSE report "***FAILED TEST: c07s02b06x00p01n01i02239 - Operators mod and rem are predefined for any integer type only." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p01n01i02239arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2239.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p01n01i02239ent IS END c07s02b06x00p01n01i02239ent; ARCHITECTURE c07s02b06x00p01n01i02239arch OF c07s02b06x00p01n01i02239ent IS BEGIN TESTING: PROCESS type BYTE is array(7 downto 0) of BIT; variable BYTEV : BYTE; variable k : integer; BEGIN k := BYTEV rem BYTEV; assert FALSE report "***FAILED TEST: c07s02b06x00p01n01i02239 - Operators mod and rem are predefined for any integer type only." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p01n01i02239arch;
-------------------------------------------------------------------------------- -- Company: UMD ECE -- Engineers: Benjamin Doiron -- -- Create Date: 12:35:25 03/26/2014 -- Design Name: Data To Ascii -- Module Name: data_to_ascii -- Project Name: Risc Machine Project 1 -- Target Device: Spartan 3E Board -- Tool versions: Xilinx 14.7 -- Description: This code takes in output data from the FPU and -- begins the process of outputting it to screen. Data is sent through -- and each grouping of hex data is read individually. Data is collected and -- sent to the VGA as though it were keyboard data. -- -- Currently this is in modification and will change drastically to suit -- the needs of the lab. -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: N/A -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; entity data_to_ascii is Port ( clk : in STD_LOGIC; IN_DATA : in STD_LOGIC_VECTOR (23 downto 0); OUT_ASCII : out STD_LOGIC_VECTOR (7 downto 0); debugoutput : out STD_LOGIC_VECTOR (7 downto 0) ); end data_to_ascii; architecture Behavioral of data_to_ascii is signal counter: integer range 0 to 6; signal datacode : STD_LOGIC_VECTOR(3 downto 0); signal output : STD_LOGIC_VECTOR (7 downto 0); begin process(clk) begin if(clk'event and clk = '1') then case counter is when 0 => datacode <= IN_DATA (23 downto 20); when 1 => datacode <= IN_DATA (19 downto 16); when 2 => datacode <= IN_DATA (15 downto 12); when 3 => datacode <= IN_DATA (11 downto 8); when 4 => datacode <= IN_DATA ( 7 downto 4); when others => datacode <= IN_DATA ( 3 downto 0); end case; case datacode is when x"0" => output <= x"30"; when x"1" => output <= x"31"; when x"2" => output <= x"32"; when x"3" => output <= x"33"; when x"4" => output <= x"34"; when x"5" => output <= x"35"; when x"6" => output <= x"36"; when x"7" => output <= x"37"; when x"8" => output <= x"38"; when x"9" => output <= x"39"; when x"A" => output <= x"41"; when x"B" => output <= x"42"; when x"C" => output <= x"43"; when x"D" => output <= x"44"; when x"E" => output <= x"45"; when x"F" => output <= x"46"; when others => output <= x"00"; end case; debugoutput <= output; if (output > x"00") then OUT_ASCII <= output; end if; if (counter > 4) then counter <= counter + 1; else counter <= 0; end if; end if; end process; -- There needs to be something that prevents it from reading the same data over and over -- maybe a flag saying when new dats is sent out? -- Then maybe we could put the data into a buffer or something. -- Right now there could be issues. end Behavioral;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.axistream_bfm_pkg.all; use work.vvc_methods_pkg.all; -- shared_axistream_vvc_config use work.vvc_cmd_pkg.all; use work.td_target_support_pkg.all; use work.td_vvc_entity_support_pkg.all; use work.td_cmd_queue_pkg.all; use work.td_result_queue_pkg.all; --======================================================================================================================== entity axistream_vvc is generic ( -- When true: This VVC is an AXI4 Stream master. Data is output from BFM. -- When false: This VVC is an AXI4 Stream slave. Data is input to BFM. GC_VVC_IS_MASTER : boolean; GC_DATA_WIDTH : integer; GC_USER_WIDTH : integer := 1; -- (Note: STRB_WIDTH = DATA_WIDTH/8) GC_ID_WIDTH : integer := 1; GC_DEST_WIDTH : integer := 1; GC_INSTANCE_IDX : natural; GC_PACKETINFO_QUEUE_COUNT_MAX : natural := 1; -- Number of PacketInfo Queues, normally one per source VVC GC_AXISTREAM_BFM_CONFIG : t_axistream_bfm_config := C_AXISTREAM_BFM_CONFIG_DEFAULT; GC_CMD_QUEUE_COUNT_MAX : natural := 1000; GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950; GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := warning; GC_RESULT_QUEUE_COUNT_MAX : natural := 1000; GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950; GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING ); port ( clk : in std_logic; axistream_vvc_if : inout t_axistream_if := init_axistream_if_signals(GC_VVC_IS_MASTER, GC_DATA_WIDTH, GC_USER_WIDTH, GC_ID_WIDTH, GC_DEST_WIDTH) ); begin -- Check the interface widths to assure that the interface was correctly set up assert (axistream_vvc_if.tdata'length = GC_DATA_WIDTH) report "axistream_vvc_if.data'length =/ GC_DATA_WIDTH" severity failure; end entity axistream_vvc; --======================================================================================================================== --======================================================================================================================== architecture behave of axistream_vvc is constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX); constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA); signal executor_is_busy : boolean := false; signal queue_is_increasing : boolean := false; signal last_cmd_idx_executed : natural := 0; signal terminate_current_cmd : t_flag_record; -- Instantiation of the element dedicated Queue shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue; shared variable result_queue : work.td_result_queue_pkg.t_generic_queue; alias vvc_config : t_vvc_config is shared_axistream_vvc_config(GC_INSTANCE_IDX); alias vvc_status : t_vvc_status is shared_axistream_vvc_status(GC_INSTANCE_IDX); alias transaction_info : t_transaction_info is shared_axistream_transaction_info(GC_INSTANCE_IDX); begin --======================================================================================================================== -- Constructor -- - Set up the defaults and show constructor if enabled --======================================================================================================================== work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_AXISTREAM_BFM_CONFIG, GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY); --======================================================================================================================== --======================================================================================================================== -- Command interpreter -- - Interpret, decode and acknowledge commands from the central sequencer --======================================================================================================================== cmd_interpreter : process variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; begin -- 0. Initialize the process prior to first command work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion); -- initialise shared_vvc_last_received_cmd_idx for channel and instance shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := 0; -- Then for every single command from the sequencer loop -- basically as long as new commands are received -- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable) -- releases global semaphore ------------------------------------------------------------------------- work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, shared_vvc_cmd, v_local_vvc_cmd); v_cmd_has_been_acked := false; -- Clear flag -- update shared_vvc_last_received_cmd_idx with received command index shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx; -- 2a. Put command on the queue if intended for the executor ------------------------------------------------------------------------- if v_local_vvc_cmd.command_type = QUEUED then work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing); -- 2b. Otherwise command is intended for immediate response ------------------------------------------------------------------------- elsif v_local_vvc_cmd.command_type = IMMEDIATE then case v_local_vvc_cmd.operation is when AWAIT_COMPLETION => work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed); when AWAIT_ANY_COMPLETION => if not v_local_vvc_cmd.gen_boolean then -- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx); v_cmd_has_been_acked := true; end if; work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion); when DISABLE_LOG_MSG => uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE); when ENABLE_LOG_MSG => uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE); when FLUSH_COMMAND_QUEUE => work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS); when TERMINATE_CURRENT_COMMAND => work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd); when FETCH_RESULT => work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response); when others => tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE); end case; else tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE); end if; -- 3. Acknowledge command after running or queuing the command ------------------------------------------------------------------------- if not v_cmd_has_been_acked then work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx); end if; end loop; end process; --======================================================================================================================== --======================================================================================================================== -- Command executor -- - Fetch and execute the commands --======================================================================================================================== cmd_executor : process variable v_cmd : t_vvc_cmd_record; variable v_result : t_vvc_result; -- See vvc_cmd_pkg variable v_timestamp_start_of_current_bfm_access : time := 0 ns; variable v_timestamp_start_of_last_bfm_access : time := 0 ns; variable v_timestamp_end_of_last_bfm_access : time := 0 ns; variable v_command_is_bfm_access : boolean; begin -- 0. Initialize the process prior to first command ------------------------------------------------------------------------- work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd); loop -- 1. Set defaults, fetch command and log ------------------------------------------------------------------------- work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS); -- Reset the transaction info for waveview --transaction_info := C_TRANSACTION_INFO_DEFAULT; transaction_info.operation := v_cmd.operation; transaction_info.msg := pad_string(to_string(v_cmd.msg), ' ', transaction_info.msg'length); -- Check if command is a BFM access if v_cmd.operation = TRANSMIT or v_cmd.operation = RECEIVE or v_cmd.operation = EXPECT then v_command_is_bfm_access := true; else v_command_is_bfm_access := false; end if; -- Insert delay if needed work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config, command_is_bfm_access => v_command_is_bfm_access, timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access, timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access); if v_command_is_bfm_access then v_timestamp_start_of_current_bfm_access := now; end if; log(ID_BFM, "Running : " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd) & ".", C_SCOPE, vvc_config.msg_id_panel); -- 2. Execute the fetched command ------------------------------------------------------------------------- case v_cmd.operation is -- Only operations in the dedicated record are relevant -- VVC dedicated operations --=================================== when TRANSMIT => check_value(GC_VVC_IS_MASTER, true, TB_ERROR, "Sanity check: Method call only makes sense for master (source) VVC", C_SCOPE, ID_NEVER); -- Put in queue so that the monitor VVC knows what to expect -- Needed when the sink is in Monitor Mode, as an alternative to calling lbusExpect() for each packet transaction_info.numPacketsSent := transaction_info.numPacketsSent + 1; -- Call the corresponding procedure in the BFM package. axistream_transmit( data_array => v_cmd.data_array(0 to v_cmd.data_array_length-1), user_array => v_cmd.user_array(0 to v_cmd.user_array_length-1), strb_array => v_cmd.strb_array(0 to v_cmd.strb_array_length-1), id_array => v_cmd.id_array(0 to v_cmd.id_array_length-1), dest_array => v_cmd.dest_array(0 to v_cmd.dest_array_length-1), msg => format_msg(v_cmd), clk => clk, -- Using the non-record version to avoid fatal error in Modelsim: (SIGSEGV) Bad handle or reference axistream_if_tdata => axistream_vvc_if.tdata, axistream_if_tkeep => axistream_vvc_if.tkeep, axistream_if_tuser => axistream_vvc_if.tuser, axistream_if_tstrb => axistream_vvc_if.tstrb, axistream_if_tid => axistream_vvc_if.tid, axistream_if_tdest => axistream_vvc_if.tdest, axistream_if_tvalid => axistream_vvc_if.tvalid, axistream_if_tlast => axistream_vvc_if.tlast, axistream_if_tready => axistream_vvc_if.tready, scope => C_SCOPE, msg_id_panel => vvc_config.msg_id_panel, config => vvc_config.bfm_config); when RECEIVE => axistream_receive(data_array => v_result.data_array, data_length => v_result.data_length, user_array => v_result.user_array, strb_array => v_result.strb_array, id_array => v_result.id_array, dest_array => v_result.dest_array, msg => format_msg(v_cmd), clk => clk, -- Using the non-record version to avoid fatal error in Questa: (SIGSEGV) Bad handle or reference axistream_if_tdata => axistream_vvc_if.tdata, axistream_if_tkeep => axistream_vvc_if.tkeep, axistream_if_tuser => axistream_vvc_if.tuser, axistream_if_tstrb => axistream_vvc_if.tstrb, axistream_if_tid => axistream_vvc_if.tid, axistream_if_tdest => axistream_vvc_if.tdest, axistream_if_tvalid => axistream_vvc_if.tvalid, axistream_if_tlast => axistream_vvc_if.tlast, axistream_if_tready => axistream_vvc_if.tready, scope => C_SCOPE, msg_id_panel => vvc_config.msg_id_panel, config => vvc_config.bfm_config); -- Store the result work.td_vvc_entity_support_pkg.store_result( result_queue => result_queue, cmd_idx => v_cmd.cmd_idx, result => v_result ); when EXPECT => -- Call the corresponding procedure in the BFM package. axistream_expect( exp_data_array => v_cmd.data_array(0 to v_cmd.data_array_length-1), exp_user_array => v_cmd.user_array(0 to v_cmd.user_array_length-1), exp_strb_array => v_cmd.strb_array(0 to v_cmd.strb_array_length-1), exp_id_array => v_cmd.id_array(0 to v_cmd.id_array_length-1), exp_dest_array => v_cmd.dest_array(0 to v_cmd.dest_array_length-1), msg => format_msg(v_cmd), clk => clk, -- Using the non-record version to avoid fatal error in Questa: (SIGSEGV) Bad handle or reference axistream_if_tdata => axistream_vvc_if.tdata, axistream_if_tkeep => axistream_vvc_if.tkeep, axistream_if_tuser => axistream_vvc_if.tuser, axistream_if_tstrb => axistream_vvc_if.tstrb, axistream_if_tid => axistream_vvc_if.tid, axistream_if_tdest => axistream_vvc_if.tdest, axistream_if_tvalid => axistream_vvc_if.tvalid, axistream_if_tlast => axistream_vvc_if.tlast, axistream_if_tready => axistream_vvc_if.tready, alert_level => v_cmd.alert_level, scope => C_SCOPE, msg_id_panel => vvc_config.msg_id_panel, config => vvc_config.bfm_config); -- UVVM common operations --=================================== when INSERT_DELAY => log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, vvc_config.msg_id_panel); if v_cmd.gen_integer_array(0) = -1 then -- Delay specified using time wait until terminate_current_cmd.is_active = '1' for v_cmd.delay; else -- Delay specified using integer wait until terminate_current_cmd.is_active = '1' for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.clock_period; end if; when others => tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE); end case; if v_command_is_bfm_access then v_timestamp_end_of_last_bfm_access := now; v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access; if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and ((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " & to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE); end if; end if; -- Reset terminate flag if any occurred if (terminate_current_cmd.is_active = '1') then log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, vvc_config.msg_id_panel); uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd); end if; last_cmd_idx_executed <= v_cmd.cmd_idx; -- Reset the transaction info for waveview transaction_info := C_TRANSACTION_INFO_DEFAULT; end loop; end process; --======================================================================================================================== --======================================================================================================================== -- Command termination handler -- - Handles the termination request record (sets and resets terminate flag on request) --======================================================================================================================== cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset --======================================================================================================================== end behave;
entity FIFO is port ( I_INPUT : in integer; O_OUTPUT : out integer ); end entity FIFO; entity FIFO is port ( I_INPUT : in integer; O_OUTPUT : out integer ); end entity FIFO; entity FIFO is generic ( G_GENERIC : integer ); port ( I_INPUT : in integer; O_OUTPUT : out integer ); end entity FIFO;
------------------------------------------------------------------------------- -- $Id: master_attachment.vhd,v 1.13 2004/11/23 01:04:03 jcanaris Exp $ ------------------------------------------------------------------------------- -- Master Attachment - entity and architecture ------------------------------------------------------------------------------- -- -- **************************** -- ** Copyright Xilinx, Inc. ** -- ** All rights reserved. ** -- **************************** -- ------------------------------------------------------------------------------- -- Filename: master_attachment.vhd -- -- Description: Master attachment for Xilinx OPB -- ------------------------------------------------------------------------------- -- -- master_attachment.vhd -- addr_load_and_incr.vhd -- ------------------------------------------------------------------------------- -- Author: MLL -- History: -- MLL 05/09/01 -- First version -- -- MLL 09/18/01 -- Changed construct from if-then to state machine -- -- FLO 12/13/01 -- ^^^^^^ -- Fixed component declaration addr_load_and_incr. -- ~~~~~~ -- -- FLO 1/2/02 -- ^^^^^^ -- Removed _gtd from signals. -- ~~~~~~ -- FLO 5/2/02 -- ^^^^^^ -- Removed _gtd from signals. -- ~~~~~~ -- -- FLO 5/14/02 -- ^^^^^^ -- Retained-state retry optimization. -- ~~~~~~ -- FLO 06/24/02 -- ^^^^^^ -- Implemented dynamic byte-enable capability. -- ~~~~~~ -- FLO 06/28/02 -- ^^^^^^ -- Moved the contents of mst_module.vhd into a block in this file. -- ~~~~~~ -- FLO 09/24/02 -- ^^^^^^ -- Changed the implementation of signal DMA_Request_HasPriority -- so that master arbitration has a least recently serviced -- grant behavior. Previous to the change, one master could -- lock out the other for as long as it immediately re-requested. -- ~~~~~~ -- FLO 10/11/02 -- ^^^^^^ -- Added state and logic to remember the outgoing master address that -- is destroyed by the act of release of the bus (see Note, below) -- and to use the remembered "shadow" address when restarting transactions under -- retained-state retry. Adds about 33 FF and 34 LUT. -- -- Note: Destroyed by using the reset of the address counter as a -- way of driving zero to the bus.) -- ~~~~~~ -- FLO 11/06/02 -- ^^^^^^ -- Added signal retained_state_retry_active to the sensitivity list for -- the state-machine combinatorial process. -- ~~~~~~ -- FLO 11/19/02 -- ^^^^^^ -- Master read operations do not start until new signal SA2MA_PostedWrInh -- is false. -- ~~~~~~ -- FLO 11/19/02 -- ^^^^^^ -- Added generic C_MASTER_ARB_MODEL, which allows for user-parameterized -- arbitration behavior when there are both DMA and IP masters. Supports -- fair, DMA-priority and IP-priority modes. -- ~~~~~~ -- FLO 11/26/02 -- ^^^^^^ -- Master read operations from the IP master do *not* wait until -- SA2MA_PostedWrInh is false. (See first 11/19/02, above.) -- ~~~~~~ -- FLO 11/26/02 -- ^^^^^^ -- - Toggle priority when retry is not handled as retained-state. -- - Added handling when SA reports that a master write operation -- has received a retry on the first IPIC read. -- ~~~~~~ -- FLO 01/07/03 -- ^^^^^^ -- - Added one clock cycle of delay to Bus2IP_MstRdAck and Bus2IP_MstLastAck -- for a burst master read. This change makes these two signals assert -- on the same cycle that the corresponding IPIC posted write is -- taking place. Note that this behavior is dependent on the slave -- attachment implementation; any change to the slave attachment's -- MA2SA_XferAck to Bus2IP_WrReq timing needs a corresponding adjustment -- here. -- ~~~~~~ -- FLO 02/21/03 -- ^^^^^^ -- - Fixed incompatibility with grant parking onto this master. -- Details: Several places OPB_MnGrant was used under the assumption that -- it would only assert when Mn_request was true. Under parking, this -- assumption doesn't hold. The fix is to qualify OPB_MnGrant by -- anding it with Mn_request to produce qualified grant signal -- bus_mngrant_i. This qualified signal is used locally in the -- master attachment and is passed as Bus_MnGrant to the slave attachment. -- ~~~~~~ -- FLO 05/18/2003 -- ^^^^^^ -- Changed the ack_counter to automatically adjust its required range -- from the C_MA2SA_NUM_WIDTH parameter. Previously this was hard-coded -- for size 8 bursts. -- ~~~~~~ -- FLO 05/21/2004 -- ^^^^^^ -- The signal XXX2Bus_MstBE is now available one cycle earlier so that it -- will be valid when Mst_rd_starting_pulse pulses for one clock. This -- fixes a problem where, if both DMA and IP masters are present, -- the wrong MstBE values would be placed into the "BE FIFO" for -- locally mastered read operations. -- ~~~~~~ -- FLO 05/26/2004 -- ^^^^^^ -- Added signal SA2MA_TimeOut to the interface. Assertion of this new -- signal will terminate a master transaction with Bus2IP_MstTimeOut. -- ~~~~~~ -- FLO 05/26/2004 -- ^^^^^^ -- Drive the low-order two Mn_Abus bits to match the numerically lowest -- Mn_BE bit that is asserted. -- ~~~~~~ -- FLO 05/27/2004 -- ^^^^^^ -- Removal of an VHDL alias construct. -- ~~~~~~ -- FLO 08/11/2004 -- ^^^^^^ -- Added ouput port MA2SA_RSRA (retained_state_retry_active). -- ~~~~~~ -- FLO 09/24/2004 -- ^^^^^^ -- Changed from up to down counter for counting acks. -- (Part of v2_00_i 1.1 -> 1.3) -- ~~~~~~ -- FLO 09/24/2004 -- ^^^^^^ -- -Added signal SA2MA_BufOccMinus1. -- -Implemented write of any read data to the OPB before responding with -- Bus2IP_MstRetry if the retry is signaled via SA2MA_Retry. -- -Distinguish "clean retry" (all data, which is partial, is written -- before retry) and "dirty retry" (some data read from IPIC but not -- written to OPB before retry. Use Bus2IP_MstLastAck asserted concurrently -- with Bus2IP_MstRetry as the indication of clean retry. -- -Using bus2ip_msttimeout_i to exit state -- Wait_for_Rdrdy on the timeout event. -- ~~~~~~ -- FLO 10/27/2004 -- ^^^^^^ -- - On locally mastered writes, mn_seqaddr gets asserted if and only if -- multiple beats have been buffered. -- ~~~~~~ -- LCW Nov 8, 2004 -- updated for NCSim ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library opb_ipif_v2_00_h; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std."="; library proc_common_v1_00_b; use proc_common_v1_00_b.proc_common_pkg.log2; use proc_common_v1_00_b.ld_arith_reg; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- entity master_attachment is generic ( C_OPB_ABUS_WIDTH : integer; -- 32 bits C_OPB_DBUS_WIDTH : integer; -- Only 32 bits is --supported due to the fact the the DMA registers --were only defined for a 32-bit bus C_MA2SA_NUM_WIDTH : integer :=4; -- 4 bits C_DMA_ONLY : boolean; -- No IP-Master function C_IP_MSTR_ONLY : boolean; -- No DMA-Master function --Only one of C_DMA_ONLY or C_IP_MSTR_ONLY can be true C_MASTER_ARB_MODEL : integer := 0 -- 0:FAIR 1:DMA_PRIORITY 2:IP_PRIORITY ); port( Reset : in STD_LOGIC; --OPB ports OPB_Clk : in STD_LOGIC; OPB_MnGrant : in STD_LOGIC; OPB_XferAck : in STD_LOGIC; OPB_ErrAck : in STD_LOGIC; OPB_TimeOut : in STD_LOGIC; OPB_Retry : in STD_LOGIC; --Master Attachment to OPB ports Mn_Request : out STD_LOGIC; Mn_Select : out STD_LOGIC; Mn_RNW : out STD_LOGIC; Mn_SeqAddr : out STD_LOGIC; Mn_BusLock : out STD_LOGIC; Mn_BE : out STD_LOGIC_VECTOR(0 to C_OPB_DBUS_WIDTH/8-1); Mn_ABus : out STD_LOGIC_VECTOR(0 to C_OPB_ABUS_WIDTH-1); --Master Attachment to SA ports Bus_MnGrant : out STD_LOGIC; MA2SA_Select : out STD_LOGIC; MA2SA_XferAck : out STD_LOGIC; MA2SA_Retry : out STD_LOGIC; MA2SA_RSRA : out STD_LOGIC; MA2SA_Rd : out STD_LOGIC; MA2SA_Num : out STD_LOGIC_VECTOR(0 to C_MA2SA_NUM_WIDTH-1); SA2MA_RdRdy : in STD_LOGIC; SA2MA_WrAck : in STD_LOGIC; SA2MA_Retry : in STD_LOGIC; SA2MA_Error : in STD_LOGIC; SA2MA_FifoRd : in STD_LOGIC; SA2MA_FifoWr : in STD_LOGIC; SA2MA_FifoBu : in STD_LOGIC; SA2MA_PostedWrInh : in STD_LOGIC; SA2MA_TimeOut : in STD_LOGIC; SA2MA_BufOccMinus1 : in STD_LOGIC_VECTOR(0 to 4); --Master Attachment from IP ports Mstr_Sel_ma : out STD_LOGIC; --Master Attachment from IP ports IP2Bus_Addr : in STD_LOGIC_VECTOR(0 to C_OPB_ABUS_WIDTH-1) := (others => '0'); IP2Bus_MstBE : in STD_LOGIC_VECTOR(0 to C_OPB_DBUS_WIDTH/8-1) := (others => '0'); IP2Bus_MstWrReq : in STD_LOGIC := '0'; IP2Bus_MstRdReq : in STD_LOGIC := '0'; IP2Bus_MstBurst : in STD_LOGIC := '0'; IP2Bus_MstBusLock : in STD_LOGIC := '0'; --Master Attachment to IP ports Bus2IP_MstWrAck_ma : out STD_LOGIC; Bus2IP_MstRdAck_ma : out STD_LOGIC; Bus2IP_MstRetry : out STD_LOGIC; Bus2IP_MstError : out STD_LOGIC; Bus2IP_MstTimeOut : out STD_LOGIC; Bus2IP_MstLastAck : out STD_LOGIC; --Master Attachment from DMA ports DMA2Bus_Addr : in STD_LOGIC_VECTOR(0 to C_OPB_ABUS_WIDTH-1) := (others => '0'); DMA2Bus_MstBE : in STD_LOGIC_VECTOR(0 to C_OPB_DBUS_WIDTH/8-1) := (others => '0'); DMA2Bus_MstWrReq : in STD_LOGIC := '0'; DMA2Bus_MstRdReq : in STD_LOGIC := '0'; DMA2Bus_MstNum : in STD_LOGIC_VECTOR(0 to C_MA2SA_NUM_WIDTH-1); DMA2Bus_MstBurst : in STD_LOGIC := '0'; DMA2Bus_MstBusLock : in STD_LOGIC := '0' ); end master_attachment; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of master_attachment is constant ZEROES : std_logic_vector(0 to 256) := (others => '0'); constant RESET_ACTIVE: std_logic := '1'; type bo2sl_type is array (boolean) of std_logic; constant bo2sl_table : bo2sl_type := ('0', '1'); function bo2sl(b: boolean) return std_logic is begin return bo2sl_table(b); end bo2sl; constant RETAIN_ADDRESS_OVER_RETRY : boolean := not C_DMA_ONLY; -- The dma_sg takes the responsibility of keeping the presented -- master address up-to-date with successful bus transfers; -- extra logic to maintain the address under retained-state-retry -- operation can be ommitted if dma_sg is the only master. constant FAIR : integer := 0; constant DMA_PRIORITY : integer := 0; constant IP_PRIORITY : integer := 0; --signals signal MA2SA_XferAck_i : std_logic; signal Mst_SM_cs_EQ_Wait_state : std_logic; signal Mst_SM_cs_EQ_Wait_For_Req : std_logic; signal Bus2IP_MstLastAck_i : std_logic; signal MA2SA_Num_i : std_logic_vector(0 to C_MA2SA_NUM_WIDTH-1); signal DMA_sel_IP_sel_not : std_logic; signal DMA_sel_IP_sel_not_p1 : std_logic; signal DMA_Request_HasPriority : std_logic; signal Reset_withNotReqs : std_logic; signal XXX2Bus_MstBurst : std_logic; signal XXX2Bus_MstBusLock : std_logic; signal XXX2Bus_MstRdReq : std_logic; signal XXX2Bus_MstWrReq : std_logic; signal XXX2Bus_RNW : std_logic; signal XXX2Bus_MstBE : std_logic_vector(0 to C_OPB_DBUS_WIDTH/8-1); signal xxx2bus_mstbe_fifo : std_logic_vector(0 to C_OPB_DBUS_WIDTH/8-1); signal XXX2Bus_Addr : std_logic_vector(0 to C_OPB_ABUS_WIDTH-1); signal Xfer_in_progress : std_logic; signal FDRE_CE : std_logic; signal FDRE_Reset : std_logic; signal FDRE_SeqAddr_BusLock_Reset: std_logic; signal Incr_N_Load : std_logic; signal FDRE_MA2SA_Rd_Reset : std_logic; signal Get_off_OPB_nxt_clk : std_logic; signal Clear_SeqAddr_BusLock : std_logic; signal Mst_rd_starting_pulse : std_logic; signal be_fifo_wr : std_logic; signal ma2sa_rd_i : std_logic; signal bus_mngrant_i : std_logic; signal mn_request_i : std_logic; signal be_fifo_bu : std_logic_vector(0 to 3 --ToDo, eventually from generics ) := "0000"; signal loadable_Bus_Addr : std_logic_vector(0 to C_OPB_ABUS_WIDTH-1); signal mn_abus_i : std_logic_vector(0 to C_OPB_ABUS_WIDTH-1); signal mn_abus_shadow : std_logic_vector(0 to C_OPB_ABUS_WIDTH-1); signal retained_state_retry_active : std_logic; signal retained_state_retry_active_p1 : std_logic; signal FDRE_CE_d1 : std_logic; signal toggle_priority : std_logic; signal sa2ma_bufocc_eq0 : std_logic; signal sa2ma_bufocc_eq1 : std_logic; signal ipic_rd_was_retried : std_logic; signal all_buffered_data_written : std_logic; signal ma2sa_rd_i_set : std_logic; signal bus2ip_msttimeout_i : std_logic; signal mn_seqaddr_cmb : std_logic; signal multiple_beats : std_logic; begin --Combinatorial operations Mstr_Sel_ma <= DMA_sel_IP_sel_not; FDRE_CE <= bus_mngrant_i or MA2SA_XferAck_i; MA2SA_XferAck <= MA2SA_XferAck_i; Bus2IP_MstLastAck <= Bus2IP_MstLastAck_i; sa2ma_bufocc_eq0 <= SA2MA_BufOccMinus1(0); sa2ma_bufocc_eq1 <= bo2sl(SA2MA_BufOccMinus1(1 to 4) = "0000"); FDRE_Reset <= Get_off_OPB_nxt_clk or Reset_withNotReqs; I_LUT4: LUT4 --Generate reset signal to force reset when master aborts request generic map( INIT => X"AAAE" ) port map( O => Reset_withNotReqs, I0 => Reset, I1 => Xfer_in_progress, I2 => XXX2Bus_MstWrReq, I3 => XXX2Bus_MstRdReq ); Mn_ABus <= mn_abus_i; I_Addr_ld_inc: entity opb_ipif_v2_00_h.addr_load_and_incr --Instantiate module to load word address bus and increment when bursting generic map( C_BUS_WIDTH => C_OPB_ABUS_WIDTH-2 ) port map( Bus_Clk => OPB_Clk, FDRE_CE => FDRE_CE, FDRE_Reset => FDRE_Reset, Incr_N_Load => Incr_N_Load, Bus_input => loadable_Bus_Addr(0 to C_OPB_ABUS_WIDTH-3), Bus_output => mn_abus_i(0 to C_OPB_ABUS_WIDTH-3) ); Mn_ABus_byte_bits_vector_Generate_0: for j in C_OPB_ABUS_WIDTH-2 to C_OPB_ABUS_WIDTH-2 generate --Instantiate FF to load high byte-lane bit in 32-bit bus signal bit0 : std_logic; signal X : std_logic_vector(0 to 3); begin X <= xxx2bus_mstbe_fifo; -- Hand optimized expression for the high bit of the four byte-lane case. -- True if the first bit of X, scanning from left to right, is 2 or 3. bit0 <= ( not X(0) and not X(1) and X(3) ) or ( not X(0) and not X(1) and X(2) ); -- I_FDRE: FDRE port map( Q => mn_abus_i(j), C => OPB_Clk, CE => FDRE_CE, D => bit0, R => FDRE_Reset ); end generate Mn_ABus_byte_bits_vector_Generate_0; Mn_ABus_byte_bits_vector_Generate_1: for j in C_OPB_ABUS_WIDTH-1 to C_OPB_ABUS_WIDTH-1 generate --Instantiate FF to load low byte-lane bit in 32-bit bus signal bit1 : std_logic; signal X : std_logic_vector(0 to 3); begin X <= xxx2bus_mstbe_fifo; -- Hand optimized expression for the low bit of the four byte-lane case. -- True if the first bit of X, scanning from left to right, is 1 or 3. bit1 <= ( not X(0) and X(1) ) or ( not X(0) and not X(2) and X(3) ); -- I_FDRE: FDRE port map( Q => mn_abus_i(j), C => OPB_Clk, CE => FDRE_CE, D => bit1, R => FDRE_Reset ); end generate Mn_ABus_byte_bits_vector_Generate_1; -------------------------------------------------------------------------------- -- The update clock cycle for the mn_abus_shadow is one clock after the -- update of mn_abus. This timing relationship is established here. -------------------------------------------------------------------------------- I_RDRE_CE_D1: FDE port map( Q => FDRE_CE_d1, D => FDRE_CE, C => OPB_Clk, CE => '1' ); -------------------------------------------------------------------------------- -- Register to shadow the Mn_ABus; can be used to restore the address under -- retained-state retry. All changes are shadowed except the clear caused by -- FDRE_Reset for the purpose of releasing opb_abus. -------------------------------------------------------------------------------- INCLUDE_MN_ABUS_SHADOW: if RETAIN_ADDRESS_OVER_RETRY generate MN_ABUS_SHADOW_GEN: for i in 0 to C_OPB_ABUS_WIDTH-1 generate FDE_I: FDE port map( Q => mn_abus_shadow(i), D => mn_abus_i(i), C => OPB_Clk, CE => FDRE_CE_d1 ); end generate; end generate; I_SeqAddr_BusLock_LUT2: LUT2 --Generate reset signal to force reset of Mn_SeqAddr and Mn_BusLock generic map( INIT => X"E" ) port map( O => FDRE_SeqAddr_BusLock_Reset, I0 => FDRE_Reset, I1 => Clear_SeqAddr_BusLock ); I_FDRE_Mn_BusLock: FDRE --Instantiate module to gate BusLock signal port map( Q => Mn_BusLock, C => OPB_Clk, CE => FDRE_CE, D => XXX2Bus_MstBusLock, R => FDRE_SeqAddr_BusLock_Reset ); MULTIPLE_BEATS_PROC : process(OPB_Clk) begin if OPB_Clk'event and OPB_Clk = '1' then if Reset = '1' then multiple_beats <= '0'; elsif SA2MA_RdRdy = '1' then multiple_beats <= not sa2ma_bufocc_eq0 and not sa2ma_bufocc_eq1; -- Two or more -- beats have been buffered for -- transfer to the OPB. end if; end if; end process; mn_seqaddr_cmb <= XXX2Bus_MstBurst and ( XXX2Bus_MstRdReq or multiple_beats ); I_FDRE_Mn_SeqAddr: FDRE --Instantiate module to gate Sequential address signal port map( Q => Mn_SeqAddr, C => OPB_Clk, CE => FDRE_CE, D => mn_seqaddr_cmb, R => FDRE_SeqAddr_BusLock_Reset ); Set_RNW_signal_PROCESS: process(XXX2Bus_MstRdReq) --Process to set XXX2Bus_RNW begin if(XXX2Bus_MstRdReq = '1') then XXX2Bus_RNW <= '1'; else XXX2Bus_RNW <= '0'; end if; end process Set_RNW_signal_PROCESS; I_FDRE_Mn_RNW: FDRE --Instantiate module to gate RNW signal port map( Q => Mn_RNW, C => OPB_Clk, CE => FDRE_CE, D => XXX2Bus_RNW, R => FDRE_Reset ); Bit_Enable_vector_Generate: for j in 0 to C_OPB_DBUS_WIDTH/8-1 generate --Instantiate modules to gate Byte enable signals begin I_FDRE_Mn_BE: FDRE port map( Q => Mn_BE(j), C => OPB_Clk, CE => FDRE_CE, D => xxx2bus_mstbe_fifo(j), R => FDRE_Reset ); end generate Bit_Enable_vector_Generate; MA2SA_RD_I_PROC : process (OPB_Clk) begin if OPB_Clk'event and OPB_Clk = '1' then if FDRE_MA2SA_Rd_Reset = '1' then ma2sa_rd_i <= '0'; elsif ma2sa_rd_i_set = '1' then ma2sa_rd_i <= '1'; else null; end if; end if; end process; MA2SA_Rd <= ma2sa_rd_i; FDRE_MA2SA_Rd_Reset <= Reset_withNotReqs or Bus2IP_MstLastAck_i or Mst_SM_cs_EQ_Wait_state; -- Instantiate the FIFO be_fifo_wr <= SA2MA_FifoWr or Mst_rd_starting_pulse; be_fifo_bu(0 to be_fifo_bu'length-2) <= (others => '0'); be_fifo_bu(be_fifo_bu'length-1) <= SA2MA_FifoBu; --ToDo, eventually use a generic and generate to exclude -- this fifo and associated logic when the system does not -- use dynamic byte enables. SLN_DBUS_FIFO: entity proc_common_v1_00_b.srl_fifo_rbu generic map ( C_DWIDTH => C_OPB_DBUS_WIDTH/8, C_DEPTH => 16 ) port map ( Clk => OPB_Clk, Reset => Mst_SM_cs_EQ_Wait_state, FIFO_Write => be_fifo_wr, Data_In => XXX2Bus_MstBe, FIFO_Read => SA2MA_FifoRd, Data_Out => xxx2bus_mstbe_fifo, FIFO_Full => open, FIFO_Empty => open, Addr => open, Num_To_Reread => be_fifo_bu, Underflow => open, Overflow => open ); Bus_MnGrant <= bus_mngrant_i; Mn_Request <= mn_request_i; --************************************************* Include_IP_or_DMA_MUXing: if(not(C_DMA_ONLY) and not(C_IP_MSTR_ONLY)) generate --Muxing of IP master or DMA master signals XXX2Bus_MstRdReq <= ( DMA_sel_IP_sel_not and DMA2Bus_MstRdReq) or (not DMA_sel_IP_sel_not and IP2Bus_MstRdReq); XXX2Bus_MstWrReq <= ( DMA_sel_IP_sel_not and DMA2Bus_MstWrReq) or (not DMA_sel_IP_sel_not and IP2Bus_MstWrReq); XXX2Bus_MstBurst <= ( DMA_sel_IP_sel_not and DMA2Bus_MstBurst) or (not DMA_sel_IP_sel_not and IP2Bus_MstBurst); XXX2Bus_MstBusLock <= XXX2Bus_MstBurst or ( DMA_sel_IP_sel_not and DMA2Bus_MstBusLock) or (not DMA_sel_IP_sel_not and IP2Bus_MstBusLock); XXX2Bus_MstBE_vector_Generate: for j in 0 to C_OPB_DBUS_WIDTH/8-1 generate begin XXX2Bus_MstBE(j) <= DMA2Bus_MstBE(j) when (DMA_sel_IP_sel_not_p1) = '1' else IP2Bus_MstBE(j); end generate XXX2Bus_MstBE_vector_Generate; XXX2Bus_MstABus_vector_Generate: for j in 0 to (C_OPB_ABUS_WIDTH-1) generate begin XXX2Bus_Addr(j) <= ( DMA_sel_IP_sel_not and DMA2Bus_Addr(j)) or (not DMA_sel_IP_sel_not and IP2Bus_Addr(j)); end generate XXX2Bus_MstABus_vector_Generate; end generate Include_IP_or_DMA_MUXing; loadable_Bus_Addr <= mn_abus_shadow when RETAIN_ADDRESS_OVER_RETRY and retained_state_retry_active='1' else XXX2Bus_Addr; DMA_Master_Only: if(C_DMA_ONLY) generate begin XXX2Bus_MstRdReq <= DMA2Bus_MstRdReq; XXX2Bus_MstWrReq <= DMA2Bus_MstWrReq; XXX2Bus_Addr <= DMA2Bus_Addr; XXX2Bus_MstBE <= DMA2Bus_MstBE; XXX2Bus_MstBurst <= DMA2Bus_MstBurst; XXX2Bus_MstBusLock <= DMA2Bus_MstBusLock or DMA2Bus_MstBurst; end generate DMA_Master_Only; IP_Master_Only: if(C_IP_MSTR_ONLY) generate begin XXX2Bus_MstRdReq <= IP2Bus_MstRdReq; XXX2Bus_MstWrReq <= IP2Bus_MstWrReq; XXX2Bus_Addr <= IP2Bus_Addr; XXX2Bus_MstBE <= IP2Bus_MstBE; XXX2Bus_MstBurst <= IP2Bus_MstBurst; XXX2Bus_MstBusLock <= IP2Bus_MstBusLock or IP2Bus_MstBurst; end generate IP_Master_Only; Set_Value_of_MA2SA_Num_PROCESS: process( DMA_sel_IP_sel_not, IP2Bus_MstBurst, DMA2Bus_MstNum ) begin if(DMA_sel_IP_sel_not = '0') then MA2SA_Num_i <= (others => '0'); MA2SA_Num_i(MA2SA_Num'right-3) <= IP2Bus_MstBurst; MA2SA_Num_i(MA2SA_Num'right ) <= not IP2Bus_MstBurst; else MA2SA_Num_i <= DMA2Bus_MstNum; end if; end process Set_Value_of_MA2SA_Num_PROCESS; MA2SA_Num <= MA2SA_Num_i; No_Arbiter_DMA_Only: if(C_DMA_ONLY) generate --Fix DMA_sel_IP_sel_not if DMA only begin DMA_sel_IP_sel_not <= '1'; DMA_sel_IP_sel_not_p1 <= '1'; DMA_Request_HasPriority <= '1'; end generate No_Arbiter_DMA_Only; No_Arbiter_IP_Master_Only: if(C_IP_MSTR_ONLY) generate --Fix DMA_sel_IP_sel_not if IP master only begin DMA_sel_IP_sel_not <= '0'; DMA_sel_IP_sel_not_p1 <= '0'; DMA_Request_HasPriority <= '0'; end generate No_Arbiter_IP_Master_Only; Insert_Arbiter: if(not(C_DMA_ONLY) and not(C_IP_MSTR_ONLY)) generate Priority_Arbitration_PROCESS: process(OPB_Clk) --Process to set priority for IP and DMA requests that occur at the --same time begin if(OPB_Clk'event and OPB_Clk = '1') then ----------------------------------------------------------------------- -- Keep track of priority. ----------------------------------------------------------------------- if(Reset = RESET_ACTIVE) then DMA_Request_HasPriority <= '0'; elsif toggle_priority = '1' then DMA_Request_HasPriority <= not(DMA_Request_HasPriority); elsif (C_MASTER_ARB_MODEL = DMA_PRIORITY) then DMA_Request_HasPriority <= '1'; elsif (C_MASTER_ARB_MODEL = IP_PRIORITY) then DMA_Request_HasPriority <= '0'; elsif (C_MASTER_ARB_MODEL = FAIR) and (Bus2IP_MstLastAck_i = '1') then DMA_Request_HasPriority <= not(DMA_sel_IP_sel_not); end if; ----------------------------------------------------------------------- -- Master selection. ----------------------------------------------------------------------- end if; end process Priority_Arbitration_PROCESS; DMA_sel_IP_sel_not_p1 <= not bo2sl(C_MASTER_ARB_MODEL = IP_PRIORITY) -- when (Reset = RESET_ACTIVE) else -- Reset condition (DMA2Bus_MstWrReq or (DMA2Bus_MstRdReq and not SA2MA_PostedWrInh)) and (DMA_Request_HasPriority or not (IP2Bus_MstWrReq or IP2Bus_MstRdReq)) ------------------------------------------------------------- -- Above, new value is true when -- DMA requesting and either DMA has priority or IP not -- requesting. ------------------------------------------------------------- -- when (Mst_SM_cs_EQ_Wait_For_Req and -- Condition to compute new (DMA2Bus_MstWrReq or DMA2Bus_MstRdReq or IP2Bus_MstWrReq or IP2Bus_MstRdReq) ) = '1' else DMA_sel_IP_sel_not; -- Otherwise, retain state ARB_REG_PROC : process(OPB_Clk) begin if OPB_Clk'event and OPB_Clk = '1' then DMA_sel_IP_sel_not <= DMA_sel_IP_sel_not_p1; end if; end process; end generate Insert_Arbiter; FSM_AND_RELATED_LOGIC: block constant RESET_ACTIVE: std_logic := '1'; --signals signal Mn_Select_i : std_logic; signal Mn_Select_p1 : std_logic; signal Bus2IP_MstLastAck_i_p1: std_logic; signal last_mstrd_burst_ack_d1 : std_logic; signal Bus2IP_MstWrAck_ma_p1 : std_logic; signal Bus2IP_MstRdAck_ma_p1 : std_logic; signal Bus2IP_MstRdAck_ma_p1_d1: std_logic; signal either_ack : std_logic; signal acks_left : std_logic_vector(0 to C_MA2SA_NUM_WIDTH-1); signal acks_left_eq1 : std_logic; signal acks_left_eq2 : std_logic; signal acks_left_ld : std_logic; signal Bus2IP_MstError_Flag : std_logic; signal bus2ip_mstretry_i : std_logic; signal bus2ip_mstretry_i_p1 : std_logic; signal Mst_SM_cs_EQ_Wait_state_i : std_logic; type Master_Attach_SMtype is (Wait_state, Wait_For_Req, Wait_for_RdRdy, Mn_Req, Burst_Count_Acks, Check_Retry_Type ); signal Mst_SM_cs, Mst_SM_ns : Master_Attach_SMtype; begin --Combinatorial operations Incr_N_Load <= not bus_mngrant_i; bus_mngrant_i <= OPB_MnGrant and mn_request_i; MA2SA_XferAck_i <= OPB_XferAck and Mn_Select_i; MA2SA_Retry <= OPB_Retry and Mn_Select_i; MA2SA_RSRA <= retained_state_retry_active; Mn_Select <= Mn_Select_i; MA2SA_Select <= Mn_Select_i; Mst_SM_cs_EQ_Wait_state <= Mst_SM_cs_EQ_Wait_state_i; Bus2IP_MstError <= Bus2IP_MstError_Flag; Get_off_OPB_nxt_clk <= not mn_select_p1; -- State machine combinational process Mst_SM: process (Mst_SM_cs, XXX2Bus_MstWrReq, XXX2Bus_MstRdReq, SA2MA_RdRdy, OPB_TimeOut, OPB_Retry, bus_mngrant_i, MA2SA_XferAck_i, MA2SA_Num_i, Mn_Select_i, bus2ip_msttimeout_i, Bus2IP_MstLastAck_i, Bus2IP_MstRetry_i, DMA2Bus_MstRdReq, DMA2Bus_MstWrReq, SA2MA_PostedWrInh, IP2Bus_MstRdReq, IP2Bus_MstWrReq, retained_state_retry_active, SA2MA_TimeOut, acks_left_eq1, acks_left_eq2, DMA_sel_IP_sel_not_p1, ma2sa_rd_i, sa2ma_bufocc_eq0, sa2ma_bufocc_eq1, all_buffered_data_written) begin -- Set default values Mst_SM_ns <= Mst_SM_cs; mn_request_i <= '0'; mn_select_p1 <= '0'; Xfer_in_progress <= '1'; Mst_SM_cs_EQ_Wait_state_i <= '0'; Mst_SM_cs_EQ_Wait_For_Req <= '0'; Clear_SeqAddr_BusLock <= '0'; Mst_rd_starting_pulse <= '0'; retained_state_retry_active_p1 <= retained_state_retry_active; toggle_priority <= '0'; acks_left_ld <= '0'; ma2sa_rd_i_set <= '0'; case Mst_SM_cs is when Wait_state => Mst_SM_ns <= Wait_For_Req; Clear_SeqAddr_BusLock <= '1'; Mst_SM_cs_EQ_Wait_state_i <= '1'; Xfer_in_progress <= '0'; retained_state_retry_active_p1 <= '0'; when Wait_For_Req => Mst_SM_cs_EQ_Wait_For_Req <= '1'; Xfer_in_progress <= '0'; if ((not DMA_sel_IP_sel_not_p1 and IP2Bus_MstWrReq) or ( DMA_sel_IP_sel_not_p1 and DMA2Bus_MstWrReq)) = '1' then ma2sa_rd_i_set <= '1'; Mst_SM_ns <= Wait_for_RdRdy; elsif ((not DMA_sel_IP_sel_not_p1 and IP2Bus_MstRdReq) or ( DMA_sel_IP_sel_not_p1 and (DMA2Bus_MstRdReq and not SA2MA_PostedWrInh)) ) = '1' then -- DMA reads do not proceed until posted writes -- can be accepted because the slave sets the rate -- for this data, which can be at one per clock. -- An IP master read proceeds without checking -- posted write inhibit, so, the IP master read request -- may occur only if IPIC posted writes will succeed and -- such posted writes will occur without regard to the -- state of the PostedWrInh signal. Mst_rd_starting_pulse <= '1'; Mst_SM_ns <= Mn_Req; end if; when Wait_for_RdRdy => if(SA2MA_RdRdy and not sa2ma_bufocc_eq0) = '1' then Mst_SM_ns <= Mn_Req; elsif (bus2ip_mstretry_i or bus2ip_msttimeout_i) = '1' then toggle_priority <= '1'; Mst_SM_ns <= Wait_state; end if; when Mn_Req => mn_request_i <= '1'; acks_left_ld <= not retained_state_retry_active; if(bus_mngrant_i = '1') then Mst_SM_ns <= Burst_Count_Acks; mn_select_p1 <= '1'; end if; -- mn_request_i must deassert in response -- to OPB_MnGrant to assure that bus_mngrant_i -- is asserted for exactly one clock. -- In this state bus_mngrant_i is asserted iff -- OPB_MnGrant is asserted (since mn_request_i = '1'). when Check_Retry_Type => if (XXX2Bus_MstRdReq or XXX2Bus_MstWrReq)='1' then Mst_SM_ns <= Mn_Req; -- Transaction continued. retained_state_retry_active_p1 <= '1'; else toggle_priority <= '1'; Mst_SM_ns <= Wait_state; -- Transaction aborted. end if; when Burst_Count_Acks => mn_select_p1 <= Mn_Select_i and not ( ( MA2SA_XferAck_i -- End transaction and (acks_left_eq1 or -- if done or ... (ma2sa_rd_i and sa2ma_bufocc_eq0) ) ) or OPB_Retry -- retry response or or OPB_TimeOut -- timeout response. ); if(bus2ip_mstretry_i = '1') then if not (ma2sa_rd_i and all_buffered_data_written) = '1' then Mst_SM_ns <= Check_Retry_Type; else toggle_priority <= '1'; Mst_SM_ns <= Wait_state; end if; elsif(bus2ip_msttimeout_i = '1') then Mst_SM_ns <= Wait_state; elsif(Bus2IP_MstLastAck_i = '1') then Mst_SM_ns <= Wait_state; end if; if(MA2SA_XferAck_i = '1') then if (acks_left_eq2 or (ma2sa_rd_i and sa2ma_bufocc_eq1)) = '1' then Clear_SeqAddr_BusLock <= '1'; end if; end if; when others => Mst_SM_ns <= Wait_state; end case; end process Mst_SM; Mst_SM_Reg: process (OPB_Clk) begin if (OPB_Clk'event and OPB_Clk = '1') then if (Reset_withNotReqs = RESET_ACTIVE) then Mst_SM_cs <= Wait_state; else Mst_SM_cs <= Mst_SM_ns; end if; retained_state_retry_active <= retained_state_retry_active_p1; end if; end process Mst_SM_Reg; ms_select_REG: process (OPB_Clk) begin if (OPB_Clk'event and OPB_Clk = '1') then if (Reset = RESET_ACTIVE) then Mn_Select_i <= '0'; else Mn_Select_i <= mn_select_p1; end if; end if; end process ms_select_REG; Register_ErrAck_Flag_PROCESS: process (OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE or Mst_SM_cs_EQ_Wait_state_i = '1') then Bus2IP_MstError_Flag <= '0'; elsif((OPB_ErrAck = '1' and Mn_Select_i = '1') or (SA2MA_Error = '1' and Xfer_in_progress = '1')) then Bus2IP_MstError_Flag <= '1'; -- Flag error to be noted with LastAck -- to local master end if; end if; end process Register_ErrAck_Flag_PROCESS; RETRY_HELP_PROC: process (OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if (bus2ip_mstretry_i_p1 and Bus2IP_MstLastAck_i_p1) = '1' or (Reset = RESET_ACTIVE) then -- As bus2ip_mstretry_i is set, ipic_rd_was_retried is cleared. This -- makes bus2ip_mstretry_i a one-clock pulse when it is caused in part -- by ipic_rd_was_retried. ipic_rd_was_retried <= '0'; elsif (SA2MA_RdRdy) = '1' then ipic_rd_was_retried <= SA2MA_Retry; end if; -- if (SA2MA_RdRdy) = '1' or (Reset = RESET_ACTIVE) then all_buffered_data_written <= sa2ma_bufocc_eq0; -- If there is -- no buffered data at RdRdy, then there is none to write. elsif (sa2ma_bufocc_eq0 and MA2SA_XferAck_i) = '1' then -- This captures -- the point at which all buffered data is written because the -- buffer proper (fifo) is empty so the only word left is in -- the output register, and it is being ack'ed. all_buffered_data_written <= '1'; end if; end if; end process; bus2ip_mstretry_i_p1 <= (OPB_Retry and Mn_Select_i) or (ipic_rd_was_retried and all_buffered_data_written) or (XXX2Bus_MstRdReq and SA2MA_Retry); Register_OPB_Retry_PROCESS: process (OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE) then bus2ip_mstretry_i <= '0'; else bus2ip_mstretry_i <= bus2ip_mstretry_i_p1; end if; end if; end process Register_OPB_Retry_PROCESS; Bus2IP_MstRetry <= bus2ip_mstretry_i; Register_Time_Out_PROCESS: process (OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE) then bus2ip_msttimeout_i <= '0'; else bus2ip_msttimeout_i <= (OPB_TimeOut and Mn_Select_i) or SA2MA_TimeOut; end if; end if; end process Register_Time_Out_PROCESS; Bus2IP_MstTimeOut <= bus2ip_msttimeout_i; either_ack <= Bus2IP_MstRdAck_ma_p1 or Bus2IP_MstWrAck_ma_p1; -- ACKS_LEFT_I : entity proc_common_v1_00_b.ld_arith_reg generic map ( C_ADD_SUB_NOT => false, C_REG_WIDTH => MA2SA_Num_i'length, C_RESET_VALUE => ZEROES(0 to MA2SA_Num_i'length-1), C_LD_WIDTH => MA2SA_Num_i'length, C_AD_WIDTH => 1 ) port map ( CK => OPB_Clk, RST => '0', Q => acks_left, LD => MA2SA_Num_i, AD => "1", LOAD => acks_left_ld, OP => either_ack ); -- acks_left_eq1 <= bo2sl(UNSIGNED(acks_left) = 1); acks_left_eq2 <= bo2sl(UNSIGNED(acks_left) = 2); Mst_Ack_COMB_PROCESS: process(XXX2Bus_MstRdReq, XXX2Bus_MstWrReq, XXX2Bus_MstBurst, SA2MA_WrAck, MA2SA_XferAck_i, acks_left_eq1, acks_left_eq2, MA2SA_Num_i) begin Bus2IP_MstWrAck_ma_p1 <= '0'; Bus2IP_MstRdAck_ma_p1 <= '0'; if(XXX2Bus_MstRdReq = '1') then if(XXX2Bus_MstBurst = '1') then --Fire and forget with Burst Bus2IP_MstRdAck_ma_p1 <= MA2SA_XferAck_i; else --Wait for local Write Ack Bus2IP_MstRdAck_ma_p1 <= SA2MA_WrAck; --when single Xfer end if; elsif(XXX2Bus_MstWrReq = '1') then Bus2IP_MstWrAck_ma_p1 <= MA2SA_XferAck_i; end if; end process Mst_Ack_COMB_PROCESS; RD_BURST_ACK_DELAY_PROC : process (OPB_Clk) begin if OPB_Clk'event and OPB_Clk = '1' then Bus2IP_MstRdAck_ma_p1_d1 <= Bus2IP_MstRdAck_ma_p1; last_mstrd_burst_ack_d1 <= XXX2Bus_MstRdReq and XXX2Bus_MstBurst and MA2SA_XferAck_i and acks_left_eq1; end if; end process; Bus2IP_MstLastAck_i_p1 <= last_mstrd_burst_ack_d1 -- When MstRd, burst or ( XXX2Bus_MstRdReq and not XXX2Bus_MstBurst and SA2MA_WrAck -- When MstRd, ~burst and acks_left_eq1) or ( XXX2Bus_MstWrReq and MA2SA_XferAck_i -- When MstWr and acks_left_eq1) or ( bus2ip_mstretry_i_p1 -- When retry and ( ( XXX2Bus_MstWrReq and all_buffered_data_written) or ( XXX2Bus_MstRdReq and SA2MA_Retry))); -- Note, for retries Bus2IP_MstLastAck serves -- as a qualifier. It is asserted iff -- no data is "in limbo", i.e. all data that -- has been read from the IPIC/OPB has -- been written to the OPB/IPIC or that -- any read data that has been discarded -- is rereadable (aka idempotent, -- non-destructive readable, pre-fetchable). -- For loccally mastered writes, -- (XXX2Bus_MstWrReq = '1'), Bus2IP_MstLastAck -- is asserted iff buffered data has been -- written. -- For loccally mastered reads, -- (XXX2Bus_MstRdReq = '1'), Bus2IP_MstLastAck -- is always asserted even though the data read -- from the OPB (and not accepted by the IPIC) -- is discarded. The consequence is that any -- OPB data read as the first part of a locally -- mastered read operation must either be -- re-readable or there must be a guarantee -- that the IPIC will not refuse it by -- replying with retry. MSTLASTACK_REG_PROCESS: process(OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE) then --Synchronous Reset Bus2IP_MstLastAck_i <= '0'; else Bus2IP_MstLastAck_i <= Bus2IP_MstLastAck_i_p1; end if; end if; end process; Mst_Ack_REG_PROCESS: process(OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE) then --Synchronous Reset Bus2IP_MstRdAck_ma <= '0'; Bus2IP_MstWrAck_ma <= '0'; else Bus2IP_MstWrAck_ma <= Bus2IP_MstWrAck_ma_p1; if (XXX2Bus_MstRdReq and XXX2Bus_MstBurst) = '0' then Bus2IP_MstRdAck_ma <= Bus2IP_MstRdAck_ma_p1; else Bus2IP_MstRdAck_ma <= Bus2IP_MstRdAck_ma_p1_d1; end if; end if; end if; end process Mst_Ack_REG_PROCESS; end block FSM_AND_RELATED_LOGIC; --- end implementation;
------------------------------------------------------------------------------- -- $Id: master_attachment.vhd,v 1.13 2004/11/23 01:04:03 jcanaris Exp $ ------------------------------------------------------------------------------- -- Master Attachment - entity and architecture ------------------------------------------------------------------------------- -- -- **************************** -- ** Copyright Xilinx, Inc. ** -- ** All rights reserved. ** -- **************************** -- ------------------------------------------------------------------------------- -- Filename: master_attachment.vhd -- -- Description: Master attachment for Xilinx OPB -- ------------------------------------------------------------------------------- -- -- master_attachment.vhd -- addr_load_and_incr.vhd -- ------------------------------------------------------------------------------- -- Author: MLL -- History: -- MLL 05/09/01 -- First version -- -- MLL 09/18/01 -- Changed construct from if-then to state machine -- -- FLO 12/13/01 -- ^^^^^^ -- Fixed component declaration addr_load_and_incr. -- ~~~~~~ -- -- FLO 1/2/02 -- ^^^^^^ -- Removed _gtd from signals. -- ~~~~~~ -- FLO 5/2/02 -- ^^^^^^ -- Removed _gtd from signals. -- ~~~~~~ -- -- FLO 5/14/02 -- ^^^^^^ -- Retained-state retry optimization. -- ~~~~~~ -- FLO 06/24/02 -- ^^^^^^ -- Implemented dynamic byte-enable capability. -- ~~~~~~ -- FLO 06/28/02 -- ^^^^^^ -- Moved the contents of mst_module.vhd into a block in this file. -- ~~~~~~ -- FLO 09/24/02 -- ^^^^^^ -- Changed the implementation of signal DMA_Request_HasPriority -- so that master arbitration has a least recently serviced -- grant behavior. Previous to the change, one master could -- lock out the other for as long as it immediately re-requested. -- ~~~~~~ -- FLO 10/11/02 -- ^^^^^^ -- Added state and logic to remember the outgoing master address that -- is destroyed by the act of release of the bus (see Note, below) -- and to use the remembered "shadow" address when restarting transactions under -- retained-state retry. Adds about 33 FF and 34 LUT. -- -- Note: Destroyed by using the reset of the address counter as a -- way of driving zero to the bus.) -- ~~~~~~ -- FLO 11/06/02 -- ^^^^^^ -- Added signal retained_state_retry_active to the sensitivity list for -- the state-machine combinatorial process. -- ~~~~~~ -- FLO 11/19/02 -- ^^^^^^ -- Master read operations do not start until new signal SA2MA_PostedWrInh -- is false. -- ~~~~~~ -- FLO 11/19/02 -- ^^^^^^ -- Added generic C_MASTER_ARB_MODEL, which allows for user-parameterized -- arbitration behavior when there are both DMA and IP masters. Supports -- fair, DMA-priority and IP-priority modes. -- ~~~~~~ -- FLO 11/26/02 -- ^^^^^^ -- Master read operations from the IP master do *not* wait until -- SA2MA_PostedWrInh is false. (See first 11/19/02, above.) -- ~~~~~~ -- FLO 11/26/02 -- ^^^^^^ -- - Toggle priority when retry is not handled as retained-state. -- - Added handling when SA reports that a master write operation -- has received a retry on the first IPIC read. -- ~~~~~~ -- FLO 01/07/03 -- ^^^^^^ -- - Added one clock cycle of delay to Bus2IP_MstRdAck and Bus2IP_MstLastAck -- for a burst master read. This change makes these two signals assert -- on the same cycle that the corresponding IPIC posted write is -- taking place. Note that this behavior is dependent on the slave -- attachment implementation; any change to the slave attachment's -- MA2SA_XferAck to Bus2IP_WrReq timing needs a corresponding adjustment -- here. -- ~~~~~~ -- FLO 02/21/03 -- ^^^^^^ -- - Fixed incompatibility with grant parking onto this master. -- Details: Several places OPB_MnGrant was used under the assumption that -- it would only assert when Mn_request was true. Under parking, this -- assumption doesn't hold. The fix is to qualify OPB_MnGrant by -- anding it with Mn_request to produce qualified grant signal -- bus_mngrant_i. This qualified signal is used locally in the -- master attachment and is passed as Bus_MnGrant to the slave attachment. -- ~~~~~~ -- FLO 05/18/2003 -- ^^^^^^ -- Changed the ack_counter to automatically adjust its required range -- from the C_MA2SA_NUM_WIDTH parameter. Previously this was hard-coded -- for size 8 bursts. -- ~~~~~~ -- FLO 05/21/2004 -- ^^^^^^ -- The signal XXX2Bus_MstBE is now available one cycle earlier so that it -- will be valid when Mst_rd_starting_pulse pulses for one clock. This -- fixes a problem where, if both DMA and IP masters are present, -- the wrong MstBE values would be placed into the "BE FIFO" for -- locally mastered read operations. -- ~~~~~~ -- FLO 05/26/2004 -- ^^^^^^ -- Added signal SA2MA_TimeOut to the interface. Assertion of this new -- signal will terminate a master transaction with Bus2IP_MstTimeOut. -- ~~~~~~ -- FLO 05/26/2004 -- ^^^^^^ -- Drive the low-order two Mn_Abus bits to match the numerically lowest -- Mn_BE bit that is asserted. -- ~~~~~~ -- FLO 05/27/2004 -- ^^^^^^ -- Removal of an VHDL alias construct. -- ~~~~~~ -- FLO 08/11/2004 -- ^^^^^^ -- Added ouput port MA2SA_RSRA (retained_state_retry_active). -- ~~~~~~ -- FLO 09/24/2004 -- ^^^^^^ -- Changed from up to down counter for counting acks. -- (Part of v2_00_i 1.1 -> 1.3) -- ~~~~~~ -- FLO 09/24/2004 -- ^^^^^^ -- -Added signal SA2MA_BufOccMinus1. -- -Implemented write of any read data to the OPB before responding with -- Bus2IP_MstRetry if the retry is signaled via SA2MA_Retry. -- -Distinguish "clean retry" (all data, which is partial, is written -- before retry) and "dirty retry" (some data read from IPIC but not -- written to OPB before retry. Use Bus2IP_MstLastAck asserted concurrently -- with Bus2IP_MstRetry as the indication of clean retry. -- -Using bus2ip_msttimeout_i to exit state -- Wait_for_Rdrdy on the timeout event. -- ~~~~~~ -- FLO 10/27/2004 -- ^^^^^^ -- - On locally mastered writes, mn_seqaddr gets asserted if and only if -- multiple beats have been buffered. -- ~~~~~~ -- LCW Nov 8, 2004 -- updated for NCSim ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library opb_ipif_v2_00_h; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std."="; library proc_common_v1_00_b; use proc_common_v1_00_b.proc_common_pkg.log2; use proc_common_v1_00_b.ld_arith_reg; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- entity master_attachment is generic ( C_OPB_ABUS_WIDTH : integer; -- 32 bits C_OPB_DBUS_WIDTH : integer; -- Only 32 bits is --supported due to the fact the the DMA registers --were only defined for a 32-bit bus C_MA2SA_NUM_WIDTH : integer :=4; -- 4 bits C_DMA_ONLY : boolean; -- No IP-Master function C_IP_MSTR_ONLY : boolean; -- No DMA-Master function --Only one of C_DMA_ONLY or C_IP_MSTR_ONLY can be true C_MASTER_ARB_MODEL : integer := 0 -- 0:FAIR 1:DMA_PRIORITY 2:IP_PRIORITY ); port( Reset : in STD_LOGIC; --OPB ports OPB_Clk : in STD_LOGIC; OPB_MnGrant : in STD_LOGIC; OPB_XferAck : in STD_LOGIC; OPB_ErrAck : in STD_LOGIC; OPB_TimeOut : in STD_LOGIC; OPB_Retry : in STD_LOGIC; --Master Attachment to OPB ports Mn_Request : out STD_LOGIC; Mn_Select : out STD_LOGIC; Mn_RNW : out STD_LOGIC; Mn_SeqAddr : out STD_LOGIC; Mn_BusLock : out STD_LOGIC; Mn_BE : out STD_LOGIC_VECTOR(0 to C_OPB_DBUS_WIDTH/8-1); Mn_ABus : out STD_LOGIC_VECTOR(0 to C_OPB_ABUS_WIDTH-1); --Master Attachment to SA ports Bus_MnGrant : out STD_LOGIC; MA2SA_Select : out STD_LOGIC; MA2SA_XferAck : out STD_LOGIC; MA2SA_Retry : out STD_LOGIC; MA2SA_RSRA : out STD_LOGIC; MA2SA_Rd : out STD_LOGIC; MA2SA_Num : out STD_LOGIC_VECTOR(0 to C_MA2SA_NUM_WIDTH-1); SA2MA_RdRdy : in STD_LOGIC; SA2MA_WrAck : in STD_LOGIC; SA2MA_Retry : in STD_LOGIC; SA2MA_Error : in STD_LOGIC; SA2MA_FifoRd : in STD_LOGIC; SA2MA_FifoWr : in STD_LOGIC; SA2MA_FifoBu : in STD_LOGIC; SA2MA_PostedWrInh : in STD_LOGIC; SA2MA_TimeOut : in STD_LOGIC; SA2MA_BufOccMinus1 : in STD_LOGIC_VECTOR(0 to 4); --Master Attachment from IP ports Mstr_Sel_ma : out STD_LOGIC; --Master Attachment from IP ports IP2Bus_Addr : in STD_LOGIC_VECTOR(0 to C_OPB_ABUS_WIDTH-1) := (others => '0'); IP2Bus_MstBE : in STD_LOGIC_VECTOR(0 to C_OPB_DBUS_WIDTH/8-1) := (others => '0'); IP2Bus_MstWrReq : in STD_LOGIC := '0'; IP2Bus_MstRdReq : in STD_LOGIC := '0'; IP2Bus_MstBurst : in STD_LOGIC := '0'; IP2Bus_MstBusLock : in STD_LOGIC := '0'; --Master Attachment to IP ports Bus2IP_MstWrAck_ma : out STD_LOGIC; Bus2IP_MstRdAck_ma : out STD_LOGIC; Bus2IP_MstRetry : out STD_LOGIC; Bus2IP_MstError : out STD_LOGIC; Bus2IP_MstTimeOut : out STD_LOGIC; Bus2IP_MstLastAck : out STD_LOGIC; --Master Attachment from DMA ports DMA2Bus_Addr : in STD_LOGIC_VECTOR(0 to C_OPB_ABUS_WIDTH-1) := (others => '0'); DMA2Bus_MstBE : in STD_LOGIC_VECTOR(0 to C_OPB_DBUS_WIDTH/8-1) := (others => '0'); DMA2Bus_MstWrReq : in STD_LOGIC := '0'; DMA2Bus_MstRdReq : in STD_LOGIC := '0'; DMA2Bus_MstNum : in STD_LOGIC_VECTOR(0 to C_MA2SA_NUM_WIDTH-1); DMA2Bus_MstBurst : in STD_LOGIC := '0'; DMA2Bus_MstBusLock : in STD_LOGIC := '0' ); end master_attachment; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of master_attachment is constant ZEROES : std_logic_vector(0 to 256) := (others => '0'); constant RESET_ACTIVE: std_logic := '1'; type bo2sl_type is array (boolean) of std_logic; constant bo2sl_table : bo2sl_type := ('0', '1'); function bo2sl(b: boolean) return std_logic is begin return bo2sl_table(b); end bo2sl; constant RETAIN_ADDRESS_OVER_RETRY : boolean := not C_DMA_ONLY; -- The dma_sg takes the responsibility of keeping the presented -- master address up-to-date with successful bus transfers; -- extra logic to maintain the address under retained-state-retry -- operation can be ommitted if dma_sg is the only master. constant FAIR : integer := 0; constant DMA_PRIORITY : integer := 0; constant IP_PRIORITY : integer := 0; --signals signal MA2SA_XferAck_i : std_logic; signal Mst_SM_cs_EQ_Wait_state : std_logic; signal Mst_SM_cs_EQ_Wait_For_Req : std_logic; signal Bus2IP_MstLastAck_i : std_logic; signal MA2SA_Num_i : std_logic_vector(0 to C_MA2SA_NUM_WIDTH-1); signal DMA_sel_IP_sel_not : std_logic; signal DMA_sel_IP_sel_not_p1 : std_logic; signal DMA_Request_HasPriority : std_logic; signal Reset_withNotReqs : std_logic; signal XXX2Bus_MstBurst : std_logic; signal XXX2Bus_MstBusLock : std_logic; signal XXX2Bus_MstRdReq : std_logic; signal XXX2Bus_MstWrReq : std_logic; signal XXX2Bus_RNW : std_logic; signal XXX2Bus_MstBE : std_logic_vector(0 to C_OPB_DBUS_WIDTH/8-1); signal xxx2bus_mstbe_fifo : std_logic_vector(0 to C_OPB_DBUS_WIDTH/8-1); signal XXX2Bus_Addr : std_logic_vector(0 to C_OPB_ABUS_WIDTH-1); signal Xfer_in_progress : std_logic; signal FDRE_CE : std_logic; signal FDRE_Reset : std_logic; signal FDRE_SeqAddr_BusLock_Reset: std_logic; signal Incr_N_Load : std_logic; signal FDRE_MA2SA_Rd_Reset : std_logic; signal Get_off_OPB_nxt_clk : std_logic; signal Clear_SeqAddr_BusLock : std_logic; signal Mst_rd_starting_pulse : std_logic; signal be_fifo_wr : std_logic; signal ma2sa_rd_i : std_logic; signal bus_mngrant_i : std_logic; signal mn_request_i : std_logic; signal be_fifo_bu : std_logic_vector(0 to 3 --ToDo, eventually from generics ) := "0000"; signal loadable_Bus_Addr : std_logic_vector(0 to C_OPB_ABUS_WIDTH-1); signal mn_abus_i : std_logic_vector(0 to C_OPB_ABUS_WIDTH-1); signal mn_abus_shadow : std_logic_vector(0 to C_OPB_ABUS_WIDTH-1); signal retained_state_retry_active : std_logic; signal retained_state_retry_active_p1 : std_logic; signal FDRE_CE_d1 : std_logic; signal toggle_priority : std_logic; signal sa2ma_bufocc_eq0 : std_logic; signal sa2ma_bufocc_eq1 : std_logic; signal ipic_rd_was_retried : std_logic; signal all_buffered_data_written : std_logic; signal ma2sa_rd_i_set : std_logic; signal bus2ip_msttimeout_i : std_logic; signal mn_seqaddr_cmb : std_logic; signal multiple_beats : std_logic; begin --Combinatorial operations Mstr_Sel_ma <= DMA_sel_IP_sel_not; FDRE_CE <= bus_mngrant_i or MA2SA_XferAck_i; MA2SA_XferAck <= MA2SA_XferAck_i; Bus2IP_MstLastAck <= Bus2IP_MstLastAck_i; sa2ma_bufocc_eq0 <= SA2MA_BufOccMinus1(0); sa2ma_bufocc_eq1 <= bo2sl(SA2MA_BufOccMinus1(1 to 4) = "0000"); FDRE_Reset <= Get_off_OPB_nxt_clk or Reset_withNotReqs; I_LUT4: LUT4 --Generate reset signal to force reset when master aborts request generic map( INIT => X"AAAE" ) port map( O => Reset_withNotReqs, I0 => Reset, I1 => Xfer_in_progress, I2 => XXX2Bus_MstWrReq, I3 => XXX2Bus_MstRdReq ); Mn_ABus <= mn_abus_i; I_Addr_ld_inc: entity opb_ipif_v2_00_h.addr_load_and_incr --Instantiate module to load word address bus and increment when bursting generic map( C_BUS_WIDTH => C_OPB_ABUS_WIDTH-2 ) port map( Bus_Clk => OPB_Clk, FDRE_CE => FDRE_CE, FDRE_Reset => FDRE_Reset, Incr_N_Load => Incr_N_Load, Bus_input => loadable_Bus_Addr(0 to C_OPB_ABUS_WIDTH-3), Bus_output => mn_abus_i(0 to C_OPB_ABUS_WIDTH-3) ); Mn_ABus_byte_bits_vector_Generate_0: for j in C_OPB_ABUS_WIDTH-2 to C_OPB_ABUS_WIDTH-2 generate --Instantiate FF to load high byte-lane bit in 32-bit bus signal bit0 : std_logic; signal X : std_logic_vector(0 to 3); begin X <= xxx2bus_mstbe_fifo; -- Hand optimized expression for the high bit of the four byte-lane case. -- True if the first bit of X, scanning from left to right, is 2 or 3. bit0 <= ( not X(0) and not X(1) and X(3) ) or ( not X(0) and not X(1) and X(2) ); -- I_FDRE: FDRE port map( Q => mn_abus_i(j), C => OPB_Clk, CE => FDRE_CE, D => bit0, R => FDRE_Reset ); end generate Mn_ABus_byte_bits_vector_Generate_0; Mn_ABus_byte_bits_vector_Generate_1: for j in C_OPB_ABUS_WIDTH-1 to C_OPB_ABUS_WIDTH-1 generate --Instantiate FF to load low byte-lane bit in 32-bit bus signal bit1 : std_logic; signal X : std_logic_vector(0 to 3); begin X <= xxx2bus_mstbe_fifo; -- Hand optimized expression for the low bit of the four byte-lane case. -- True if the first bit of X, scanning from left to right, is 1 or 3. bit1 <= ( not X(0) and X(1) ) or ( not X(0) and not X(2) and X(3) ); -- I_FDRE: FDRE port map( Q => mn_abus_i(j), C => OPB_Clk, CE => FDRE_CE, D => bit1, R => FDRE_Reset ); end generate Mn_ABus_byte_bits_vector_Generate_1; -------------------------------------------------------------------------------- -- The update clock cycle for the mn_abus_shadow is one clock after the -- update of mn_abus. This timing relationship is established here. -------------------------------------------------------------------------------- I_RDRE_CE_D1: FDE port map( Q => FDRE_CE_d1, D => FDRE_CE, C => OPB_Clk, CE => '1' ); -------------------------------------------------------------------------------- -- Register to shadow the Mn_ABus; can be used to restore the address under -- retained-state retry. All changes are shadowed except the clear caused by -- FDRE_Reset for the purpose of releasing opb_abus. -------------------------------------------------------------------------------- INCLUDE_MN_ABUS_SHADOW: if RETAIN_ADDRESS_OVER_RETRY generate MN_ABUS_SHADOW_GEN: for i in 0 to C_OPB_ABUS_WIDTH-1 generate FDE_I: FDE port map( Q => mn_abus_shadow(i), D => mn_abus_i(i), C => OPB_Clk, CE => FDRE_CE_d1 ); end generate; end generate; I_SeqAddr_BusLock_LUT2: LUT2 --Generate reset signal to force reset of Mn_SeqAddr and Mn_BusLock generic map( INIT => X"E" ) port map( O => FDRE_SeqAddr_BusLock_Reset, I0 => FDRE_Reset, I1 => Clear_SeqAddr_BusLock ); I_FDRE_Mn_BusLock: FDRE --Instantiate module to gate BusLock signal port map( Q => Mn_BusLock, C => OPB_Clk, CE => FDRE_CE, D => XXX2Bus_MstBusLock, R => FDRE_SeqAddr_BusLock_Reset ); MULTIPLE_BEATS_PROC : process(OPB_Clk) begin if OPB_Clk'event and OPB_Clk = '1' then if Reset = '1' then multiple_beats <= '0'; elsif SA2MA_RdRdy = '1' then multiple_beats <= not sa2ma_bufocc_eq0 and not sa2ma_bufocc_eq1; -- Two or more -- beats have been buffered for -- transfer to the OPB. end if; end if; end process; mn_seqaddr_cmb <= XXX2Bus_MstBurst and ( XXX2Bus_MstRdReq or multiple_beats ); I_FDRE_Mn_SeqAddr: FDRE --Instantiate module to gate Sequential address signal port map( Q => Mn_SeqAddr, C => OPB_Clk, CE => FDRE_CE, D => mn_seqaddr_cmb, R => FDRE_SeqAddr_BusLock_Reset ); Set_RNW_signal_PROCESS: process(XXX2Bus_MstRdReq) --Process to set XXX2Bus_RNW begin if(XXX2Bus_MstRdReq = '1') then XXX2Bus_RNW <= '1'; else XXX2Bus_RNW <= '0'; end if; end process Set_RNW_signal_PROCESS; I_FDRE_Mn_RNW: FDRE --Instantiate module to gate RNW signal port map( Q => Mn_RNW, C => OPB_Clk, CE => FDRE_CE, D => XXX2Bus_RNW, R => FDRE_Reset ); Bit_Enable_vector_Generate: for j in 0 to C_OPB_DBUS_WIDTH/8-1 generate --Instantiate modules to gate Byte enable signals begin I_FDRE_Mn_BE: FDRE port map( Q => Mn_BE(j), C => OPB_Clk, CE => FDRE_CE, D => xxx2bus_mstbe_fifo(j), R => FDRE_Reset ); end generate Bit_Enable_vector_Generate; MA2SA_RD_I_PROC : process (OPB_Clk) begin if OPB_Clk'event and OPB_Clk = '1' then if FDRE_MA2SA_Rd_Reset = '1' then ma2sa_rd_i <= '0'; elsif ma2sa_rd_i_set = '1' then ma2sa_rd_i <= '1'; else null; end if; end if; end process; MA2SA_Rd <= ma2sa_rd_i; FDRE_MA2SA_Rd_Reset <= Reset_withNotReqs or Bus2IP_MstLastAck_i or Mst_SM_cs_EQ_Wait_state; -- Instantiate the FIFO be_fifo_wr <= SA2MA_FifoWr or Mst_rd_starting_pulse; be_fifo_bu(0 to be_fifo_bu'length-2) <= (others => '0'); be_fifo_bu(be_fifo_bu'length-1) <= SA2MA_FifoBu; --ToDo, eventually use a generic and generate to exclude -- this fifo and associated logic when the system does not -- use dynamic byte enables. SLN_DBUS_FIFO: entity proc_common_v1_00_b.srl_fifo_rbu generic map ( C_DWIDTH => C_OPB_DBUS_WIDTH/8, C_DEPTH => 16 ) port map ( Clk => OPB_Clk, Reset => Mst_SM_cs_EQ_Wait_state, FIFO_Write => be_fifo_wr, Data_In => XXX2Bus_MstBe, FIFO_Read => SA2MA_FifoRd, Data_Out => xxx2bus_mstbe_fifo, FIFO_Full => open, FIFO_Empty => open, Addr => open, Num_To_Reread => be_fifo_bu, Underflow => open, Overflow => open ); Bus_MnGrant <= bus_mngrant_i; Mn_Request <= mn_request_i; --************************************************* Include_IP_or_DMA_MUXing: if(not(C_DMA_ONLY) and not(C_IP_MSTR_ONLY)) generate --Muxing of IP master or DMA master signals XXX2Bus_MstRdReq <= ( DMA_sel_IP_sel_not and DMA2Bus_MstRdReq) or (not DMA_sel_IP_sel_not and IP2Bus_MstRdReq); XXX2Bus_MstWrReq <= ( DMA_sel_IP_sel_not and DMA2Bus_MstWrReq) or (not DMA_sel_IP_sel_not and IP2Bus_MstWrReq); XXX2Bus_MstBurst <= ( DMA_sel_IP_sel_not and DMA2Bus_MstBurst) or (not DMA_sel_IP_sel_not and IP2Bus_MstBurst); XXX2Bus_MstBusLock <= XXX2Bus_MstBurst or ( DMA_sel_IP_sel_not and DMA2Bus_MstBusLock) or (not DMA_sel_IP_sel_not and IP2Bus_MstBusLock); XXX2Bus_MstBE_vector_Generate: for j in 0 to C_OPB_DBUS_WIDTH/8-1 generate begin XXX2Bus_MstBE(j) <= DMA2Bus_MstBE(j) when (DMA_sel_IP_sel_not_p1) = '1' else IP2Bus_MstBE(j); end generate XXX2Bus_MstBE_vector_Generate; XXX2Bus_MstABus_vector_Generate: for j in 0 to (C_OPB_ABUS_WIDTH-1) generate begin XXX2Bus_Addr(j) <= ( DMA_sel_IP_sel_not and DMA2Bus_Addr(j)) or (not DMA_sel_IP_sel_not and IP2Bus_Addr(j)); end generate XXX2Bus_MstABus_vector_Generate; end generate Include_IP_or_DMA_MUXing; loadable_Bus_Addr <= mn_abus_shadow when RETAIN_ADDRESS_OVER_RETRY and retained_state_retry_active='1' else XXX2Bus_Addr; DMA_Master_Only: if(C_DMA_ONLY) generate begin XXX2Bus_MstRdReq <= DMA2Bus_MstRdReq; XXX2Bus_MstWrReq <= DMA2Bus_MstWrReq; XXX2Bus_Addr <= DMA2Bus_Addr; XXX2Bus_MstBE <= DMA2Bus_MstBE; XXX2Bus_MstBurst <= DMA2Bus_MstBurst; XXX2Bus_MstBusLock <= DMA2Bus_MstBusLock or DMA2Bus_MstBurst; end generate DMA_Master_Only; IP_Master_Only: if(C_IP_MSTR_ONLY) generate begin XXX2Bus_MstRdReq <= IP2Bus_MstRdReq; XXX2Bus_MstWrReq <= IP2Bus_MstWrReq; XXX2Bus_Addr <= IP2Bus_Addr; XXX2Bus_MstBE <= IP2Bus_MstBE; XXX2Bus_MstBurst <= IP2Bus_MstBurst; XXX2Bus_MstBusLock <= IP2Bus_MstBusLock or IP2Bus_MstBurst; end generate IP_Master_Only; Set_Value_of_MA2SA_Num_PROCESS: process( DMA_sel_IP_sel_not, IP2Bus_MstBurst, DMA2Bus_MstNum ) begin if(DMA_sel_IP_sel_not = '0') then MA2SA_Num_i <= (others => '0'); MA2SA_Num_i(MA2SA_Num'right-3) <= IP2Bus_MstBurst; MA2SA_Num_i(MA2SA_Num'right ) <= not IP2Bus_MstBurst; else MA2SA_Num_i <= DMA2Bus_MstNum; end if; end process Set_Value_of_MA2SA_Num_PROCESS; MA2SA_Num <= MA2SA_Num_i; No_Arbiter_DMA_Only: if(C_DMA_ONLY) generate --Fix DMA_sel_IP_sel_not if DMA only begin DMA_sel_IP_sel_not <= '1'; DMA_sel_IP_sel_not_p1 <= '1'; DMA_Request_HasPriority <= '1'; end generate No_Arbiter_DMA_Only; No_Arbiter_IP_Master_Only: if(C_IP_MSTR_ONLY) generate --Fix DMA_sel_IP_sel_not if IP master only begin DMA_sel_IP_sel_not <= '0'; DMA_sel_IP_sel_not_p1 <= '0'; DMA_Request_HasPriority <= '0'; end generate No_Arbiter_IP_Master_Only; Insert_Arbiter: if(not(C_DMA_ONLY) and not(C_IP_MSTR_ONLY)) generate Priority_Arbitration_PROCESS: process(OPB_Clk) --Process to set priority for IP and DMA requests that occur at the --same time begin if(OPB_Clk'event and OPB_Clk = '1') then ----------------------------------------------------------------------- -- Keep track of priority. ----------------------------------------------------------------------- if(Reset = RESET_ACTIVE) then DMA_Request_HasPriority <= '0'; elsif toggle_priority = '1' then DMA_Request_HasPriority <= not(DMA_Request_HasPriority); elsif (C_MASTER_ARB_MODEL = DMA_PRIORITY) then DMA_Request_HasPriority <= '1'; elsif (C_MASTER_ARB_MODEL = IP_PRIORITY) then DMA_Request_HasPriority <= '0'; elsif (C_MASTER_ARB_MODEL = FAIR) and (Bus2IP_MstLastAck_i = '1') then DMA_Request_HasPriority <= not(DMA_sel_IP_sel_not); end if; ----------------------------------------------------------------------- -- Master selection. ----------------------------------------------------------------------- end if; end process Priority_Arbitration_PROCESS; DMA_sel_IP_sel_not_p1 <= not bo2sl(C_MASTER_ARB_MODEL = IP_PRIORITY) -- when (Reset = RESET_ACTIVE) else -- Reset condition (DMA2Bus_MstWrReq or (DMA2Bus_MstRdReq and not SA2MA_PostedWrInh)) and (DMA_Request_HasPriority or not (IP2Bus_MstWrReq or IP2Bus_MstRdReq)) ------------------------------------------------------------- -- Above, new value is true when -- DMA requesting and either DMA has priority or IP not -- requesting. ------------------------------------------------------------- -- when (Mst_SM_cs_EQ_Wait_For_Req and -- Condition to compute new (DMA2Bus_MstWrReq or DMA2Bus_MstRdReq or IP2Bus_MstWrReq or IP2Bus_MstRdReq) ) = '1' else DMA_sel_IP_sel_not; -- Otherwise, retain state ARB_REG_PROC : process(OPB_Clk) begin if OPB_Clk'event and OPB_Clk = '1' then DMA_sel_IP_sel_not <= DMA_sel_IP_sel_not_p1; end if; end process; end generate Insert_Arbiter; FSM_AND_RELATED_LOGIC: block constant RESET_ACTIVE: std_logic := '1'; --signals signal Mn_Select_i : std_logic; signal Mn_Select_p1 : std_logic; signal Bus2IP_MstLastAck_i_p1: std_logic; signal last_mstrd_burst_ack_d1 : std_logic; signal Bus2IP_MstWrAck_ma_p1 : std_logic; signal Bus2IP_MstRdAck_ma_p1 : std_logic; signal Bus2IP_MstRdAck_ma_p1_d1: std_logic; signal either_ack : std_logic; signal acks_left : std_logic_vector(0 to C_MA2SA_NUM_WIDTH-1); signal acks_left_eq1 : std_logic; signal acks_left_eq2 : std_logic; signal acks_left_ld : std_logic; signal Bus2IP_MstError_Flag : std_logic; signal bus2ip_mstretry_i : std_logic; signal bus2ip_mstretry_i_p1 : std_logic; signal Mst_SM_cs_EQ_Wait_state_i : std_logic; type Master_Attach_SMtype is (Wait_state, Wait_For_Req, Wait_for_RdRdy, Mn_Req, Burst_Count_Acks, Check_Retry_Type ); signal Mst_SM_cs, Mst_SM_ns : Master_Attach_SMtype; begin --Combinatorial operations Incr_N_Load <= not bus_mngrant_i; bus_mngrant_i <= OPB_MnGrant and mn_request_i; MA2SA_XferAck_i <= OPB_XferAck and Mn_Select_i; MA2SA_Retry <= OPB_Retry and Mn_Select_i; MA2SA_RSRA <= retained_state_retry_active; Mn_Select <= Mn_Select_i; MA2SA_Select <= Mn_Select_i; Mst_SM_cs_EQ_Wait_state <= Mst_SM_cs_EQ_Wait_state_i; Bus2IP_MstError <= Bus2IP_MstError_Flag; Get_off_OPB_nxt_clk <= not mn_select_p1; -- State machine combinational process Mst_SM: process (Mst_SM_cs, XXX2Bus_MstWrReq, XXX2Bus_MstRdReq, SA2MA_RdRdy, OPB_TimeOut, OPB_Retry, bus_mngrant_i, MA2SA_XferAck_i, MA2SA_Num_i, Mn_Select_i, bus2ip_msttimeout_i, Bus2IP_MstLastAck_i, Bus2IP_MstRetry_i, DMA2Bus_MstRdReq, DMA2Bus_MstWrReq, SA2MA_PostedWrInh, IP2Bus_MstRdReq, IP2Bus_MstWrReq, retained_state_retry_active, SA2MA_TimeOut, acks_left_eq1, acks_left_eq2, DMA_sel_IP_sel_not_p1, ma2sa_rd_i, sa2ma_bufocc_eq0, sa2ma_bufocc_eq1, all_buffered_data_written) begin -- Set default values Mst_SM_ns <= Mst_SM_cs; mn_request_i <= '0'; mn_select_p1 <= '0'; Xfer_in_progress <= '1'; Mst_SM_cs_EQ_Wait_state_i <= '0'; Mst_SM_cs_EQ_Wait_For_Req <= '0'; Clear_SeqAddr_BusLock <= '0'; Mst_rd_starting_pulse <= '0'; retained_state_retry_active_p1 <= retained_state_retry_active; toggle_priority <= '0'; acks_left_ld <= '0'; ma2sa_rd_i_set <= '0'; case Mst_SM_cs is when Wait_state => Mst_SM_ns <= Wait_For_Req; Clear_SeqAddr_BusLock <= '1'; Mst_SM_cs_EQ_Wait_state_i <= '1'; Xfer_in_progress <= '0'; retained_state_retry_active_p1 <= '0'; when Wait_For_Req => Mst_SM_cs_EQ_Wait_For_Req <= '1'; Xfer_in_progress <= '0'; if ((not DMA_sel_IP_sel_not_p1 and IP2Bus_MstWrReq) or ( DMA_sel_IP_sel_not_p1 and DMA2Bus_MstWrReq)) = '1' then ma2sa_rd_i_set <= '1'; Mst_SM_ns <= Wait_for_RdRdy; elsif ((not DMA_sel_IP_sel_not_p1 and IP2Bus_MstRdReq) or ( DMA_sel_IP_sel_not_p1 and (DMA2Bus_MstRdReq and not SA2MA_PostedWrInh)) ) = '1' then -- DMA reads do not proceed until posted writes -- can be accepted because the slave sets the rate -- for this data, which can be at one per clock. -- An IP master read proceeds without checking -- posted write inhibit, so, the IP master read request -- may occur only if IPIC posted writes will succeed and -- such posted writes will occur without regard to the -- state of the PostedWrInh signal. Mst_rd_starting_pulse <= '1'; Mst_SM_ns <= Mn_Req; end if; when Wait_for_RdRdy => if(SA2MA_RdRdy and not sa2ma_bufocc_eq0) = '1' then Mst_SM_ns <= Mn_Req; elsif (bus2ip_mstretry_i or bus2ip_msttimeout_i) = '1' then toggle_priority <= '1'; Mst_SM_ns <= Wait_state; end if; when Mn_Req => mn_request_i <= '1'; acks_left_ld <= not retained_state_retry_active; if(bus_mngrant_i = '1') then Mst_SM_ns <= Burst_Count_Acks; mn_select_p1 <= '1'; end if; -- mn_request_i must deassert in response -- to OPB_MnGrant to assure that bus_mngrant_i -- is asserted for exactly one clock. -- In this state bus_mngrant_i is asserted iff -- OPB_MnGrant is asserted (since mn_request_i = '1'). when Check_Retry_Type => if (XXX2Bus_MstRdReq or XXX2Bus_MstWrReq)='1' then Mst_SM_ns <= Mn_Req; -- Transaction continued. retained_state_retry_active_p1 <= '1'; else toggle_priority <= '1'; Mst_SM_ns <= Wait_state; -- Transaction aborted. end if; when Burst_Count_Acks => mn_select_p1 <= Mn_Select_i and not ( ( MA2SA_XferAck_i -- End transaction and (acks_left_eq1 or -- if done or ... (ma2sa_rd_i and sa2ma_bufocc_eq0) ) ) or OPB_Retry -- retry response or or OPB_TimeOut -- timeout response. ); if(bus2ip_mstretry_i = '1') then if not (ma2sa_rd_i and all_buffered_data_written) = '1' then Mst_SM_ns <= Check_Retry_Type; else toggle_priority <= '1'; Mst_SM_ns <= Wait_state; end if; elsif(bus2ip_msttimeout_i = '1') then Mst_SM_ns <= Wait_state; elsif(Bus2IP_MstLastAck_i = '1') then Mst_SM_ns <= Wait_state; end if; if(MA2SA_XferAck_i = '1') then if (acks_left_eq2 or (ma2sa_rd_i and sa2ma_bufocc_eq1)) = '1' then Clear_SeqAddr_BusLock <= '1'; end if; end if; when others => Mst_SM_ns <= Wait_state; end case; end process Mst_SM; Mst_SM_Reg: process (OPB_Clk) begin if (OPB_Clk'event and OPB_Clk = '1') then if (Reset_withNotReqs = RESET_ACTIVE) then Mst_SM_cs <= Wait_state; else Mst_SM_cs <= Mst_SM_ns; end if; retained_state_retry_active <= retained_state_retry_active_p1; end if; end process Mst_SM_Reg; ms_select_REG: process (OPB_Clk) begin if (OPB_Clk'event and OPB_Clk = '1') then if (Reset = RESET_ACTIVE) then Mn_Select_i <= '0'; else Mn_Select_i <= mn_select_p1; end if; end if; end process ms_select_REG; Register_ErrAck_Flag_PROCESS: process (OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE or Mst_SM_cs_EQ_Wait_state_i = '1') then Bus2IP_MstError_Flag <= '0'; elsif((OPB_ErrAck = '1' and Mn_Select_i = '1') or (SA2MA_Error = '1' and Xfer_in_progress = '1')) then Bus2IP_MstError_Flag <= '1'; -- Flag error to be noted with LastAck -- to local master end if; end if; end process Register_ErrAck_Flag_PROCESS; RETRY_HELP_PROC: process (OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if (bus2ip_mstretry_i_p1 and Bus2IP_MstLastAck_i_p1) = '1' or (Reset = RESET_ACTIVE) then -- As bus2ip_mstretry_i is set, ipic_rd_was_retried is cleared. This -- makes bus2ip_mstretry_i a one-clock pulse when it is caused in part -- by ipic_rd_was_retried. ipic_rd_was_retried <= '0'; elsif (SA2MA_RdRdy) = '1' then ipic_rd_was_retried <= SA2MA_Retry; end if; -- if (SA2MA_RdRdy) = '1' or (Reset = RESET_ACTIVE) then all_buffered_data_written <= sa2ma_bufocc_eq0; -- If there is -- no buffered data at RdRdy, then there is none to write. elsif (sa2ma_bufocc_eq0 and MA2SA_XferAck_i) = '1' then -- This captures -- the point at which all buffered data is written because the -- buffer proper (fifo) is empty so the only word left is in -- the output register, and it is being ack'ed. all_buffered_data_written <= '1'; end if; end if; end process; bus2ip_mstretry_i_p1 <= (OPB_Retry and Mn_Select_i) or (ipic_rd_was_retried and all_buffered_data_written) or (XXX2Bus_MstRdReq and SA2MA_Retry); Register_OPB_Retry_PROCESS: process (OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE) then bus2ip_mstretry_i <= '0'; else bus2ip_mstretry_i <= bus2ip_mstretry_i_p1; end if; end if; end process Register_OPB_Retry_PROCESS; Bus2IP_MstRetry <= bus2ip_mstretry_i; Register_Time_Out_PROCESS: process (OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE) then bus2ip_msttimeout_i <= '0'; else bus2ip_msttimeout_i <= (OPB_TimeOut and Mn_Select_i) or SA2MA_TimeOut; end if; end if; end process Register_Time_Out_PROCESS; Bus2IP_MstTimeOut <= bus2ip_msttimeout_i; either_ack <= Bus2IP_MstRdAck_ma_p1 or Bus2IP_MstWrAck_ma_p1; -- ACKS_LEFT_I : entity proc_common_v1_00_b.ld_arith_reg generic map ( C_ADD_SUB_NOT => false, C_REG_WIDTH => MA2SA_Num_i'length, C_RESET_VALUE => ZEROES(0 to MA2SA_Num_i'length-1), C_LD_WIDTH => MA2SA_Num_i'length, C_AD_WIDTH => 1 ) port map ( CK => OPB_Clk, RST => '0', Q => acks_left, LD => MA2SA_Num_i, AD => "1", LOAD => acks_left_ld, OP => either_ack ); -- acks_left_eq1 <= bo2sl(UNSIGNED(acks_left) = 1); acks_left_eq2 <= bo2sl(UNSIGNED(acks_left) = 2); Mst_Ack_COMB_PROCESS: process(XXX2Bus_MstRdReq, XXX2Bus_MstWrReq, XXX2Bus_MstBurst, SA2MA_WrAck, MA2SA_XferAck_i, acks_left_eq1, acks_left_eq2, MA2SA_Num_i) begin Bus2IP_MstWrAck_ma_p1 <= '0'; Bus2IP_MstRdAck_ma_p1 <= '0'; if(XXX2Bus_MstRdReq = '1') then if(XXX2Bus_MstBurst = '1') then --Fire and forget with Burst Bus2IP_MstRdAck_ma_p1 <= MA2SA_XferAck_i; else --Wait for local Write Ack Bus2IP_MstRdAck_ma_p1 <= SA2MA_WrAck; --when single Xfer end if; elsif(XXX2Bus_MstWrReq = '1') then Bus2IP_MstWrAck_ma_p1 <= MA2SA_XferAck_i; end if; end process Mst_Ack_COMB_PROCESS; RD_BURST_ACK_DELAY_PROC : process (OPB_Clk) begin if OPB_Clk'event and OPB_Clk = '1' then Bus2IP_MstRdAck_ma_p1_d1 <= Bus2IP_MstRdAck_ma_p1; last_mstrd_burst_ack_d1 <= XXX2Bus_MstRdReq and XXX2Bus_MstBurst and MA2SA_XferAck_i and acks_left_eq1; end if; end process; Bus2IP_MstLastAck_i_p1 <= last_mstrd_burst_ack_d1 -- When MstRd, burst or ( XXX2Bus_MstRdReq and not XXX2Bus_MstBurst and SA2MA_WrAck -- When MstRd, ~burst and acks_left_eq1) or ( XXX2Bus_MstWrReq and MA2SA_XferAck_i -- When MstWr and acks_left_eq1) or ( bus2ip_mstretry_i_p1 -- When retry and ( ( XXX2Bus_MstWrReq and all_buffered_data_written) or ( XXX2Bus_MstRdReq and SA2MA_Retry))); -- Note, for retries Bus2IP_MstLastAck serves -- as a qualifier. It is asserted iff -- no data is "in limbo", i.e. all data that -- has been read from the IPIC/OPB has -- been written to the OPB/IPIC or that -- any read data that has been discarded -- is rereadable (aka idempotent, -- non-destructive readable, pre-fetchable). -- For loccally mastered writes, -- (XXX2Bus_MstWrReq = '1'), Bus2IP_MstLastAck -- is asserted iff buffered data has been -- written. -- For loccally mastered reads, -- (XXX2Bus_MstRdReq = '1'), Bus2IP_MstLastAck -- is always asserted even though the data read -- from the OPB (and not accepted by the IPIC) -- is discarded. The consequence is that any -- OPB data read as the first part of a locally -- mastered read operation must either be -- re-readable or there must be a guarantee -- that the IPIC will not refuse it by -- replying with retry. MSTLASTACK_REG_PROCESS: process(OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE) then --Synchronous Reset Bus2IP_MstLastAck_i <= '0'; else Bus2IP_MstLastAck_i <= Bus2IP_MstLastAck_i_p1; end if; end if; end process; Mst_Ack_REG_PROCESS: process(OPB_Clk) begin if(OPB_Clk'event and OPB_Clk = '1') then if(Reset = RESET_ACTIVE) then --Synchronous Reset Bus2IP_MstRdAck_ma <= '0'; Bus2IP_MstWrAck_ma <= '0'; else Bus2IP_MstWrAck_ma <= Bus2IP_MstWrAck_ma_p1; if (XXX2Bus_MstRdReq and XXX2Bus_MstBurst) = '0' then Bus2IP_MstRdAck_ma <= Bus2IP_MstRdAck_ma_p1; else Bus2IP_MstRdAck_ma <= Bus2IP_MstRdAck_ma_p1_d1; end if; end if; end if; end process Mst_Ack_REG_PROCESS; end block FSM_AND_RELATED_LOGIC; --- end implementation;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc5.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s01b00x00p08n01i00005ent IS END c04s01b00x00p08n01i00005ent; ARCHITECTURE c04s01b00x00p08n01i00005arch OFc04s01b00x00p08n01i00005ent IS BEGIN TESTING: PROCESS type I1 is range 1 to 1; type I2 is range 1 to 1; variable V1: I1; variable V2: I2; BEGIN if V1 = V2 then -- Failure_here -- ERROR - SEMANTIC ERROR: OPERANDS OF = INCOMPATIBLE IN TYPE null ; end if; assert FALSE report "***FAILED TEST: c04s01b00x00p08n01i00005 - Types are different and hence incompatible." severity ERROR; wait; END PROCESS TESTING; END c04s01b00x00p08n01i00005arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc5.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s01b00x00p08n01i00005ent IS END c04s01b00x00p08n01i00005ent; ARCHITECTURE c04s01b00x00p08n01i00005arch OFc04s01b00x00p08n01i00005ent IS BEGIN TESTING: PROCESS type I1 is range 1 to 1; type I2 is range 1 to 1; variable V1: I1; variable V2: I2; BEGIN if V1 = V2 then -- Failure_here -- ERROR - SEMANTIC ERROR: OPERANDS OF = INCOMPATIBLE IN TYPE null ; end if; assert FALSE report "***FAILED TEST: c04s01b00x00p08n01i00005 - Types are different and hence incompatible." severity ERROR; wait; END PROCESS TESTING; END c04s01b00x00p08n01i00005arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc5.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s01b00x00p08n01i00005ent IS END c04s01b00x00p08n01i00005ent; ARCHITECTURE c04s01b00x00p08n01i00005arch OFc04s01b00x00p08n01i00005ent IS BEGIN TESTING: PROCESS type I1 is range 1 to 1; type I2 is range 1 to 1; variable V1: I1; variable V2: I2; BEGIN if V1 = V2 then -- Failure_here -- ERROR - SEMANTIC ERROR: OPERANDS OF = INCOMPATIBLE IN TYPE null ; end if; assert FALSE report "***FAILED TEST: c04s01b00x00p08n01i00005 - Types are different and hence incompatible." severity ERROR; wait; END PROCESS TESTING; END c04s01b00x00p08n01i00005arch;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: pt_pci_arb -- File: pt_pci_arb.vhd -- Author: Alf Vaerneus, Gaisler Research -- Description: PCI arbiter ------------------------------------------------------------------------------ -- pragma translate_off library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.pt_pkg.all; entity pt_pci_arb is generic ( slots : integer := 5; tval : time := 7 ns); port ( systclk : in pci_syst_type; ifcin : in pci_ifc_type; arbin : in pci_arb_type; arbout : out pci_arb_type); end pt_pci_arb; architecture tb of pt_pci_arb is type queue_type is array (0 to slots-1) of integer range 0 to slots; signal queue : queue_type; signal queue_nr : integer range 0 to slots; signal wfbus : boolean; begin arb : process(systclk) variable i, slotgnt : integer; variable set : boolean; variable bus_idle : boolean; variable vqueue_nr : integer range 0 to slots; variable gnt,req : std_logic_vector(slots-1 downto 0); begin set := false; vqueue_nr := queue_nr; if (ifcin.frame and ifcin.irdy) = '1' then bus_idle := true; else bus_idle := false; end if; gnt := to_x01(arbin.gnt(slots-1 downto 0)); req := to_x01(arbin.req(slots-1 downto 0)); if systclk.rst = '0' then gnt := (others => '1'); wfbus <= false; for i in 0 to slots-1 loop queue(i) <= 0; end loop; queue_nr <= 0; elsif rising_edge(systclk.clk) then for i in 0 to slots-1 loop if (gnt(i) or req(i)) = '0' then if (bus_idle or wfbus) then set := true; end if; end if; end loop; for i in 0 to slots-1 loop if (gnt(i) and not req(i)) = '1' then if queue(i) = 0 then vqueue_nr := vqueue_nr+1; queue(i) <= vqueue_nr; elsif (queue(i) = 1 and set = false) then gnt := (others => '1'); gnt(i) := '0'; queue(i) <= 0; if not bus_idle then wfbus <= true; end if; if vqueue_nr > 0 then vqueue_nr := vqueue_nr-1; end if; elsif queue(i) >= 2 then if (set = false or vqueue_nr <= 1) then queue(i) <= queue(i)-1; -- if vqueue_nr > 0 then vqueue_nr := vqueue_nr-1; end if; end if; end if; elsif (req(i) and not gnt(i)) = '1' then queue(i) <= 0; gnt(i) := '1'; -- if vqueue_nr > 0 then vqueue_nr := vqueue_nr-1; end if; elsif (req(i) and gnt(i)) = '1' then if (queue(i) > 0 and set = false) then queue(i) <= queue(i)-1; if (vqueue_nr > 0 and queue(i) = 1) then vqueue_nr := vqueue_nr-1; end if; end if; end if; end loop; end if; if bus_idle then wfbus <= false; end if; queue_nr <= vqueue_nr; arbout.req <= (others => 'Z'); arbout.gnt <= (others => 'Z'); arbout.gnt(slots-1 downto 0) <= gnt; end process; end; -- pragma translate_on
-- libraries --------------------------------------------------------------------------------- {{{ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; use ieee.std_logic_textio.all; use std.textio.all; ------------------------------------------------------------------------------------------------- }}} package FGPU_definitions is constant N_CU_W : natural := 2; --0 to 3 -- Bitwidth of # of CUs constant LMEM_ADDR_W : natural := 10; -- bitwidth of local memory address for a single PE constant N_AXI_W : natural := 0; -- Bitwidth of # of AXI data ports constant SUB_INTEGER_IMPLEMENT : natural := 0; -- implement sub-integer store operations constant N_STATIONS_ALU : natural := 4; -- # stations to store memory requests sourced by a single ALU constant ATOMIC_IMPLEMENT : natural := 0; -- implement global atomic operations constant LMEM_IMPLEMENT : natural := 1; -- implement local scratchpad constant N_TAG_MANAGERS_W : natural := N_CU_W+0; -- 0 to 1 -- Bitwidth of # tag controllers per CU constant RD_CACHE_N_WORDS_W : natural := 0; constant RD_CACHE_FIFO_PORTB_ADDR_W : natural := 6; constant FLOAT_IMPLEMENT : natural := 0; constant FADD_IMPLEMENT : integer := 1; constant FMUL_IMPLEMENT : integer := 1; constant FDIV_IMPLEMENT : integer := 0; constant FSQRT_IMPLEMENT : integer := 1; constant UITOFP_IMPLEMENT : integer := 1; constant FSLT_IMPLEMENT : integer := 0; constant FRSQRT_IMPLEMENT : integer := 0; constant FADD_DELAY : integer := 11; constant UITOFP_DELAY : integer := 5; constant FMUL_DELAY : integer := 8; constant FDIV_DELAY : integer := 28; constant FSQRT_DELAY : integer := 28; constant FRSQRT_DELAY : integer := 28; constant FSLT_DELAY : integer := 2; constant MAX_FPU_DELAY : integer := FDIV_DELAY; constant CACHE_N_BANKS_W : natural := 3; -- Bitwidth of # words within a cache line. Minimum is 2 constant N_RECEIVERS_CU_W : natural := 6-N_CU_W; -- Bitwidth of # of receivers inside the global memory controller per CU. (6-N_CU_W) will lead to 64 receivers whatever the # of CU is. constant BURST_WORDS_W : natural := 5; -- Bitwidth # of words within a single AXI burst constant ENABLE_READ_PRIORIRY_PIPE : boolean := false; constant FIFO_ADDR_W : natural := 3; -- Bitwidth of the fifo size to store outgoing memory requests from a CU constant N_RD_FIFOS_TAG_MANAGER_W : natural := 0; constant FINISH_FIFO_ADDR_W : natural := 3; -- Bitwidth of the fifo depth to mark dirty cache lines to be cleared at the end -- constant CRAM_BLOCKS : natural := 1; -- # of CRAM replicates. Each replicate will serve some CUs (1 or 2 supported only) constant CV_W : natural := 3; -- bitwidth of # of PEs within a CV constant CV_TO_CACHE_SLICE : natural := 3; constant INSTR_READ_SLICE : boolean := true; constant RTM_WRITE_SLICE : boolean := true; constant WRITE_PHASE_W : natural := 1; -- # of MSBs of the receiver index in the global memory controller which will be selected to write. These bits increments always. -- This incrmenetation should help to balance serving the receivers constant RCV_PRIORITY_W : natural := 3; constant N_WF_CU_W : natural := 3; -- bitwidth of # of WFs that can be simultaneously managed within a CU constant AADD_ATOMIC : natural := 1; constant AMAX_ATOMIC : natural := 1; constant GMEM_N_BANK_W : natural := 1; constant ID_WIDTH : natural := 6; constant PHASE_W : natural := 3; constant CV_SIZE : natural := 2**CV_W; constant RD_CACHE_N_WORDS : natural := 2**RD_CACHE_N_WORDS_W; constant WF_SIZE_W : natural := PHASE_W + CV_W; -- A WF will be executed on the PEs of a single CV withen PAHSE_LEN cycels constant WG_SIZE_W : natural := WF_SIZE_W + N_WF_CU_W; -- A WG must be executed on a single CV. It contains a number of WFs which is at maximum the amount that can be managed within a CV constant RTM_ADDR_W : natural := 1+2+N_WF_CU_W+PHASE_W; -- 1+2+3+3 = 9bit -- The MSB if select between local indcs or other information -- The lower 2 MSBs for d0, d1 or d2. The middle N_WF_CU_W are for the WF index with the CV. The lower LSBs are for the phase index constant RTM_DATA_W : natural := CV_SIZE*WG_SIZE_W; -- Bitwidth of RTM data ports constant BURST_W : natural := BURST_WORDS_W - GMEM_N_BANK_W; -- burst width in number of transfers on the axi bus constant RD_FIFO_N_BURSTS_W : natural := 1; constant RD_FIFO_W : natural := BURST_W + RD_FIFO_N_BURSTS_W; constant N_TAG_MANAGERS : natural := 2**N_TAG_MANAGERS_W; constant N_AXI : natural := 2**N_AXI_W; constant N_WR_FIFOS_AXI_W : natural := N_TAG_MANAGERS_W-N_AXI_W; constant INTERFCE_W_ADDR_W : natural := 14; constant CRAM_ADDR_W : natural := 12; -- TODO constant DATA_W : natural := 32; constant BRAM18kb32b_ADDR_W : natural := 9; constant BRAM36kb64b_ADDR_W : natural := 9; constant BRAM36kb_ADDR_W : natural := 10; constant INST_FIFO_PRE_LEN : natural := 8; constant CV_INST_FIFO_W : natural := 3; constant LOC_MEM_W : natural := BRAM18kb32b_ADDR_W; constant N_PARAMS_W : natural := 4; constant GMEM_ADDR_W : natural := 32; constant WI_REG_ADDR_W : natural := 5; constant N_REG_BLOCKS_W : natural := 2; constant REG_FILE_BLOCK_W : natural := PHASE_W+WI_REG_ADDR_W+N_WF_CU_W-N_REG_BLOCKS_W; -- default=3+5+3-2=9 constant N_WR_FIFOS_W : natural := N_WR_FIFOS_AXI_W + N_AXI_W; constant N_WR_FIFOS_AXI : natural := 2**N_WR_FIFOS_AXI_W; constant N_WR_FIFOS : natural := 2**N_WR_FIFOS_W; constant STAT : natural := 1; constant STAT_LOAD : natural := 0; -- cache & gmem controller constants constant BRMEM_ADDR_W : natural := BRAM36kb_ADDR_W; -- default=10 constant N_RD_PORTS : natural := 4; constant N : natural := CACHE_N_BANKS_W; -- max. 3 constant L : natural := BURST_WORDS_W-N; -- min. 2 constant M : natural := BRMEM_ADDR_W - L; -- max. 8 -- L+M = BMEM_ADDR_W = 10 = #address bits of a BRAM -- cache size = 2^(N+L+M) words; max.=8*4KB=32KB constant N_RECEIVERS_CU : natural := 2**N_RECEIVERS_CU_W; constant N_RECEIVERS_W : natural := N_CU_W + N_RECEIVERS_CU_W; constant N_RECEIVERS : natural := 2**N_RECEIVERS_W; constant N_CU_STATIONS_W : natural := 6; constant GMEM_WORD_ADDR_W : natural := GMEM_ADDR_W - 2; constant TAG_W : natural := GMEM_WORD_ADDR_W -M -L -N; constant GMEM_N_BANK : natural := 2**GMEM_N_BANK_W; constant CACHE_N_BANKS : natural := 2**CACHE_N_BANKS_W; constant REG_FILE_W : natural := N_REG_BLOCKS_W+REG_FILE_BLOCK_W; constant N_REG_BLOCKS : natural := 2**N_REG_BLOCKS_W; constant REG_ADDR_W : natural := BRAM18kb32b_ADDR_W+BRAM18kb32b_ADDR_W; constant REG_FILE_SIZE : natural := 2**REG_ADDR_W; constant REG_FILE_BLOCK_SIZE : natural := 2**REG_FILE_BLOCK_W; constant GMEM_DATA_W : natural := GMEM_N_BANK * DATA_W; constant N_PARAMS : natural := 2**N_PARAMS_W; constant LOC_MEM_SIZE : natural := 2**LOC_MEM_W; constant PHASE_LEN : natural := 2**PHASE_W; constant CV_INST_FIFO_SIZE : natural := 2**CV_INST_FIFO_W; constant N_CU : natural := 2**N_CU_W; constant N_WF_CU : natural := 2**N_WF_CU_W; constant WF_SIZE : natural := 2**WF_SIZE_W; constant CRAM_SIZE : natural := 2**CRAM_ADDR_W; constant RTM_SIZE : natural := 2**RTM_ADDR_W; constant BRAM18kb_SIZE : natural := 2**BRAM18kb32b_ADDR_W; constant regFile_addr : natural := 2**(INTERFCE_W_ADDR_W-1); -- "10" of the address msbs to choose the register file constant Rstat_addr : natural := regFile_addr + 0; --address of status register in the register file constant Rstart_addr : natural := regFile_addr + 1; --address of stat register in the register file constant RcleanCache_addr : natural := regFile_addr + 2; --address of cleanCache register in the register file constant RInitiate_addr : natural := regFile_addr + 3; --address of cleanCache register in the register file constant Rstat_regFile_addr : natural := 0; --address of status register in the register file constant Rstart_regFile_addr : natural := 1; --address of stat register in the register file constant RcleanCache_regFile_addr : natural := 2; --address of cleanCache register in the register file constant RInitiate_regFile_addr : natural := 3; --address of initiate register in the register file constant N_REG_W : natural := 2; constant PARAMS_ADDR_LOC_MEM_OFFSET : natural := LOC_MEM_SIZE - N_PARAMS; -- constant GMEM_RQST_BUS_W : natural := GMEM_DATA_W; -- new kernel descriptor ---------------------------------------------------------------- constant NEW_KRNL_DESC_W : natural := 5; -- length of the kernel's descripto constant NEW_KRNL_INDX_W : natural := 4; -- bitwidth of number of kernels that can be started constant NEW_KRNL_DESC_LEN : natural := 12; constant WG_MAX_SIZE : natural := 2**WG_SIZE_W; constant NEW_KRNL_DESC_MAX_LEN : natural := 2**NEW_KRNL_DESC_W; constant NEW_KRNL_MAX_INDX : natural := 2**NEW_KRNL_INDX_W; constant KRNL_SCH_ADDR_W : natural := NEW_KRNL_DESC_W + NEW_KRNL_INDX_W; constant NEW_KRNL_DESC_N_WF : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 0; constant NEW_KRNL_DESC_ID0_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 1; constant NEW_KRNL_DESC_ID1_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 2; constant NEW_KRNL_DESC_ID2_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 3; constant NEW_KRNL_DESC_ID0_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 4; constant NEW_KRNL_DESC_ID1_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 5; constant NEW_KRNL_DESC_ID2_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 6; constant NEW_KRNL_DESC_WG_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 7; constant NEW_KRNL_DESC_N_WG_0 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 8; constant NEW_KRNL_DESC_N_WG_1 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 9; constant NEW_KRNL_DESC_N_WG_2 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 10; constant NEW_KRNL_DESC_N_PARAMS : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 11; constant PARAMS_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 16; constant WG_SIZE_0_OFFSET : natural := 0; constant WG_SIZE_1_OFFSET : natural := 10; constant WG_SIZE_2_OFFSET : natural := 20; constant N_DIM_OFFSET : natural := 30; constant ADDR_FIRST_INST_OFFSET : natural := 0; constant ADDR_LAST_INST_OFFSET : natural := 14; constant N_WF_OFFSET : natural := 28; constant N_WG_0_OFFSET : natural := 16; constant N_WG_1_OFFSET : natural := 0; constant N_WG_2_OFFSET : natural := 16; constant WG_SIZE_OFFSET : natural := 0; constant N_PARAMS_OFFSET : natural := 28; type cram_type is array (2**CRAM_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0); type slv32_array is array (natural range<>) of std_logic_vector(DATA_W-1 downto 0); type krnl_scheduler_ram_TYPE is array (2**KRNL_SCH_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0); type cram_addr_array is array (natural range <>) of unsigned(CRAM_ADDR_W-1 downto 0); -- range 0 to CRAM_SIZE-1; type rtm_ram_type is array (natural range <>) of unsigned(RTM_DATA_W-1 downto 0); type gmem_addr_array is array (natural range<>) of unsigned(GMEM_ADDR_W-1 downto 0); type op_arith_shift_type is (op_add, op_lw, op_mult, op_bra, op_shift, op_slt, op_mov, op_ato, op_lmem); type op_logical_type is (op_andi, op_and, op_ori, op_or, op_xor, op_xori, op_nor); type be_array is array(natural range <>) of std_logic_vector(DATA_W/8-1 downto 0); type gmem_be_array is array(natural range <>) of std_logic_vector(GMEM_N_BANK*DATA_W/8-1 downto 0); type sl_array is array(natural range <>) of std_logic; type nat_array is array(natural range <>) of natural; type nat_2d_array is array(natural range <>, natural range <>) of natural; type reg_addr_array is array (natural range <>) of unsigned(REG_FILE_W-1 downto 0); type gmem_word_addr_array is array(natural range <>) of unsigned(GMEM_WORD_ADDR_W-1 downto 0); type gmem_addr_array_no_bank is array (natural range <>) of unsigned(GMEM_WORD_ADDR_W-CACHE_N_BANKS_W-1 downto 0); type alu_en_vec_type is array(natural range <>) of std_logic_vector(CV_SIZE-1 downto 0); type alu_en_rdAddr_type is array(natural range <>) of unsigned(PHASE_W+N_WF_CU_W-1 downto 0); type tag_array is array (natural range <>) of unsigned(TAG_W-1 downto 0); type gmem_word_array is array (natural range <>) of std_logic_vector(DATA_W*GMEM_N_BANK-1 downto 0); type wf_active_array is array (natural range <>) of std_logic_vector(N_WF_CU-1 downto 0); type cache_addr_array is array(natural range <>) of unsigned(M+L-1 downto 0); type cache_word_array is array(natural range <>) of std_logic_vector(CACHE_N_BANKS*DATA_W-1 downto 0); type tag_addr_array is array(natural range <>) of unsigned(M-1 downto 0); type reg_file_block_array is array(natural range<>) of unsigned(REG_FILE_BLOCK_W-1 downto 0); type id_array is array(natural range<>) of std_logic_vector(ID_WIDTH-1 downto 0); type real_array is array (natural range <>) of real; type atomic_sgntr_array is array (natural range <>) of std_logic_vector(N_CU_STATIONS_W-1 downto 0); attribute max_fanout: integer; attribute keep: string; attribute mark_debug : string; impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type; impure function init_SLV32_ARRAY_from_file(file_name : in string; len: in natural; file_len: in natural) return SLV32_ARRAY; impure function init_CRAM(file_name : in string; file_len: in natural) return cram_type; function pri_enc(datain: in std_logic_vector) return integer; function max (LEFT, RIGHT: integer) return integer; function min_int (LEFT, RIGHT: integer) return integer; function clogb2 (bit_depth : integer) return integer; --- ISA -------------------------------------------------------------------------------------- constant FAMILY_W : natural := 4; constant CODE_W : natural := 4; constant IMM_ARITH_W : natural := 14; constant IMM_W : natural := 16; constant BRANCH_ADDR_W : natural := 14; constant FAMILY_POS : natural := 28; constant CODE_POS : natural := 24; constant RD_POS : natural := 0; constant RS_POS : natural := 5; constant RT_POS : natural := 10; constant IMM_POS : natural := 10; constant DIM_POS : natural := 5; constant PARAM_POS : natural := 5; constant BRANCH_ADDR_POS : natural := 10; --------------- families constant ADD_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"1"; constant SHF_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"2"; constant LGK_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"3"; constant MOV_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"4"; constant MUL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"5"; constant BRA_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"6"; constant GLS_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"7"; constant ATO_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"8"; constant CTL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"9"; constant RTM_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"A"; constant CND_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"B"; constant FLT_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"C"; constant LSI_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"D"; --------------- codes --RTM constant LID : std_logic_vector(CODE_W-1 downto 0) := X"0"; --upper two MSBs indicate if the operation is localdx or offsetdx constant WGOFF : std_logic_vector(CODE_W-1 downto 0) := X"1"; constant SIZE : std_logic_vector(CODE_W-1 downto 0) := X"2"; constant WGID : std_logic_vector(CODE_W-1 downto 0) := X"3"; constant WGSIZE : std_logic_vector(CODE_W-1 downto 0) := X"4"; constant LP : std_logic_vector(CODE_W-1 downto 0) := X"8"; --ADD constant ADD : std_logic_vector(CODE_W-1 downto 0) := "0000"; constant SUB : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant ADDI : std_logic_vector(CODE_W-1 downto 0) := "0001"; constant LI : std_logic_vector(CODE_W-1 downto 0) := "1001"; constant LUI : std_logic_vector(CODE_W-1 downto 0) := "1101"; --MUL constant MACC : std_logic_vector(CODE_W-1 downto 0) := "1000"; --BRA constant BEQ : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant BNE : std_logic_vector(CODE_W-1 downto 0) := "0011"; constant JSUB : std_logic_vector(CODE_W-1 downto 0) := "0100"; --GLS constant LW : std_logic_vector(CODE_W-1 downto 0) := "0100"; constant SW : std_logic_vector(CODE_W-1 downto 0) := "1100"; --CTL constant RET : std_logic_vector(CODE_W-1 downto 0) := "0010"; --SHF constant SLLI : std_logic_vector(CODE_W-1 downto 0) := "0001"; --LGK constant CODE_AND : std_logic_vector(CODE_W-1 downto 0) := "0000"; constant CODE_ANDI : std_logic_vector(CODE_W-1 downto 0) := "0001"; constant CODE_OR : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant CODE_ORI : std_logic_vector(CODE_W-1 downto 0) := "0011"; constant CODE_XOR : std_logic_vector(CODE_W-1 downto 0) := "0100"; constant CODE_XORI : std_logic_vector(CODE_W-1 downto 0) := "0101"; constant CODE_NOR : std_logic_vector(CODE_W-1 downto 0) := "1000"; --ATO constant CODE_AMAX : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant CODE_AADD : std_logic_vector(CODE_W-1 downto 0) := "0001"; type branch_distance_vec is array(natural range <>) of unsigned(BRANCH_ADDR_W-1 downto 0); type code_vec_type is array(natural range <>) of std_logic_vector(CODE_W-1 downto 0); type atomic_type_vec_type is array(natural range <>) of std_logic_vector(2 downto 0); end FGPU_definitions; package body FGPU_definitions is -- function called clogb2 that returns an integer which has the --value of the ceiling of the log base 2 function clogb2 (bit_depth : integer) return integer is variable depth : integer := bit_depth; variable count : integer := 1; begin for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers if (bit_depth <= 2) then count := 1; else if(depth <= 1) then count := count; else depth := depth / 2; count := count + 1; end if; end if; end loop; return(count); end; impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type is file init_file : text open read_mode is file_name; variable init_line : line; variable temp_bv : bit_vector(DATA_W-1 downto 0); variable temp_mem : KRNL_SCHEDULER_RAM_type; begin for i in 0 to 16*32-1 loop readline(init_file, init_line); hread(init_line, temp_mem(i)); -- read(init_line, temp_bv); -- temp_mem(i) := to_stdlogicvector(temp_bv); end loop; return temp_mem; end function; function max (LEFT, RIGHT: integer) return integer is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end max; function min_int (LEFT, RIGHT: integer) return integer is begin if LEFT > RIGHT then return RIGHT; else return LEFT; end if; end min_int; impure function init_CRAM(file_name : in string; file_len : in natural) return cram_type is file init_file : text open read_mode is file_name; variable init_line : line; variable cram : cram_type; -- variable tmp: std_logic_vector(DATA_W-1 downto 0); begin for i in 0 to file_len-1 loop readline(init_file, init_line); hread(init_line, cram(i)); -- vivado breaks when synthesizing hread(init_line, cram(0)(i)) without giving any indication about the error -- cram(i) := tmp; -- if CRAM_BLOCKS > 1 then -- for j in 1 to max(1,CRAM_BLOCKS-1) loop -- cram(j)(i) := cram(0)(i); -- end loop; -- end if; end loop; return cram; end function; impure function init_SLV32_ARRAY_from_file(file_name : in string; len : in natural; file_len : in natural) return SLV32_ARRAY is file init_file : text open read_mode is file_name; variable init_line : line; variable temp_mem : SLV32_ARRAY(len-1 downto 0); begin for i in 0 to file_len-1 loop readline(init_file, init_line); hread(init_line, temp_mem(i)); end loop; return temp_mem; end function; function pri_enc(datain: in std_logic_vector) return integer is variable res : integer range 0 to datain'high; begin res := 0; for i in datain'high downto 1 loop if datain(i) = '1' then res := i; end if; end loop; return res; end function; end FGPU_definitions;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity pwm is generic( pwm_bits : natural := 31 ); port( clk : in std_logic; resetn : in std_logic; enable : in std_logic; duty_cycle : in std_logic_vector(pwm_bits - 1 downto 0); --phase : in std_logic_vector(pwm_bits - 1 downto 0); highimp : in std_logic; pwm_out : out std_logic; pwm_out_n: out std_logic ); end entity pwm; architecture behavorial of pwm is type state_t is (hi, lo, hz, idle); signal pwm_state : state_t := idle; signal counter : unsigned(pwm_bits - 1 downto 0) := (others => '0'); signal pwm_out_reg : std_logic; begin counter_proc: process(clk, resetn, enable) begin if rising_edge(clk) then if resetn = '0' then counter <= (others => '0'); end if; if enable = '1' then counter <= counter + 1; end if; end if; end process counter_proc; state_machine: process(clk, resetn, enable, duty_cycle, highimp) begin if rising_edge(clk) then if resetn = '0' or enable = '0' then pwm_out_reg <= '0'; elsif enable = '1' then if highimp = '1' then pwm_out_reg <= 'Z'; else if counter < unsigned(duty_cycle) then pwm_out_reg <= '1'; else pwm_out_reg <= '0'; end if; end if; end if; end if; end process state_machine; pwm_out <= pwm_out_reg; pwm_out_n <= not pwm_out_reg; -- --outctl: --process(clk, pwm_state) --begin -- if rising_edge(clk) then -- case (pwm_state) is -- when hi => pwm_out <= '1'; -- pwm_out_n <= '0'; -- when lo => pwm_out <= '0'; -- pwm_out_n <= '1'; -- when idle => pwm_out <= 'Z'; -- pwm_out_n <= 'Z'; -- when hz => pwm_out <= 'Z'; -- pwm_out_n <= 'Z'; -- end case; -- end if; --end process outctl; end architecture behavorial;
------------------------------------------------------------------------------- -- $Id: lmb_v10.vhd,v 1.1.2.1 2010/09/03 11:19:35 rolandp Exp $ ------------------------------------------------------------------------------- -- lmb_v10.vhd ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------- -- Filename: lmb_v10.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- lmb_v10.vhd -- ------------------------------------------------------------------------------- -- Author: rolandp -- Revision: $Revision: 1.1.2.1 $ -- Date: $Date: 2010/09/03 11:19:35 $ -- -- History: -- goran 2002-01-30 First Version -- paulo 2002-04-10 Renamed C_NUM_SLAVES to C_LMB_NUM_SLAVES -- roland 2010-02-13 UE, CE and Wait signals added -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity lmb_v10 is generic ( C_LMB_NUM_SLAVES : integer := 4; C_LMB_DWIDTH : integer := 32; C_LMB_AWIDTH : integer := 32; C_EXT_RESET_HIGH : integer := 1 ); port ( -- Global Ports LMB_Clk : in std_logic; SYS_Rst : in std_logic; LMB_Rst : out std_logic; -- LMB master signals M_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); M_ReadStrobe : in std_logic; M_WriteStrobe : in std_logic; M_AddrStrobe : in std_logic; M_DBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); M_BE : in std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1); -- LMB slave signals Sl_DBus : in std_logic_vector(0 to (C_LMB_DWIDTH*C_LMB_NUM_SLAVES)-1); Sl_Ready : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_Wait : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_UE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_CE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); -- LMB output signals LMB_ABus : out std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_AddrStrobe : out std_logic; LMB_ReadDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_WriteDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_Ready : out std_logic; LMB_Wait : out std_logic; LMB_UE : out std_logic; LMB_CE : out std_logic; LMB_BE : out std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1) ); end entity lmb_v10; library unisim; use unisim.all; architecture IMP of lmb_v10 is component FDS is port( Q : out std_logic; D : in std_logic; C : in std_logic; S : in std_logic); end component FDS; signal sys_rst_i : std_logic; begin -- architecture IMP ----------------------------------------------------------------------------- -- Driving the reset signal ----------------------------------------------------------------------------- SYS_RST_PROC : process (SYS_Rst) is variable sys_rst_input : std_logic; begin if C_EXT_RESET_HIGH = 0 then sys_rst_input := not SYS_Rst; else sys_rst_input := SYS_Rst; end if; sys_rst_i <= sys_rst_input; end process SYS_RST_PROC; POR_FF_I : FDS port map ( Q => LMB_Rst, D => '0', C => LMB_Clk, S => sys_rst_i); ----------------------------------------------------------------------------- -- Drive all Master to Slave signals ----------------------------------------------------------------------------- LMB_ABus <= M_ABus; LMB_ReadStrobe <= M_ReadStrobe; LMB_WriteStrobe <= M_WriteStrobe; LMB_AddrStrobe <= M_AddrStrobe; LMB_BE <= M_BE; LMB_WriteDBus <= M_DBus; ----------------------------------------------------------------------------- -- Drive all the Slave to Master signals ----------------------------------------------------------------------------- Ready_ORing : process (Sl_Ready) is variable i : std_logic; begin -- process Ready_ORing i := '0'; for S in Sl_Ready'range loop i := i or Sl_Ready(S); end loop; -- S LMB_Ready <= i; end process Ready_ORing; Wait_ORing : process (Sl_Wait) is variable i : std_logic; begin -- process Wait_ORing i := '0'; for S in Sl_Wait'range loop i := i or Sl_Wait(S); end loop; -- S LMB_Wait <= i; end process Wait_ORing; SI_UE_ORing : process (Sl_UE) is variable i : std_logic; begin -- process UE_ORing i := '0'; for S in Sl_UE'range loop i := i or Sl_UE(S); end loop; -- S LMB_UE <= i; end process SI_UE_ORing; SI_CE_ORing : process (Sl_CE) is variable i : std_logic; begin -- process CE_ORing i := '0'; for S in Sl_CE'range loop i := i or Sl_CE(S); end loop; -- S LMB_CE <= i; end process SI_CE_ORing; DBus_Oring : process (Sl_Ready, Sl_DBus) is variable Res : std_logic_vector(0 to C_LMB_DWIDTH-1); variable Tmp : std_logic_vector(Sl_DBus'range); variable tmp_or : std_logic; begin -- process DBus_Oring if (C_LMB_NUM_SLAVES = 1) then LMB_ReadDBus <= Sl_DBus; else -- First gating all data signals with their resp. ready signal for I in 0 to C_LMB_NUM_SLAVES-1 loop for J in 0 to C_LMB_DWIDTH-1 loop tmp(I*C_LMB_DWIDTH + J) := Sl_Ready(I) and Sl_DBus(I*C_LMB_DWIDTH + J); end loop; -- J end loop; -- I -- then oring the tmp signals together for J in 0 to C_LMB_DWIDTH-1 loop tmp_or := '0'; for I in 0 to C_LMB_NUM_SLAVES-1 loop tmp_or := tmp_or or tmp(I*C_LMB_DWIDTH + j); end loop; -- J res(J) := tmp_or; end loop; -- I LMB_ReadDBus <= Res; end if; end process DBus_Oring; end architecture IMP;
library ieee; use ieee.std_logic_1164.all; -- Add your library and packages declaration here ... entity syncregn_tb is -- Generic declarations of the tested unit generic( n : INTEGER := 4 ); end syncregn_tb; architecture TB_ARCHITECTURE of syncregn_tb is -- Component declaration of the tested unit component syncregn generic( n : INTEGER := 4 ); port( Din : in STD_LOGIC_VECTOR(n-1 downto 0); EN : in STD_LOGIC; C : in STD_LOGIC; Dout : out STD_LOGIC_VECTOR(n-1 downto 0) ); end component; -- Stimulus signals - signals mapped to the input and inout ports of tested entity signal Din : STD_LOGIC_VECTOR(n-1 downto 0); signal EN : STD_LOGIC; signal C : STD_LOGIC; -- Observed signals - signals mapped to the output ports of tested entity signal Dout : STD_LOGIC_VECTOR(n-1 downto 0); constant CLK_Period: time := 10 ns; begin -- Unit Under Test port map UUT : syncregn generic map ( n => n ) port map ( Din => Din, EN => EN, C => C, Dout => Dout ); CLK_Process: process begin C <= '0'; wait for CLK_Period/2; C <= '1'; wait for CLK_Period/2; end process; stim_proc: process begin wait for CLK_Period; Din <= "1111"; EN <= '1'; wait for CLK_Period; EN <= '0'; wait for CLK_Period; Din <= "0000"; wait for 2*CLK_Period; EN <= '1'; wait for CLK_Period; Din <= "1111"; wait for CLK_Period; end process; end TB_ARCHITECTURE; configuration TESTBENCH_FOR_syncregn of syncregn_tb is for TB_ARCHITECTURE for UUT : syncregn use entity work.syncregn(behavior); end for; end for; end TESTBENCH_FOR_syncregn;
------------------------------------------------------------------------------ -- Testbench for contextregfile.vhd -- -- Project : -- File : tb_contextregfile.vhd -- Author : Rolf Enzler <[email protected]> -- Company : Swiss Federal Institute of Technology (ETH) Zurich -- Created : 2003/03/06 -- Last changed: $LastChangedDate: 2004-10-05 17:10:36 +0200 (Tue, 05 Oct 2004) $ ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.componentsPkg.all; use work.auxPkg.all; entity tb_ContextRegFile is end tb_ContextRegFile; architecture arch of tb_ContextRegFile is constant NCONTEXTS : integer := 8; constant WIDTH : integer := 16; -- simulation stuff constant CLK_PERIOD : time := 100 ns; signal ccount : integer := 1; type tbstatusType is (rst, idle, done, reg1, clr3, wrall, rdall, clrall); signal tbStatus : tbstatusType := idle; -- general control signals signal ClkxC : std_logic := '1'; signal RstxRB : std_logic; -- DUT signals signal ClrContextxSI : std_logic_vector(log2(NCONTEXTS)-1 downto 0); signal ClrContextxEI : std_logic; signal ContextxSI : std_logic_vector(log2(NCONTEXTS)-1 downto 0); signal EnxEI : std_logic; signal DinxDI : std_logic_vector(WIDTH-1 downto 0); signal DoutxDO : std_logic_vector(WIDTH-1 downto 0); begin -- arch ---------------------------------------------------------------------------- -- device under test ---------------------------------------------------------------------------- dut : ContextRegFile generic map ( NCONTEXTS => NCONTEXTS, WIDTH => WIDTH) port map ( ClkxC => ClkxC, RstxRB => RstxRB, ClrContextxSI => ClrContextxSI, ClrContextxEI => ClrContextxEI, ContextxSI => ContextxSI, EnxEI => EnxEI, DinxDI => DinxDI, DoutxDO => DoutxDO); ---------------------------------------------------------------------------- -- stimuli ---------------------------------------------------------------------------- stimuliTb : process procedure init_stimuli ( signal ClrContextxSI : out std_logic_vector(log2(NCONTEXTS)-1 downto 0); signal ClrContextxEI : out std_logic; signal ContextxSI : out std_logic_vector(log2(NCONTEXTS)-1 downto 0); signal EnxEI : out std_logic; signal DinxDI : out std_logic_vector(WIDTH-1 downto 0)) is begin ClrContextxSI <= (others => '0'); ClrContextxEI <= '0'; ContextxSI <= (others => '0'); EnxEI <= '0'; DinxDI <= (others => '0'); end init_stimuli; begin -- process stimuliTb tbStatus <= rst; init_stimuli(ClrContextxSI, ClrContextxEI, ContextxSI, EnxEI, DinxDI); wait until (ClkxC'event and ClkxC = '1' and RstxRB = '0'); wait until (ClkxC'event and ClkxC = '1' and RstxRB = '1'); tbStatus <= idle; wait for CLK_PERIOD*0.25; -- enable and disable register 1 tbStatus <= reg1; ContextxSI <= std_logic_vector(to_unsigned(1, log2(NCONTEXTS))); EnxEI <= '1'; DinxDI <= std_logic_vector(to_unsigned(11, WIDTH)); wait for CLK_PERIOD; EnxEI <= '0'; DinxDI <= std_logic_vector(to_unsigned(12, WIDTH)); wait for CLK_PERIOD; EnxEI <= '1'; DinxDI <= std_logic_vector(to_unsigned(13, WIDTH)); wait for CLK_PERIOD; EnxEI <= '0'; DinxDI <= std_logic_vector(to_unsigned(14, WIDTH)); wait for CLK_PERIOD; EnxEI <= '1'; DinxDI <= std_logic_vector(to_unsigned(15, WIDTH)); wait for CLK_PERIOD; EnxEI <= '1'; DinxDI <= std_logic_vector(to_unsigned(0, WIDTH)); wait for CLK_PERIOD; tbStatus <= idle; init_stimuli(ClrContextxSI, ClrContextxEI, ContextxSI, EnxEI, DinxDI); wait for CLK_PERIOD; -- write all tbStatus <= wrall; for c in 0 to NCONTEXTS-1 loop ContextxSI <= std_logic_vector(to_unsigned(c, log2(NCONTEXTS))); EnxEI <= '1'; DinxDI <= std_logic_vector(to_unsigned(c+10, WIDTH)); wait for CLK_PERIOD; end loop; -- c tbStatus <= idle; init_stimuli(ClrContextxSI, ClrContextxEI, ContextxSI, EnxEI, DinxDI); wait for CLK_PERIOD; -- read all tbStatus <= rdall; for c in 0 to NCONTEXTS-1 loop ContextxSI <= std_logic_vector(to_unsigned(c, log2(NCONTEXTS))); wait for CLK_PERIOD; end loop; -- c tbStatus <= idle; init_stimuli(ClrContextxSI, ClrContextxEI, ContextxSI, EnxEI, DinxDI); wait for CLK_PERIOD; -- clear register 3 tbstatus <= clr3; ContextxSI <= std_logic_vector(to_unsigned(3, log2(NCONTEXTS))); ClrContextxSI <= std_logic_vector(to_unsigned(3, log2(NCONTEXTS))); ClrContextxEI <= '1'; wait for CLK_PERIOD; wait for CLK_PERIOD; tbStatus <= idle; init_stimuli(ClrContextxSI, ClrContextxEI, ContextxSI, EnxEI, DinxDI); wait for CLK_PERIOD; -- clear all tbstatus <= clrall; for c in 0 to NCONTEXTS-1 loop ClrContextxSI <= std_logic_vector(to_unsigned(c, log2(NCONTEXTS))); ClrContextxEI <= '1'; wait for CLK_PERIOD; end loop; -- c -- read all tbStatus <= rdall; for c in 0 to NCONTEXTS-1 loop ContextxSI <= std_logic_vector(to_unsigned(c, log2(NCONTEXTS))); wait for CLK_PERIOD; end loop; -- c tbStatus <= idle; init_stimuli(ClrContextxSI, ClrContextxEI, ContextxSI, EnxEI, DinxDI); wait for CLK_PERIOD; tbStatus <= done; init_stimuli(ClrContextxSI, ClrContextxEI, ContextxSI, EnxEI, DinxDI); wait for CLK_PERIOD; -- stop simulation wait until (ClkxC'event and ClkxC = '1'); assert false report "stimuli processed; sim. terminated after " & int2str(ccount) & " cycles" severity failure; end process stimuliTb; ---------------------------------------------------------------------------- -- clock and reset generation ---------------------------------------------------------------------------- ClkxC <= not ClkxC after CLK_PERIOD/2; RstxRB <= '0', '1' after CLK_PERIOD*1.25; ---------------------------------------------------------------------------- -- cycle counter ---------------------------------------------------------------------------- cyclecounter : process (ClkxC) begin if (ClkxC'event and ClkxC = '1') then ccount <= ccount + 1; end if; end process cyclecounter; end arch;
------------------------------------------------------------------------------- -- (c) Copyright 2012 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------------------- -- Filename: axi_traffic_gen_v2_0_bmg_wrap.v -- Version : v1.0 -- Description: BMG Wrapper -- Verilog-Standard:verilog-2001 ----------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; library lib_bmg_v1_0; use lib_bmg_v1_0.all; entity axi_traffic_gen_v2_0_bmg_wrap is generic ( -- Device Family c_family : string := "virtex5"; c_xdevicefamily : string := "virtex5"; -- Finest Resolution Device Family -- "Virtex2" -- "Virtex2-Pro" -- "Virtex4" -- "Virtex5" -- "Spartan-3A" -- "Spartan-3A DSP" c_elaboration_dir : string := ""; -- Memory Specific Configurations c_mem_type : integer := 2; -- This wrapper only supports the True Dual Port RAM -- 0: Single Port RAM -- 1: Simple Dual Port RAM -- 2: True Dual Port RAM -- 3: Single Port Rom -- 4: Dual Port RAM c_algorithm : integer := 1; -- 0: Selectable Primative -- 1: Minimum Area c_prim_type : integer := 1; -- 0: ( 1-bit wide) -- 1: ( 2-bit wide) -- 2: ( 4-bit wide) -- 3: ( 9-bit wide) -- 4: (18-bit wide) -- 5: (36-bit wide) -- 6: (72-bit wide, single port only) c_byte_size : integer := 9; -- 8 or 9 -- Simulation Behavior Options c_sim_collision_check : string := "NONE"; -- "None" -- "Generate_X" -- "All" -- "Warnings_only" c_common_clk : integer := 1; -- 0, 1 c_disable_warn_bhv_coll : integer := 0; -- 0, 1 c_disable_warn_bhv_range : integer := 0; -- 0, 1 -- Initialization Configuration Options c_load_init_file : integer := 0; c_init_file_name : string := "no_coe_file_loaded"; c_use_default_data : integer := 0; -- 0, 1 c_default_data : string := "0"; -- "..." -- Port A Specific Configurations c_has_mem_output_regs_a : integer := 0; -- 0, 1 c_has_mux_output_regs_a : integer := 0; -- 0, 1 c_write_width_a : integer := 32; -- 1 to 1152 c_read_width_a : integer := 32; -- 1 to 1152 c_write_depth_a : integer := 64; -- 2 to 9011200 c_read_depth_a : integer := 64; -- 2 to 9011200 c_addra_width : integer := 6; -- 1 to 24 c_write_mode_a : string := "WRITE_FIRST"; -- "Write_First" -- "Read_first" -- "No_Change" c_has_ena : integer := 1; -- 0, 1 c_has_regcea : integer := 0; -- 0, 1 c_has_ssra : integer := 0; -- 0, 1 c_sinita_val : string := "0"; --"..." c_use_byte_wea : integer := 0; -- 0, 1 c_wea_width : integer := 1; -- 1 to 128 -- Port B Specific Configurations c_has_mem_output_regs_b : integer := 0; -- 0, 1 c_has_mux_output_regs_b : integer := 0; -- 0, 1 c_write_width_b : integer := 32; -- 1 to 1152 c_read_width_b : integer := 32; -- 1 to 1152 c_write_depth_b : integer := 64; -- 2 to 9011200 c_read_depth_b : integer := 64; -- 2 to 9011200 c_addrb_width : integer := 6; -- 1 to 24 c_write_mode_b : string := "WRITE_FIRST"; -- "Write_First" -- "Read_first" -- "No_Change" c_has_enb : integer := 1; -- 0, 1 c_has_regceb : integer := 0; -- 0, 1 c_has_ssrb : integer := 0; -- 0, 1 c_sinitb_val : string := "0"; -- "..." c_use_byte_web : integer := 0; -- 0, 1 c_web_width : integer := 1; -- 1 to 128 -- Other Miscellaneous Configurations c_mux_pipeline_stages : integer := 0; -- 0, 1, 2, 3 -- The number of pipeline stages within the MUX -- for both Port A and Port B c_use_ecc : integer := 0; -- See DS512 for the limited core option selections for ECC support c_use_ramb16bwer_rst_bhv : integer := 0--; --0, 1 -- c_corename : string := "blk_mem_gen_v2_7" --Uncommenting the above parameter (C_CORENAME) will cause --the a failure in NGCBuild!!! ); port ( clka : in std_logic; ssra : in std_logic := '0'; dina : in std_logic_vector(c_write_width_a-1 downto 0) := (OTHERS => '0'); addra : in std_logic_vector(c_addra_width-1 downto 0); ena : in std_logic := '1'; regcea : in std_logic := '1'; wea : in std_logic_vector(c_wea_width-1 downto 0) := (OTHERS => '0'); douta : out std_logic_vector(c_read_width_a-1 downto 0); clkb : in std_logic := '0'; ssrb : in std_logic := '0'; dinb : in std_logic_vector(c_write_width_b-1 downto 0) := (OTHERS => '0'); addrb : in std_logic_vector(c_addrb_width-1 downto 0) := (OTHERS => '0'); enb : in std_logic := '1'; regceb : in std_logic := '1'; web : in std_logic_vector(c_web_width-1 downto 0) := (OTHERS => '0'); doutb : out std_logic_vector(c_read_width_b-1 downto 0); dbiterr : out std_logic; -- Double bit error that that cannot be auto corrected by ECC sbiterr : out std_logic -- Single Bit Error that has been auto corrected on the output bus ); end entity axi_traffic_gen_v2_0_bmg_wrap; architecture implementation of axi_traffic_gen_v2_0_bmg_wrap is begin -- component blk_mem_gen_wrapper is -- generic -- ( -- c_family : string := "virtex5"; -- c_xdevicefamily : string := "virtex5"; -- c_elaboration_dir : string := ""; -- c_mem_type : integer := 2; -- c_algorithm : integer := 1; -- c_prim_type : integer := 1; -- c_byte_size : integer := 9; -- 8 or 9 -- c_sim_collision_check : string := "NONE"; -- c_common_clk : integer := 1; -- 0, 1 -- c_disable_warn_bhv_coll : integer := 0; -- 0, 1 -- c_disable_warn_bhv_range : integer := 0; -- 0, 1 -- c_load_init_file : integer := 0; -- c_init_file_name : string := "no_coe_file_loaded"; -- c_use_default_data : integer := 0; -- 0, 1 -- c_default_data : string := "0"; -- "..." -- c_has_mem_output_regs_a : integer := 0; -- 0, 1 -- c_has_mux_output_regs_a : integer := 0; -- 0, 1 -- c_write_width_a : integer := 32; -- 1 to 1152 -- c_read_width_a : integer := 32; -- 1 to 1152 -- c_write_depth_a : integer := 64; -- 2 to 9011200 -- c_read_depth_a : integer := 64; -- 2 to 9011200 -- c_addra_width : integer := 6; -- 1 to 24 -- c_write_mode_a : string := "WRITE_FIRST"; -- c_has_ena : integer := 1; -- 0, 1 -- c_has_regcea : integer := 0; -- 0, 1 -- c_has_ssra : integer := 0; -- 0, 1 -- c_sinita_val : string := "0"; --"..." -- c_use_byte_wea : integer := 0; -- 0, 1 -- c_wea_width : integer := 1; -- 1 to 128 -- c_has_mem_output_regs_b : integer := 0; -- 0, 1 -- c_has_mux_output_regs_b : integer := 0; -- 0, 1 -- c_write_width_b : integer := 32; -- 1 to 1152 -- c_read_width_b : integer := 32; -- 1 to 1152 -- c_write_depth_b : integer := 64; -- 2 to 9011200 -- c_read_depth_b : integer := 64; -- 2 to 9011200 -- c_addrb_width : integer := 6; -- 1 to 24 -- c_write_mode_b : string := "WRITE_FIRST"; -- c_has_enb : integer := 1; -- 0, 1 -- c_has_regceb : integer := 0; -- 0, 1 -- c_has_ssrb : integer := 0; -- 0, 1 -- c_sinitb_val : string := "0"; -- "..." -- c_use_byte_web : integer := 0; -- 0, 1 -- c_web_width : integer := 1; -- 1 to 128 -- c_mux_pipeline_stages : integer := 0; -- 0, 1, 2, 3 -- c_use_ecc : integer := 0; -- c_use_ramb16bwer_rst_bhv : integer := 0--; --0, 1 -- ); -- port -- ( -- clka : in std_logic; -- ssra : in std_logic := '0'; -- dina : in std_logic_vector(c_write_width_a-1 downto 0) := (OTHERS => '0'); -- addra : in std_logic_vector(c_addra_width-1 downto 0); -- ena : in std_logic := '1'; -- regcea : in std_logic := '1'; -- wea : in std_logic_vector(c_wea_width-1 downto 0) := (OTHERS => '0'); -- douta : out std_logic_vector(c_read_width_a-1 downto 0); -- clkb : in std_logic := '0'; -- ssrb : in std_logic := '0'; -- dinb : in std_logic_vector(c_write_width_b-1 downto 0) := (OTHERS => '0'); -- addrb : in std_logic_vector(c_addrb_width-1 downto 0) := (OTHERS => '0'); -- enb : in std_logic := '1'; -- regceb : in std_logic := '1'; -- web : in std_logic_vector(c_web_width-1 downto 0) := (OTHERS => '0'); -- doutb : out std_logic_vector(c_read_width_b-1 downto 0); -- dbiterr : out std_logic; -- sbiterr : out std_logic -- ); --end component; proc_bmg :entity lib_bmg_v1_0.blk_mem_gen_wrapper generic map ( c_family => c_family , c_xdevicefamily => c_xdevicefamily , c_elaboration_dir => c_elaboration_dir , c_mem_type => c_mem_type , c_algorithm => c_algorithm , c_prim_type => c_prim_type , c_byte_size => c_byte_size , c_sim_collision_check => c_sim_collision_check , c_common_clk => c_common_clk , c_disable_warn_bhv_coll => c_disable_warn_bhv_coll , c_disable_warn_bhv_range => c_disable_warn_bhv_range , c_load_init_file => c_load_init_file , c_init_file_name => c_init_file_name , c_use_default_data => c_use_default_data , c_default_data => c_default_data , c_has_mem_output_regs_a => c_has_mem_output_regs_a , c_has_mux_output_regs_a => c_has_mux_output_regs_a , c_write_width_a => c_write_width_a , c_read_width_a => c_read_width_a , c_write_depth_a => c_write_depth_a , c_read_depth_a => c_read_depth_a , c_addra_width => c_addra_width , c_write_mode_a => c_write_mode_a , c_has_ena => c_has_ena , c_has_regcea => c_has_regcea , c_has_ssra => c_has_ssra , c_sinita_val => c_sinita_val , c_use_byte_wea => c_use_byte_wea , c_wea_width => c_wea_width , c_has_mem_output_regs_b => c_has_mem_output_regs_b , c_has_mux_output_regs_b => c_has_mux_output_regs_b , c_write_width_b => c_write_width_b , c_read_width_b => c_read_width_b , c_write_depth_b => c_write_depth_b , c_read_depth_b => c_read_depth_b , c_addrb_width => c_addrb_width , c_write_mode_b => c_write_mode_b , c_has_enb => c_has_enb , c_has_regceb => c_has_regceb , c_has_ssrb => c_has_ssrb , c_sinitb_val => c_sinitb_val , c_use_byte_web => c_use_byte_web , c_web_width => c_web_width , c_mux_pipeline_stages => c_mux_pipeline_stages , c_use_ecc => c_use_ecc , c_use_ramb16bwer_rst_bhv => c_use_ramb16bwer_rst_bhv ) port map ( clka => clka , ssra => ssra , dina => dina , addra => addra , ena => ena , regcea => regcea , wea => wea , douta => douta , clkb => clkb , ssrb => ssrb , dinb => dinb , addrb => addrb , enb => enb , regceb => regceb , web => web , doutb => doutb , dbiterr => dbiterr , sbiterr => sbiterr ); end implementation;
------------------------------------------------------------------------------- -- -- Generic testbench elements -- -- $Id: tb_elems-c.vhd,v 1.1 2006-05-15 21:55:27 arniml Exp $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- ------------------------------------------------------------------------------- configuration tb_elems_behav_c0 of tb_elems is for behav end for; end tb_elems_behav_c0; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
-- -- Sigma-delta output -- -- Copyright 2008,2009,2010 Álvaro Lopes <[email protected]> -- -- Version: 1.2 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 1.2: Adapted from ALZPU to ZPUino -- 1.1: First version, imported from old controller. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_sigmadeltaDAC; architecture behave of AUDIO_zpuino_sa_sigmadeltaDAC is signal delta_adder: unsigned(BITS+1 downto 0); signal sigma_adder: unsigned(BITS+1 downto 0); signal sigma_latch: unsigned(BITS+1 downto 0); signal delta_b: unsigned(BITS+1 downto 0); signal dat_q: unsigned(BITS+1 downto 0); signal rst: std_logic := '0'; begin dat_q(BITS+1) <= '0'; dat_q(BITS) <= '0'; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then dat_q(BITS-1 downto 0) <= unsigned(data_in); end if; end process; process(sigma_latch) begin delta_b(BITS+1) <= sigma_latch(BITS+1); delta_b(BITS) <= sigma_latch(BITS+1); delta_b(BITS-1 downto 0) <= (others => '0'); end process; process(dat_q, delta_b) begin delta_adder <= dat_q + delta_b; end process; process(delta_adder,sigma_latch) begin sigma_adder <= delta_adder + sigma_latch; end process; process(clk_96Mhz) begin if rising_edge(clk_96Mhz) then if rst='1' then sigma_latch <= (others => '0'); sigma_latch(BITS+1) <= '1'; audio_out <= '0'; else sigma_latch <= sigma_adder; audio_out <= sigma_latch(BITS+1); end if; end if; end process; end behave;
use work.text_mode_pkg.all; use work.tbu_text_out_pkg.all; use work.colors_pkg.all; use work.resource_handles_helper_pkg.all; entity text_mode_pkg_tb is end; architecture testbench of text_mode_pkg_tb is constant HELLO_WORLD_STRING: text_mode_string_type := ( x => 0, y => 0, text => "Hello world!!! ", visible => true ); constant SCORE_STRING: text_mode_string_type := ( x => 0, y => 10, text => "SCORE: 0 ", visible => true ); constant STRINGS: text_mode_strings_type := ( HELLO_WORLD_STRING, SCORE_STRING ); begin process begin for y in 0 to 11 loop for x in 0 to 79 loop --put( to_string(character_at_x_y(x,y)) ); print( to_string(character_at_x_y(x, y, strings)), newline => false ); end loop; print(""); end loop; for y in 0 to 11 loop for x in 0 to 79 loop --put( to_string(character_at_x_y(x,y)) ); if text_pixel_at_x_y(x, y, strings) then print( "#", newline => false ); else print( " ", newline => false ); end if; end loop; print(""); end loop; put(to_string( game_strings_count )); std.env.finish; end process; end;
-- $Id: sys_conf_sim.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2010-2016 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Package Name: sys_conf -- Description: Definitions for sys_tst_rlink_n2 (for simulation) -- -- Dependencies: - -- Tool versions: xst 12.1-14.7; ghdl 0.29-0.33 -- Revision History: -- Date Rev Version Comment -- 2010-12-29 351 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; package sys_conf is -- configure clocks -------------------------------------------------------- constant sys_conf_clkfx_divide : positive := 1; constant sys_conf_clkfx_multiply : positive := 1; -- configure rlink and hio interfaces -------------------------------------- constant sys_conf_ser2rri_cdinit : integer := 1-1; -- 1 cycle/bit in sim constant sys_conf_hio_debounce : boolean := false; -- no debouncers -- derived constants ======================================================= constant sys_conf_clksys : integer := (50000000/sys_conf_clkfx_divide)*sys_conf_clkfx_multiply; constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000; end package sys_conf;
-- This code is an example of the connection between com block and ethernet_udp block. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.com_package.all; use work.ethernet_package.all; entity com_ethernet is generic ( MASTER_ADDR_WIDTH : integer := 2; MASTER_PORT : std_logic_vector(15 downto 0) := x"8000"; FIFO_IN_N : integer := 4;--up to 16 fifos FIFO_IN_ID : fifo_ID := ("000001","000010","000011",x"000100",others=>"000000"); FIFO_IN_SIZE : fifo_size := (2048,1024,2048,512,others=>0); ONE_PACKET_SIZE : integer := 1450; FIFO_OUT_N : integer := 3;--up to 16 fifos FIFO_OUT_ID : fifo_ID := ("110000","100001","100010",others=>x"100000"); FIFO_OUT_SIZE : fifo_size := (512,512,others=>0) ); port( CLK125 : in STD_LOGIC; clk_proc : in std_logic; reset_n : in std_logic; --- ETHERNET PHY_RESET_L : out STD_LOGIC; PHY_MDC : out STD_LOGIC; PHY_MDIO : inout STD_LOGIC; TX_data : out std_logic_vector(3 downto 0); TX_dv : out std_logic; RX_data : in std_logic_vector(3 downto 0); RX_dv : in std_logic; GE_TXCLK : out std_logic; --- Clocks from PLL clk250_marvell : in std_logic; clk250_fpga : in std_logic; ------------à generer flow_in0_data : in std_logic_vector(7 downto 0); flow_in0_dv : in std_logic; flow_in0_fv : in std_logic; flow_in1_data : in std_logic_vector(7 downto 0); flow_in1_dv : in std_logic; flow_in1_fv : in std_logic; flow_in2_data : in std_logic_vector(7 downto 0); flow_in2_dv : in std_logic; flow_in2_fv : in std_logic; flow_in3_data : in std_logic_vector(7 downto 0); flow_in3_dv : in std_logic; flow_in3_fv : in std_logic; flow_out0_data : out std_logic_vector(7 downto 0); flow_out0_dv : out std_logic; flow_out0_fv : out std_logic; flow_out1_data : out std_logic_vector(7 downto 0); flow_out1_dv : out std_logic; flow_out1_fv : out std_logic; --- PI_master master_addr_o : out std_logic_vector(MASTER_ADDR_WIDTH downto 0); master_wr_o : out std_logic; master_rd_o : out std_logic; master_datawr_o : out std_logic_vector(31 downto 0); master_datard_i : in std_logic_vector(31 downto 0); --- PI_slave addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end com_ethernet; architecture RTL of com_ethernet is signal TX_s : rgmii_t; signal param : param_t; signal flow_tx_out : flow_t; signal flow_master : flow_t; signal ID_port_in : std_logic_vector(15 downto 0); signal ID_port_out : std_logic_vector(15 downto 0); signal size_flow : std_logic_vector(15 downto 0); signal TX : rgmii_t; signal RX : rgmii_t; signal enable_eth : std_logic; signal enable_in0 : std_logic; signal enable_in1 : std_logic; signal enable_in2 : std_logic; signal enable_in3 : std_logic; signal mac_addr_hal_msb : std_logic_vector(23 downto 0); signal mac_addr_hal_lsb : std_logic_vector(23 downto 0); signal mac_addr_dest_msb: std_logic_vector(23 downto 0); signal mac_addr_dest_lsb: std_logic_vector(23 downto 0); signal ip_hal : std_logic_vector(31 downto 0); signal ip_dest : std_logic_vector(31 downto 0); signal port_dest : std_logic_vector(15 downto 0); signal data_to_hal : std_logic_vector(7 downto 0); signal data_to_com : std_logic_vector(7 downto 0); signal size : std_logic_vector(15 downto 0); signal read_data,ready : std_logic; signal hal_ready : std_logic; signal write_i : std_logic; signal test : flow_t; begin TX_data <= TX.data; TX_dv <= TX.dv; RX.data <= RX_data; RX.dv <= RX_dv; com_inst : entity work.com generic map( fifo_in_N => FIFO_IN_N, fifo_in_ID => FIFO_IN_ID, fifo_in_size => FIFO_IN_SIZE, one_packet => ONE_PACKET_SIZE, fifo_out_N => FIFO_OUT_N, fifo_out_ID => FIFO_OUT_ID, fifo_out_size => FIFO_OUT_SIZE ) port map ( clk_hal => clk125, clk_proc => clk_proc, reset_n => reset_n, --- From flows in to HAL hal_ready => hal_ready, data_o => data_to_hal, data_size_o => size, read_data_i => read_data, ready_o => ready, --- From HAL to flows out data_i => data_to_com, write_i => write_i, --- flow to master flow_master => flow_master, ------------à generer flow_in0.data => flow_in0_data, flow_in0.dv => flow_in0_dv, flow_in0.fv => flow_in0_fv, flow_in1.data => flow_in1_data, flow_in1.dv => flow_in1_dv, flow_in1.fv => flow_in1_fv, flow_in2.data => flow_in2_data, flow_in2.dv => flow_in2_dv, flow_in2.fv => flow_in2_fv, flow_in3.data => flow_in3_data, flow_in3.dv => flow_in3_dv, flow_in3.fv => flow_in3_fv, flow_out0.data => flow_out0_data, flow_out0.dv => flow_out0_dv, flow_out0.fv => flow_out0_fv, flow_out1.data => flow_out1_data, flow_out1.dv => flow_out1_dv, flow_out1.fv => flow_out1_fv, --- parameters from slave ------------à generer enable_eth => enable_eth, enable_in0 => enable_in0, enable_in1 => enable_in1, enable_in2 => enable_in2, enable_in3 => enable_in3 ); ethernet_inst : entity work.ethernet_udp port map ( --- External ports CLK125 => clk125, reset_n => reset_n, PHY_RESET_L => PHY_RESET_L, PHY_MDC => PHY_MDC, PHY_MDIO => PHY_MDIO, TX => TX_s, RX => RX, GE_TXCLK => GE_TXCLK, --- Clocks from Clocks Interconnect clk250_marvell => clk250_marvell, clk250_fpga => clk250_fpga, --- Parameters from slave mac_addr_hal_msb => mac_addr_hal_msb, mac_addr_hal_lsb => mac_addr_hal_lsb, mac_addr_dest_msb => mac_addr_dest_msb, mac_addr_dest_lsb => mac_addr_dest_lsb, ip_hal => ip_hal, ip_dest => ip_dest, port_dest => port_dest, --- Receiving data to send on link hal_ready => hal_ready, data_i => data_to_hal, data_size_i => size, read_data_o => read_data, ready_i => ready, --- Transmitting flows received by link data_o => data_to_com, write_o => write_i --ID_port_out => ID_port_out ); TX <= TX_s; master : entity work.com_master generic map (pi_size_addr => MASTER_ADDR_WIDTH) Port map( CLK => clk_proc, RESET_n => reset_n, flow_in => flow_master, master_addr_o => master_addr_o, master_wr_o => master_wr_o, master_rd_o => master_rd_o, master_datawr_o => master_datawr_o, master_datard_i => master_datard_i ); slave : entity work.eth_slave generic map (pi_size_addr => 3) Port map( CLK => clk_proc, RESET_n => reset_n, addr_rel_i => addr_rel_i, wr_i => wr_i, rd_i => rd_i, datawr_i => datawr_i, datard_o => datard_o, --- parameters com enable_eth_o => enable_eth, enable_in0_o => enable_in0, enable_in1_o => enable_in1, enable_in2_o => enable_in2, enable_in3_o => enable_in3, --- parameters ethernet mac_addr_hal_msb => mac_addr_hal_msb, mac_addr_hal_lsb => mac_addr_hal_lsb, mac_addr_dest_msb => mac_addr_dest_msb, mac_addr_dest_lsb => mac_addr_dest_lsb, ip_hal => ip_hal, ip_dest => ip_dest, port_dest => port_dest ); end RTL;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Testbench: Tests global constants, functions and settings -- -- Authors: Patrick Lehmann -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= entity strings_tb is end strings_tb; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library PoC; use PoC.config.all; use PoC.utils.all; use PoC.strings.all; use PoC.simulation.all; architecture tb of strings_tb is constant raw_format_slv_dec_result0 : STRING := raw_format_slv_dec(STD_LOGIC_VECTOR'(x"12")); constant raw_format_slv_dec_result1 : STRING := raw_format_slv_dec(x"3456"); constant raw_format_slv_dec_result2 : STRING := raw_format_slv_dec(x"12345678"); constant raw_format_slv_dec_result3 : STRING := raw_format_slv_dec(x"A1B2C3D4E5F607A8"); constant str_length_result0 : INTEGER := str_length(""); constant str_length_result1 : INTEGER := str_length((1 to 3 => C_POC_NUL)); constant str_length_result2 : INTEGER := str_length("Hello"); constant str_length_result3 : INTEGER := str_length("Hello" & (1 to 3 => C_POC_NUL)); constant str_match_result0 : BOOLEAN := str_match("", ""); constant str_match_result1 : BOOLEAN := str_match("", (1 to 3 => C_POC_NUL)); constant str_match_result2 : BOOLEAN := str_match("Hello", "hello"); constant str_match_result3 : BOOLEAN := str_match("Hello", "Hello"); constant str_match_result4 : BOOLEAN := str_match("Hello World", "Hello"); constant str_match_result5 : BOOLEAN := str_match("Hello", "Hello World"); constant str_match_result6 : BOOLEAN := str_match("Hello", "Hello" & (1 to 3 => C_POC_NUL)); constant str_imatch_result0 : BOOLEAN := str_imatch("", ""); constant str_imatch_result1 : BOOLEAN := str_imatch("", (1 to 3 => C_POC_NUL)); constant str_imatch_result2 : BOOLEAN := str_imatch("Hello", "hello"); constant str_imatch_result3 : BOOLEAN := str_imatch("Hello", "Hello"); constant str_imatch_result4 : BOOLEAN := str_imatch("Hello World", "Hello"); constant str_imatch_result5 : BOOLEAN := str_imatch("Hello", "Hello World"); constant str_imatch_result6 : BOOLEAN := str_imatch("Hello", "Hello" & (1 to 3 => C_POC_NUL)); begin process begin -- raw_format_slv_dec tests tbAssert((raw_format_slv_dec_result0 = "18"), "raw_format_slv_dec(0x12)=" & raw_format_slv_dec_result0 & " Expected='18'"); tbAssert((raw_format_slv_dec_result1 = "13398"), "raw_format_slv_dec(0x3456)=" & raw_format_slv_dec_result1 & " Expected='13398'"); tbAssert((raw_format_slv_dec_result2 = "305419896"), "raw_format_slv_dec(0x12345678)=" & raw_format_slv_dec_result2 & " Expected='305419896'"); tbAssert((raw_format_slv_dec_result3 = "11651590505119483816"), "raw_format_slv_dec(0xA1b2c3d4e5f607a8)=" & raw_format_slv_dec_result3 & " Expected='11651590505119483816'"); -- str_length tests tbAssert((str_length_result0 = 0), "str_length('')=" & INTEGER'image(str_length_result0) & " Expected=0"); tbAssert((str_length_result1 = 0), "str_length('\0\0\0')=" & INTEGER'image(str_length_result1) & " Expected=0"); tbAssert((str_length_result2 = 5), "str_length('Hello')=" & INTEGER'image(str_length_result2) & " Expected=5"); tbAssert((str_length_result3 = 5), "str_length('Hello\0\0\0')=" & INTEGER'image(str_length_result3) & " Expected=5"); -- str_match tests tbAssert((str_match_result0 = TRUE), "str_match('', '')=" & BOOLEAN'image(str_match_result0) & " Expected=TRUE"); tbAssert((str_match_result1 = TRUE), "str_match('', '\0\0\0')=" & BOOLEAN'image(str_match_result1) & " Expected=TRUE"); tbAssert((str_match_result2 = FALSE), "str_match('Hello', 'hello')=" & BOOLEAN'image(str_match_result2) & " Expected=FALSE"); tbAssert((str_match_result3 = TRUE), "str_match('Hello', 'Hello')=" & BOOLEAN'image(str_match_result3) & " Expected=TRUE"); tbAssert((str_match_result4 = FALSE), "str_match('Hello World', 'Hello')=" & BOOLEAN'image(str_match_result4) & " Expected=FALSE"); tbAssert((str_match_result5 = FALSE), "str_match('Hello', 'Hello World')=" & BOOLEAN'image(str_match_result5) & " Expected=FALSE"); tbAssert((str_match_result6 = TRUE), "str_match('Hello', 'Hello\0\0\0')=" & BOOLEAN'image(str_match_result6) & " Expected=TRUE"); -- str_imatch tests tbAssert((str_imatch_result0 = TRUE), "str_imatch('', '')=" & BOOLEAN'image(str_imatch_result0) & " Expected=TRUE"); tbAssert((str_imatch_result1 = TRUE), "str_imatch('', '\0\0\0')=" & BOOLEAN'image(str_imatch_result1) & " Expected=TRUE"); tbAssert((str_imatch_result2 = TRUE), "str_imatch('Hello', 'hello')=" & BOOLEAN'image(str_imatch_result2) & " Expected=TRUE"); tbAssert((str_imatch_result3 = TRUE), "str_imatch('Hello', 'Hello')=" & BOOLEAN'image(str_imatch_result3) & " Expected=TRUE"); tbAssert((str_imatch_result4 = FALSE), "str_imatch('Hello World', 'Hello')=" & BOOLEAN'image(str_imatch_result4) & " Expected=FALSE"); tbAssert((str_imatch_result5 = FALSE), "str_imatch('Hello', 'Hello World')=" & BOOLEAN'image(str_imatch_result5) & " Expected=FALSE"); tbAssert((str_imatch_result6 = TRUE), "str_imatch('Hello', 'Hello\0\0\0')=" & BOOLEAN'image(str_imatch_result6) & " Expected=TRUE"); -- str_pos tests -- str_ipos tests -- str_find tests -- str_ifind tests -- str_replace tests -- str_substr tests -- str_ltrim tests -- str_rtrim tests -- str_trim tests -- str_toLower tests -- str_toUpper tests -- simulation completed -- Report overall simulation result tbPrintResult; wait; end process; end;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Testbench: Tests global constants, functions and settings -- -- Authors: Patrick Lehmann -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= entity strings_tb is end strings_tb; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library PoC; use PoC.config.all; use PoC.utils.all; use PoC.strings.all; use PoC.simulation.all; architecture tb of strings_tb is constant raw_format_slv_dec_result0 : STRING := raw_format_slv_dec(STD_LOGIC_VECTOR'(x"12")); constant raw_format_slv_dec_result1 : STRING := raw_format_slv_dec(x"3456"); constant raw_format_slv_dec_result2 : STRING := raw_format_slv_dec(x"12345678"); constant raw_format_slv_dec_result3 : STRING := raw_format_slv_dec(x"A1B2C3D4E5F607A8"); constant str_length_result0 : INTEGER := str_length(""); constant str_length_result1 : INTEGER := str_length((1 to 3 => C_POC_NUL)); constant str_length_result2 : INTEGER := str_length("Hello"); constant str_length_result3 : INTEGER := str_length("Hello" & (1 to 3 => C_POC_NUL)); constant str_match_result0 : BOOLEAN := str_match("", ""); constant str_match_result1 : BOOLEAN := str_match("", (1 to 3 => C_POC_NUL)); constant str_match_result2 : BOOLEAN := str_match("Hello", "hello"); constant str_match_result3 : BOOLEAN := str_match("Hello", "Hello"); constant str_match_result4 : BOOLEAN := str_match("Hello World", "Hello"); constant str_match_result5 : BOOLEAN := str_match("Hello", "Hello World"); constant str_match_result6 : BOOLEAN := str_match("Hello", "Hello" & (1 to 3 => C_POC_NUL)); constant str_imatch_result0 : BOOLEAN := str_imatch("", ""); constant str_imatch_result1 : BOOLEAN := str_imatch("", (1 to 3 => C_POC_NUL)); constant str_imatch_result2 : BOOLEAN := str_imatch("Hello", "hello"); constant str_imatch_result3 : BOOLEAN := str_imatch("Hello", "Hello"); constant str_imatch_result4 : BOOLEAN := str_imatch("Hello World", "Hello"); constant str_imatch_result5 : BOOLEAN := str_imatch("Hello", "Hello World"); constant str_imatch_result6 : BOOLEAN := str_imatch("Hello", "Hello" & (1 to 3 => C_POC_NUL)); begin process begin -- raw_format_slv_dec tests tbAssert((raw_format_slv_dec_result0 = "18"), "raw_format_slv_dec(0x12)=" & raw_format_slv_dec_result0 & " Expected='18'"); tbAssert((raw_format_slv_dec_result1 = "13398"), "raw_format_slv_dec(0x3456)=" & raw_format_slv_dec_result1 & " Expected='13398'"); tbAssert((raw_format_slv_dec_result2 = "305419896"), "raw_format_slv_dec(0x12345678)=" & raw_format_slv_dec_result2 & " Expected='305419896'"); tbAssert((raw_format_slv_dec_result3 = "11651590505119483816"), "raw_format_slv_dec(0xA1b2c3d4e5f607a8)=" & raw_format_slv_dec_result3 & " Expected='11651590505119483816'"); -- str_length tests tbAssert((str_length_result0 = 0), "str_length('')=" & INTEGER'image(str_length_result0) & " Expected=0"); tbAssert((str_length_result1 = 0), "str_length('\0\0\0')=" & INTEGER'image(str_length_result1) & " Expected=0"); tbAssert((str_length_result2 = 5), "str_length('Hello')=" & INTEGER'image(str_length_result2) & " Expected=5"); tbAssert((str_length_result3 = 5), "str_length('Hello\0\0\0')=" & INTEGER'image(str_length_result3) & " Expected=5"); -- str_match tests tbAssert((str_match_result0 = TRUE), "str_match('', '')=" & BOOLEAN'image(str_match_result0) & " Expected=TRUE"); tbAssert((str_match_result1 = TRUE), "str_match('', '\0\0\0')=" & BOOLEAN'image(str_match_result1) & " Expected=TRUE"); tbAssert((str_match_result2 = FALSE), "str_match('Hello', 'hello')=" & BOOLEAN'image(str_match_result2) & " Expected=FALSE"); tbAssert((str_match_result3 = TRUE), "str_match('Hello', 'Hello')=" & BOOLEAN'image(str_match_result3) & " Expected=TRUE"); tbAssert((str_match_result4 = FALSE), "str_match('Hello World', 'Hello')=" & BOOLEAN'image(str_match_result4) & " Expected=FALSE"); tbAssert((str_match_result5 = FALSE), "str_match('Hello', 'Hello World')=" & BOOLEAN'image(str_match_result5) & " Expected=FALSE"); tbAssert((str_match_result6 = TRUE), "str_match('Hello', 'Hello\0\0\0')=" & BOOLEAN'image(str_match_result6) & " Expected=TRUE"); -- str_imatch tests tbAssert((str_imatch_result0 = TRUE), "str_imatch('', '')=" & BOOLEAN'image(str_imatch_result0) & " Expected=TRUE"); tbAssert((str_imatch_result1 = TRUE), "str_imatch('', '\0\0\0')=" & BOOLEAN'image(str_imatch_result1) & " Expected=TRUE"); tbAssert((str_imatch_result2 = TRUE), "str_imatch('Hello', 'hello')=" & BOOLEAN'image(str_imatch_result2) & " Expected=TRUE"); tbAssert((str_imatch_result3 = TRUE), "str_imatch('Hello', 'Hello')=" & BOOLEAN'image(str_imatch_result3) & " Expected=TRUE"); tbAssert((str_imatch_result4 = FALSE), "str_imatch('Hello World', 'Hello')=" & BOOLEAN'image(str_imatch_result4) & " Expected=FALSE"); tbAssert((str_imatch_result5 = FALSE), "str_imatch('Hello', 'Hello World')=" & BOOLEAN'image(str_imatch_result5) & " Expected=FALSE"); tbAssert((str_imatch_result6 = TRUE), "str_imatch('Hello', 'Hello\0\0\0')=" & BOOLEAN'image(str_imatch_result6) & " Expected=TRUE"); -- str_pos tests -- str_ipos tests -- str_find tests -- str_ifind tests -- str_replace tests -- str_substr tests -- str_ltrim tests -- str_rtrim tests -- str_trim tests -- str_toLower tests -- str_toUpper tests -- simulation completed -- Report overall simulation result tbPrintResult; wait; end process; end;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Testbench: Tests global constants, functions and settings -- -- Authors: Patrick Lehmann -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= entity strings_tb is end strings_tb; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library PoC; use PoC.config.all; use PoC.utils.all; use PoC.strings.all; use PoC.simulation.all; architecture tb of strings_tb is constant raw_format_slv_dec_result0 : STRING := raw_format_slv_dec(STD_LOGIC_VECTOR'(x"12")); constant raw_format_slv_dec_result1 : STRING := raw_format_slv_dec(x"3456"); constant raw_format_slv_dec_result2 : STRING := raw_format_slv_dec(x"12345678"); constant raw_format_slv_dec_result3 : STRING := raw_format_slv_dec(x"A1B2C3D4E5F607A8"); constant str_length_result0 : INTEGER := str_length(""); constant str_length_result1 : INTEGER := str_length((1 to 3 => C_POC_NUL)); constant str_length_result2 : INTEGER := str_length("Hello"); constant str_length_result3 : INTEGER := str_length("Hello" & (1 to 3 => C_POC_NUL)); constant str_match_result0 : BOOLEAN := str_match("", ""); constant str_match_result1 : BOOLEAN := str_match("", (1 to 3 => C_POC_NUL)); constant str_match_result2 : BOOLEAN := str_match("Hello", "hello"); constant str_match_result3 : BOOLEAN := str_match("Hello", "Hello"); constant str_match_result4 : BOOLEAN := str_match("Hello World", "Hello"); constant str_match_result5 : BOOLEAN := str_match("Hello", "Hello World"); constant str_match_result6 : BOOLEAN := str_match("Hello", "Hello" & (1 to 3 => C_POC_NUL)); constant str_imatch_result0 : BOOLEAN := str_imatch("", ""); constant str_imatch_result1 : BOOLEAN := str_imatch("", (1 to 3 => C_POC_NUL)); constant str_imatch_result2 : BOOLEAN := str_imatch("Hello", "hello"); constant str_imatch_result3 : BOOLEAN := str_imatch("Hello", "Hello"); constant str_imatch_result4 : BOOLEAN := str_imatch("Hello World", "Hello"); constant str_imatch_result5 : BOOLEAN := str_imatch("Hello", "Hello World"); constant str_imatch_result6 : BOOLEAN := str_imatch("Hello", "Hello" & (1 to 3 => C_POC_NUL)); begin process begin -- raw_format_slv_dec tests tbAssert((raw_format_slv_dec_result0 = "18"), "raw_format_slv_dec(0x12)=" & raw_format_slv_dec_result0 & " Expected='18'"); tbAssert((raw_format_slv_dec_result1 = "13398"), "raw_format_slv_dec(0x3456)=" & raw_format_slv_dec_result1 & " Expected='13398'"); tbAssert((raw_format_slv_dec_result2 = "305419896"), "raw_format_slv_dec(0x12345678)=" & raw_format_slv_dec_result2 & " Expected='305419896'"); tbAssert((raw_format_slv_dec_result3 = "11651590505119483816"), "raw_format_slv_dec(0xA1b2c3d4e5f607a8)=" & raw_format_slv_dec_result3 & " Expected='11651590505119483816'"); -- str_length tests tbAssert((str_length_result0 = 0), "str_length('')=" & INTEGER'image(str_length_result0) & " Expected=0"); tbAssert((str_length_result1 = 0), "str_length('\0\0\0')=" & INTEGER'image(str_length_result1) & " Expected=0"); tbAssert((str_length_result2 = 5), "str_length('Hello')=" & INTEGER'image(str_length_result2) & " Expected=5"); tbAssert((str_length_result3 = 5), "str_length('Hello\0\0\0')=" & INTEGER'image(str_length_result3) & " Expected=5"); -- str_match tests tbAssert((str_match_result0 = TRUE), "str_match('', '')=" & BOOLEAN'image(str_match_result0) & " Expected=TRUE"); tbAssert((str_match_result1 = TRUE), "str_match('', '\0\0\0')=" & BOOLEAN'image(str_match_result1) & " Expected=TRUE"); tbAssert((str_match_result2 = FALSE), "str_match('Hello', 'hello')=" & BOOLEAN'image(str_match_result2) & " Expected=FALSE"); tbAssert((str_match_result3 = TRUE), "str_match('Hello', 'Hello')=" & BOOLEAN'image(str_match_result3) & " Expected=TRUE"); tbAssert((str_match_result4 = FALSE), "str_match('Hello World', 'Hello')=" & BOOLEAN'image(str_match_result4) & " Expected=FALSE"); tbAssert((str_match_result5 = FALSE), "str_match('Hello', 'Hello World')=" & BOOLEAN'image(str_match_result5) & " Expected=FALSE"); tbAssert((str_match_result6 = TRUE), "str_match('Hello', 'Hello\0\0\0')=" & BOOLEAN'image(str_match_result6) & " Expected=TRUE"); -- str_imatch tests tbAssert((str_imatch_result0 = TRUE), "str_imatch('', '')=" & BOOLEAN'image(str_imatch_result0) & " Expected=TRUE"); tbAssert((str_imatch_result1 = TRUE), "str_imatch('', '\0\0\0')=" & BOOLEAN'image(str_imatch_result1) & " Expected=TRUE"); tbAssert((str_imatch_result2 = TRUE), "str_imatch('Hello', 'hello')=" & BOOLEAN'image(str_imatch_result2) & " Expected=TRUE"); tbAssert((str_imatch_result3 = TRUE), "str_imatch('Hello', 'Hello')=" & BOOLEAN'image(str_imatch_result3) & " Expected=TRUE"); tbAssert((str_imatch_result4 = FALSE), "str_imatch('Hello World', 'Hello')=" & BOOLEAN'image(str_imatch_result4) & " Expected=FALSE"); tbAssert((str_imatch_result5 = FALSE), "str_imatch('Hello', 'Hello World')=" & BOOLEAN'image(str_imatch_result5) & " Expected=FALSE"); tbAssert((str_imatch_result6 = TRUE), "str_imatch('Hello', 'Hello\0\0\0')=" & BOOLEAN'image(str_imatch_result6) & " Expected=TRUE"); -- str_pos tests -- str_ipos tests -- str_find tests -- str_ifind tests -- str_replace tests -- str_substr tests -- str_ltrim tests -- str_rtrim tests -- str_trim tests -- str_toLower tests -- str_toUpper tests -- simulation completed -- Report overall simulation result tbPrintResult; wait; end process; end;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Testbench: Tests global constants, functions and settings -- -- Authors: Patrick Lehmann -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= entity strings_tb is end strings_tb; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library PoC; use PoC.config.all; use PoC.utils.all; use PoC.strings.all; use PoC.simulation.all; architecture tb of strings_tb is constant raw_format_slv_dec_result0 : STRING := raw_format_slv_dec(STD_LOGIC_VECTOR'(x"12")); constant raw_format_slv_dec_result1 : STRING := raw_format_slv_dec(x"3456"); constant raw_format_slv_dec_result2 : STRING := raw_format_slv_dec(x"12345678"); constant raw_format_slv_dec_result3 : STRING := raw_format_slv_dec(x"A1B2C3D4E5F607A8"); constant str_length_result0 : INTEGER := str_length(""); constant str_length_result1 : INTEGER := str_length((1 to 3 => C_POC_NUL)); constant str_length_result2 : INTEGER := str_length("Hello"); constant str_length_result3 : INTEGER := str_length("Hello" & (1 to 3 => C_POC_NUL)); constant str_match_result0 : BOOLEAN := str_match("", ""); constant str_match_result1 : BOOLEAN := str_match("", (1 to 3 => C_POC_NUL)); constant str_match_result2 : BOOLEAN := str_match("Hello", "hello"); constant str_match_result3 : BOOLEAN := str_match("Hello", "Hello"); constant str_match_result4 : BOOLEAN := str_match("Hello World", "Hello"); constant str_match_result5 : BOOLEAN := str_match("Hello", "Hello World"); constant str_match_result6 : BOOLEAN := str_match("Hello", "Hello" & (1 to 3 => C_POC_NUL)); constant str_imatch_result0 : BOOLEAN := str_imatch("", ""); constant str_imatch_result1 : BOOLEAN := str_imatch("", (1 to 3 => C_POC_NUL)); constant str_imatch_result2 : BOOLEAN := str_imatch("Hello", "hello"); constant str_imatch_result3 : BOOLEAN := str_imatch("Hello", "Hello"); constant str_imatch_result4 : BOOLEAN := str_imatch("Hello World", "Hello"); constant str_imatch_result5 : BOOLEAN := str_imatch("Hello", "Hello World"); constant str_imatch_result6 : BOOLEAN := str_imatch("Hello", "Hello" & (1 to 3 => C_POC_NUL)); begin process begin -- raw_format_slv_dec tests tbAssert((raw_format_slv_dec_result0 = "18"), "raw_format_slv_dec(0x12)=" & raw_format_slv_dec_result0 & " Expected='18'"); tbAssert((raw_format_slv_dec_result1 = "13398"), "raw_format_slv_dec(0x3456)=" & raw_format_slv_dec_result1 & " Expected='13398'"); tbAssert((raw_format_slv_dec_result2 = "305419896"), "raw_format_slv_dec(0x12345678)=" & raw_format_slv_dec_result2 & " Expected='305419896'"); tbAssert((raw_format_slv_dec_result3 = "11651590505119483816"), "raw_format_slv_dec(0xA1b2c3d4e5f607a8)=" & raw_format_slv_dec_result3 & " Expected='11651590505119483816'"); -- str_length tests tbAssert((str_length_result0 = 0), "str_length('')=" & INTEGER'image(str_length_result0) & " Expected=0"); tbAssert((str_length_result1 = 0), "str_length('\0\0\0')=" & INTEGER'image(str_length_result1) & " Expected=0"); tbAssert((str_length_result2 = 5), "str_length('Hello')=" & INTEGER'image(str_length_result2) & " Expected=5"); tbAssert((str_length_result3 = 5), "str_length('Hello\0\0\0')=" & INTEGER'image(str_length_result3) & " Expected=5"); -- str_match tests tbAssert((str_match_result0 = TRUE), "str_match('', '')=" & BOOLEAN'image(str_match_result0) & " Expected=TRUE"); tbAssert((str_match_result1 = TRUE), "str_match('', '\0\0\0')=" & BOOLEAN'image(str_match_result1) & " Expected=TRUE"); tbAssert((str_match_result2 = FALSE), "str_match('Hello', 'hello')=" & BOOLEAN'image(str_match_result2) & " Expected=FALSE"); tbAssert((str_match_result3 = TRUE), "str_match('Hello', 'Hello')=" & BOOLEAN'image(str_match_result3) & " Expected=TRUE"); tbAssert((str_match_result4 = FALSE), "str_match('Hello World', 'Hello')=" & BOOLEAN'image(str_match_result4) & " Expected=FALSE"); tbAssert((str_match_result5 = FALSE), "str_match('Hello', 'Hello World')=" & BOOLEAN'image(str_match_result5) & " Expected=FALSE"); tbAssert((str_match_result6 = TRUE), "str_match('Hello', 'Hello\0\0\0')=" & BOOLEAN'image(str_match_result6) & " Expected=TRUE"); -- str_imatch tests tbAssert((str_imatch_result0 = TRUE), "str_imatch('', '')=" & BOOLEAN'image(str_imatch_result0) & " Expected=TRUE"); tbAssert((str_imatch_result1 = TRUE), "str_imatch('', '\0\0\0')=" & BOOLEAN'image(str_imatch_result1) & " Expected=TRUE"); tbAssert((str_imatch_result2 = TRUE), "str_imatch('Hello', 'hello')=" & BOOLEAN'image(str_imatch_result2) & " Expected=TRUE"); tbAssert((str_imatch_result3 = TRUE), "str_imatch('Hello', 'Hello')=" & BOOLEAN'image(str_imatch_result3) & " Expected=TRUE"); tbAssert((str_imatch_result4 = FALSE), "str_imatch('Hello World', 'Hello')=" & BOOLEAN'image(str_imatch_result4) & " Expected=FALSE"); tbAssert((str_imatch_result5 = FALSE), "str_imatch('Hello', 'Hello World')=" & BOOLEAN'image(str_imatch_result5) & " Expected=FALSE"); tbAssert((str_imatch_result6 = TRUE), "str_imatch('Hello', 'Hello\0\0\0')=" & BOOLEAN'image(str_imatch_result6) & " Expected=TRUE"); -- str_pos tests -- str_ipos tests -- str_find tests -- str_ifind tests -- str_replace tests -- str_substr tests -- str_ltrim tests -- str_rtrim tests -- str_trim tests -- str_toLower tests -- str_toUpper tests -- simulation completed -- Report overall simulation result tbPrintResult; wait; end process; end;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_gnd_GN is port( output : out std_logic); end entity; architecture rtl of alt_dspbuilder_gnd_GN is Begin output <= '0'; end architecture;
-------------------------------------------------------------------------------- -- File : temac_10_100_1000_block.vhd -- Author : Xilinx Inc. -- ----------------------------------------------------------------------------- -- (c) Copyright 2004-2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ----------------------------------------------------------------------------- -- Description: This is the block level VHDL design for the Tri-Mode -- Ethernet MAC Example Design. -- -- This block level: -- -- * instantiates all clock enable logic required to operate the -- TEMAC and its example design; -- -- * instantiates appropriate PHY interface module (GMII/MII/RGMII) -- as required based on the user configuration; -- -- Please refer to the Datasheet, Getting Started Guide, and -- the Tri-Mode Ethernet MAC User Gude for further information. -- -- -- -----------------------------------------| -- | BLOCK LEVEL WRAPPER | -- | | -- | --------------------- | -- | | ETHERNET MAC | | -- | | CORE | | -- | | | | -- --|--->| Tx Tx |-------------->| -- | | AXI PHY | | -- | | I/F I/F | | -- | | | | -- | | | | -- | | | | -- | | Rx Rx | | -- | | AXI PHY | | -- <-|----| I/F I/F |<--------------| -- | | | | -- | --------------------- | -- | | -- | clock enable logic | -- | | -- -----------------------------------------| -- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; -------------------------------------------------------------------------------- -- The entity declaration for the block level example design. -------------------------------------------------------------------------------- entity temac_10_100_1000_block is port( gtx_clk : in std_logic; -- asynchronous reset glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; -- Receiver Interface ---------------------------- rx_statistics_vector : out std_logic_vector(27 downto 0); rx_statistics_valid : out std_logic; rx_reset : out std_logic; rx_axis_mac_tdata : out std_logic_vector(7 downto 0); rx_axis_mac_tvalid : out std_logic; rx_axis_mac_tlast : out std_logic; rx_axis_mac_tuser : out std_logic; -- Transmitter Interface ------------------------------- tx_ifg_delay : in std_logic_vector(7 downto 0); tx_statistics_vector : out std_logic_vector(31 downto 0); tx_statistics_valid : out std_logic; tx_reset : out std_logic; tx_axis_mac_tdata : in std_logic_vector(7 downto 0); tx_axis_mac_tvalid : in std_logic; tx_axis_mac_tlast : in std_logic; tx_axis_mac_tuser : in std_logic; tx_axis_mac_tready : out std_logic; -- MAC Control Interface ------------------------ pause_req : in std_logic; pause_val : in std_logic_vector(15 downto 0); clk_enable : in std_logic; speedis100 : out std_logic; speedis10100 : out std_logic; -- GMII Interface ----------------- gmii_txd : out std_logic_vector(7 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_rxd : in std_logic_vector(7 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; -- Configuration Vector ----------------------- rx_configuration_vector : in std_logic_vector(79 downto 0); tx_configuration_vector : in std_logic_vector(79 downto 0) ); end temac_10_100_1000_block; architecture wrapper of temac_10_100_1000_block is ----------------------------------------------------------------------------- -- Component Declaration for TEMAC (the Tri-Mode EMAC core). ----------------------------------------------------------------------------- component temac_10_100_1000 port( glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; gtx_clk : in std_logic; clk_enable : in std_logic; -- Receiver Interface ---------------------------- -- rx_axi_clk : in std_logic; rx_reset : out std_logic; rx_axis_mac_tdata : out std_logic_vector(7 downto 0); rx_axis_mac_tvalid : out std_logic; rx_axis_mac_tlast : out std_logic; rx_axis_mac_tuser : out std_logic; -- rx_enable : in std_logic; rx_statistics_vector : out std_logic_vector(27 downto 0); rx_statistics_valid : out std_logic; -- Transmitter Interface ------------------------------- -- tx_axi_clk : in std_logic; tx_reset : out std_logic; tx_axis_mac_tdata : in std_logic_vector(7 downto 0); tx_axis_mac_tvalid : in std_logic; tx_axis_mac_tlast : in std_logic; tx_axis_mac_tuser : in std_logic_vector(0 downto 0); tx_axis_mac_tready : out std_logic; tx_ifg_delay : in std_logic_vector(7 downto 0); -- tx_enable : in std_logic; tx_statistics_vector : out std_logic_vector(31 downto 0); tx_statistics_valid : out std_logic; -- MAC Control Interface ------------------------ pause_req : in std_logic; pause_val : in std_logic_vector(15 downto 0); -- Current Speed Indication --------------------------- speedis100 : out std_logic; speedis10100 : out std_logic; -- Physical Interface of the core -------------------------------- gmii_txd : out std_logic_vector(7 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_rxd : in std_logic_vector(7 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; -- Configuration Vector ----------------------- rx_configuration_vector : in std_logic_vector(79 downto 0); tx_configuration_vector : in std_logic_vector(79 downto 0) ); end component; ------------------------------------------------------------------------------ -- Component declaration for the synchronisation flip-flop pair ------------------------------------------------------------------------------ component temac_10_100_1000_sync_block port ( clk : in std_logic; -- clock to be sync'ed to data_in : in std_logic; -- Data to be 'synced' data_out : out std_logic -- synced data ); end component; ------------------------------------------------------------------------------ -- Component declaration for the reset synchroniser ------------------------------------------------------------------------------ component temac_10_100_1000_reset_sync port ( reset_in : in std_logic; -- Active high asynchronous reset enable : in std_logic; clk : in std_logic; -- clock to be sync'ed to reset_out : out std_logic -- "Synchronised" reset signal ); end component; ------------------------------------------------------------------------------ -- internal signals used in this block level wrapper. ------------------------------------------------------------------------------ attribute keep : string; signal glbl_rst : std_logic; signal gmii_tx_en_int : std_logic; -- Internal gmii_tx_en signal. signal gmii_tx_er_int : std_logic; -- Internal gmii_tx_er signal. signal gmii_txd_int : std_logic_vector(7 downto 0); -- Internal gmii_txd signal. signal gmii_rx_dv_int : std_logic; -- gmii_rx_dv registered in IOBs. signal gmii_rx_er_int : std_logic; -- gmii_rx_er registered in IOBs. signal gmii_rxd_int : std_logic_vector(7 downto 0); -- gmii_rxd registered in IOBs. signal txspeedis10100 : std_logic; -- MAC speed setting resampled on the transmitter clock signal rxspeedis10100 : std_logic; -- MAC speed setting resampled on the receiver clock signal tx_reset_int : std_logic; -- Synchronous reset in the MAC and rgmii Tx domain signal rx_reset_int : std_logic; -- Synchronous reset in the MAC and rgmii Rx domain signal rx_statistics_vector_int : std_logic_vector(27 downto 0); signal rx_statistics_valid_int : std_logic; signal tx_statistics_vector_int : std_logic_vector(31 downto 0); signal tx_statistics_valid_int : std_logic; signal bus2ip_clk : std_logic; signal bus2ip_reset : std_logic; signal bus2ip_addr : std_logic_vector(31 downto 0); signal bus2ip_cs : std_logic; signal bus2ip_rdce : std_logic; signal bus2ip_wrce : std_logic; signal bus2ip_data : std_logic_vector(31 downto 0); signal ip2bus_data : std_logic_vector(31 downto 0); signal ip2bus_wrack : std_logic; signal ip2bus_rdack : std_logic; signal ip2bus_error : std_logic; signal tx_axis_mac_tuser_int : std_logic_vector(0 downto 0); begin -- assign outputs rx_reset <= rx_reset_int; tx_reset <= tx_reset_int; glbl_rst <= not glbl_rstn; rx_statistics_vector <= rx_statistics_vector_int; rx_statistics_valid <= rx_statistics_valid_int; tx_statistics_vector <= tx_statistics_vector_int; tx_statistics_valid <= tx_statistics_valid_int; gmii_tx_en <= gmii_tx_en_int; gmii_tx_er <= gmii_tx_er_int; gmii_txd <= gmii_txd_int; gmii_rx_dv_int <= gmii_rx_dv; gmii_rx_er_int <= gmii_rx_er; gmii_rxd_int <= gmii_rxd; ----------------------------------------------------------------------------- -- Instantiate the TEMAC core ----------------------------------------------------------------------------- trimac_core : temac_10_100_1000 port map ( -- asynchronous reset glbl_rstn => glbl_rstn, rx_axi_rstn => rx_axi_rstn, tx_axi_rstn => tx_axi_rstn, gtx_clk => gtx_clk, clk_enable => clk_enable, -- Receiver Interface -- rx_axi_clk => gtx_clk, rx_reset => rx_reset_int, rx_axis_mac_tdata => rx_axis_mac_tdata, rx_axis_mac_tvalid => rx_axis_mac_tvalid, rx_axis_mac_tlast => rx_axis_mac_tlast, rx_axis_mac_tuser => rx_axis_mac_tuser, -- Receiver Statistics rx_statistics_vector => rx_statistics_vector_int, rx_statistics_valid => rx_statistics_valid_int, -- Transmitter Interface -- tx_axi_clk => gtx_clk, tx_reset => tx_reset_int, tx_axis_mac_tdata => tx_axis_mac_tdata, tx_axis_mac_tvalid => tx_axis_mac_tvalid, tx_axis_mac_tlast => tx_axis_mac_tlast, tx_axis_mac_tuser => tx_axis_mac_tuser_int, tx_axis_mac_tready => tx_axis_mac_tready, tx_ifg_delay => tx_ifg_delay, -- tx_enable => clk_enable, -- Transmitter Statistics tx_statistics_vector => tx_statistics_vector_int, tx_statistics_valid => tx_statistics_valid_int, -- MAC Control Interface pause_req => pause_req, pause_val => pause_val, -- Current Speed Indication speedis100 => speedis100, speedis10100 => speedis10100, -- Physical Interface of the core gmii_txd => gmii_txd_int, gmii_tx_en => gmii_tx_en_int, gmii_tx_er => gmii_tx_er_int, gmii_rxd => gmii_rxd_int, gmii_rx_dv => gmii_rx_dv_int, gmii_rx_er => gmii_rx_er_int, -- Configuration Vectors rx_configuration_vector => rx_configuration_vector, tx_configuration_vector => tx_configuration_vector); tx_axis_mac_tuser_int(0) <= tx_axis_mac_tuser; end wrapper;
-------------------------------------------------------------------------------- -- File : temac_10_100_1000_block.vhd -- Author : Xilinx Inc. -- ----------------------------------------------------------------------------- -- (c) Copyright 2004-2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ----------------------------------------------------------------------------- -- Description: This is the block level VHDL design for the Tri-Mode -- Ethernet MAC Example Design. -- -- This block level: -- -- * instantiates all clock enable logic required to operate the -- TEMAC and its example design; -- -- * instantiates appropriate PHY interface module (GMII/MII/RGMII) -- as required based on the user configuration; -- -- Please refer to the Datasheet, Getting Started Guide, and -- the Tri-Mode Ethernet MAC User Gude for further information. -- -- -- -----------------------------------------| -- | BLOCK LEVEL WRAPPER | -- | | -- | --------------------- | -- | | ETHERNET MAC | | -- | | CORE | | -- | | | | -- --|--->| Tx Tx |-------------->| -- | | AXI PHY | | -- | | I/F I/F | | -- | | | | -- | | | | -- | | | | -- | | Rx Rx | | -- | | AXI PHY | | -- <-|----| I/F I/F |<--------------| -- | | | | -- | --------------------- | -- | | -- | clock enable logic | -- | | -- -----------------------------------------| -- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; -------------------------------------------------------------------------------- -- The entity declaration for the block level example design. -------------------------------------------------------------------------------- entity temac_10_100_1000_block is port( gtx_clk : in std_logic; -- asynchronous reset glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; -- Receiver Interface ---------------------------- rx_statistics_vector : out std_logic_vector(27 downto 0); rx_statistics_valid : out std_logic; rx_reset : out std_logic; rx_axis_mac_tdata : out std_logic_vector(7 downto 0); rx_axis_mac_tvalid : out std_logic; rx_axis_mac_tlast : out std_logic; rx_axis_mac_tuser : out std_logic; -- Transmitter Interface ------------------------------- tx_ifg_delay : in std_logic_vector(7 downto 0); tx_statistics_vector : out std_logic_vector(31 downto 0); tx_statistics_valid : out std_logic; tx_reset : out std_logic; tx_axis_mac_tdata : in std_logic_vector(7 downto 0); tx_axis_mac_tvalid : in std_logic; tx_axis_mac_tlast : in std_logic; tx_axis_mac_tuser : in std_logic; tx_axis_mac_tready : out std_logic; -- MAC Control Interface ------------------------ pause_req : in std_logic; pause_val : in std_logic_vector(15 downto 0); clk_enable : in std_logic; speedis100 : out std_logic; speedis10100 : out std_logic; -- GMII Interface ----------------- gmii_txd : out std_logic_vector(7 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_rxd : in std_logic_vector(7 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; -- Configuration Vector ----------------------- rx_configuration_vector : in std_logic_vector(79 downto 0); tx_configuration_vector : in std_logic_vector(79 downto 0) ); end temac_10_100_1000_block; architecture wrapper of temac_10_100_1000_block is ----------------------------------------------------------------------------- -- Component Declaration for TEMAC (the Tri-Mode EMAC core). ----------------------------------------------------------------------------- component temac_10_100_1000 port( glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; gtx_clk : in std_logic; clk_enable : in std_logic; -- Receiver Interface ---------------------------- -- rx_axi_clk : in std_logic; rx_reset : out std_logic; rx_axis_mac_tdata : out std_logic_vector(7 downto 0); rx_axis_mac_tvalid : out std_logic; rx_axis_mac_tlast : out std_logic; rx_axis_mac_tuser : out std_logic; -- rx_enable : in std_logic; rx_statistics_vector : out std_logic_vector(27 downto 0); rx_statistics_valid : out std_logic; -- Transmitter Interface ------------------------------- -- tx_axi_clk : in std_logic; tx_reset : out std_logic; tx_axis_mac_tdata : in std_logic_vector(7 downto 0); tx_axis_mac_tvalid : in std_logic; tx_axis_mac_tlast : in std_logic; tx_axis_mac_tuser : in std_logic_vector(0 downto 0); tx_axis_mac_tready : out std_logic; tx_ifg_delay : in std_logic_vector(7 downto 0); -- tx_enable : in std_logic; tx_statistics_vector : out std_logic_vector(31 downto 0); tx_statistics_valid : out std_logic; -- MAC Control Interface ------------------------ pause_req : in std_logic; pause_val : in std_logic_vector(15 downto 0); -- Current Speed Indication --------------------------- speedis100 : out std_logic; speedis10100 : out std_logic; -- Physical Interface of the core -------------------------------- gmii_txd : out std_logic_vector(7 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_rxd : in std_logic_vector(7 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; -- Configuration Vector ----------------------- rx_configuration_vector : in std_logic_vector(79 downto 0); tx_configuration_vector : in std_logic_vector(79 downto 0) ); end component; ------------------------------------------------------------------------------ -- Component declaration for the synchronisation flip-flop pair ------------------------------------------------------------------------------ component temac_10_100_1000_sync_block port ( clk : in std_logic; -- clock to be sync'ed to data_in : in std_logic; -- Data to be 'synced' data_out : out std_logic -- synced data ); end component; ------------------------------------------------------------------------------ -- Component declaration for the reset synchroniser ------------------------------------------------------------------------------ component temac_10_100_1000_reset_sync port ( reset_in : in std_logic; -- Active high asynchronous reset enable : in std_logic; clk : in std_logic; -- clock to be sync'ed to reset_out : out std_logic -- "Synchronised" reset signal ); end component; ------------------------------------------------------------------------------ -- internal signals used in this block level wrapper. ------------------------------------------------------------------------------ attribute keep : string; signal glbl_rst : std_logic; signal gmii_tx_en_int : std_logic; -- Internal gmii_tx_en signal. signal gmii_tx_er_int : std_logic; -- Internal gmii_tx_er signal. signal gmii_txd_int : std_logic_vector(7 downto 0); -- Internal gmii_txd signal. signal gmii_rx_dv_int : std_logic; -- gmii_rx_dv registered in IOBs. signal gmii_rx_er_int : std_logic; -- gmii_rx_er registered in IOBs. signal gmii_rxd_int : std_logic_vector(7 downto 0); -- gmii_rxd registered in IOBs. signal txspeedis10100 : std_logic; -- MAC speed setting resampled on the transmitter clock signal rxspeedis10100 : std_logic; -- MAC speed setting resampled on the receiver clock signal tx_reset_int : std_logic; -- Synchronous reset in the MAC and rgmii Tx domain signal rx_reset_int : std_logic; -- Synchronous reset in the MAC and rgmii Rx domain signal rx_statistics_vector_int : std_logic_vector(27 downto 0); signal rx_statistics_valid_int : std_logic; signal tx_statistics_vector_int : std_logic_vector(31 downto 0); signal tx_statistics_valid_int : std_logic; signal bus2ip_clk : std_logic; signal bus2ip_reset : std_logic; signal bus2ip_addr : std_logic_vector(31 downto 0); signal bus2ip_cs : std_logic; signal bus2ip_rdce : std_logic; signal bus2ip_wrce : std_logic; signal bus2ip_data : std_logic_vector(31 downto 0); signal ip2bus_data : std_logic_vector(31 downto 0); signal ip2bus_wrack : std_logic; signal ip2bus_rdack : std_logic; signal ip2bus_error : std_logic; signal tx_axis_mac_tuser_int : std_logic_vector(0 downto 0); begin -- assign outputs rx_reset <= rx_reset_int; tx_reset <= tx_reset_int; glbl_rst <= not glbl_rstn; rx_statistics_vector <= rx_statistics_vector_int; rx_statistics_valid <= rx_statistics_valid_int; tx_statistics_vector <= tx_statistics_vector_int; tx_statistics_valid <= tx_statistics_valid_int; gmii_tx_en <= gmii_tx_en_int; gmii_tx_er <= gmii_tx_er_int; gmii_txd <= gmii_txd_int; gmii_rx_dv_int <= gmii_rx_dv; gmii_rx_er_int <= gmii_rx_er; gmii_rxd_int <= gmii_rxd; ----------------------------------------------------------------------------- -- Instantiate the TEMAC core ----------------------------------------------------------------------------- trimac_core : temac_10_100_1000 port map ( -- asynchronous reset glbl_rstn => glbl_rstn, rx_axi_rstn => rx_axi_rstn, tx_axi_rstn => tx_axi_rstn, gtx_clk => gtx_clk, clk_enable => clk_enable, -- Receiver Interface -- rx_axi_clk => gtx_clk, rx_reset => rx_reset_int, rx_axis_mac_tdata => rx_axis_mac_tdata, rx_axis_mac_tvalid => rx_axis_mac_tvalid, rx_axis_mac_tlast => rx_axis_mac_tlast, rx_axis_mac_tuser => rx_axis_mac_tuser, -- Receiver Statistics rx_statistics_vector => rx_statistics_vector_int, rx_statistics_valid => rx_statistics_valid_int, -- Transmitter Interface -- tx_axi_clk => gtx_clk, tx_reset => tx_reset_int, tx_axis_mac_tdata => tx_axis_mac_tdata, tx_axis_mac_tvalid => tx_axis_mac_tvalid, tx_axis_mac_tlast => tx_axis_mac_tlast, tx_axis_mac_tuser => tx_axis_mac_tuser_int, tx_axis_mac_tready => tx_axis_mac_tready, tx_ifg_delay => tx_ifg_delay, -- tx_enable => clk_enable, -- Transmitter Statistics tx_statistics_vector => tx_statistics_vector_int, tx_statistics_valid => tx_statistics_valid_int, -- MAC Control Interface pause_req => pause_req, pause_val => pause_val, -- Current Speed Indication speedis100 => speedis100, speedis10100 => speedis10100, -- Physical Interface of the core gmii_txd => gmii_txd_int, gmii_tx_en => gmii_tx_en_int, gmii_tx_er => gmii_tx_er_int, gmii_rxd => gmii_rxd_int, gmii_rx_dv => gmii_rx_dv_int, gmii_rx_er => gmii_rx_er_int, -- Configuration Vectors rx_configuration_vector => rx_configuration_vector, tx_configuration_vector => tx_configuration_vector); tx_axis_mac_tuser_int(0) <= tx_axis_mac_tuser; end wrapper;
architecture rtl of fifo is constant c_zeros : std_logic_vector(7 downto 0) := (others => '0'); constant c_one : std_logic_vector(7 downto 0) := (0 => '1', (others => '0')); constant c_two : std_logic_vector(7 downto 0) := (1 => '1', (others => '0')); constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00")); constant c_stimulus : t_stimulus_array := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00")); constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00")); constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( ( name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), ( name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( ( name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00" ), ( name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00" ) ); constant c_stimulus : t_stimulus_array := ( name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"); -- Comment begin end architecture rtl;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1061.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s04b00x00p03n02i01061ent IS END c06s04b00x00p03n02i01061ent; ARCHITECTURE c06s04b00x00p03n02i01061arch OF c06s04b00x00p03n02i01061ent IS BEGIN TESTING: PROCESS type THREE is range 1 to 3; type ENUM1 is (EN1, EN2, EN3); type A11 is array (THREE) of BOOLEAN; type A32 is array (ENUM1, ENUM1) of A11; variable V1 : BOOLEAN; variable V32: A32 ; BEGIN V1 := V32(EN2)(2); -- ONE LESS -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO -- INDEX POSITIONS IN TYPE DECLARATION assert FALSE report "***FAILED TEST: c06s04b00x00p03n02i01061 - The expresion should be the same type as the corresponding index." severity ERROR; wait; END PROCESS TESTING; END c06s04b00x00p03n02i01061arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1061.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s04b00x00p03n02i01061ent IS END c06s04b00x00p03n02i01061ent; ARCHITECTURE c06s04b00x00p03n02i01061arch OF c06s04b00x00p03n02i01061ent IS BEGIN TESTING: PROCESS type THREE is range 1 to 3; type ENUM1 is (EN1, EN2, EN3); type A11 is array (THREE) of BOOLEAN; type A32 is array (ENUM1, ENUM1) of A11; variable V1 : BOOLEAN; variable V32: A32 ; BEGIN V1 := V32(EN2)(2); -- ONE LESS -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO -- INDEX POSITIONS IN TYPE DECLARATION assert FALSE report "***FAILED TEST: c06s04b00x00p03n02i01061 - The expresion should be the same type as the corresponding index." severity ERROR; wait; END PROCESS TESTING; END c06s04b00x00p03n02i01061arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1061.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s04b00x00p03n02i01061ent IS END c06s04b00x00p03n02i01061ent; ARCHITECTURE c06s04b00x00p03n02i01061arch OF c06s04b00x00p03n02i01061ent IS BEGIN TESTING: PROCESS type THREE is range 1 to 3; type ENUM1 is (EN1, EN2, EN3); type A11 is array (THREE) of BOOLEAN; type A32 is array (ENUM1, ENUM1) of A11; variable V1 : BOOLEAN; variable V32: A32 ; BEGIN V1 := V32(EN2)(2); -- ONE LESS -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO -- INDEX POSITIONS IN TYPE DECLARATION assert FALSE report "***FAILED TEST: c06s04b00x00p03n02i01061 - The expresion should be the same type as the corresponding index." severity ERROR; wait; END PROCESS TESTING; END c06s04b00x00p03n02i01061arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity issue93 is port (foo : out std_logic; bar : out std_logic); end ; architecture beh of issue93 is begin (foo, bar) <= "10" + "01"; -- crashes end architecture;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; library hynix; use hynix.components.all; use work.debug.all; use work.config.all; library hynix; use hynix.components.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 8 -- system clock period ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sdramfile : string := "ram.srec"; -- sdram contents constant lresp : boolean := false; constant ct : integer := clkperiod/2; signal clk : std_logic := '0'; signal clk_vga : std_logic := '0'; signal rst : std_logic := '0'; signal rstn1 : std_logic; signal rstn2 : std_logic; signal error : std_logic; -- PROM flash signal address : std_logic_vector(23 downto 0); signal data : std_logic_vector(31 downto 0); signal romsn : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; signal iosn : std_ulogic; -- DDR2 memory signal ddr_clk : std_logic_vector(1 downto 0); signal ddr_clkb : std_logic_vector(1 downto 0); signal ddr_clk_fb : std_logic; signal ddr_cke : std_logic; signal ddr_csb : std_logic; signal ddr_we : std_ulogic; -- write enable signal ddr_ras : std_ulogic; -- ras signal ddr_cas : std_ulogic; -- cas signal ddr_dm : std_logic_vector(3 downto 0); -- dm signal ddr_dqs : std_logic_vector(3 downto 0); -- dqs signal ddr_dqsn : std_logic_vector(3 downto 0); -- dqsn signal ddr_ad : std_logic_vector(12 downto 0); -- address signal ddr_ba : std_logic_vector(1 downto 0); -- bank address signal ddr_dq : std_logic_vector(31 downto 0); -- data signal ddr_dq2 : std_logic_vector(31 downto 0); -- data signal ddr_odt : std_logic; -- Debug support unit signal dsubre : std_ulogic; -- AHB Uart signal dsurx : std_ulogic; signal dsutx : std_ulogic; -- APB Uart signal urxd : std_ulogic; signal utxd : std_ulogic; -- Ethernet signals signal etx_clk : std_ulogic; signal erx_clk : std_ulogic; signal erxdt : std_logic_vector(7 downto 0); signal erx_dv : std_ulogic; signal erx_er : std_ulogic; signal erx_col : std_ulogic; signal erx_crs : std_ulogic; signal etxdt : std_logic_vector(7 downto 0); signal etx_en : std_ulogic; signal etx_er : std_ulogic; signal emdc : std_ulogic; signal emdio : std_logic; -- SVGA signals signal vid_hsync : std_ulogic; signal vid_vsync : std_ulogic; signal vid_r : std_logic_vector(3 downto 0); signal vid_g : std_logic_vector(3 downto 0); signal vid_b : std_logic_vector(3 downto 0); -- Select signal for SPI flash signal spi_sel_n : std_logic; signal spi_clk : std_logic; signal spi_mosi : std_logic; -- Output signals for LEDs signal led : std_logic_vector(2 downto 0); signal brdyn : std_ulogic; begin -- clock and reset clk <= not clk after ct * 1 ns; clk_vga <= not clk_vga after 20 ns; rst <= '1', '0' after 100 ns; dsubre <= '0'; urxd <= 'H'; spi_sel_n <= 'H'; spi_clk <= 'L'; d3 : entity work.leon3mp generic map (fabtech, memtech, padtech, clktech, disas, dbguart, pclow) port map ( reset => rst, reset_o1 => rstn1, reset_o2 => rstn2, clk_in => clk, clk_vga => clk_vga, errorn => error, -- PROM address => address(23 downto 0), data => data(31 downto 24), romsn => romsn, oen => oen, writen => writen, iosn => iosn, testdata => data(23 downto 0), -- DDR2 ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_clk_fb_out => ddr_clk_fb, ddr_clk_fb => ddr_clk_fb, ddr_cke => ddr_cke, ddr_csb => ddr_csb, ddr_we => ddr_we, ddr_ras => ddr_ras, ddr_cas => ddr_cas, ddr_dm => ddr_dm, ddr_dqs => ddr_dqs, ddr_dqsn => ddr_dqsn, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dq => ddr_dq, ddr_odt => ddr_odt, -- Debug Unit dsubre => dsubre, -- AHB Uart dsutx => dsutx, dsurx => dsurx, -- PHY etx_clk => etx_clk, erx_clk => erx_clk, erxd => erxdt(3 downto 0), erx_dv => erx_dv, erx_er => erx_er, erx_col => erx_col, erx_crs => erx_crs, etxd => etxdt(3 downto 0), etx_en => etx_en, etx_er => etx_er, emdc => emdc, emdio => emdio, -- SVGA vid_hsync => vid_hsync, vid_vsync => vid_vsync, vid_r => vid_r, vid_g => vid_g, vid_b => vid_b, -- SPI flash select spi_sel_n => spi_sel_n, spi_clk => spi_clk, spi_mosi => spi_mosi, -- Output signals for LEDs led => led ); ddr2mem : if (CFG_DDR2SP /= 0) generate ddr2mem0 : for i in 0 to 1 generate u1 : HY5PS121621F generic map (TimingCheckFlag => true, PUSCheckFlag => false, index => 1-i, bbits => 32, fname => sdramfile) port map (DQ => ddr_dq2(i*16+15 downto i*16), LDQS => ddr_dqs(i*2), LDQSB => ddr_dqsn(i*2), UDQS => ddr_dqs(i*2+1), UDQSB => ddr_dqsn(i*2+1), LDM => ddr_dm(i*2), WEB => ddr_we, CASB => ddr_cas, RASB => ddr_ras, CSB => ddr_csb, BA => ddr_ba, ADDR => ddr_ad(12 downto 0), CKE => ddr_cke, CLK => ddr_clk(i), CLKB => ddr_clkb(i), UDM => ddr_dm(i*2+1)); end generate; ddr2delay0 : delay_wire generic map(data_width => ddr_dq'length, delay_atob => 0.0, delay_btoa => 1.0) port map(a => ddr_dq, b => ddr_dq2); end generate; prom0 : sram generic map (index => 6, abits => 24, fname => promfile) port map (address(23 downto 0), data(31 downto 24), romsn, writen, oen); phy0 : if (CFG_GRETH = 1) generate etxdt(7 downto 4) <= "0000"; emdio <= 'H'; p0: phy generic map (address => 1) port map(rstn1, emdio, etx_clk, erx_clk, erxdt, erx_dv, erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, '0'); end generate; spimem0: if CFG_SPIMCTRL = 1 generate s0 : spi_flash generic map (ftype => 4, debug => 0, fname => promfile, readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => 0) -- Dual output is not supported in this design port map (spi_clk, spi_mosi, data(24), spi_sel_n); end generate spimem0; error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 5 us; assert (to_X01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure; end process; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#ef#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); -- -- txc(dsutx, 16#80#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end;
--------------------------------------------------------------------- ---- ---- ---- OpenCores IDE Controller ---- ---- DMA (single- and multiword) mode access controller ---- ---- ---- ---- Author: Richard Herveille ---- ---- [email protected] ---- ---- www.asics.ws ---- ---- ---- --------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2001, 2002 Richard Herveille ---- ---- [email protected] ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer.---- ---- ---- ---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ---- ---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ---- ---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- ---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ---- ---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- ---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ---- ---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ---- ---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ---- ---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ---- ---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ---- ---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ---- ---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- ---- POSSIBILITY OF SUCH DAMAGE. ---- ---- ---- --------------------------------------------------------------------- -- rev.: 1.0 march 9th, 2001. Initial release -- -- CVS Log -- -- $Id: atahost_dma_actrl.vhd,v 1.1 2002/02/18 14:32:12 rherveille Exp $ -- -- $Date: 2002/02/18 14:32:12 $ -- $Revision: 1.1 $ -- $Author: rherveille $ -- $Locker: $ -- $State: Exp $ -- -- Change History: -- $Log: atahost_dma_actrl.vhd,v $ -- Revision 1.1 2002/02/18 14:32:12 rherveille -- renamed all files to 'atahost_***.vhd' -- broke-up 'counter.vhd' into 'ud_cnt.vhd' and 'ro_cnt.vhd' -- changed resD input to generic RESD in ud_cnt.vhd -- changed ID input to generic ID in ro_cnt.vhd -- changed core to reflect changes in ro_cnt.vhd -- removed references to 'count' library -- changed IO names -- added disclaimer -- added CVS log -- moved registers and wishbone signals into 'atahost_wb_slave.vhd' -- -- -- -- Host accesses to DMA ports are 32bit wide. Accesses are made by 2 consecutive 16bit accesses to the ATA -- device's DataPort. The MSB HostData(31:16) is transfered first, then the LSB HostData(15:0) is transfered. -- --------------------------- -- DMA Access Controller -- --------------------------- -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; library grlib; use grlib.stdlib.all; entity atahost_dma_actrl is generic( tech : integer := 0; -- fifo mem technology fdepth : integer := 8; -- DMA fifo depth TWIDTH : natural := 8; -- counter width -- DMA mode 0 settings (@100MHz clock) DMA_mode0_Tm : natural := 4; -- 50ns DMA_mode0_Td : natural := 21; -- 215ns DMA_mode0_Teoc : natural := 21 -- 215ns ==> T0 - Td - Tm = 480 - 50 - 215 = 215 ); port( clk : in std_logic; -- master clock nReset : in std_logic; -- asynchronous active low reset rst : in std_logic; -- synchronous active high reset IDEctrl_rst : in std_logic; -- IDE control register bit0, 'rst' sel : in std_logic; -- DMA buffers selected we : in std_logic; -- write enable input ack : out std_logic; -- acknowledge output dev0_Tm, dev0_Td, dev0_Teoc : in std_logic_vector(7 downto 0); -- DMA mode timing device 0 dev1_Tm, dev1_Td, dev1_Teoc : in std_logic_vector(7 downto 0); -- DMA mode timing device 1 DMActrl_DMAen, DMActrl_dir, DMActrl_Bytesw, --Jagre 2006-12-04, byte swap ATA data DMActrl_BeLeC0, DMActrl_BeLeC1 : in std_logic; -- control register settings TxD : in std_logic_vector(31 downto 0); -- DMA transmit data TxFull : out std_logic; -- DMA transmit buffer full TxEmpty : out std_logic; RxQ : out std_logic_vector(31 downto 0); -- DMA receive data RxEmpty : out std_logic; -- DMA receive buffer empty RxFull : out std_logic; -- DMA receive buffer full DMA_req : out std_logic; -- DMA request to external DMA engine DMA_ack : in std_logic; -- DMA acknowledge from external DMA engine DMARQ : in std_logic; -- ATA devices request DMA transfer SelDev : in std_logic; -- Selected device Go : in std_logic; -- Start transfer sequence Done : out std_logic; -- Transfer sequence done DDi : in std_logic_vector(15 downto 0); -- Data from ATA DD bus DDo : out std_logic_vector(15 downto 0); -- Data towards ATA DD bus DIOR, DIOW : out std_logic ); end entity atahost_dma_actrl; architecture structural of atahost_dma_actrl is -- -- component declarations -- component atahost_dma_tctrl is generic( TWIDTH : natural := 8; -- counter width -- DMA mode 0 settings (@100MHz clock) DMA_mode0_Tm : natural := 6; -- 70ns DMA_mode0_Td : natural := 28; -- 290ns DMA_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240 ); port( clk : in std_logic; -- master clock nReset : in std_logic; -- asynchronous active low reset rst : in std_logic; -- synchronous active high reset -- timing register settings Tm : in std_logic_vector(TWIDTH -1 downto 0); -- Tm time (in clk-ticks) Td : in std_logic_vector(TWIDTH -1 downto 0); -- Td time (in clk-ticks) Teoc : in std_logic_vector(TWIDTH -1 downto 0); -- end of cycle time -- control signals go : in std_logic; -- DMA controller selected (strobe signal) we : in std_logic; -- DMA direction '1' = write, '0' = read -- return signals done : out std_logic; -- finished cycle dstrb : out std_logic; -- data strobe -- ATA signals DIOR, -- IOread signal, active high DIOW : out std_logic -- IOwrite signal, active high ); end component atahost_dma_tctrl; component atahost_reg_buf is generic ( WIDTH : natural := 8 ); port( clk : in std_logic; nReset : in std_logic; rst : in std_logic; D : in std_logic_vector(WIDTH -1 downto 0); Q : out std_logic_vector(WIDTH -1 downto 0); rd : in std_logic; wr : in std_logic; valid : out std_logic ); end component atahost_reg_buf; component atahost_dma_fifo is generic(tech : integer:=0; abits : integer:=3; dbits : integer:=32; depth : integer:=8); port( clk : in std_logic; reset : in std_logic; write_enable : in std_logic; read_enable : in std_logic; data_in : in std_logic_vector(dbits-1 downto 0); data_out : out std_logic_vector(dbits-1 downto 0); write_error : out std_logic:='0'; read_error : out std_logic:='0'; level : out natural range 0 to depth; empty : out std_logic:='1'; full : out std_logic:='0' ); end component atahost_dma_fifo; signal Tdone, Tfw : std_logic; signal RxWr, TxRd : std_logic; signal assync_TxRd, s_TxFull : std_logic; -----------------------Erik Jagre 2006-10-27 signal dstrb, rd_dstrb, wr_dstrb : std_logic; signal TxbufQ, RxbufD : std_logic_vector(31 downto 0); signal iRxEmpty : std_logic; constant abits : integer := Log2(fdepth); begin -- note: *fw = *first_word, *lw = *last_word -- -- generate DDi/DDo controls -- gen_DMA_sigs: block signal writeDfw, writeDlw : std_logic_vector(15 downto 0); signal readDfw, readDlw : std_logic_vector(15 downto 0); signal BeLeC : std_logic; -- BigEndian <-> LittleEndian conversion begin -- generate byte_swap signal BeLeC <= (not SelDev and DMActrl_BeLeC0) or (SelDev and DMActrl_BeLeC1); -- generate Tfw (Transfering first word) gen_Tfw: process(clk, nReset) begin if (nReset = '0') then Tfw <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then Tfw <= '0'; else Tfw <= go or (Tfw and not Tdone); end if; end if; end process gen_Tfw; -- transmit data part gen_writed_pipe:process(clk) begin if (clk'event and clk = '1') then if (TxRd = '1') then -- reload registers if (BeLeC = '1') then -- Do big<->little endian conversion writeDfw(15 downto 8) <= TxbufQ( 7 downto 0); -- TxbufQ = data from transmit buffer writeDfw( 7 downto 0) <= TxbufQ(15 downto 8); writeDlw(15 downto 8) <= TxbufQ(23 downto 16); writeDlw( 7 downto 0) <= TxbufQ(31 downto 24); else -- don't do big<->little endian conversion writeDfw <= TxbufQ(31 downto 16); writeDlw <= TxbufQ(15 downto 0); end if; elsif (wr_dstrb = '1') then -- next word to transfer writeDfw <= writeDlw; end if; end if; end process gen_writed_pipe; --Jagre 2006-12-04 --swap byte orderD when MActrl_Bytesw is set to '1' DDo(15 downto 8) <= writeDfw(15 downto 8) when DMActrl_Bytesw='0' else writeDfw(7 downto 0); DDo(7 downto 0) <= writeDfw(7 downto 0) when DMActrl_Bytesw='0' else writeDfw(15 downto 8); --DDo <= writeDfw; -- assign DMA data out -- generate transmit register read request gen_Tx_rreq: process(clk, nReset) begin if (nReset = '0') then TxRd <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then TxRd <= '0'; else TxRd <= go and DMActrl_dir; end if; end if; end process gen_Tx_rreq; assync_TxRd <= go and DMActrl_dir; --Jagre 2006-12-14 -- receive gen_readd_pipe:process(clk) begin if (clk'event and clk = '1') then if (rd_dstrb = '1') then readDfw <= readDlw; -- shift previous read word to msb if (BeLeC = '1' xor DMActrl_Bytesw = '1') then -- swap bytes, DMActrl_Bytesw added 2006-12-04, Jagre readDlw(15 downto 8) <= DDi( 7 downto 0); readDlw( 7 downto 0) <= DDi(15 downto 8); else -- don't swap bytes readDlw <= DDi; end if; end if; end if; end process gen_readd_pipe; -- RxD = data to receive buffer RxbufD <= (readDfw & readDlw) when (BeLeC = '0') else (readDlw & readDfw); -- generate receive register write request gen_Rx_wreq: process(clk, nReset) begin if (nReset = '0') then RxWr <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then RxWr <= '0'; else RxWr <= not Tfw and rd_dstrb; end if; end if; end process gen_Rx_wreq; end block gen_DMA_sigs; -- -- Hookup DMA read / write buffers -- gen_DMAbuf: block signal DMArst : std_logic; signal RxRd, TxWr : std_logic; begin -- generate DMA reset signal DMArst <= rst or IDEctrl_rst; Txfifo: atahost_dma_fifo generic map(dbits=>32,depth=>fdepth,tech=>tech,abits=>abits) port map( clk => clk, reset => DMArst, write_enable => TxWr, read_enable => assync_TxRd, data_in => TxD, data_out => TxbufQ, write_error => open, read_error => open, level => open, empty => TxEmpty, full => s_TxFull ); Rxfifo: atahost_dma_fifo generic map(dbits=>32,depth=>fdepth,tech=>tech,abits=>abits) port map( clk => clk, reset => DMArst, write_enable => RxWr, read_enable => RxRd, data_in => RxbufD, data_out => RxQ, write_error => open, read_error => open, level => open, empty => iRxEmpty, full => RxFull ); RxEmpty <= iRxEmpty; -- avoid 'cannot associate OUT port with BUFFER port' error -- -- generate DMA buffer access signals -- RxRd <= sel and not we and not iRxEmpty; TxWr <= sel and we and not s_TxFull; ack <= RxRd or TxWr; -- DMA buffer access acknowledge end block gen_DMAbuf; -- -- generate request signal for external DMA engine -- gen_DMA_req: block signal hgo : std_logic; signal iDMA_req : std_logic; signal request : std_logic; begin -- generate hold-go gen_hgo : process(clk, nReset) begin if (nReset = '0') then hgo <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then hgo <= '0'; else hgo <= go or (hgo and not (wr_dstrb and not Tfw) and DMActrl_dir); end if; end if; end process gen_hgo; request <= (DMActrl_dir and DMARQ and not s_TxFull and not hgo) or not iRxEmpty; process(clk, nReset) begin if (nReset = '0') then iDMA_req <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then iDMA_req <= '0'; else iDMA_req <= DMActrl_DMAen and not DMA_ack and (request or iDMA_req); -- DMA_req <= (DMActrl_DMAen and DMActrl_dir and DMARQ and not TxFull and not hgo) or not iRxEmpty; end if; end if; end process; DMA_req <= iDMA_req; end block gen_DMA_req; -- -- DMA timing controller -- DMA_timing_ctrl: block signal Tm, Td, Teoc, Tdmack_ext : std_logic_vector(TWIDTH -1 downto 0); signal dTfw, igo : std_logic; begin -- -- generate internal GO signal -- gen_igo : process(clk, nReset) begin if (nReset = '0') then igo <= '0'; dTfw <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then igo <= '0'; dTfw <= '0'; else igo <= go or (not Tfw and dTfw); dTfw <= Tfw; end if; end if; end process gen_igo; -- -- select timing settings for the addressed device -- sel_dev_t: process(clk) begin if (clk'event and clk = '1') then if (SelDev = '1') then -- device1 selected Tm <= dev1_Tm; Td <= dev1_Td; Teoc <= dev1_Teoc; else -- device0 selected Tm <= dev0_Tm; Td <= dev0_Td; Teoc <= dev0_Teoc; end if; end if; end process sel_dev_t; -- -- hookup timing controller -- DMA_timing_ctrl: atahost_dma_tctrl generic map ( TWIDTH => TWIDTH, DMA_mode0_Tm => DMA_mode0_Tm, DMA_mode0_Td => DMA_mode0_Td, DMA_mode0_Teoc => DMA_mode0_Teoc ) port map ( clk => clk, nReset => nReset, rst => rst, Tm => Tm, Td => Td, Teoc => Teoc, go => igo, we => DMActrl_dir, done => Tdone, dstrb => dstrb, DIOR => dior, DIOW => diow ); done <= Tdone and not Tfw; -- done transfering last word rd_dstrb <= dstrb and not DMActrl_dir; -- read data strobe wr_dstrb <= dstrb and DMActrl_dir; -- write data strobe TxFull <= s_TxFull; end block DMA_timing_ctrl; end architecture structural;
--------------------------------------------------------------------- ---- ---- ---- OpenCores IDE Controller ---- ---- DMA (single- and multiword) mode access controller ---- ---- ---- ---- Author: Richard Herveille ---- ---- [email protected] ---- ---- www.asics.ws ---- ---- ---- --------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2001, 2002 Richard Herveille ---- ---- [email protected] ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer.---- ---- ---- ---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ---- ---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ---- ---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- ---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ---- ---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- ---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ---- ---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ---- ---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ---- ---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ---- ---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ---- ---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ---- ---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- ---- POSSIBILITY OF SUCH DAMAGE. ---- ---- ---- --------------------------------------------------------------------- -- rev.: 1.0 march 9th, 2001. Initial release -- -- CVS Log -- -- $Id: atahost_dma_actrl.vhd,v 1.1 2002/02/18 14:32:12 rherveille Exp $ -- -- $Date: 2002/02/18 14:32:12 $ -- $Revision: 1.1 $ -- $Author: rherveille $ -- $Locker: $ -- $State: Exp $ -- -- Change History: -- $Log: atahost_dma_actrl.vhd,v $ -- Revision 1.1 2002/02/18 14:32:12 rherveille -- renamed all files to 'atahost_***.vhd' -- broke-up 'counter.vhd' into 'ud_cnt.vhd' and 'ro_cnt.vhd' -- changed resD input to generic RESD in ud_cnt.vhd -- changed ID input to generic ID in ro_cnt.vhd -- changed core to reflect changes in ro_cnt.vhd -- removed references to 'count' library -- changed IO names -- added disclaimer -- added CVS log -- moved registers and wishbone signals into 'atahost_wb_slave.vhd' -- -- -- -- Host accesses to DMA ports are 32bit wide. Accesses are made by 2 consecutive 16bit accesses to the ATA -- device's DataPort. The MSB HostData(31:16) is transfered first, then the LSB HostData(15:0) is transfered. -- --------------------------- -- DMA Access Controller -- --------------------------- -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; library grlib; use grlib.stdlib.all; entity atahost_dma_actrl is generic( tech : integer := 0; -- fifo mem technology fdepth : integer := 8; -- DMA fifo depth TWIDTH : natural := 8; -- counter width -- DMA mode 0 settings (@100MHz clock) DMA_mode0_Tm : natural := 4; -- 50ns DMA_mode0_Td : natural := 21; -- 215ns DMA_mode0_Teoc : natural := 21 -- 215ns ==> T0 - Td - Tm = 480 - 50 - 215 = 215 ); port( clk : in std_logic; -- master clock nReset : in std_logic; -- asynchronous active low reset rst : in std_logic; -- synchronous active high reset IDEctrl_rst : in std_logic; -- IDE control register bit0, 'rst' sel : in std_logic; -- DMA buffers selected we : in std_logic; -- write enable input ack : out std_logic; -- acknowledge output dev0_Tm, dev0_Td, dev0_Teoc : in std_logic_vector(7 downto 0); -- DMA mode timing device 0 dev1_Tm, dev1_Td, dev1_Teoc : in std_logic_vector(7 downto 0); -- DMA mode timing device 1 DMActrl_DMAen, DMActrl_dir, DMActrl_Bytesw, --Jagre 2006-12-04, byte swap ATA data DMActrl_BeLeC0, DMActrl_BeLeC1 : in std_logic; -- control register settings TxD : in std_logic_vector(31 downto 0); -- DMA transmit data TxFull : out std_logic; -- DMA transmit buffer full TxEmpty : out std_logic; RxQ : out std_logic_vector(31 downto 0); -- DMA receive data RxEmpty : out std_logic; -- DMA receive buffer empty RxFull : out std_logic; -- DMA receive buffer full DMA_req : out std_logic; -- DMA request to external DMA engine DMA_ack : in std_logic; -- DMA acknowledge from external DMA engine DMARQ : in std_logic; -- ATA devices request DMA transfer SelDev : in std_logic; -- Selected device Go : in std_logic; -- Start transfer sequence Done : out std_logic; -- Transfer sequence done DDi : in std_logic_vector(15 downto 0); -- Data from ATA DD bus DDo : out std_logic_vector(15 downto 0); -- Data towards ATA DD bus DIOR, DIOW : out std_logic ); end entity atahost_dma_actrl; architecture structural of atahost_dma_actrl is -- -- component declarations -- component atahost_dma_tctrl is generic( TWIDTH : natural := 8; -- counter width -- DMA mode 0 settings (@100MHz clock) DMA_mode0_Tm : natural := 6; -- 70ns DMA_mode0_Td : natural := 28; -- 290ns DMA_mode0_Teoc : natural := 23 -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240 ); port( clk : in std_logic; -- master clock nReset : in std_logic; -- asynchronous active low reset rst : in std_logic; -- synchronous active high reset -- timing register settings Tm : in std_logic_vector(TWIDTH -1 downto 0); -- Tm time (in clk-ticks) Td : in std_logic_vector(TWIDTH -1 downto 0); -- Td time (in clk-ticks) Teoc : in std_logic_vector(TWIDTH -1 downto 0); -- end of cycle time -- control signals go : in std_logic; -- DMA controller selected (strobe signal) we : in std_logic; -- DMA direction '1' = write, '0' = read -- return signals done : out std_logic; -- finished cycle dstrb : out std_logic; -- data strobe -- ATA signals DIOR, -- IOread signal, active high DIOW : out std_logic -- IOwrite signal, active high ); end component atahost_dma_tctrl; component atahost_reg_buf is generic ( WIDTH : natural := 8 ); port( clk : in std_logic; nReset : in std_logic; rst : in std_logic; D : in std_logic_vector(WIDTH -1 downto 0); Q : out std_logic_vector(WIDTH -1 downto 0); rd : in std_logic; wr : in std_logic; valid : out std_logic ); end component atahost_reg_buf; component atahost_dma_fifo is generic(tech : integer:=0; abits : integer:=3; dbits : integer:=32; depth : integer:=8); port( clk : in std_logic; reset : in std_logic; write_enable : in std_logic; read_enable : in std_logic; data_in : in std_logic_vector(dbits-1 downto 0); data_out : out std_logic_vector(dbits-1 downto 0); write_error : out std_logic:='0'; read_error : out std_logic:='0'; level : out natural range 0 to depth; empty : out std_logic:='1'; full : out std_logic:='0' ); end component atahost_dma_fifo; signal Tdone, Tfw : std_logic; signal RxWr, TxRd : std_logic; signal assync_TxRd, s_TxFull : std_logic; -----------------------Erik Jagre 2006-10-27 signal dstrb, rd_dstrb, wr_dstrb : std_logic; signal TxbufQ, RxbufD : std_logic_vector(31 downto 0); signal iRxEmpty : std_logic; constant abits : integer := Log2(fdepth); begin -- note: *fw = *first_word, *lw = *last_word -- -- generate DDi/DDo controls -- gen_DMA_sigs: block signal writeDfw, writeDlw : std_logic_vector(15 downto 0); signal readDfw, readDlw : std_logic_vector(15 downto 0); signal BeLeC : std_logic; -- BigEndian <-> LittleEndian conversion begin -- generate byte_swap signal BeLeC <= (not SelDev and DMActrl_BeLeC0) or (SelDev and DMActrl_BeLeC1); -- generate Tfw (Transfering first word) gen_Tfw: process(clk, nReset) begin if (nReset = '0') then Tfw <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then Tfw <= '0'; else Tfw <= go or (Tfw and not Tdone); end if; end if; end process gen_Tfw; -- transmit data part gen_writed_pipe:process(clk) begin if (clk'event and clk = '1') then if (TxRd = '1') then -- reload registers if (BeLeC = '1') then -- Do big<->little endian conversion writeDfw(15 downto 8) <= TxbufQ( 7 downto 0); -- TxbufQ = data from transmit buffer writeDfw( 7 downto 0) <= TxbufQ(15 downto 8); writeDlw(15 downto 8) <= TxbufQ(23 downto 16); writeDlw( 7 downto 0) <= TxbufQ(31 downto 24); else -- don't do big<->little endian conversion writeDfw <= TxbufQ(31 downto 16); writeDlw <= TxbufQ(15 downto 0); end if; elsif (wr_dstrb = '1') then -- next word to transfer writeDfw <= writeDlw; end if; end if; end process gen_writed_pipe; --Jagre 2006-12-04 --swap byte orderD when MActrl_Bytesw is set to '1' DDo(15 downto 8) <= writeDfw(15 downto 8) when DMActrl_Bytesw='0' else writeDfw(7 downto 0); DDo(7 downto 0) <= writeDfw(7 downto 0) when DMActrl_Bytesw='0' else writeDfw(15 downto 8); --DDo <= writeDfw; -- assign DMA data out -- generate transmit register read request gen_Tx_rreq: process(clk, nReset) begin if (nReset = '0') then TxRd <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then TxRd <= '0'; else TxRd <= go and DMActrl_dir; end if; end if; end process gen_Tx_rreq; assync_TxRd <= go and DMActrl_dir; --Jagre 2006-12-14 -- receive gen_readd_pipe:process(clk) begin if (clk'event and clk = '1') then if (rd_dstrb = '1') then readDfw <= readDlw; -- shift previous read word to msb if (BeLeC = '1' xor DMActrl_Bytesw = '1') then -- swap bytes, DMActrl_Bytesw added 2006-12-04, Jagre readDlw(15 downto 8) <= DDi( 7 downto 0); readDlw( 7 downto 0) <= DDi(15 downto 8); else -- don't swap bytes readDlw <= DDi; end if; end if; end if; end process gen_readd_pipe; -- RxD = data to receive buffer RxbufD <= (readDfw & readDlw) when (BeLeC = '0') else (readDlw & readDfw); -- generate receive register write request gen_Rx_wreq: process(clk, nReset) begin if (nReset = '0') then RxWr <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then RxWr <= '0'; else RxWr <= not Tfw and rd_dstrb; end if; end if; end process gen_Rx_wreq; end block gen_DMA_sigs; -- -- Hookup DMA read / write buffers -- gen_DMAbuf: block signal DMArst : std_logic; signal RxRd, TxWr : std_logic; begin -- generate DMA reset signal DMArst <= rst or IDEctrl_rst; Txfifo: atahost_dma_fifo generic map(dbits=>32,depth=>fdepth,tech=>tech,abits=>abits) port map( clk => clk, reset => DMArst, write_enable => TxWr, read_enable => assync_TxRd, data_in => TxD, data_out => TxbufQ, write_error => open, read_error => open, level => open, empty => TxEmpty, full => s_TxFull ); Rxfifo: atahost_dma_fifo generic map(dbits=>32,depth=>fdepth,tech=>tech,abits=>abits) port map( clk => clk, reset => DMArst, write_enable => RxWr, read_enable => RxRd, data_in => RxbufD, data_out => RxQ, write_error => open, read_error => open, level => open, empty => iRxEmpty, full => RxFull ); RxEmpty <= iRxEmpty; -- avoid 'cannot associate OUT port with BUFFER port' error -- -- generate DMA buffer access signals -- RxRd <= sel and not we and not iRxEmpty; TxWr <= sel and we and not s_TxFull; ack <= RxRd or TxWr; -- DMA buffer access acknowledge end block gen_DMAbuf; -- -- generate request signal for external DMA engine -- gen_DMA_req: block signal hgo : std_logic; signal iDMA_req : std_logic; signal request : std_logic; begin -- generate hold-go gen_hgo : process(clk, nReset) begin if (nReset = '0') then hgo <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then hgo <= '0'; else hgo <= go or (hgo and not (wr_dstrb and not Tfw) and DMActrl_dir); end if; end if; end process gen_hgo; request <= (DMActrl_dir and DMARQ and not s_TxFull and not hgo) or not iRxEmpty; process(clk, nReset) begin if (nReset = '0') then iDMA_req <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then iDMA_req <= '0'; else iDMA_req <= DMActrl_DMAen and not DMA_ack and (request or iDMA_req); -- DMA_req <= (DMActrl_DMAen and DMActrl_dir and DMARQ and not TxFull and not hgo) or not iRxEmpty; end if; end if; end process; DMA_req <= iDMA_req; end block gen_DMA_req; -- -- DMA timing controller -- DMA_timing_ctrl: block signal Tm, Td, Teoc, Tdmack_ext : std_logic_vector(TWIDTH -1 downto 0); signal dTfw, igo : std_logic; begin -- -- generate internal GO signal -- gen_igo : process(clk, nReset) begin if (nReset = '0') then igo <= '0'; dTfw <= '0'; elsif (clk'event and clk = '1') then if (rst = '1') then igo <= '0'; dTfw <= '0'; else igo <= go or (not Tfw and dTfw); dTfw <= Tfw; end if; end if; end process gen_igo; -- -- select timing settings for the addressed device -- sel_dev_t: process(clk) begin if (clk'event and clk = '1') then if (SelDev = '1') then -- device1 selected Tm <= dev1_Tm; Td <= dev1_Td; Teoc <= dev1_Teoc; else -- device0 selected Tm <= dev0_Tm; Td <= dev0_Td; Teoc <= dev0_Teoc; end if; end if; end process sel_dev_t; -- -- hookup timing controller -- DMA_timing_ctrl: atahost_dma_tctrl generic map ( TWIDTH => TWIDTH, DMA_mode0_Tm => DMA_mode0_Tm, DMA_mode0_Td => DMA_mode0_Td, DMA_mode0_Teoc => DMA_mode0_Teoc ) port map ( clk => clk, nReset => nReset, rst => rst, Tm => Tm, Td => Td, Teoc => Teoc, go => igo, we => DMActrl_dir, done => Tdone, dstrb => dstrb, DIOR => dior, DIOW => diow ); done <= Tdone and not Tfw; -- done transfering last word rd_dstrb <= dstrb and not DMActrl_dir; -- read data strobe wr_dstrb <= dstrb and DMActrl_dir; -- write data strobe TxFull <= s_TxFull; end block DMA_timing_ctrl; end architecture structural;
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 30-05-2016 -- Module Name: control.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity control is port (clk : in std_logic; cond : in std_logic; op : in std_logic_vector (3 downto 0); PCen : out std_logic; PCwrite : out std_logic; IorD : out std_logic_vector (1 downto 0); memread : out std_logic; memwrite : out std_logic; memtoreg : out std_logic_vector (1 downto 0); IRe : out std_logic; PCscr : out std_logic_vector (1 downto 0); ALUop : out std_logic_vector (3 downto 0); ALUsrcB : out std_logic_vector (1 downto 0); ALUsrcA : out std_logic_vector (1 downto 0); AluFunc : out std_logic_vector (1 downto 0); regdest : out std_logic_vector (1 downto 0); regwrite : out std_logic); end control; architecture rtl of control is type state is (RST, S0, S1, S2, S3, SR0, SI0, SI10, SI11, SI20, SI210, SI220, SI221, SI30, SI31, SJ0); signal current_state, next_state : state := RST; begin process (clk) begin if clk'event and clk = '1' then current_state <= next_state; end if; end process; process(current_state) begin case current_state is when RST => next_state <= S0; when S0 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '1'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "01"; ALUop <= "1111"; ALUsrcB <= "01"; ALUsrcA <= "00"; AluFunc <= "00"; regdest <= "00"; regwrite <= '0'; next_state <= S1; when S1 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '1'; PCscr <= "00"; ALUop <= "1111"; ALUsrcB <= "01"; ALUsrcA <= "00"; AluFunc <= "00"; regdest <= "00"; regwrite <= '0'; next_state <= S2; when S2 => PCen <= '1'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "00"; ALUop <= "1111"; ALUsrcB <= "01"; ALUsrcA <= "00"; AluFunc <= "00"; regdest <= "00"; regwrite <= '0'; next_state <= S3; when S3 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "01"; ALUop <= "1111"; ALUsrcB <= "01"; ALUsrcA <= "00"; AluFunc <= "01"; regdest <= "00"; regwrite <= '0'; if op = "1111" then next_state <= SR0; elsif op = "0000" then next_state <= SJ0; else next_state <= SI0; end if; when SR0 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "00"; ALUop <= op; ALUsrcB <= "00"; ALUsrcA <= "01"; AluFunc <= "01"; regdest <= "01"; regwrite <= '1'; next_state <= S0; when SJ0 => PCen <= '1'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "10"; ALUop <= op; ALUsrcB <= "00"; ALUsrcA <= "01"; AluFunc <= "01"; regdest <= "00"; regwrite <= '0'; next_state <= S0; when SI0 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "10"; ALUop <= op; ALUsrcB <= "10"; ALUsrcA <= "01"; AluFunc <= "01"; regdest <= "00"; regwrite <= '0'; if op (3 downto 2) = "00" and op(1 downto 0) /= "00" then next_state <= SI10; elsif op (3 downto 2) = "01" and op(1 downto 0) /= "11" then next_state <= SI10; elsif op = "0111" or op = "1000" then next_state <= SI20; else next_state <= SI30; end if; when SI10 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "10"; ALUop <= op; ALUsrcB <= "10"; ALUsrcA <= "01"; AluFunc <= "01"; regdest <= "00"; regwrite <= '0'; next_state <= SI11; when SI11 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "10"; ALUop <= op; ALUsrcB <= "10"; ALUsrcA <= "01"; AluFunc <= "01"; regdest <= "00"; regwrite <= '1'; next_state <= S0; when SI20 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "10"; ALUop <= op; ALUsrcB <= "10"; ALUsrcA <= "01"; AluFunc <= "00"; regdest <= "00"; regwrite <= '0'; if op = "1000" then next_state <= SI210; end if; if op = "0111" then next_state <= SI220; end if; when SI210 => PCen <= '0'; PCwrite <= '0'; IorD <= "01"; memread <= '0'; memwrite <= '1'; memtoreg <= "00"; IRe <= '0'; PCscr <= "10"; ALUop <= op; ALUsrcB <= "10"; ALUsrcA <= "01"; AluFunc <= "00"; regdest <= "00"; regwrite <= '0'; next_state <= S0; when SI220 => PCen <= '0'; PCwrite <= '0'; IorD <= "01"; memread <= '1'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "10"; ALUop <= op; ALUsrcB <= "10"; ALUsrcA <= "01"; AluFunc <= "01"; regdest <= "00"; regwrite <= '0'; next_state <= SI221; when SI221 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "01"; IRe <= '0'; PCscr <= "10"; ALUop <= op; ALUsrcB <= "10"; ALUsrcA <= "01"; AluFunc <= "01"; regdest <= "00"; regwrite <= '1'; next_state <= S0; when SI30 => PCen <= '0'; PCwrite <= '0'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "10"; ALUop <= op; ALUsrcB <= "10"; ALUsrcA <= "00"; AluFunc <= "10"; regdest <= "00"; regwrite <= '0'; if cond = '1' then next_state <= SI31; else next_state <= S0; end if; when SI31 => PCen <= '0'; PCwrite <= '1'; IorD <= "00"; memread <= '0'; memwrite <= '0'; memtoreg <= "00"; IRe <= '0'; PCscr <= "00"; ALUop <= op; ALUsrcB <= "10"; ALUsrcA <= "00"; AluFunc <= "01"; regdest <= "00"; regwrite <= '0'; next_state <= S0; when others => next_state <= current_state; end case; end process; end architecture rtl;
entity tb_ent is end tb_ent; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_ent is signal clk : std_logic; signal counter : natural; signal rst : std_logic; begin dut: entity work.ent port map ( rst => rst, clk => clk, counter => counter); process procedure pulse is begin clk <= '0'; wait for 1 ns; clk <= '1'; wait for 1 ns; end pulse; begin rst <= '1'; pulse; assert counter = 0 severity failure; rst <= '0'; pulse; assert counter = 1 severity failure; pulse; assert counter = 2 severity failure; pulse; assert counter = 3 severity failure; wait; end process; end behav;
----------------------------------------------------------------- -- Project : Invent a Chip -- Module : DAC Model -- Last update : 02.12.2013 ----------------------------------------------------------------- -- Libraries library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; entity dac_model is generic( SYSTEM_CYCLE_TIME : time := 20 ns; -- 50 MHz FILE_NAME_DUMP : string := "dac_dump.txt" ); port( -- Global Signals end_simulation : in std_ulogic; -- SPI Signals spi_clk : in std_ulogic; spi_mosi : in std_ulogic; spi_cs_n : in std_ulogic; -- DAC Signals dac_ldac_n : in std_ulogic ); end entity dac_model; architecture sim of dac_model is type dac_reg_t is array (0 to 1) of real; signal rx : std_ulogic_vector(15 downto 0); file file_dump : text open write_mode is FILE_NAME_DUMP; begin process variable vref : real := 2.048; variable dac_out : dac_reg_t; variable dac_pre : dac_reg_t; variable v_out : string(1 to 4); variable outLine : line; begin dac_out := (others => (real(0))); dac_pre := (others => (real(0))); rx <= (others => '0'); loop exit when end_simulation = '1'; if spi_cs_n = '0' then for i in 0 to 15 loop wait until spi_clk = '1'; rx <= rx(14 downto 0) & spi_mosi; wait until spi_clk = '0'; end loop; wait until spi_cs_n = '1'; if rx(13) = '1' then dac_pre(to_integer(unsigned(rx(15 downto 15)))) := vref * real(to_integer(unsigned(rx(11 downto 4)))) / real(256); else dac_pre(to_integer(unsigned(rx(15 downto 15)))) := real(2) * vref * real(to_integer(unsigned(rx(11 downto 4)))) / real(256); end if; if dac_pre(to_integer(unsigned(rx(15 downto 15)))) > real(3.3) then dac_pre(to_integer(unsigned(rx(15 downto 15)))) := real(3.3); end if; else wait for SYSTEM_CYCLE_TIME; end if; if dac_ldac_n = '0' then for i in 0 to 1 loop if dac_pre(i) /= dac_out(i) then dac_out(i) := dac_pre(i); v_out := integer'image(integer(dac_out(i)*real(1000))); write(outLine, "DAC" & integer'image(i) & " = " & v_out(1) & "." & v_out(2 to 4) & "V"); writeline(file_dump, outLine); write(outLine, "[DAC] Setting output voltage of DAC" & integer'image(i) & " to " & v_out(1) & "." & v_out(2 to 4) & "V"); writeline(output, outLine); end if; end loop; end if; end loop; file_close(file_dump); wait; end process; end architecture sim;